Skip to content

Commit

Permalink
✅ adjust tests
Browse files Browse the repository at this point in the history
Signed-off-by: Lukas Burgholzer <lukas.burgholzer@jku.at>
  • Loading branch information
burgholzer committed Jan 27, 2023
1 parent fdd7b92 commit d6708c3
Showing 1 changed file with 2 additions and 0 deletions.
2 changes: 2 additions & 0 deletions test/unittests/test_io.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -457,6 +457,7 @@ TEST_F(IO, appendMeasurementsAccordingToOutputPermutationAugmentRegister) {
"creg c[2];\n"
"x q[0];\n"
"x q[1];\n"
"barrier q;\n"
"measure q[0] -> c[0];\n"
"measure q[1] -> c[1];\n");
}
Expand Down Expand Up @@ -501,6 +502,7 @@ TEST_F(IO, appendMeasurementsAccordingToOutputPermutationAddRegister) {
"creg c[1];\n"
"x q[0];\n"
"x q[1];\n"
"barrier q;\n"
"measure q[0] -> d[0];\n"
"measure q[1] -> c[0];\n");
}

0 comments on commit d6708c3

Please sign in to comment.