From 97e7a16e325e571c4ec93719e8427824ba8f3db5 Mon Sep 17 00:00:00 2001 From: Nik Mohamad Aizuddin Date: Mon, 15 May 2023 18:30:45 +0800 Subject: [PATCH] feat(petalinux): add support for KR260 --- .gitmodules | 6 + docs/petalinux/kr260.md | 114 + project/petalinux/kr260/.gitignore | 12 + project/petalinux/kr260/README | 512 ++ project/petalinux/kr260/config.project | 11 + .../petalinux/kr260/project-spec/attributes | 10 + .../project-spec/configs/busybox/inetd.conf | 22 + .../kr260/project-spec/configs/config | 267 ++ .../configs/init-ifupdown/interfaces | 31 + .../kr260/project-spec/configs/rootfs_config | 4124 +++++++++++++++++ .../configs/systemd-conf/wired.network | 13 + .../dts_dir/zynqmp-sck-kr-g-revB.dts | 382 ++ .../kr260/project-spec/meta-user/COPYING.MIT | 17 + .../kr260/project-spec/meta-user/README | 64 + .../project-spec/meta-user/conf/layer.conf | 11 + .../meta-user/conf/petalinuxbsp.conf | 12 + .../meta-user/conf/user-rootfsconfig | 10 + .../files/ros2-helloworld-cpp | 1 + .../ros2-helloworld-cpp.bb | 59 + .../files/ros2_helloworld_python | 1 + .../ros2-helloworld-python.bb | 57 + .../device-tree/device-tree.bbappend | 19 + .../device-tree/files/openamp.dtsi | 89 + .../device-tree/files/pl-custom.dtsi | 4 + .../device-tree/files/system-user.dtsi | 7 + .../device-tree/files/xen-qemu.dtsi | 16 + .../recipes-bsp/device-tree/files/xen.dtsi | 37 + .../files/0001-ubifs-distroboot-support.patch | 28 + .../recipes-bsp/u-boot/files/bsp.cfg | 2 + .../recipes-bsp/u-boot/files/platform-top.h | 1 + .../recipes-bsp/u-boot/u-boot-xlnx_%.bbappend | 15 + .../uboot-device-tree/files/system-user.dtsi | 3 + .../uboot-device-tree.bbappend | 16 + .../images/petalinux-image-minimal.bbappend | 94 + .../recipes-kernel/linux/linux-xlnx/bsp.cfg | 0 .../linux-xlnx/user_2023-05-17-07-45-00.cfg | 1 + .../linux/linux-xlnx_%.bbappend | 6 + 37 files changed, 6074 insertions(+) create mode 100644 docs/petalinux/kr260.md create mode 100644 project/petalinux/kr260/.gitignore create mode 100644 project/petalinux/kr260/README create mode 100644 project/petalinux/kr260/config.project create mode 100644 project/petalinux/kr260/project-spec/attributes create mode 100644 project/petalinux/kr260/project-spec/configs/busybox/inetd.conf create mode 100644 project/petalinux/kr260/project-spec/configs/config create mode 100644 project/petalinux/kr260/project-spec/configs/init-ifupdown/interfaces create mode 100644 project/petalinux/kr260/project-spec/configs/rootfs_config create mode 100644 project/petalinux/kr260/project-spec/configs/systemd-conf/wired.network create mode 100644 project/petalinux/kr260/project-spec/dts_dir/zynqmp-sck-kr-g-revB.dts create mode 100644 project/petalinux/kr260/project-spec/meta-user/COPYING.MIT create mode 100644 project/petalinux/kr260/project-spec/meta-user/README create mode 100644 project/petalinux/kr260/project-spec/meta-user/conf/layer.conf create mode 100644 project/petalinux/kr260/project-spec/meta-user/conf/petalinuxbsp.conf create mode 100644 project/petalinux/kr260/project-spec/meta-user/conf/user-rootfsconfig create mode 160000 project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/ros2-helloworld-cpp.bb create mode 160000 project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-python/ros2-helloworld-python.bb create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/device-tree.bbappend create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/openamp.dtsi create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/pl-custom.dtsi create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/xen-qemu.dtsi create mode 100755 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/xen.dtsi create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/0001-ubifs-distroboot-support.patch create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/bsp.cfg create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/platform-top.h create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/u-boot-xlnx_%.bbappend create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/uboot-device-tree/files/system-user.dtsi create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-bsp/uboot-device-tree/uboot-device-tree.bbappend create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-images/images/petalinux-image-minimal.bbappend create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/bsp.cfg create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/user_2023-05-17-07-45-00.cfg create mode 100644 project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx_%.bbappend diff --git a/.gitmodules b/.gitmodules index c12881b..c9bd9a2 100644 --- a/.gitmodules +++ b/.gitmodules @@ -61,3 +61,9 @@ [submodule "project/yocto/rpi4b/layers/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python"] path = project/yocto/rpi4b/layers/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python url = https://github.com/extra2000/ros2_helloworld_python.git +[submodule "project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp"] + path = project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp + url = https://github.com/extra2000/ros2-helloworld-cpp.git +[submodule "project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python"] + path = project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python + url = https://github.com/extra2000/ros2_helloworld_python.git diff --git a/docs/petalinux/kr260.md b/docs/petalinux/kr260.md new file mode 100644 index 0000000..f7a0400 --- /dev/null +++ b/docs/petalinux/kr260.md @@ -0,0 +1,114 @@ +# Xilinx KR260 + + +## Prerequisites + +Requirements: +* [extra2000/xilinx-toolkit v3.x image](https://github.com/extra2000/xilinx-toolkit) +* Vitis `v2022.1` and PetaLinux `v2022.1 Update 3` +* [Kria KR260 Starter Kit 2022.1 BSP Update 1](https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/embedded-design-tools/2022-1.html) +* [KR260 board that have it's firmware updated with 2022.1_update3_BOOT.BIN](https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/1641152513/Kria+K26+SOM#Boot-Firmware-Updates) + +Allow source files to be mounted into container: +``` +chcon -R -t container_file_t project +``` + + +## Building PetaLinux + +Spawn new container: +``` +podman run -it --rm \ + --network=host \ + --userns="keep-id:uid=1000,gid=1000" \ + -v ${PWD}/project:${PWD}/project:rw \ + -v xilinx-tools-v2022-1:/tools/Xilinx:ro \ + --workdir ${PWD}/project/petalinux \ + --security-opt label=type:xilinx_toolkit_podman.process \ + localhost/extra2000/xilinx-toolkit:latest \ + bash +source /tools/Xilinx/PetaLinux/2022.1/tool/settings.sh +``` + +Create project: +``` +petalinux-create \ + --force \ + --type project \ + --source ../third-party/xilinx-kr260-starterkit-v2022.1-*.bsp \ + --name kr260 +``` + +Restore replaced configs and remove old backup (should be executed by host, not by container): +``` +git restore project/petalinux/kr260 +git clean -f project/petalinux/kr260.old +``` + +`cd` into `kr260/`: +``` +cd kr260 +``` + +Get hardware description: +``` +petalinux-config --get-hw-description hardware/xilinx-kr260-starterkit-2022.1/kr260_starter_kit.xsa +``` + +Build and package `rootfs`, and then build for WIC `images/linux/petalinux-sdimage.wic` for SD card: +``` +petalinux-build +petalinux-package \ + --boot \ + --u-boot +petalinux-package \ + --wic \ + --images-dir ./images/linux/ \ + --bootfiles "ramdisk.cpio.gz.u-boot,boot.scr,Image,system.dtb,system-zynqmp-sck-kr-g-revB.dtb" \ + --disk-name "sda" +``` + +Build and package `sysroot` (SDK): +``` +petalinux-build --sdk +petalinux-package --sysroot +``` + +**NOTE: Later to source SDK:** +``` +source images/linux/sdk/environment-setup-cortexa72-cortexa53-xilinx-linux +``` + +Exit the container. + + +## Flashing to SD Card + +Insert SD card and execute the following command: +``` +sudo dd \ + bs=4M \ + if=project/petalinux/kr260/images/linux/petalinux-sdimage.wic \ + iflag=fullblock \ + oflag=direct \ + status=progress \ + of=/dev/sdX +sudo sync +``` + + +## Testing + +Insert SD card into KR260 board, connect USB to your computer, and power on the board. Use the following `screen` command to access shell: +``` +screen /dev/ttyUSB1 115200 +``` + +Then, follow [Testing](../yocto/common/testing.md) instructions. To exit from `screen`, do `CTRL` + `A` and then type `:quit`. + + +## Known Issues + +* Warnings from `project/petalinux/kr260/components/yocto/layers/meta-ros/meta-ros2-humble/generated-recipes/iceoryx/iceoryx-posh_2.0.2-3.bb` can be safely ignored. +* Running with QEMU is not possible (I haven't figured out how to boot QEMU with the starter kit BSP). diff --git a/project/petalinux/kr260/.gitignore b/project/petalinux/kr260/.gitignore new file mode 100644 index 0000000..85333e5 --- /dev/null +++ b/project/petalinux/kr260/.gitignore @@ -0,0 +1,12 @@ +*/*/config.old +*/*/rootfs_config.old +build/ +images/linux/ +pre-built/linux/ +.petalinux/* +!.petalinux/metadata +*.o +*.jou +*.log +/components/plnx_workspace +/components/yocto diff --git a/project/petalinux/kr260/README b/project/petalinux/kr260/README new file mode 100644 index 0000000..38c0979 --- /dev/null +++ b/project/petalinux/kr260/README @@ -0,0 +1,512 @@ +################################################################################# +# I. Main Contents of Prebuilt # +# II. BSP generation steps # +# II.a Create and Configure a project # +# II.b Get openamp,qemu and xen device tree # +# II.c Enable openamp and its examples in rootfs # +# II.d Configuring BSP # +# II.e Project Build # +# II.f Clean up of configurations # +# II.g Packageing a BSP # +# III. Software Images # +# IV. Hardware Images # +# V. Software Projects # +# VI. Vitis Platform tcl # +# VII. Booting Prebuilt Images # +################################################################################# + +I. Main Contents of Prebuilt +================================================================================ +|-- pre-built/ # Pre-built images directory +| |-- linux/ # Pre-built Linux system images +| | |-- images/ +| | | |-- zynqmp_fsbl.elf # First stage bootloader ELF +| | | |-- pmufw.elf # pmu firmware ELF +| | | |-- system.dtb # Device-tree Blob(DTB) used for Linux kernel +| | | |-- image.ub # FIT image including the kernel, DTB and rootfs +| | | |-- Image # FIT image including the kernel +| | | |-- u-boot.elf # U-boot ELF +| | | |-- u-boot.bin # U-boot bin +| | | |-- petalinux-sdimage.wic.xz # Compressed wic image for SD card with BOOT and ROOT partitions with required files +| | | |-- BOOT.BIN # ZynqMP boot BIN file +| | | |-- bootgen.bif # Sample bif file used for creation of prebuilt BOOT.BIN file +| | | |-- boot.scr # boot.scr to provide the required default boot +| | | |-- pxelinux.cfg # pxelinux.cfg directory with default configuration file for pxe boot +| | | |-- bl31.elf # Arm trusted firmware ELF file +| | | |-- bl31.bin # Arm trusted firmware BIN file +| | | |-- rootfs.cpio.gz # Rootfs CPIO image used for FIT image(image.ub) +| | | |-- rootfs.cpio.gz.u-boot # Rootfs CPIO image with u-boot header for loading from u-boot +| | | |-- rootfs.tar.gz # Rootfs tar file which can be used for SD ext4 partition +| | | |-- rootfs.jffs2 # Rootfs jffs2 file which can be used for mounting rootfs on jffs2 file system +| | | |-- rootfs.manifest # Rootfs manifest file will have list of packages and version details +| | | |-- ramdisk.cpio.gz # Ramdisk rootfs CPIO image +| | | |-- ramdisk.cpio.gz.u-boot # Ramdisk rootfs CPIO image with u-boot header for loading from u-boot +| | | |-- ramdisk.tar.gz # Ramdisk rootfs CPIO image with gz compression +| | | |-- ramdisk.manifest # Ramdisk manifest file will have list of packages and version details +| | | |-- pmu_rom_qemu_sha3.elf # Pre-built pmu-rom ELF need for qemu boot +| | | |-- system.bit # FPGA bitstream used in BSP HW design(same as below download.bit) +| | | |-- vmlinux # Statically linked kernel executable file used for kernel debugging +| | | |-- openamp.dtb # Openamp device-tree blob file +| | | |-- zynqmp-qemu-arm.dtb # qemu device-tree blob file for single arch +| | | |-- zynqmp-qemu-multiarch-arm.dtb # qemu device-tree blob file for multi arch and it has information of a53 and other devices +| | | |-- zynqmp-qemu-multiarch-pmu.dtb # qemu device-tree blob file for multi arch and it has information of microblaze nodes +| | | |-- pl.dtbo # PL device-tree overlay blob file +| | |-- xen +| | | |-- xen.dtb # Xen device-tree blob file +| | | |-- xen-Image # Xen kernel image +| | | |-- xen-openamp.dtb # Xen openamp device-tree blob file +| | | |-- xen-qemu.dtb # Xen qemu device-tree blob file +| | | |-- xen-rootfs.cpio.gz # Xen rootfs CPIO image +| | | |-- xen # Xen binary +| | | |-- xen.cfg # Xen config file for image builder +| | | |-- xen_boot_sd.scr # Xen boot file for booting from SD card +| | | |-- xen_boot_sd.source # Xen boot source file of SD card booting +| | | |-- xen_boot_tftp.scr # Xen boot file for booting through tftp +| | | |-- xen_boot_tftp.source # Xen boot source file of tftp booting +| | |-- implementation/ +| | | |-- download.bit # FPGA bitstream + +II. BSP generation steps +================================================================================ +This section explain about BSP generation steps. + +Note: While building bsps, we use some openAMP, Xen and QEMU files from internal repos + If you want to use openAMP, Xen or QEMU, Please copy the files from published bsp's + While copying files please maintain same directory structure. + + II.a Creation and configuration of project + + $ petalinux-create -t project --template zynqMP --force -n + $ petalinux-config --get-hw-description= --silentconfig + + II.b Get openamp,qemu and xen device trees + + $ cp -r /project-spec/meta-user/recipes-bsp/device-tree/files/{openamp.dtsi,xen.dtsi} /project-spec/meta-user/recipes-bsp/device-tree/files + + $ echo '/include/ "openamp.dtsi"' >> /project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi + + $ echo 'SRC_URI += "file://openamp.dtsi"' >> project-spec/meta-user/recipes-bsp/device-tree/device-tree.bbappend + + II.c Enable openamp and its examples in rootfs + + $ petalinux-config -c rootfs + Select following packages and save it + openamp-fw-echo-testd + openamp-fw-mat-muld + openamp-fw-rpc-demo + packagegroup-petalinux-openamp + II.d Configuring BSP + + 1. zcu102 rev1.0 boards + 2. zcu104 and zcu106 boards + 3. ultra96 board. + 4. zcu111 board + 5. zcu1275 and zc1285 boards + 6. zcu216 and zcu208 boards + 7. zcu670 board + 8. kv260 and kr260 starterkit boards + Choose any desired board and follow steps in it. + + 1.zcu102 rev1.0 board + $ petalinux-config + Enter xilinx-zcu102 for YOCTO_MACHINE_NAME option ( ZCU102 DTG board parameter for rev1.0) + $ petalinux-config -c rootfs + Select following packages and save it + libmali-xlnx + package-management + zocl + xrt + opencl-clhpp + opencl-headers + + 2. zcu104 boards + $ echo 'CONFIG_gstreamer-vcu-examples' >> /project-spec/meta-user/conf/user-rootfsconfig + $ petalinux-config --silentconfig + $ petalinux-config -c rootfs + Select following packages and save it + packagegroup-petalinux-gstreamer + packagegroup-petalinux-matchbox + packagegroup-petalinux-x11 + packagegroup-petalinux-v4lutils + packagegroup-petalinux-audio + libdrm + libdrm-kms + libdrm-tests + gstreamer-vcu-examples + libmali-xlnx + package-management + zocl + xrt + opencl-clhpp + opencl-hearders + gstreamer-vcu-notebooks + + $ petalinux-config + Enter xilinx-zcu104 for YOCTO_MACHINE_NAME option for zcu104 board ( ZCU104 DTG board parameter) + + NOTE: zcu104/zcu106 - Due to limited flash size, reduce the rootfs size to flash image.ub to qspi. + + 2.1 zcu106 boards + $ echo 'CONFIG_gstreamer-vcu-examples' >> /project-spec/meta-user/conf/user-rootfsconfig + $ petalinux-config --silentconfig + $ petalinux-config -c rootfs + Select following packages and save it + packagegroup-petalinux-gstreamer + packagegroup-petalinux-matchbox + packagegroup-petalinux-x11 + libdrm + libdrm-kms + libdrm-tests + gstreamer-vcu-examples + packagegroup-petalinux-v4lutils + packagegroup-petalinux-audio + board-fpga-autoload + libmali-xlnx + zocl + xrt + opencl-clhpp + opencl-hearders + gstreamer-vcu-notebooks + # For zcu106 create xsa dir in proj-spec + mkdir project-spec/xsa_dir/ + cp hardware/xilinx-zcu106-2020.2/outputs/zcu106_1.xsa project-spec/xsa_dir/ + cp hardware/xilinx-zcu106-2020.2-Rev2/outputs/zcu106_2.xsa roject-spec/xsa_dir/zcu106_2.0.xsa + # if rev1 or rev2 board info found in EEPROM it loads bitstream and dtbo of the corresponding board goes to the root prompt. + # if it is not able to identify as rev1 or rev2. It prints a bold warning like below and It loads rev 1 bitstream and dtbo and goes to the root prompt. + Valid Board Information Not Found, Loading zcu106 rev1.0 bitstream and dtbo (see fpgautil -h for removing and loading different bitstream and dtbo). + + $ petalinux-config + check the below are enable + CONFIG_SUBSYSTEM_REMOVE_PL_DTB + CONFIG_SUBSYSTEM_FPGA_MANAGER + + $ petalinux-config --silentconfig + $ petalinux-config + Enter xilinx-zcu106 for YOCTO_MACHINE_NAME option for zcu106 boards (ZCU106 DTG board parameter ) + + 3. ultra96 board + $ cat >> project-spec/meta-user/conf/user-rootfsconfig <> project-spec/meta-user/conf/user-rootfsconfig << EOF +CONFIG_peekpoke +CONFIG_gpio-demo +CONFIG_rfdc +CONFIG_rfdc-read-write +CONFIG_rfdc-selftest +CONFIG_libsdfecusrintf +CONFIG_sdfec-demo +EOF + $ petalinux-config -c rootfs + Select following packages and save it + rfdc + rfdc-read-write + rfdc-selftest + libsdfecusrintf + sdfec-demo + $ petalinux-config + Enter xilinx-zcu111 for YOCTO_MACHINE_NAME option ( ZCU111 DTG board parameter) + + 5.zcu1275 and zc1285 boards + $ cat >> project-spec/meta-user/conf/user-rootfsconfig << EOF +CONFIG_peekpoke +CONFIG_gpio-demo +CONFIG_rfdc +CONFIG_rfdc-read-write +CONFIG_rfdc-selftest +EOF + $ petalinux-config -c rootfs + Select following packages and save it + rfdc + rfdc-read-write + rfdc-selftest + $ petalinux-config + Enter xilinx-zc1275 for YOCTO_MACHINE_NAME option ( ZC1275 DTG board parameter) + Enter xilinx-zc1285 for YOCTO_MACHINE_NAME option ( ZC1285 DTG board parameter) + + $ Optional configurations. + If prebuilt kernel image is more than 128M, jtag boot command "petalinux-boot --jtag/--qemu --prebuilt 3 would not work due to overlap between kernel image & linux-boot.elf (bl33 used in jtag mode). So, to overcome this issue, BL33 entry address (U-boot and linux-boot.elf start/load address) is changed to 0x10080000. This is done through: + $petalinux-config + Enter 0x10080000 to CONFIG_SUBSYSTEM_PRELOADED_BL33_BASE. + $petalinux-config -c u-boot + Enter 0x10080000 to CONFIG_SYS_TEXT_BASE. + + 6.zcu216 and zcu208 and zcu208-sdfec boards + $ cat >> project-spec/meta-user/conf/user-rootfsconfig << EOF +CONFIG_peekpoke +CONFIG_gpio-demo +CONFIG_rfdc +CONFIG_rfdc-read-write +CONFIG_rfdc-selftest +CONFIG_rfclk +EOF + $ petalinux-config -c rootfs + Select following packages and save it + rfdc + rfdc-read-write + rfdc-selftest + rfclk + sdfec (only for zcu208 sdfec board) + $ petalinux-config + Enter xilinx-zcu216 for YOCTO_MACHINE_NAME option ( ZCU216 DTG board parameter) + Enter xilinx-zcu208 for YOCTO_MACHINE_NAME option ( ZCU208 and zcu208-sdfec DTG board parameter) + 7.zcu670 + $ cat >> project-spec/meta-user/conf/user-rootfsconfig << EOF +CONFIG_gpio-demo +CONFIG_peekpoke +CONFIG_rfdc +CONFIG_rfdc-read-write +CONFIG_rfdc-selftest +CONFIG_rfclk +CONFIG_python3-pyro4 +CONFIG_python3-cffi +CONFIG_dfeccf +CONFIG_dfeequ +CONFIG_dfemix +CONFIG_dfeprach +EOF + $ petalinux-config -c rootfs + Select following packages and save it + rfdc + rfdc-read-write + rfdc-selftest + rfclk + python3-pyro4 + python3-cffi + python3 + libmetal + dfeccf + dfeequ + dfemix + dfeprach + $ petalinux-config + Enter xilinx-zcu670 for YOCTO_MACHINE_NAME option ( ZCU670 DTG board parameter) + + 8.kv260 and kr260 starterkit boards + + $ petalinux-config -c rootfs + Select following packages and save it + openamp-fw-echo-testd + openamp-fw-mat-muld + openamp-fw-rpc-demo + packagegroup-petalinux-openamp + + $ petalinux-config + Enter zynqmp-smk-k26-reva for MACHINE_NAME option ( DTG parameter for revA ) + Enter xilinx-k26-kv for YOCTO_MACHINE_NAME option for kv260-starter board + Enter xilinx-k26-kr for YOCTO_MACHINE_NAME option for kr260-starter board + Enable CONFIG_SUBSYSTEM_COMPONENT_IMG_SEL + Set the CONFIG_SUBSYSTEM_INITRAMFS_IMAGE_NAME as "petalinux-initramfs-image" + # Enabling misc-config recipe for ramdisk rfs + echo "INITRAMFS_PACKAGES:append = \" misc-config\"" >> /project-spec/meta-user/conf/petalinuxbsp.conf + echo "UBOOT_IMAGE_BLOB:k26 = \"0\"" >> /project-spec/meta-user/conf/petalinuxbsp.conf + cp -r /project-spec/dts_dir/* /project-spec/dts_dir/. + Add CONFIG_SUBSYSTEM_EXTRA_DT_FILES with pointing carrier dts file copied above + DTG Settings --> Extra dts/dtsi files + Enter dts files path /project-spec/dts_dir/zynqmp-sck-kr-g-revB.dts (for kr260 starterkit) + Enter dts files path /project-spec/dts_dir/zynqmp-sck-kv-g-revB.dts (for kv260 starterkit) + cat > /project-spec/meta-user/conf/petalinuxbsp.conf << EOL +EXTRA_DTFILE_PREFIX = "system" +EXTRA_DTFILES_BUNDLE = "1" +EOL + $ petalinux-config -c rootfs + Select following packages and save it + dnf + packagegroup-petalinux-som + packagegroup-core-tools-debug + packagegroup-core-full-cmdline + packagegroup-petalinux-utils + packagegroup-petalinux-networking-stack + packagegroup-petalinux-python-modules + packagegroup-petalinux-jupyter + resize-part + + $ petalinux-config -c u-boot + Select following configs and save it + CONFIG_OF_BOARD + + + II.e. Project Build + + 1. Build regular images + $ petalinux-build + $ petalinux-package --boot --u-boot --force + $ mkdir -p pre-built/linux/images + $ cp /images/linux/* /pre-built/linux/images/ + $ petalinux-package --wic --extra-bootfiles "rootfs.cpio.gz.u-boot" --images-dir /pre-built/linux/images --outdir /pre-built/linux/images + + Append --disk-name "mmcblk1" for kv260 starterkit and --disk-name "sda" for kr260 starterkit in the below command to create wic image + $ petalinux-package --wic --bootfiles "ramdisk.cpio.gz.u-boot boot.scr Image system*.dtb" --images-dir /pre-built/linux/images --outdir /pre-built/linux/images + + 2. Build xen images + $ echo '/include/ "xen.dtsi"' >> /project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi + $ echo 'SRC_URI += "file://xen.dtsi"' >> project-spec/meta-user/recipes-bsp/device-tree/device-tree.bbappend + $ petalinux-config + Select following config and save it. + Image Packaging Configuration + Root filesystem type (INITRD) + INITRAMFS/INITRD Image name (petalinux-image-minimal) + $ petalinux-config -c rootfs + Select following package and save it + packagegroup-petalinux-xen + $ petalinux-build + $ cp -L /images/linux/Image /pre-built/linux/images/xen-Image + $ cp -L /images/linux/system.dtb /pre-built/linux/images/xen.dtb + $ cp -L /images/linux/xen /pre-built/linux/images/xen + $ cp -L /images/linux/rootfs.cpio.gz /pre-built/linux/images/xen-rootfs.cpio.gz + $ cat > /pre-built/linux/xen/xen.cfg << EOL +MEMORY_START="0x0" +MEMORY_END="0x80000000" +XEN="xen" +DOM0_KERNEL="xen-Image" +DEVICE_TREE="xen.dtb" +DOM0_RAMDISK="xen-rootfs.cpio.gz" +NUM_DOMUS="0" +UBOOT_SOURCE="xen_boot.source" +UBOOT_SCRIPT="xen_boot.scr" +EOL + $ export XEN_CONFIG="/pre-built/linux/xen/xen.cfg" + $ export XEN_CONFIG_SKIP="1" + $ export BB_ENV_EXTRAWHITE="$BB_ENV_EXTRAWHITE XEN_CONFIG XEN_CONFIG_SKIP" + $ petalinux-build -c kernel -x do_deploy + $ rsync -arz --progress --delete /images/linux/xen_boot_* /pre-built/linux/xen + + II.f. Clean up of configurations + + Bydefault few configurations are enabled to generate prebuilt images. These configurations are cleaned up while packaging a bsp. However you can keep them if you need them in project. + + $ petalinux-config -c rootfs + disable following packages and save it + openamp-fw-echo-testd + openamp-fw-mat-muld + openamp-fw-rpc-demo + packagegroup-petalinux-openamp + packagegroup-petalinux-xen + libmali-xlnx + gstreamer-vcu-notebooks(for zcu106 and zcu104) + + $ petalinux-config + Select following config and save it. + Image Packaging Configuration + Root filesystem type (INITRD) + + + II.g. Packageing a BSP + Package the BSP with files generated with following command + $ petalinux-package --bsp -p --hwsource --output + + NOTE: --hwsource is optional and can be removed + +III. Software Images +================================================================================ +You can run the following command to rebuild the software images: + * zynqmp_fsbl.elf + * image.ub + * Image + * u-boot.elf + * system.dtb + * pmufw.elf + * bl31.elf + + $ petalinux-build + +You can also rebuild some of the images alone as follows: + * zynqmp_fsbl.elf + $ petalinux-build -c bootloader + + * u-boot.elf + $ petalinux-build -c u-boot + + * system.dtb + $ petalinux-build -c device-tree + + * kernel only: + $ petalinux-build -c kernel + +For more info regarding how to build images run "petalinux-build --help" command + +You can generate BOOT.BIN file with "petalinux-package --boot" command: + $ petalinux-package --boot --fpga pre-built/linux/images/system.bit \ + --fsbl pre-built/linux/images/zynqmp_fsbl.elf \ + --u-boot pre-built/linux/images/u-boot.elf \ + --pmufw prebuilt/linux/images/pmufw.elf \ + --atf pre-built/linux/images/bl31.elf + +IV. Hardware Images +================================================================================ + * design_1_wrapper.bit + The hardware project source files are in "hardware/" directory. You can + open the hardware project with vivado, and rebuild it. + +V. Software Projects +================================================================================ + * device-tree + DTG xsct software components can be found at "components/plnx_workspace/" directory + +VI. Vitis Platform tcl (supported for zcu104,zcu102,zcu106) +================================================================================ + * Please find the pfm.tcl at /hardware/vitis/pfm.tcl + Run this tcl pointing the xsa in bsp + EX: xsct -sdx pfm.tcl -xsa -name + + Note: Make sure you have vitis installed before running this command. + + For information on acceleration apps development please refer to Vitis documentation. + + +VII. Booting Prebuilt Images +===================================================================================== + We can boot petalinux images using petalinux-boot command. + For more information on booting prebuilt images please refer the "Booting and Packaging" section of ug1144. diff --git a/project/petalinux/kr260/config.project b/project/petalinux/kr260/config.project new file mode 100644 index 0000000..3d5b675 --- /dev/null +++ b/project/petalinux/kr260/config.project @@ -0,0 +1,11 @@ +# +# Automatically generated file; DO NOT EDIT. +# PetaLinux SDK Project Configuration +# +CONFIG_PROJECT_ADDITIONAL_COMPONENTS_SEARCH_PATH="" + +# +# Subsystems of the project +# +CONFIG_PROJECT_SUBSYSTEM_LINUX_INSTANCE_LINUX=y +CONFIG_PROJECT_SUBSYSTEMS=y diff --git a/project/petalinux/kr260/project-spec/attributes b/project/petalinux/kr260/project-spec/attributes new file mode 100644 index 0000000..52c333c --- /dev/null +++ b/project/petalinux/kr260/project-spec/attributes @@ -0,0 +1,10 @@ +#Virtual Providers + + + +#defconfigs + +UBOOT_DEFAULT_DEFCONFIG="xilinx_zynqmp_virt_defconfig" + +#atf +CONFIG_SUBSYSTEM_PRELOADED_BL33_BASE="0x8000000" diff --git a/project/petalinux/kr260/project-spec/configs/busybox/inetd.conf b/project/petalinux/kr260/project-spec/configs/busybox/inetd.conf new file mode 100644 index 0000000..b7c0bbc --- /dev/null +++ b/project/petalinux/kr260/project-spec/configs/busybox/inetd.conf @@ -0,0 +1,22 @@ +#/etc/inetd.conf: see inetd(8) for further informations. +# +# Internet server configuration database +# +# If you want to disable an entry so it isn't touched during +# package updates just comment it out with a single '#' character. +# +# +# +#:INTERNAL: Internal services +#echo stream tcp nowait root internal +#echo dgram udp wait root internal +#chargen stream tcp nowait root internal +#chargen dgram udp wait root internal +#discard stream tcp nowait root internal +#discard dgram udp wait root internal +#daytime stream tcp nowait root internal +#daytime dgram udp wait root internal +#time stream tcp nowait root internal +#time dgram udp wait root internal +telnet stream tcp nowait root telnetd telnetd -i +ftp stream tcp nowait root ftpd ftpd -w diff --git a/project/petalinux/kr260/project-spec/configs/config b/project/petalinux/kr260/project-spec/configs/config new file mode 100644 index 0000000..854a514 --- /dev/null +++ b/project/petalinux/kr260/project-spec/configs/config @@ -0,0 +1,267 @@ +# +# Automatically generated file; DO NOT EDIT. +# misc/config System Configuration +# +CONFIG_SUBSYSTEM_TYPE_LINUX=y +CONFIG_SYSTEM_ZYNQMP=y +CONFIG_SUBSYSTEM_VARIANT_ZYNQMPEV=y + +# +# Linux Components Selection +# +CONFIG_SUBSYSTEM_COMPONENT_DEVICE__TREE_NAME_DEVICE__TREE__GENERATOR=y +CONFIG_SUBSYSTEM_COMPONENT_IMG_SEL=y +CONFIG_SUBSYSTEM_COMPONENT_BOOTLOADER_AUTO_FSBL=y +CONFIG_SUBSYSTEM_COMPONENT_BOOTLOADER_NAME_ZYNQMP_FSBL=y +CONFIG_SUBSYSTEM_COMPONENT_BOOTLOADER_AUTO_PS_INIT=y +CONFIG_SUBSYSTEM_COMPONENT_PMU_FIRMWARE=y +CONFIG_SUBSYSTEM_COMPONENT_U__BOOT_NAME_U__BOOT__XLNX=y +# CONFIG_SUBSYSTEM_COMPONENT_U__BOOT_NAME_REMOTE is not set +# CONFIG_SUBSYSTEM_COMPONENT_U__BOOT_NAME_EXT__LOCAL__SRC is not set +CONFIG_SUBSYSTEM_COMPONENT_ARM__TRUSTED__FIRMWARE_NAME_ARM__TRUSTED__FIRMWARE=y +# CONFIG_SUBSYSTEM_COMPONENT_ARM__TRUSTED__FIRMWARE_NAME_REMOTE is not set +# CONFIG_SUBSYSTEM_COMPONENT_ARM__TRUSTED__FIRMWARE_NAME_EXT__LOCAL__SRC is not set +CONFIG_SUBSYSTEM_COMPONENT_LINUX__KERNEL_NAME_LINUX__XLNX=y +# CONFIG_SUBSYSTEM_COMPONENT_LINUX__KERNEL_NAME_REMOTE is not set +# CONFIG_SUBSYSTEM_COMPONENT_LINUX__KERNEL_NAME_EXT__LOCAL__SRC is not set + +# +# Auto Config Settings +# +CONFIG_SUBSYSTEM_AUTOCONFIG_DEVICE__TREE=y +# CONFIG_SUBSYSTEM_DEVICE_TREE_MANUAL_INCLUDE is not set +CONFIG_SUBSYSTEM_HARDWARE_AUTO=y +CONFIG_SUBSYSTEM_PROCESSOR0_IP_NAME="psu_cortexa53_0" +CONFIG_SUBSYSTEM_PROCESSOR_psu_cortexa53_0_SELECT=y +CONFIG_SUBSYSTEM_ARCH_AARCH64=y + +# +# Memory Settings +# +CONFIG_SUBSYSTEM_MEMORY_PSU_DDR_0_BANKLESS_SELECT=y +# CONFIG_SUBSYSTEM_MEMORY_PSU_DDR_1_BANKLESS_SELECT is not set +# CONFIG_SUBSYSTEM_MEMORY_MANUAL_SELECT is not set +CONFIG_SUBSYSTEM_MEMORY_PSU_DDR_0_BANKLESS_BASEADDR=0x0 +CONFIG_SUBSYSTEM_MEMORY_PSU_DDR_0_BANKLESS_SIZE=0x80000000 +CONFIG_SUBSYSTEM_MEMORY_PSU_DDR_0_BANKLESS_KERNEL_BASEADDR=0x0 +CONFIG_SUBSYSTEM_MEMORY_PSU_DDR_0_BANKLESS_U__BOOT_TEXTBASE_OFFSET=0x100000 +CONFIG_SUBSYSTEM_MEMORY_IP_NAME="PSU_DDR_0" + +# +# Serial Settings +# +CONFIG_SUBSYSTEM_PMUFW_SERIAL_PSU_UART_1_SELECT=y +# CONFIG_SUBSYSTEM_PMUFW_SERIAL_MANUAL_SELECT is not set +CONFIG_SUBSYSTEM_FSBL_SERIAL_PSU_UART_1_SELECT=y +# CONFIG_SUBSYSTEM_FSBL_SERIAL_MANUAL_SELECT is not set +CONFIG_SUBSYSTEM_ATF_SERIAL_PSU_UART_1_SELECT=y +# CONFIG_SUBSYSTEM_ATF_SERIAL_MANUAL_SELECT is not set +CONFIG_SUBSYSTEM_SERIAL_PSU_UART_1_SELECT=y +# CONFIG_SUBSYSTEM_SERIAL_MANUAL_SELECT is not set +# CONFIG_SUBSYSTEM_SERIAL_PSU_UART_1_BAUDRATE_600 is not set +# CONFIG_SUBSYSTEM_SERIAL_PSU_UART_1_BAUDRATE_9600 is not set +# CONFIG_SUBSYSTEM_SERIAL_PSU_UART_1_BAUDRATE_28800 is not set +CONFIG_SUBSYSTEM_SERIAL_PSU_UART_1_BAUDRATE_115200=y +# CONFIG_SUBSYSTEM_SERIAL_PSU_UART_1_BAUDRATE_230400 is not set +# CONFIG_SUBSYSTEM_SERIAL_PSU_UART_1_BAUDRATE_460800 is not set +# CONFIG_SUBSYSTEM_SERIAL_PSU_UART_1_BAUDRATE_921600 is not set +CONFIG_SUBSYSTEM_SERIAL_PMUFW_IP_NAME="psu_uart_1" +CONFIG_SUBSYSTEM_SERIAL_FSBL_IP_NAME="psu_uart_1" +CONFIG_SUBSYSTEM_SERIAL_ATF_IP_NAME="cadence1" +CONFIG_SUBSYSTEM_SERIAL_IP_NAME="psu_uart_1" + +# +# Ethernet Settings +# +CONFIG_SUBSYSTEM_ETHERNET_PSU_ETHERNET_1_SELECT=y +# CONFIG_SUBSYSTEM_ETHERNET_PSU_ETHERNET_0_SELECT is not set +# CONFIG_SUBSYSTEM_ETHERNET_MANUAL_SELECT is not set +# CONFIG_SUBSYSTEM_ETHERNET_PSU_ETHERNET_1_MAC_AUTO is not set +CONFIG_SUBSYSTEM_ETHERNET_PSU_ETHERNET_1_MAC="ff:ff:ff:ff:ff:ff" +CONFIG_SUBSYSTEM_ETHERNET_PSU_ETHERNET_1_USE_DHCP=y + +# +# Flash Settings +# +# CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_SELECT is not set +CONFIG_SUBSYSTEM_FLASH_MANUAL_SELECT=y + +# +# SD/SDIO Settings +# +CONFIG_SUBSYSTEM_PRIMARY_SD_MANUAL_SELECT=y + +# +# RTC Settings +# +CONFIG_SUBSYSTEM_RTC_PSU_RTC_SELECT=y +# CONFIG_SUBSYSTEM_RTC_MANUAL_SELECT is not set +CONFIG_SUBSYSTEM_I2C_PSU_I2C_1_SELECT=y +CONFIG_SUBSYSTEM_USB_PSU_USB_1_SELECT=y +CONFIG_SUBSYSTEM_USB_PSU_USB_0_SELECT=y +CONFIG_SUBSYSTEM_DP_PSU_DP_SELECT=y +CONFIG_SUBSYSTEM_ENDIAN_LITTLE=y + +# +# DTG Settings +# +CONFIG_SUBSYSTEM_MACHINE_NAME="zynqmp-smk-k26-reva" +CONFIG_SUBSYSTEM_EXTRA_DT_FILES="${PROOT}/project-spec/dts_dir/zynqmp-sck-kr-g-revB.dts" + +# +# Kernel Bootargs +# +CONFIG_SUBSYSTEM_BOOTARGS_AUTO=y +CONFIG_SUBSYSTEM_BOOTARGS_EARLYPRINTK=y +CONFIG_SUBSYSTEM_BOOTARGS_GENERATED=" earlycon console=ttyPS0,115200 clk_ignore_unused init_fatal_sh=1" +CONFIG_SUBSYSTEM_DEVICETREE_COMPILER_FLAGS="-@" +# CONFIG_SUBSYSTEM_DTB_OVERLAY is not set +# CONFIG_SUBSYSTEM_REMOVE_PL_DTB is not set +CONFIG_SUBSYSTEM_ENABLE_NO_ALIAS=y +# CONFIG_SUBSYSTEM_ENABLE_DT_VERBOSE is not set + +# +# PMUFW Configuration +# +CONFIG_SUBSYSTEM_PMUFW_COMPILER_EXTRA_FLAGS="" + +# +# FSBL Configuration +# +CONFIG_SUBSYSTEM_FSBL_BSPCOMPILER_FLAGS="" +CONFIG_SUBSYSTEM_FSBL_COMPILER_EXTRA_FLAGS="-DFSBL_DEBUG" + +# +# ARM Trusted Firmware Configuration +# +# CONFIG_SUBSYSTEM_ATF_MEMORY_SETTINGS is not set +CONFIG_SUBSYSTEM_ATF_EXTRA_COMPILER_FLAGS="" +CONFIG_SUBSYSTEM_PRELOADED_BL33_BASE=0x8000000 +# CONFIG_SUBSYSTEM_ATF_DEBUG is not set + +# +# FPGA Manager +# +CONFIG_SUBSYSTEM_FPGA_MANAGER=y +CONFIG_FPGA_HW_PATH="" + +# +# u-boot Configuration +# +CONFIG_SUBSYSTEM_UBOOT_CONFIG_TARGET="AUTO" + +# +# u-boot script configuration +# +CONFIG_SUBSYSTEM_UBOOT_APPEND_BASEADDR=y +CONFIG_SUBSYSTEM_UBOOT_PRE_BOOTENV="" + +# +# JTAG/DDR image offsets +# +CONFIG_SUBSYSTEM_UBOOT_DEVICETREE_OFFSET=0x100000 +CONFIG_SUBSYSTEM_UBOOT_KERNEL_OFFSET=0x200000 +CONFIG_SUBSYSTEM_UBOOT_RAMDISK_IMAGE_OFFSET=0x4000000 +CONFIG_SUBSYSTEM_UBOOT_FIT_IMAGE_OFFSET=0x10000000 + +# +# QSPI/OSPI image offsets +# +CONFIG_SUBSYSTEM_UBOOT_QSPI_KERNEL_OFFSET=0xF00000 +CONFIG_SUBSYSTEM_UBOOT_QSPI_KERNEL_SIZE=0x1D00000 +CONFIG_SUBSYSTEM_UBOOT_QSPI_RAMDISK_OFFSET=0x4000000 +CONFIG_SUBSYSTEM_UBOOT_QSPI_RAMDISK_SIZE=0x4000000 +CONFIG_SUBSYSTEM_UBOOT_QSPI_FIT_IMAGE_OFFSET=0xF40000 +CONFIG_SUBSYSTEM_UBOOT_QSPI_FIT_IMAGE_SIZE=0x6400000 + +# +# NAND image offsets +# +CONFIG_SUBSYSTEM_UBOOT_NAND_KERNEL_OFFSET=0x4100000 +CONFIG_SUBSYSTEM_UBOOT_NAND_KERNEL_SIZE=0x3200000 +CONFIG_SUBSYSTEM_UBOOT_NAND_RAMDISK_OFFSET=0x7800000 +CONFIG_SUBSYSTEM_UBOOT_NAND_RAMDISK_SIZE=0x3200000 +CONFIG_SUBSYSTEM_UBOOT_NAND_FIT_IMAGE_OFFSET=0x4180000 +CONFIG_SUBSYSTEM_UBOOT_NAND_FIT_IMAGE_SIZE=0x6400000 +CONFIG_SUBSYSTEM_UBOOT_KERNEL_IMAGE="Image" +CONFIG_SUBSYSTEM_UBOOT_FIT_IMAGE="image.ub" +# CONFIG_SUBSYSTEM_UBOOT_EXT_DTB is not set + +# +# Linux Configuration +# +CONFIG_SUBSYSTEM_LINUX_CONFIG_TARGET="AUTO" + +# +# Image Packaging Configuration +# +# CONFIG_SUBSYSTEM_ROOTFS_INITRAMFS is not set +CONFIG_SUBSYSTEM_ROOTFS_INITRD=y +# CONFIG_SUBSYSTEM_ROOTFS_JFFS2 is not set +# CONFIG_SUBSYSTEM_ROOTFS_UBIFS is not set +# CONFIG_SUBSYSTEM_ROOTFS_NFS is not set +# CONFIG_SUBSYSTEM_ROOTFS_EXT4 is not set +# CONFIG_SUBSYSTEM_ROOTFS_OTHER is not set +CONFIG_SUBSYSTEM_INITRD_RAMDISK_LOADADDR=0x0 +CONFIG_SUBSYSTEM_INITRAMFS_IMAGE_NAME="petalinux-initramfs-image" +CONFIG_SUBSYSTEM_UIMAGE_NAME="image.ub" +CONFIG_SUBSYSTEM_RFS_FORMATS="tar.gz" +CONFIG_SUBSYSTEM_DTB_PADDING_SIZE=0x1000 +# CONFIG_SUBSYSTEM_COPY_TO_TFTPBOOT is not set + +# +# Firmware Version Configuration +# +CONFIG_SUBSYSTEM_HOSTNAME="xilinx-kr260-starterkit-2022_1" +CONFIG_SUBSYSTEM_PRODUCT="xilinx-kr260-starterkit-2022.1" +CONFIG_SUBSYSTEM_FW_VERSION="1.00" + +# +# Enable Decoupling Flow +# +# CONFIG_DECOUPLING_FLOW is not set +CONFIG_SYSTEM_DTS_FILE="" + +# +# Yocto Settings +# +CONFIG_YOCTO_MACHINE_NAME="xilinx-k26-kr" + +# +# TMPDIR Location +# +CONFIG_TMP_DIR_LOCATION="${PROOT}/build/tmp" + +# +# Devtool Workspace Location +# +CONFIG_DEVTOOL_WORKSPACE_LOCATION="${PROOT}/components/yocto/workspace" + +# +# Parallel thread execution +# +CONFIG_YOCTO_BB_NUMBER_THREADS="" +CONFIG_YOCTO_PARALLEL_MAKE="" + +# +# Add pre-mirror url +# +CONFIG_PRE_MIRROR_URL="http://petalinux.xilinx.com/sswreleases/rel-v${PETALINUX_MAJOR_VER}/downloads" + +# +# Local sstate feeds settings +# +CONFIG_YOCTO_LOCAL_SSTATE_FEEDS_URL="" +CONFIG_YOCTO_NETWORK_SSTATE_FEEDS=y + +# +# Network sstate feeds URL +# +CONFIG_YOCTO_NETWORK_SSTATE_FEEDS_URL="http://petalinux.xilinx.com/sswreleases/rel-v${PETALINUX_MAJOR_VER}/aarch64/sstate-cache" +# CONFIG_YOCTO_BB_NO_NETWORK is not set +# CONFIG_YOCTO_BUILDTOOLS_EXTENDED is not set + +# +# User Layers +# +CONFIG_USER_LAYER_0="" diff --git a/project/petalinux/kr260/project-spec/configs/init-ifupdown/interfaces b/project/petalinux/kr260/project-spec/configs/init-ifupdown/interfaces new file mode 100644 index 0000000..0acf4cf --- /dev/null +++ b/project/petalinux/kr260/project-spec/configs/init-ifupdown/interfaces @@ -0,0 +1,31 @@ +# /etc/network/interfaces -- configuration file for ifup(8), ifdown(8) + +# The loopback interface +auto lo +iface lo inet loopback + +# Wireless interfaces +iface wlan0 inet dhcp + wireless_mode managed + wireless_essid any + wpa-driver wext + wpa-conf /etc/wpa_supplicant.conf + +iface atml0 inet dhcp + +# Wired or wireless interfaces +auto eth0 +iface eth0 inet dhcp +iface eth1 inet dhcp + +# Ethernet/RNDIS gadget (g_ether) +# ... or on host side, usbnet and random hwaddr +iface usb0 inet static + address 192.168.7.2 + netmask 255.255.255.0 + network 192.168.7.0 + gateway 192.168.7.1 + +# Bluetooth networking +iface bnep0 inet dhcp + diff --git a/project/petalinux/kr260/project-spec/configs/rootfs_config b/project/petalinux/kr260/project-spec/configs/rootfs_config new file mode 100644 index 0000000..0c2c4ac --- /dev/null +++ b/project/petalinux/kr260/project-spec/configs/rootfs_config @@ -0,0 +1,4124 @@ +# +# Automatically generated file; DO NOT EDIT. +# Configuration +# +CONFIG_system-zynqmp=y + +# +# Filesystem Packages +# + +# +# admin +# + +# +# sudo +# +# CONFIG_sudo is not set +# CONFIG_sudo-dev is not set +# CONFIG_sudo-dbg is not set + +# +# audio +# + +# +# sox +# +# CONFIG_sox is not set +# CONFIG_sox-dbg is not set +# CONFIG_sox-dev is not set + +# +# base +# + +# +# base-files +# +# CONFIG_base-files is not set +# CONFIG_base-files-dbg is not set +# CONFIG_base-files-dev is not set + +# +# base-passwd +# +# CONFIG_base-passwd is not set +# CONFIG_base-passwd-dev is not set +# CONFIG_base-passwd-dbg is not set +# CONFIG_base-passwd-update is not set + +# +# bc +# +# CONFIG_bc is not set +# CONFIG_bc-dev is not set +# CONFIG_bc-dbg is not set + +# +# busybox +# +# CONFIG_busybox is not set +# CONFIG_busybox-inetd is not set +# CONFIG_busybox-dbg is not set +# CONFIG_busybox-syslog is not set +# CONFIG_busybox-hwclock is not set +# CONFIG_busybox-httpd is not set +# CONFIG_busybox-dev is not set +# CONFIG_busybox-udhcpc is not set +# CONFIG_busybox-udhcpd is not set + +# +# cpio +# +# CONFIG_cpio is not set +# CONFIG_cpio-dbg is not set +# CONFIG_cpio-dev is not set +# CONFIG_cpio-rmt is not set + +# +# dbus +# +# CONFIG_dbus is not set +# CONFIG_dbus-dbg is not set +# CONFIG_dbus-lib is not set +# CONFIG_dbus-dev is not set + +# +# dbus-glib +# +# CONFIG_dbus-glib is not set +# CONFIG_dbus-glib-dev is not set +# CONFIG_dbus-glib-bash-completion is not set +# CONFIG_dbus-glib-tests is not set +# CONFIG_dbus-glib-dbg is not set + +# +# dbus-wait +# +# CONFIG_dbus-wait is not set +# CONFIG_dbus-wait-dbg is not set +# CONFIG_dbus-wait-dev is not set + +# +# diffutils +# +# CONFIG_diffutils is not set +# CONFIG_diffutils-dbg is not set +# CONFIG_diffutils-dev is not set + +# +# dnf +# +CONFIG_dnf=y + +# +# e2fsprogs +# +# CONFIG_e2fsprogs is not set +# CONFIG_e2fsprogs-dev is not set +CONFIG_e2fsprogs-mke2fs=y +# CONFIG_e2fsprogs-dbg is not set +# CONFIG_e2fsprogs-resize2fs is not set +# CONFIG_e2fsprogs-tune2fs is not set +# CONFIG_libss is not set +# CONFIG_libcomerr is not set +# CONFIG_libext2fs is not set +# CONFIG_libe2p is not set +# CONFIG_e2fsprogs-e2fsck is not set +# CONFIG_e2fsprogs-badblocks is not set + +# +# ed +# +# CONFIG_ed is not set +# CONFIG_ed-dev is not set +# CONFIG_ed-dbg is not set + +# +# elfutils +# +# CONFIG_elfutils is not set +# CONFIG_libdw is not set +# CONFIG_elfutils-dev is not set +# CONFIG_elfutils-binutils is not set +# CONFIG_libelf is not set +# CONFIG_elfutils-dbg is not set +# CONFIG_libasm is not set + +# +# formfactor +# +# CONFIG_formfactor is not set +# CONFIG_formfactor-dbg is not set +# CONFIG_formfactor-dev is not set + +# +# fpga-manager-script +# +CONFIG_fpga-manager-script=y + +# +# dfx-mgr +# +# CONFIG_dfx-mgr is not set +# CONFIG_dfx-mgr-dbg is not set +# CONFIG_dfx-mgr-dev is not set + +# +# haveged +# +CONFIG_haveged=y + +# +# i2c-tools +# +# CONFIG_i2c-tools is not set +# CONFIG_i2c-tools-dev is not set +# CONFIG_i2c-tools-misc is not set +# CONFIG_i2c-tools-dbg is not set + +# +# init-ifupdown +# +# CONFIG_init-ifupdown is not set +# CONFIG_init-ifupdown-dev is not set +# CONFIG_init-ifupdown-dbg is not set + +# +# initscripts +# +# CONFIG_initscripts is not set +# CONFIG_initscripts-functions is not set +# CONFIG_initscripts-dev is not set +# CONFIG_initscripts-dbg is not set + +# +# iproute2 +# +# CONFIG_iproute2 is not set +# CONFIG_iproute2-ss is not set +# CONFIG_iproute2-dev is not set +# CONFIG_iproute2-dbg is not set +# CONFIG_iproute2-ifstat is not set +# CONFIG_iproute2-nstat is not set +# CONFIG_iproute2-tc is not set +# CONFIG_iproute2-bash-completion is not set +# CONFIG_iproute2-genl is not set +# CONFIG_iproute2-rtacct is not set +# CONFIG_iproute2-lnstat is not set + +# +# kmod +# +# CONFIG_kmod is not set +# CONFIG_kmod-bash-completion is not set +# CONFIG_libkmod is not set +# CONFIG_kmod-dbg is not set +# CONFIG_kmod-dev is not set + +# +# linuxptp +# +# CONFIG_linuxptp is not set +# CONFIG_linuxptp-dev is not set +# CONFIG_linuxptp-dbg is not set + +# +# modutils-initscripts +# +# CONFIG_modutils-initscripts is not set +# CONFIG_modutils-initscripts-dev is not set +# CONFIG_modutils-initscripts-dbg is not set + +# +# mtd-utils +# +CONFIG_mtd-utils=y +# CONFIG_mtd-utils-jffs2 is not set +# CONFIG_mtd-utils-misc is not set +# CONFIG_mtd-utils-dev is not set +# CONFIG_mtd-utils-ubifs is not set +# CONFIG_mtd-utils-dbg is not set + +# +# netbase +# +# CONFIG_netbase is not set +# CONFIG_netbase-dev is not set +# CONFIG_netbase-dbg is not set + +# +# opkg +# +# CONFIG_opkg is not set +# CONFIG_libopkg is not set +# CONFIG_opkg-dbg is not set +# CONFIG_opkg-dev is not set + +# +# opkg-utils +# +# CONFIG_opkg-utils is not set +# CONFIG_opkg-utils-dbg is not set +# CONFIG_update-alternatives-opkg is not set + +# +# procps +# +# CONFIG_procps is not set +# CONFIG_procps-dev is not set +# CONFIG_procps-dbg is not set + +# +# pseudo +# +# CONFIG_pseudo is not set +# CONFIG_pseudo-dev is not set +# CONFIG_pseudo-dbg is not set + +# +# psplash +# +# CONFIG_psplash is not set +# CONFIG_psplash-dbg is not set +# CONFIG_psplash-default is not set +# CONFIG_psplash-dev is not set + +# +# quota +# +# CONFIG_quota is not set +# CONFIG_quota-dbg is not set +# CONFIG_quota-dev is not set + +# +# shared-mime-info +# +# CONFIG_shared-mime-info is not set +# CONFIG_shared-mime-info-dev is not set +# CONFIG_shared-mime-info-dbg is not set +# CONFIG_shared-mime-info-data is not set + +# +# shell +# + +# +# bash +# +# CONFIG_bash is not set +# CONFIG_bash-dbg is not set +# CONFIG_bash-dev is not set + +# +# sysvinit +# +# CONFIG_sysvinit is not set +# CONFIG_sysvinit-dev is not set +# CONFIG_sysvinit-sulogin is not set +# CONFIG_sysvinit-dbg is not set +# CONFIG_sysvinit-pidof is not set + +# +# tar +# +# CONFIG_tar is not set +# CONFIG_tar-dev is not set +# CONFIG_tar-dbg is not set +# CONFIG_tar-rmt is not set + +# +# tzdata +# +# CONFIG_tzdata is not set +# CONFIG_tzdata-pacific is not set +# CONFIG_tzdata-posix is not set +# CONFIG_tzdata-antarctica is not set +# CONFIG_tzdata-africa is not set +# CONFIG_tzdata-europe is not set +# CONFIG_tzdata-americas is not set +# CONFIG_tzdata-right is not set +# CONFIG_tzdata-atlantic is not set +# CONFIG_tzdata-australia is not set +# CONFIG_tzdata-misc is not set +# CONFIG_tzdata-asia is not set +# CONFIG_tzdata-arctic is not set + +# +# update-rc.d +# +# CONFIG_update-rc.d is not set +# CONFIG_update-rc.d-dbg is not set +# CONFIG_update-rc.d-dev is not set + +# +# usbutils +# +# CONFIG_usbutils is not set +# CONFIG_usbutils-dev is not set +# CONFIG_usbutils-dbg is not set + +# +# util-linux +# +# CONFIG_util-linux is not set +# CONFIG_util-linux-sulogin is not set +# CONFIG_util-linux-losetup is not set +# CONFIG_util-linux-hwclock is not set +# CONFIG_util-linux-fsck is not set +# CONFIG_util-linux-uuidgen is not set +# CONFIG_util-linux-bash-completion is not set +# CONFIG_util-linux-fstrim is not set +# CONFIG_util-linux-cfdisk is not set +# CONFIG_util-linux-umount is not set +# CONFIG_util-linux-findfs is not set +# CONFIG_util-linux-agetty is not set +# CONFIG_util-linux-mount is not set +# CONFIG_util-linux-sfdisk is not set +# CONFIG_util-linux-swaponoff is not set +# CONFIG_util-linux-fsck.cramfs is not set +# CONFIG_util-linux-prlimit is not set +# CONFIG_util-linux-mcookie is not set +# CONFIG_util-linux-getopt is not set +# CONFIG_util-linux-blkid is not set +# CONFIG_util-linux-dev is not set +# CONFIG_util-linux-partx is not set +# CONFIG_util-linux-mkfs is not set +# CONFIG_util-linux-readprofile is not set +# CONFIG_util-linux-mountpoint is not set +# CONFIG_util-linux-fdisk is not set +# CONFIG_util-linux-lscpu is not set +# CONFIG_util-linux-dbg is not set +# CONFIG_util-linux-uuidd is not set +# CONFIG_util-linux-mkfs.cramfs is not set + +# +# utils +# + +# +# shadow +# +# CONFIG_shadow is not set +# CONFIG_shadow-base is not set +# CONFIG_shadow-dev is not set +# CONFIG_shadow-dbg is not set + +# +# xz +# +# CONFIG_xz is not set +# CONFIG_xz-dev is not set +# CONFIG_xz-dbg is not set +# CONFIG_liblzma is not set + +# +# baseutils +# + +# +# shadow-securetty +# +# CONFIG_shadow-securetty is not set +# CONFIG_shadow-securetty-dev is not set +# CONFIG_shadow-securetty-dbg is not set + +# +# benchmark +# + +# +# tests +# + +# +# dhrystone +# +# CONFIG_dhrystone is not set +# CONFIG_dhrystone-dev is not set +# CONFIG_dhrystone-dbg is not set + +# +# linpack +# +# CONFIG_linpack is not set +# CONFIG_linpack-dbg is not set +# CONFIG_linpack-dev is not set + +# +# whetstone +# +# CONFIG_whetstone is not set +# CONFIG_whetstone-dev is not set +# CONFIG_whetstone-dbg is not set + +# +# bootgen +# +# CONFIG_bootgen is not set +# CONFIG_bootgen-dev is not set +# CONFIG_bootgen-dbg is not set + +# +# bootloader +# + +# +# dtc +# +# CONFIG_dtc is not set +# CONFIG_dtc-dbg is not set +# CONFIG_dtc-misc is not set +# CONFIG_dtc-dev is not set + +# +# console +# + +# +# network +# + +# +# canutils +# +# CONFIG_canutils is not set +# CONFIG_canutils-dev is not set +# CONFIG_canutils-dbg is not set + +# +# can-utils +# +CONFIG_can-utils=y +# CONFIG_can-utils-dbg is not set +# CONFIG_can-utils-dev is not set + +# +# curl +# +# CONFIG_curl is not set +# CONFIG_curl-dbg is not set +# CONFIG_libcurl is not set +# CONFIG_curl-dev is not set + +# +# dropbear +# +# CONFIG_dropbear is not set +# CONFIG_dropbear-dev is not set +# CONFIG_dropbear-dbg is not set + +# +# ethtool +# +# CONFIG_ethtool is not set +# CONFIG_ethtool-dev is not set +# CONFIG_ethtool-dbg is not set + +# +# lrzsz +# +# CONFIG_lrzsz is not set +# CONFIG_lrzsz-dbg is not set +# CONFIG_lrzsz-dev is not set + +# +# mailx +# +# CONFIG_mailx is not set +# CONFIG_mailx-dbg is not set +# CONFIG_mailx-dev is not set + +# +# minicom +# +# CONFIG_minicom is not set +# CONFIG_minicom-dev is not set +# CONFIG_minicom-dbg is not set + +# +# nfs-utils +# +CONFIG_nfs-utils=y +# CONFIG_nfs-utils-stats is not set +# CONFIG_nfs-utils-dbg is not set +# CONFIG_nfs-utils-dev is not set +# CONFIG_nfs-utils-client is not set + +# +# openssh +# +# CONFIG_openssh is not set +# CONFIG_openssh-ssh is not set +# CONFIG_openssh-sftp is not set +# CONFIG_openssh-sftp-server is not set +# CONFIG_openssh-keygen is not set +# CONFIG_openssh-dbg is not set +# CONFIG_openssh-dev is not set +# CONFIG_openssh-misc is not set +# CONFIG_openssh-sshd is not set +# CONFIG_openssh-scp is not set + +# +# ppp +# +# CONFIG_ppp is not set +# CONFIG_ppp-dev is not set +# CONFIG_ppp-l2tp is not set +# CONFIG_ppp-minconn is not set +# CONFIG_ppp-winbind is not set +# CONFIG_ppp-dbg is not set +# CONFIG_ppp-oe is not set +# CONFIG_ppp-oa is not set +# CONFIG_ppp-radius is not set +# CONFIG_ppp-tools is not set +# CONFIG_ppp-password is not set + +# +# rpcbind +# +# CONFIG_rpcbind is not set +# CONFIG_rpcbind-dev is not set +# CONFIG_rpcbind-dbg is not set + +# +# rsync +# +# CONFIG_rsync is not set +# CONFIG_rsync-dev is not set +# CONFIG_rsync-dbg is not set + +# +# socat +# +# CONFIG_socat is not set +# CONFIG_socat-dev is not set +# CONFIG_socat-dbg is not set + +# +# subversion +# +# CONFIG_subversion is not set +# CONFIG_subversion-dev is not set +# CONFIG_subversion-dbg is not set + +# +# tcp-wrappers +# +# CONFIG_tcp-wrappers is not set +# CONFIG_libwrap is not set +# CONFIG_tcp-wrappers-dbg is not set +# CONFIG_libwrap-dev is not set + +# +# wget +# +# CONFIG_wget is not set +# CONFIG_wget-dev is not set +# CONFIG_wget-dbg is not set + +# +# tools +# + +# +# parted +# +# CONFIG_parted is not set +# CONFIG_parted-dev is not set +# CONFIG_parted-dbg is not set + +# +# xen +# +# CONFIG_xen-efi is not set +# CONFIG_xen-dbg is not set +# CONFIG_xen-tools is not set + +# +# utils +# + +# +# alsa-tools +# +# CONFIG_alsa-tools is not set +# CONFIG_alsa-tools-dbg is not set +# CONFIG_alsa-tools-dev is not set + +# +# alsa-utils +# +# CONFIG_alsa-utils is not set +# CONFIG_alsa-utils-alsatplg is not set +# CONFIG_alsa-utils-midi is not set +# CONFIG_alsa-utils-alsactl is not set +# CONFIG_alsa-utils-alsamixer is not set +# CONFIG_alsa-utils-amixer is not set +# CONFIG_alsa-utils-speakertest is not set +# CONFIG_alsa-utils-aplay is not set +# CONFIG_alsa-utils-dev is not set +# CONFIG_alsa-utils-aconnect is not set +# CONFIG_alsa-utils-alsaloop is not set +# CONFIG_alsa-utils-aseqdump is not set +# CONFIG_alsa-utils-iecset is not set +# CONFIG_alsa-utils-alsaucm is not set +# CONFIG_alsa-utils-dbg is not set +# CONFIG_alsa-utils-aseqnet is not set + +# +# bash-completion +# +# CONFIG_bash-completion is not set +# CONFIG_bash-completion-dbg is not set +# CONFIG_bash-completion-dev is not set +# CONFIG_bash-completion-extra is not set + +# +# bzip2 +# +# CONFIG_bzip2 is not set +# CONFIG_libbz2 is not set +# CONFIG_bzip2-dbg is not set +# CONFIG_bzip2-dev is not set + +# +# file +# +# CONFIG_file is not set +# CONFIG_file-dev is not set +# CONFIG_file-dbg is not set + +# +# findutils +# +# CONFIG_findutils is not set +# CONFIG_findutils-dbg is not set +# CONFIG_findutils-dev is not set + +# +# gawk +# +# CONFIG_gawk is not set +# CONFIG_gawk-dbg is not set +# CONFIG_gawk-dev is not set + +# +# git +# +CONFIG_git=y +# CONFIG_git-bash-completion is not set +# CONFIG_git-perltools is not set +# CONFIG_gitweb is not set +# CONFIG_git-dev is not set +# CONFIG_git-dbg is not set + +# +# grep +# +# CONFIG_grep is not set +# CONFIG_grep-dbg is not set +# CONFIG_grep-dev is not set + +# +# groff +# +# CONFIG_groff is not set +# CONFIG_groff-dev is not set +# CONFIG_groff-dbg is not set + +# +# gzip +# +# CONFIG_gzip is not set +# CONFIG_gzip-dev is not set +# CONFIG_gzip-dbg is not set + +# +# hdparm +# +# CONFIG_hdparm is not set +# CONFIG_hdparm-dev is not set +# CONFIG_wiper is not set +# CONFIG_hdparm-dbg is not set + +# +# less +# +# CONFIG_less is not set +# CONFIG_less-dbg is not set +# CONFIG_less-dev is not set + +# +# lmbench +# +# CONFIG_lmbench is not set +# CONFIG_lmbench-dbg is not set +# CONFIG_lmbench-dev is not set + +# +# ltp +# +# CONFIG_ltp is not set +# CONFIG_ltp-dev is not set +# CONFIG_ltp-dbg is not set + +# +# man +# +# CONFIG_man is not set + +# +# man-pages +# +# CONFIG_man-pages is not set +# CONFIG_man-pages-dev is not set +# CONFIG_man-pages-dbg is not set + +# +# mc +# +# CONFIG_mc is not set +# CONFIG_mc-fish is not set +# CONFIG_mc-dev is not set +# CONFIG_mc-dbg is not set +# CONFIG_mc-helpers is not set +# CONFIG_mc-helpers-perl is not set + +# +# pciutils +# +CONFIG_pciutils=y +# CONFIG_pciutils-dbg is not set +# CONFIG_libpci is not set +# CONFIG_pciutils-ids is not set +# CONFIG_pciutils-dev is not set + +# +# pkgconfig +# +# CONFIG_pkgconfig is not set +# CONFIG_pkgconfig-dev is not set +# CONFIG_pkgconfig-dbg is not set + +# +# screen +# +# CONFIG_screen is not set +# CONFIG_screen-dev is not set +# CONFIG_screen-dbg is not set + +# +# sed +# +# CONFIG_sed is not set +# CONFIG_sed-dev is not set +# CONFIG_sed-dbg is not set + +# +# setserial +# +# CONFIG_setserial is not set +# CONFIG_setserial-dbg is not set +# CONFIG_setserial-dev is not set + +# +# smartmontools +# +# CONFIG_smartmontools is not set +# CONFIG_smartmontools-dev is not set +# CONFIG_smartmontools-dbg is not set + +# +# strace +# +# CONFIG_strace is not set +# CONFIG_strace-dev is not set +# CONFIG_strace-dbg is not set + +# +# sysstat +# +# CONFIG_sysstat is not set +# CONFIG_sysstat-dbg is not set +# CONFIG_sysstat-dev is not set + +# +# texinfo +# +# CONFIG_texinfo is not set +# CONFIG_texinfo-dbg is not set +# CONFIG_texinfo-dev is not set +# CONFIG_info is not set + +# +# unzip +# +# CONFIG_unzip is not set +# CONFIG_unzip-dbg is not set +# CONFIG_unzip-dev is not set + +# +# vim +# +# CONFIG_vim is not set +# CONFIG_vim-help is not set +# CONFIG_vim-dbg is not set +# CONFIG_vim-vimrc is not set +# CONFIG_vim-dev is not set +# CONFIG_vim-tutor is not set +# CONFIG_vim-tools is not set +# CONFIG_vim-common is not set +# CONFIG_vim-syntax is not set + +# +# zip +# +# CONFIG_zip is not set +# CONFIG_zip-dev is not set +# CONFIG_zip-dbg is not set + +# +# devel +# + +# +# autoconf +# +# CONFIG_autoconf is not set +# CONFIG_autoconf-dbg is not set +# CONFIG_autoconf-dev is not set + +# +# automake +# +# CONFIG_automake is not set +# CONFIG_automake-dev is not set +# CONFIG_automake-dbg is not set + +# +# binutils +# +# CONFIG_binutils is not set +# CONFIG_binutils-dev is not set +# CONFIG_binutils-dbg is not set + +# +# bison +# +# CONFIG_bison is not set +# CONFIG_bison-dbg is not set +# CONFIG_bison-dev is not set + +# +# ccache +# +# CONFIG_ccache is not set +# CONFIG_ccache-dbg is not set +# CONFIG_ccache-dev is not set + +# +# diffstat +# +# CONFIG_diffstat is not set +# CONFIG_diffstat-dev is not set +# CONFIG_diffstat-dbg is not set + +# +# distcc +# +# CONFIG_distcc is not set +# CONFIG_distcc-dbg is not set +# CONFIG_distcc-dev is not set + +# +# expect +# +# CONFIG_expect is not set +# CONFIG_expect-dev is not set +# CONFIG_expect-dbg is not set + +# +# flex +# +# CONFIG_flex is not set +# CONFIG_flex-dbg is not set +# CONFIG_flex-dev is not set + +# +# gmp +# +# CONFIG_gmp is not set +# CONFIG_libgmpxx is not set +# CONFIG_gmp-dbg is not set +# CONFIG_gmp-dev is not set + +# +# gnu-config +# +# CONFIG_gnu-config is not set + +# +# gnu-efi +# +# CONFIG_gnu-efi is not set +# CONFIG_gnu-efi-dbg is not set +# CONFIG_gnu-efi-dev is not set + +# +# intltool +# +# CONFIG_intltool is not set +# CONFIG_intltool-dev is not set +# CONFIG_intltool-dbg is not set + +# +# libarchive +# +# CONFIG_libarchive is not set +# CONFIG_bsdcpio is not set +# CONFIG_libarchive-dbg is not set +# CONFIG_bsdtar is not set +# CONFIG_libarchive-dev is not set + +# +# libcheck +# +# CONFIG_libcheck is not set +# CONFIG_libcheck-dev is not set +# CONFIG_libcheck-dbg is not set + +# +# libpcre +# +# CONFIG_libpcre is not set +# CONFIG_libpcrecpp is not set +# CONFIG_libpcre-dbg is not set +# CONFIG_libpcreposix is not set +# CONFIG_libpcre-dev is not set +# CONFIG_pcregrep is not set +# CONFIG_pcretest is not set + +# +# lsof +# +# CONFIG_lsof is not set +# CONFIG_lsof-dev is not set +# CONFIG_lsof-dbg is not set + +# +# make +# +# CONFIG_make is not set +# CONFIG_make-dev is not set +# CONFIG_make-dbg is not set + +# +# mpfr +# +# CONFIG_mpfr is not set +# CONFIG_mpfr-dbg is not set +# CONFIG_mpfr-dev is not set + +# +# perl +# +# CONFIG_perl is not set +# CONFIG_perl-misc is not set +# CONFIG_perl-modules is not set +# CONFIG_perl-module-unicore is not set +# CONFIG_perl-dbg is not set +# CONFIG_perl-module-cpan is not set +# CONFIG_perl-pod is not set +# CONFIG_perl-dev is not set + +# +# python3-nose +# +# CONFIG_python3-nose is not set +# CONFIG_python3-nose-dbg is not set +# CONFIG_python3-nose-dev is not set + +# +# python3-numpy +# +# CONFIG_python3-numpy is not set +# CONFIG_python3-numpy-dbg is not set +# CONFIG_python3-numpy-dev is not set + +# +# python3-scons +# +# CONFIG_python3-scons is not set +# CONFIG_python3-scons-dev is not set +# CONFIG_python3-scons-dbg is not set + +# +# python3-dbus +# +# CONFIG_python3-dbus is not set +# CONFIG_python3-dbus-dev is not set +# CONFIG_python3-dbus-dbg is not set + +# +# python3-pygobject +# +# CONFIG_python3-pygobject is not set +# CONFIG_python3-pygobject-dbg is not set +# CONFIG_python3-pygobject-dev is not set + +# +# quilt +# +# CONFIG_quilt is not set +# CONFIG_quilt-dev is not set +# CONFIG_quilt-dbg is not set +# CONFIG_guards is not set + +# +# ruby +# + +# +# ruby +# +# CONFIG_ruby is not set +# CONFIG_ruby-dbg is not set +# CONFIG_ruby-dev is not set +# CONFIG_ruby-rdoc is not set + +# +# run-postinsts +# +CONFIG_run-postinsts=y +# CONFIG_run-postinsts-dbg is not set +# CONFIG_run-postinsts-dev is not set + +# +# swig +# +# CONFIG_swig is not set +# CONFIG_swig-dev is not set +# CONFIG_swig-dbg is not set + +# +# tcltk +# + +# +# tcl +# +# CONFIG_tcl is not set +# CONFIG_tcl-dbg is not set +# CONFIG_tcl-lib is not set +# CONFIG_tcl-dev is not set + +# +# vala +# +# CONFIG_vala is not set +# CONFIG_vala-dbg is not set +# CONFIG_vala-dev is not set + +# +# fonts +# + +# +# cantarell-fonts +# +# CONFIG_cantarell-fonts is not set +# CONFIG_cantarell-fonts-dbg is not set +# CONFIG_cantarell-fonts-dev is not set + +# +# kernel +# + +# +# userland +# + +# +# kexec-tools +# +# CONFIG_kexec-tools is not set +# CONFIG_kexec-tools-dbg is not set +# CONFIG_vmcore-dmesg is not set +# CONFIG_kdump is not set +# CONFIG_kexec is not set +# CONFIG_kexec-tools-dev is not set + +# +# libs +# + +# +# acl +# +# CONFIG_acl is not set +# CONFIG_acl-dev is not set +# CONFIG_libacl is not set +# CONFIG_acl-dbg is not set + +# +# apr +# +# CONFIG_apr is not set +# CONFIG_apr-dev is not set +# CONFIG_apr-dbg is not set + +# +# apr-util +# +# CONFIG_apr-util is not set +# CONFIG_apr-util-dev is not set +# CONFIG_apr-util-dbg is not set + +# +# attr +# +# CONFIG_attr is not set +# CONFIG_libattr is not set +# CONFIG_attr-dbg is not set +# CONFIG_attr-dev is not set + +# +# bluez5 +# +# CONFIG_bluez5 is not set +# CONFIG_bluez5-obex is not set +# CONFIG_bluez5-dev is not set +# CONFIG_bluez5-dbg is not set +# CONFIG_bluez5-noinst-tools is not set +# CONFIG_bluez5-testtools is not set + +# +# cairo +# +# CONFIG_cairo is not set +# CONFIG_cairo-dbg is not set +# CONFIG_cairo-dev is not set +# CONFIG_cairo-script-interpreter is not set +# CONFIG_cairo-gobject is not set + +# +# db +# +# CONFIG_db is not set +# CONFIG_db-bin is not set +# CONFIG_db-cxx is not set +# CONFIG_db-dbg is not set +# CONFIG_db-dev is not set + +# +# devel +# + +# +# libyaml +# +CONFIG_libyaml=y +CONFIG_libyaml-dev=y +# CONFIG_libyaml-dbg is not set + +# +# expat +# +# CONFIG_expat is not set +# CONFIG_expat-dev is not set +# CONFIG_expat-dbg is not set +# CONFIG_expat-bin is not set + +# +# faad2 +# +# CONFIG_faad2 is not set +# CONFIG_faad2-dev is not set +# CONFIG_faad2-dbg is not set + +# +# ffmpeg +# +# CONFIG_ffmpeg is not set +# CONFIG_ffmpeg-dbg is not set +# CONFIG_ffmpeg-dev is not set + +# +# flac +# +# CONFIG_flac is not set +# CONFIG_libflac is not set +# CONFIG_flac-dev is not set +# CONFIG_libflacPLUSPLUS is not set +# CONFIG_flac-dbg is not set + +# +# fontconfig +# +# CONFIG_fontconfig is not set +# CONFIG_fontconfig-utils is not set +# CONFIG_fontconfig-dev is not set +# CONFIG_fontconfig-dbg is not set + +# +# freetype +# +# CONFIG_freetype is not set +# CONFIG_freetype-dbg is not set +# CONFIG_freetype-dev is not set + +# +# gdbm +# +# CONFIG_gdbm is not set +# CONFIG_gdbm-dbg is not set +# CONFIG_gdbm-compat is not set +# CONFIG_gdbm-dev is not set +# CONFIG_gdbm-bin is not set + +# +# gdk-pixbuf +# +# CONFIG_gdk-pixbuf is not set +# CONFIG_gdk-pixbuf-xlib is not set +# CONFIG_gdk-pixbuf-dev is not set +# CONFIG_gdk-pixbuf-dbg is not set + +# +# gettext +# +# CONFIG_gettext is not set +# CONFIG_libgettextsrc is not set +# CONFIG_gettext-dbg is not set +# CONFIG_gettext-runtime is not set +# CONFIG_gettext-dev is not set +# CONFIG_libgettextlib is not set + +# +# glib-networking +# +# CONFIG_glib-networking is not set +# CONFIG_glib-networking-dev is not set +# CONFIG_glib-networking-dbg is not set + +# +# gobject-introspection +# +# CONFIG_gobject-introspection is not set +# CONFIG_gobject-introspection-dbg is not set +# CONFIG_gobject-introspection-dev is not set + +# +# gtk+ +# +# CONFIG_gtkPLUS is not set +# CONFIG_gtkPLUS-dev is not set +# CONFIG_libgail is not set +# CONFIG_gtkPLUS-dbg is not set +# CONFIG_gtk-demo is not set + +# +# gtk+3 +# +# CONFIG_gtkPLUS3 is not set +# CONFIG_gtkPLUS3-dev is not set +# CONFIG_gtkPLUS3-dbg is not set +# CONFIG_gtkPLUS3-demo is not set + +# +# harfbuzz +# +# CONFIG_harfbuzz is not set +# CONFIG_harfbuzz-dev is not set +# CONFIG_harfbuzz-bin is not set +# CONFIG_harfbuzz-icu-dev is not set +# CONFIG_harfbuzz-dbg is not set +# CONFIG_harfbuzz-icu is not set + +# +# libaio +# +# CONFIG_libaio is not set +# CONFIG_libaio-dev is not set +# CONFIG_libaio-dbg is not set + +# +# libcap +# +# CONFIG_libcap is not set +# CONFIG_libcap-bin is not set +# CONFIG_libcap-dbg is not set +# CONFIG_libcap-dev is not set + +# +# libcgroup +# +# CONFIG_libcgroup is not set +# CONFIG_libcgroup-dbg is not set +# CONFIG_libcgroup-dev is not set + +# +# libdaemon +# +# CONFIG_libdaemon is not set +# CONFIG_libdaemon-dbg is not set +# CONFIG_libdaemon-dev is not set + +# +# libdmx +# +# CONFIG_libdmx is not set +# CONFIG_libdmx-dbg is not set +# CONFIG_libdmx-dev is not set + +# +# libdfx +# +CONFIG_libdfx=y +# CONFIG_libdfx-dbg is not set +# CONFIG_libdfx-dev is not set + +# +# libeigen +# +# CONFIG_libeigen-dev is not set +# CONFIG_libeigen-dbg is not set + +# +# libepoxy +# +# CONFIG_libepoxy is not set +# CONFIG_libepoxy-dev is not set +# CONFIG_libepoxy-dbg is not set + +# +# libevdev +# +# CONFIG_libevdev is not set +# CONFIG_libevdev-dev is not set +# CONFIG_libevdev-dbg is not set + +# +# libevent +# +# CONFIG_libevent is not set +# CONFIG_libevent-dbg is not set +# CONFIG_libevent-dev is not set + +# +# libexif +# +# CONFIG_libexif is not set +# CONFIG_libexif-dbg is not set +# CONFIG_libexif-dev is not set + +# +# libffi +# +# CONFIG_libffi is not set +# CONFIG_libffi-dbg is not set +# CONFIG_libffi-dev is not set + +# +# libfontenc +# +# CONFIG_libfontenc is not set +# CONFIG_libfontenc-dev is not set +# CONFIG_libfontenc-dbg is not set + +# +# libgcrypt +# +# CONFIG_libgcrypt is not set +# CONFIG_dumpsexp-dev is not set +# CONFIG_libgcrypt-dbg is not set +# CONFIG_libgcrypt-dev is not set + +# +# libgcc +# +# CONFIG_libgcc is not set +# CONFIG_libgcc-dbg is not set +# CONFIG_libgcc-dev is not set + +# +# libgpg-error +# +# CONFIG_libgpg-error is not set +# CONFIG_libgpg-error-dbg is not set +# CONFIG_libgpg-error-dev is not set + +# +# libgphoto2 +# +# CONFIG_libgphoto2 is not set +# CONFIG_libgphoto2-dbg is not set +# CONFIG_libgphoto2-camlibs is not set +# CONFIG_libgphoto2-dev is not set +# CONFIG_libgphoto2-bin is not set +# CONFIG_libgphotoport is not set + +# +# libgpiod +# +CONFIG_libgpiod=y +CONFIG_libgpiod-dev=y +CONFIG_libgpiod-dbg=y + +# +# libgudev +# +# CONFIG_libgudev is not set +# CONFIG_libgudev-dev is not set +# CONFIG_libgudev-dbg is not set + +# +# libical +# +# CONFIG_libical is not set +# CONFIG_libical-dev is not set +# CONFIG_libical-dbg is not set + +# +# libice +# +# CONFIG_libice is not set +# CONFIG_libice-dbg is not set +# CONFIG_libice-dev is not set + +# +# libid3tag +# +# CONFIG_libid3tag is not set +# CONFIG_libid3tag-dev is not set +# CONFIG_libid3tag-dbg is not set + +# +# libidn +# +# CONFIG_libidn is not set +# CONFIG_libidn-dbg is not set +# CONFIG_idn is not set +# CONFIG_libidn-dev is not set + +# +# libinput +# +# CONFIG_libinput is not set +# CONFIG_libinput-dev is not set +# CONFIG_libinput-dbg is not set + +# +# libjpeg-turbo +# +# CONFIG_libjpeg-turbo is not set +# CONFIG_jpeg-tools is not set +# CONFIG_libturbojpeg is not set +# CONFIG_libjpeg-turbo-dbg is not set +# CONFIG_libjpeg-turbo-dev is not set + +# +# libmali-xlnx +# +# CONFIG_libmali-xlnx is not set +# CONFIG_libmali-xlnx-dbg is not set +# CONFIG_libmali-xlnx-dev is not set + +# +# libmetal +# +# CONFIG_libmetal is not set +# CONFIG_libmetal-dev is not set +# CONFIG_libmetal-dbg is not set +# CONFIG_libmetal-demos is not set + +# +# libmpc +# +# CONFIG_libmpc is not set +# CONFIG_libmpc-dbg is not set +# CONFIG_libmpc-dev is not set + +# +# libnet +# +# CONFIG_libnet is not set +# CONFIG_libnet-dbg is not set +# CONFIG_libnet-dev is not set + +# +# libnewt +# +# CONFIG_libnewt is not set +# CONFIG_libnewt-dev is not set +# CONFIG_libnewt-dbg is not set +# CONFIG_whiptail is not set + +# +# libnotify +# +# CONFIG_libnotify is not set +# CONFIG_libnotify-dev is not set +# CONFIG_libnotify-dbg is not set + +# +# libnss-mdns +# +# CONFIG_libnss-mdns is not set +# CONFIG_libnss-mdns-dbg is not set +# CONFIG_libnss-mdns-dev is not set + +# +# libogg +# +# CONFIG_libogg is not set +# CONFIG_libogg-dev is not set +# CONFIG_libogg-dbg is not set + +# +# libomxil +# +# CONFIG_libomxil is not set +# CONFIG_libomxil-dev is not set +# CONFIG_libomxil-dbg is not set + +# +# libpciaccess +# +# CONFIG_libpciaccess is not set +# CONFIG_libpciaccess-dev is not set +# CONFIG_libpciaccess-dbg is not set + +# +# libpng +# +# CONFIG_libpng is not set +# CONFIG_libpng-dbg is not set +# CONFIG_libpng-dev is not set +# CONFIG_libpng-tools is not set + +# +# libproxy +# +# CONFIG_libproxy is not set +# CONFIG_libproxy-dbg is not set +# CONFIG_libproxy-dev is not set + +# +# libsamplerate0 +# +# CONFIG_libsamplerate0 is not set +# CONFIG_libsamplerate0-dev is not set +# CONFIG_libsamplerate0-dbg is not set + +# +# libsecret +# +# CONFIG_libsecret is not set +# CONFIG_libsecret-dbg is not set +# CONFIG_libsecret-dev is not set + +# +# libsm +# +# CONFIG_libsm is not set +# CONFIG_libsm-dev is not set +# CONFIG_libsm-dbg is not set + +# +# libtasn1 +# +# CONFIG_libtasn1 is not set +# CONFIG_libtasn1-dbg is not set +# CONFIG_libtasn1-dev is not set +# CONFIG_libtasn1-bin is not set + +# +# libtheora +# +# CONFIG_libtheora is not set +# CONFIG_libtheora-dev is not set +# CONFIG_libtheora-dbg is not set + +# +# libtool +# +# CONFIG_libtool is not set +# CONFIG_libtool-dev is not set +# CONFIG_libltdl is not set +# CONFIG_libtool-dbg is not set + +# +# liburcu +# +# CONFIG_liburcu is not set +# CONFIG_liburcu-dbg is not set +# CONFIG_liburcu-dev is not set + +# +# libusb-compat +# +# CONFIG_libusb-compat is not set +# CONFIG_libusb-compat-dbg is not set +# CONFIG_libusb-compat-dev is not set + +# +# libusb1 +# +# CONFIG_libusb1 is not set +# CONFIG_libusb1-dev is not set +# CONFIG_libusb1-dbg is not set + +# +# libvorbis +# +# CONFIG_libvorbis is not set +# CONFIG_libvorbis-dev is not set +# CONFIG_libvorbis-dbg is not set + +# +# libwebp +# +# CONFIG_libwebp is not set +# CONFIG_libwebp-dbg is not set +# CONFIG_libwebp-bin is not set +# CONFIG_libwebp-dev is not set + +# +# libx11 +# +# CONFIG_libx11 is not set +# CONFIG_libx11-xcb is not set +# CONFIG_libx11-dev is not set +# CONFIG_libx11-dbg is not set + +# +# libxau +# +# CONFIG_libxau is not set +# CONFIG_libxau-dbg is not set +# CONFIG_libxau-dev is not set + +# +# libxcomposite +# +# CONFIG_libxcomposite is not set +# CONFIG_libxcomposite-dbg is not set +# CONFIG_libxcomposite-dev is not set + +# +# libxcursor +# +# CONFIG_libxcursor is not set +# CONFIG_libxcursor-dbg is not set +# CONFIG_libxcursor-dev is not set + +# +# libxdamage +# +# CONFIG_libxdamage is not set +# CONFIG_libxdamage-dbg is not set +# CONFIG_libxdamage-dev is not set + +# +# libxdmcp +# +# CONFIG_libxdmcp is not set +# CONFIG_libxdmcp-dbg is not set +# CONFIG_libxdmcp-dev is not set + +# +# libxext +# +# CONFIG_libxext is not set +# CONFIG_libxext-dev is not set +# CONFIG_libxext-dbg is not set + +# +# libxfixes +# +# CONFIG_libxfixes is not set +# CONFIG_libxfixes-dev is not set +# CONFIG_libxfixes-dbg is not set + +# +# libxfont +# +# CONFIG_libxfont is not set +# CONFIG_libxfont-dev is not set +# CONFIG_libxfont-dbg is not set + +# +# libxft +# +# CONFIG_libxft is not set +# CONFIG_libxft-dbg is not set +# CONFIG_libxft-dev is not set + +# +# libxi +# +# CONFIG_libxi is not set +# CONFIG_libxi-dbg is not set +# CONFIG_libxi-dev is not set + +# +# libxinerama +# +# CONFIG_libxinerama is not set +# CONFIG_libxinerama-dbg is not set +# CONFIG_libxinerama-dev is not set + +# +# libxkbcommon +# +# CONFIG_libxkbcommon is not set +# CONFIG_libxkbcommon-dev is not set +# CONFIG_libxkbcommon-dbg is not set + +# +# libxkbfile +# +# CONFIG_libxkbfile is not set +# CONFIG_libxkbfile-dbg is not set +# CONFIG_libxkbfile-dev is not set + +# +# libxml-parser-perl +# +# CONFIG_libxml-parser-perl is not set +# CONFIG_libxml-parser-perl-dev is not set +# CONFIG_libxml-parser-perl-dbg is not set + +# +# libxml2 +# +# CONFIG_libxml2 is not set +# CONFIG_libxml2-python is not set +# CONFIG_libxml2-dbg is not set +# CONFIG_libxml2-dev is not set + +# +# libxmu +# +# CONFIG_libxmu is not set +# CONFIG_libxmu-dbg is not set +# CONFIG_libxmuu is not set +# CONFIG_libxmu-dev is not set + +# +# libxrandr +# +# CONFIG_libxrandr is not set +# CONFIG_libxrandr-dbg is not set +# CONFIG_libxrandr-dev is not set + +# +# libxrender +# +# CONFIG_libxrender is not set +# CONFIG_libxrender-dev is not set +# CONFIG_libxrender-dbg is not set + +# +# libxres +# +# CONFIG_libxres is not set +# CONFIG_libxres-dbg is not set +# CONFIG_libxres-dev is not set + +# +# libxslt +# +# CONFIG_libxslt is not set +# CONFIG_libxslt-dbg is not set +# CONFIG_libxslt-bin is not set +# CONFIG_libxslt-dev is not set + +# +# libxt +# +# CONFIG_libxt is not set +# CONFIG_libxt-dev is not set +# CONFIG_libxt-dbg is not set + +# +# libxtst +# +# CONFIG_libxtst is not set +# CONFIG_libxtst-dbg is not set +# CONFIG_libxtst-dev is not set + +# +# libxv +# +# CONFIG_libxv is not set +# CONFIG_libxv-dbg is not set +# CONFIG_libxv-dev is not set + +# +# libxxf86vm +# +# CONFIG_libxxf86vm is not set +# CONFIG_libxxf86vm-dbg is not set +# CONFIG_libxxf86vm-dev is not set + +# +# lzo +# +# CONFIG_lzo is not set +# CONFIG_lzo-dbg is not set +# CONFIG_lzo-dev is not set + +# +# mtdev +# +# CONFIG_mtdev is not set +# CONFIG_mtdev-dbg is not set +# CONFIG_mtdev-dev is not set + +# +# multimedia +# + +# +# alsa-lib +# +# CONFIG_alsa-lib is not set +# CONFIG_alsa-server is not set +# CONFIG_libasound is not set +# CONFIG_alsa-conf is not set +# CONFIG_alsa-lib-dbg is not set +# CONFIG_alsa-conf-base is not set +# CONFIG_alsa-lib-dev is not set +# CONFIG_alsa-oss is not set + +# +# libsndfile1 +# +# CONFIG_libsndfile1 is not set +# CONFIG_libsndfile1-dev is not set +# CONFIG_libsndfile1-bin is not set +# CONFIG_libsndfile1-dbg is not set + +# +# pulseaudio +# +# CONFIG_pulseaudio is not set +# CONFIG_pulseaudio-module-console-kit is not set +# CONFIG_pulseaudio-bash-completion is not set +# CONFIG_libpulse is not set +# CONFIG_pulseaudio-misc is not set +# CONFIG_pulseaudio-dev is not set +# CONFIG_libpulse-simple is not set +# CONFIG_pulseaudio-dbg is not set +# CONFIG_libpulsecommon is not set +# CONFIG_pulseaudio-server is not set +# CONFIG_libpulsecore is not set +# CONFIG_libpulse-mainloop-glib is not set + +# +# taglib +# +# CONFIG_taglib is not set +# CONFIG_taglib-dev is not set +# CONFIG_taglib-dbg is not set +# CONFIG_taglib-c is not set + +# +# ncurses +# +# CONFIG_ncurses is not set +# CONFIG_ncurses-terminfo is not set +# CONFIG_ncurses-dev is not set +# CONFIG_ncurses-terminfo-base is not set +# CONFIG_ncurses-tools is not set +# CONFIG_ncurses-dbg is not set + +# +# neon +# +# CONFIG_neon is not set +# CONFIG_neon-dbg is not set +# CONFIG_neon-dev is not set + +# +# nettle +# +# CONFIG_nettle is not set +# CONFIG_nettle-dbg is not set +# CONFIG_nettle-dev is not set + +# +# network +# + +# +# libnl +# +# CONFIG_libnl is not set +# CONFIG_libnl-dbg is not set +# CONFIG_libnl-idiag is not set +# CONFIG_libnl-dev is not set +# CONFIG_libnl-cli is not set +# CONFIG_libnl-nf is not set +# CONFIG_libnl-route is not set +# CONFIG_libnl-xfrm is not set +# CONFIG_libnl-genl is not set + +# +# libpcap +# +# CONFIG_libpcap is not set +# CONFIG_libpcap-dev is not set +# CONFIG_libpcap-dbg is not set + +# +# libsocketcan +# +# CONFIG_libsocketcan is not set +# CONFIG_libsocketcan-dev is not set +# CONFIG_libsocketcan-dbg is not set + +# +# libtirpc +# +# CONFIG_libtirpc is not set +# CONFIG_libtirpc-dbg is not set +# CONFIG_libtirpc-dev is not set + +# +# openssl +# +# CONFIG_openssl is not set +# CONFIG_openssl-bin is not set +# CONFIG_openssl-misc is not set +# CONFIG_openssl-conf is not set +# CONFIG_openssl-dbg is not set +# CONFIG_libcrypto is not set +# CONFIG_openssl-dev is not set +# CONFIG_libssl is not set +# CONFIG_openssl-engines is not set + +# +# open-amp +# +# CONFIG_open-amp is not set +# CONFIG_open-amp-dev is not set +# CONFIG_open-amp-dbg is not set +# CONFIG_open-amp-demos is not set + +# +# opencv +# +# CONFIG_opencv is not set +# CONFIG_opencv-dbg is not set +# CONFIG_opencv-apps is not set +# CONFIG_opencv-dev is not set +# CONFIG_opencv-samples is not set + +# +# pango +# +# CONFIG_pango is not set +# CONFIG_pango-dev is not set +# CONFIG_pango-dbg is not set + +# +# popt +# +# CONFIG_popt is not set +# CONFIG_popt-dev is not set +# CONFIG_popt-dbg is not set + +# +# readline +# +# CONFIG_readline is not set +# CONFIG_readline-dev is not set +# CONFIG_readline-dbg is not set + +# +# sbc +# +# CONFIG_sbc is not set +# CONFIG_sbc-dev is not set +# CONFIG_sbc-dbg is not set + +# +# slang +# +# CONFIG_slang is not set +# CONFIG_slang-dev is not set +# CONFIG_slang-dbg is not set + +# +# speex +# +# CONFIG_speex is not set +# CONFIG_speex-dev is not set +# CONFIG_speex-dbg is not set + +# +# speexdsp +# +# CONFIG_speexdsp is not set +# CONFIG_speexdsp-dev is not set +# CONFIG_speexdsp-dbg is not set + +# +# sqlite3 +# +# CONFIG_sqlite3 is not set +# CONFIG_libsqlite3 is not set +# CONFIG_libsqlite3-dev is not set +# CONFIG_sqlite3-dbg is not set + +# +# startup-notification +# +# CONFIG_startup-notification is not set +# CONFIG_startup-notification-dev is not set +# CONFIG_startup-notification-dbg is not set + +# +# tremor +# +# CONFIG_tremor is not set +# CONFIG_tremor-dbg is not set +# CONFIG_tremor-dev is not set + +# +# which +# +# CONFIG_which is not set +# CONFIG_which-dev is not set +# CONFIG_which-dbg is not set + +# +# xrt +# +CONFIG_xrt=y +CONFIG_xrt-dev=y +# CONFIG_xrt-dbg is not set + +# +# zocl +# +CONFIG_zocl=y +CONFIG_zocl-dev=y +# CONFIG_zocl-dbg is not set + +# +# opencl-clhpp +# +CONFIG_opencl-clhpp-dev=y + +# +# opencl-headers +# +CONFIG_opencl-headers=y + +# +# protobuf +# +# CONFIG_protobuf is not set + +# +# zlib +# +# CONFIG_zlib is not set +# CONFIG_zlib-dev is not set +# CONFIG_zlib-dbg is not set + +# +# misc +# + +# +# alsa-state +# +# CONFIG_alsa-state is not set +# CONFIG_alsa-state-dev is not set +# CONFIG_alsa-states is not set +# CONFIG_alsa-state-dbg is not set + +# +# alsa-utils-scripts +# +# CONFIG_alsa-utils-scripts is not set + +# +# apache2 +# +# CONFIG_apache2 is not set +# CONFIG_apache2-dbg is not set +# CONFIG_apache2-dev is not set + +# +# at-spi2-atk +# +# CONFIG_at-spi2-atk is not set +# CONFIG_at-spi2-atk-dbg is not set +# CONFIG_at-spi2-atk-dev is not set +# CONFIG_at-spi2-atk-gtk2 is not set +# CONFIG_at-spi2-atk-gnome is not set + +# +# at-spi2-core +# +# CONFIG_at-spi2-core is not set +# CONFIG_at-spi2-core-dev is not set +# CONFIG_at-spi2-core-dbg is not set + +# +# babeltrace +# +# CONFIG_babeltrace is not set +# CONFIG_babeltrace-dbg is not set +# CONFIG_babeltrace-dev is not set + +# +# blktool +# +# CONFIG_blktool is not set +# CONFIG_blktool-dbg is not set +# CONFIG_blktool-dev is not set + +# +# blktrace +# +# CONFIG_blktrace is not set +# CONFIG_blktrace-dbg is not set +# CONFIG_blktrace-dev is not set + +# +# ca-certificates +# +# CONFIG_ca-certificates is not set +# CONFIG_ca-certificates-dev is not set +# CONFIG_ca-certificates-dbg is not set + +# +# chrpath +# +# CONFIG_chrpath is not set +# CONFIG_chrpath-dev is not set +# CONFIG_chrpath-dbg is not set + +# +# connman +# +# CONFIG_connman is not set +# CONFIG_connman-tests is not set +# CONFIG_connman-dbg is not set +# CONFIG_connman-dev is not set +# CONFIG_connman-wait-online is not set +# CONFIG_connman-client is not set +# CONFIG_connman-tools is not set + +# +# connman-conf +# +# CONFIG_connman-conf-dbg is not set + +# +# consolekit +# +# CONFIG_consolekit is not set +# CONFIG_consolekit-dbg is not set +# CONFIG_consolekit-dev is not set + +# +# coreutils +# +# CONFIG_coreutils is not set +# CONFIG_coreutils-dbg is not set +# CONFIG_coreutils-dev is not set + +# +# cpufrequtils +# +# CONFIG_cpufrequtils is not set +# CONFIG_cpufrequtils-dbg is not set +# CONFIG_cpufrequtils-dev is not set + +# +# cryptodev-linux +# +# CONFIG_cryptodev-linux is not set +# CONFIG_cryptodev-linux-dev is not set +# CONFIG_cryptodev-linux-dbg is not set + +# +# dstat +# +# CONFIG_dstat is not set +# CONFIG_dstat-dev is not set +# CONFIG_dstat-dbg is not set + +# +# encodings +# +# CONFIG_encodings is not set +# CONFIG_encodings-dev is not set +# CONFIG_encodings-dbg is not set + +# +# epiphany +# +# CONFIG_epiphany is not set +# CONFIG_epiphany-dev is not set +# CONFIG_epiphany-dbg is not set + +# +# libudev +# +# CONFIG_libudev is not set +CONFIG_udev-extraconf=y + +# +# fbset +# +# CONFIG_fbset is not set +# CONFIG_fbset-dev is not set +# CONFIG_fbset-dbg is not set + +# +# fbset-modes +# +# CONFIG_fbset-modes is not set +# CONFIG_fbset-modes-dbg is not set +# CONFIG_fbset-modes-dev is not set + +# +# font-util +# +# CONFIG_font-util is not set +# CONFIG_font-util-dev is not set +# CONFIG_font-util-dbg is not set + +# +# gcc-runtime +# +# CONFIG_libstdcPLUSPLUS-dev is not set +CONFIG_libstdcPLUSPLUS=y + +# +# gcr +# +# CONFIG_gcr is not set +# CONFIG_gcr-dev is not set +# CONFIG_gcr-dbg is not set + +# +# gdb +# +# CONFIG_gdb is not set +# CONFIG_gdb-dev is not set +# CONFIG_gdbserver is not set +# CONFIG_gdb-dbg is not set + +# +# glib-2.0 +# +# CONFIG_glib-2.0 is not set +# CONFIG_glib-2.0-dbg is not set +# CONFIG_glib-2.0-dev is not set +# CONFIG_glib-2.0-codegen is not set +# CONFIG_glib-2.0-bash-completion is not set +# CONFIG_glib-2.0-utils is not set + +# +# glibc +# +# CONFIG_glibc is not set +# CONFIG_glibc-dev is not set +# CONFIG_glibc-dbg is not set +# CONFIG_ldd is not set + +# +# gnome-desktop-testing +# +# CONFIG_gnome-desktop-testing is not set +# CONFIG_gnome-desktop-testing-dbg is not set +# CONFIG_gnome-desktop-testing-dev is not set + +# +# gnutls +# +# CONFIG_gnutls is not set +# CONFIG_gnutls-bin is not set +# CONFIG_gnutls-xx is not set +# CONFIG_gnutls-dbg is not set +# CONFIG_gnutls-openssl is not set +# CONFIG_gnutls-dev is not set + +# +# gsettings-desktop-schemas +# +# CONFIG_gsettings-desktop-schemas is not set +# CONFIG_gsettings-desktop-schemas-dev is not set +# CONFIG_gsettings-desktop-schemas-dbg is not set + +# +# gst-player +# +# CONFIG_gst-player is not set + +# +# gstreamer1.0-meta-base +# +# CONFIG_gstreamer1.0-meta-base is not set +# CONFIG_gstreamer1.0-meta-video is not set +# CONFIG_gstreamer1.0-meta-video-dbg is not set +# CONFIG_gstreamer1.0-meta-debug-dev is not set +# CONFIG_gstreamer1.0-meta-x11-base-dev is not set +# CONFIG_gstreamer1.0-meta-audio-dbg is not set +# CONFIG_gstreamer1.0-meta-audio is not set +# CONFIG_gstreamer1.0-meta-x11-base is not set +# CONFIG_gstreamer1.0-meta-video-dev is not set +# CONFIG_gstreamer1.0-meta-x11-base-dbg is not set +# CONFIG_gstreamer1.0-meta-base-dev is not set +# CONFIG_gstreamer1.0-meta-base-dbg is not set +# CONFIG_gstreamer1.0-meta-debug is not set +# CONFIG_gstreamer1.0-meta-audio-dev is not set +# CONFIG_gstreamer1.0-meta-debug-dbg is not set + +# +# gstreamer1.0-plugins-bad +# +# CONFIG_gstreamer1.0-plugins-bad is not set +# CONFIG_gstreamer1.0-plugins-bad-meta is not set +# CONFIG_gstreamer1.0-plugins-bad-dev is not set +# CONFIG_gstreamer1.0-plugins-bad-dbg is not set + +# +# gstreamer1.0-plugins-base +# +# CONFIG_gstreamer1.0-plugins-base is not set +# CONFIG_gstreamer1.0-plugins-base-apps is not set +# CONFIG_gstreamer1.0-plugins-base-dev is not set +# CONFIG_gstreamer1.0-plugins-base-dbg is not set +# CONFIG_gstreamer1.0-plugins-base-meta is not set + +# +# gstreamer1.0-plugins-good +# +# CONFIG_gstreamer1.0-plugins-good is not set +# CONFIG_gstreamer1.0-plugins-good-dev is not set +# CONFIG_gstreamer1.0-plugins-good-dbg is not set +# CONFIG_gstreamer1.0-plugins-good-meta is not set + +# +# hicolor-icon-theme +# +# CONFIG_hicolor-icon-theme is not set +# CONFIG_hicolor-icon-theme-dev is not set +# CONFIG_hicolor-icon-theme-dbg is not set + +# +# hdmi-module +# +# CONFIG_kernel-module-hdmi is not set + +# +# icu +# +# CONFIG_icu is not set +# CONFIG_icu-dbg is not set +# CONFIG_icu-dev is not set +# CONFIG_libicudata is not set +# CONFIG_libicuio is not set +# CONFIG_libicui18n is not set +# CONFIG_libicuuc is not set +# CONFIG_libicutu is not set + +# +# iotop +# +# CONFIG_iotop is not set +# CONFIG_iotop-dev is not set +# CONFIG_iotop-dbg is not set + +# +# iptables +# +# CONFIG_iptables is not set +# CONFIG_iptables-dev is not set +# CONFIG_iptables-dbg is not set + +# +# iptraf +# +# CONFIG_iptraf is not set + +# +# iso-codes +# +# CONFIG_iso-codes is not set +# CONFIG_iso-codes-dbg is not set +# CONFIG_iso-codes-dev is not set + +# +# json-c +# +# CONFIG_json-c is not set +# CONFIG_json-c-dev is not set +# CONFIG_json-c-dbg is not set + +# +# l3afpad +# +# CONFIG_l3afpad is not set +# CONFIG_l3afpad-dev is not set +# CONFIG_l3afpad-dbg is not set + +# +# lttng-ust +# +# CONFIG_lttng-ust is not set +# CONFIG_lttng-ust-dev is not set +# CONFIG_lttng-ust-dbg is not set +# CONFIG_lttng-ust-bin is not set + +# +# m4 +# +# CONFIG_m4 is not set +# CONFIG_m4-dev is not set +# CONFIG_m4-dbg is not set + +# +# matchbox-config-gtk +# +# CONFIG_matchbox-config-gtk is not set +# CONFIG_matchbox-config-gtk-dbg is not set +# CONFIG_matchbox-config-gtk-dev is not set + +# +# matchbox-panel-2 +# +# CONFIG_matchbox-panel-2 is not set +# CONFIG_matchbox-panel-2-dbg is not set +# CONFIG_matchbox-panel-2-dev is not set + +# +# mdadm +# +# CONFIG_mdadm is not set +# CONFIG_mdadm-dbg is not set +# CONFIG_mdadm-dev is not set + +# +# mesa-gl +# +# CONFIG_mesa-gl-dev is not set +# CONFIG_libgl-mesa is not set +# CONFIG_mesa-megadriver is not set +# CONFIG_libglapi-dev is not set +# CONFIG_libglapi is not set +# CONFIG_libgl-mesa-dev is not set +# CONFIG_mesa-gl-dbg is not set + +# +# mkfontdir +# +# CONFIG_mkfontdir is not set + +# +# mkfontscale +# +# CONFIG_mkfontscale is not set +# CONFIG_mkfontscale-dbg is not set +# CONFIG_mkfontscale-dev is not set + +# +# net-tools +# +# CONFIG_net-tools is not set +# CONFIG_net-tools-dbg is not set +# CONFIG_net-tools-dev is not set + +# +# nicstat +# +# CONFIG_nicstat is not set +# CONFIG_nicstat-dbg is not set +# CONFIG_nicstat-dev is not set + +# +# ofono +# +# CONFIG_ofono is not set +# CONFIG_ofono-dbg is not set +# CONFIG_ofono-dev is not set +# CONFIG_ofono-tests is not set + +# +# openamp-fw-echo-testd +# +# CONFIG_openamp-fw-echo-testd is not set +# CONFIG_openamp-fw-echo-testd-dev is not set +# CONFIG_openamp-fw-echo-testd-dbg is not set + +# +# openamp-fw-mat-muld +# +# CONFIG_openamp-fw-mat-muld is not set +# CONFIG_openamp-fw-mat-muld-dev is not set +# CONFIG_openamp-fw-mat-muld-dbg is not set + +# +# openamp-fw-rpc-demo +# +# CONFIG_openamp-fw-rpc-demo is not set +# CONFIG_openamp-fw-rpc-demo-dbg is not set +# CONFIG_openamp-fw-rpc-demo-dev is not set + +# +# opkg-arch-config +# +# CONFIG_opkg-arch-config is not set +# CONFIG_opkg-arch-config-dbg is not set +# CONFIG_opkg-arch-config-dev is not set + +# +# orc +# +# CONFIG_orc is not set +# CONFIG_orc-dbg is not set +# CONFIG_orc-dev is not set + +# +# p11-kit +# +# CONFIG_p11-kit is not set +# CONFIG_p11-kit-dbg is not set +# CONFIG_p11-kit-dev is not set + +# +# packagegroup-core-boot +# +CONFIG_packagegroup-core-boot=y +# CONFIG_packagegroup-core-boot-dev is not set +# CONFIG_packagegroup-core-boot-dbg is not set + +# +# packagegroup-core-buildessential +# +# CONFIG_packagegroup-core-buildessential is not set +# CONFIG_packagegroup-core-buildessential-dbg is not set +# CONFIG_packagegroup-core-buildessential-dev is not set + +# +# packagegroup-core-sdk +# +# CONFIG_packagegroup-core-sdk is not set +# CONFIG_packagegroup-core-sdk-dbg is not set +# CONFIG_packagegroup-core-sdk-dev is not set + +# +# packagegroup-core-ssh-dropbear +# +CONFIG_packagegroup-core-ssh-dropbear=y +# CONFIG_packagegroup-core-ssh-dropbear-dev is not set +# CONFIG_packagegroup-core-ssh-dropbear-dbg is not set + +# +# packagegroup-core-standalone-sdk-target +# +# CONFIG_packagegroup-core-standalone-sdk-target is not set +# CONFIG_packagegroup-core-standalone-sdk-target-dbg is not set +# CONFIG_packagegroup-core-standalone-sdk-target-dev is not set + +# +# packagegroup-core-tools-debug +# +CONFIG_packagegroup-core-tools-debug=y +# CONFIG_packagegroup-core-tools-debug-dev is not set +# CONFIG_packagegroup-core-tools-debug-dbg is not set + +# +# packagegroup-core-tools-profile +# +CONFIG_packagegroup-core-tools-profile=y +# CONFIG_packagegroup-core-tools-profile-dev is not set +CONFIG_packagegroup-core-tools-profile-dbg=y + +# +# packagegroup-core-tools-testapps +# +# CONFIG_packagegroup-core-tools-testapps is not set +# CONFIG_packagegroup-core-tools-testapps-dev is not set +# CONFIG_packagegroup-core-tools-testapps-dbg is not set + +# +# packagegroup-core-x11 +# +# CONFIG_packagegroup-core-x11 is not set +# CONFIG_packagegroup-core-x11-utils-dbg is not set +# CONFIG_packagegroup-core-x11-utils is not set +# CONFIG_packagegroup-core-x11-utils-dev is not set +# CONFIG_packagegroup-core-x11-dev is not set +# CONFIG_packagegroup-core-x11-dbg is not set + +# +# packagegroup-core-x11-base +# +# CONFIG_packagegroup-core-x11-base is not set +# CONFIG_packagegroup-core-x11-base-dev is not set +# CONFIG_packagegroup-core-x11-base-dbg is not set + +# +# packagegroup-core-x11-xserver +# +# CONFIG_packagegroup-core-x11-xserver is not set +# CONFIG_packagegroup-core-x11-xserver-dev is not set +# CONFIG_packagegroup-core-x11-xserver-dbg is not set + +# +# packagegroup-self-hosted +# +# CONFIG_packagegroup-self-hosted is not set +# CONFIG_packagegroup-self-hosted-debug-dbg is not set +# CONFIG_packagegroup-self-hosted-dev is not set +# CONFIG_packagegroup-self-hosted-debug is not set +# CONFIG_packagegroup-self-hosted-sdk is not set +# CONFIG_packagegroup-self-hosted-extended-dbg is not set +# CONFIG_packagegroup-self-hosted-graphics-dbg is not set +# CONFIG_packagegroup-self-hosted-extended is not set +# CONFIG_packagegroup-self-hosted-host-tools-dev is not set +# CONFIG_packagegroup-self-hosted-debug-dev is not set +# CONFIG_packagegroup-self-hosted-sdk-dbg is not set +# CONFIG_packagegroup-self-hosted-sdk-dev is not set +# CONFIG_packagegroup-self-hosted-extended-dev is not set +# CONFIG_packagegroup-self-hosted-graphics is not set +# CONFIG_packagegroup-self-hosted-host-tools-dbg is not set +# CONFIG_packagegroup-self-hosted-dbg is not set +# CONFIG_packagegroup-self-hosted-host-tools is not set +# CONFIG_packagegroup-self-hosted-graphics-dev is not set + +# +# perf +# +# CONFIG_perf is not set +# CONFIG_perf-python is not set +# CONFIG_perf-dbg is not set +# CONFIG_perf-dev is not set +# CONFIG_perf-tests is not set + +# +# pixman +# +# CONFIG_pixman is not set +# CONFIG_pixman-dbg is not set +# CONFIG_pixman-dev is not set + +# +# powertop +# +# CONFIG_powertop is not set +# CONFIG_powertop-dbg is not set +# CONFIG_powertop-dev is not set + +# +# ptest-runner +# +# CONFIG_ptest-runner is not set +# CONFIG_ptest-runner-dev is not set +# CONFIG_ptest-runner-dbg is not set + +# +# python3 +# +# CONFIG_python3 is not set +# CONFIG_python3-crypt is not set +# CONFIG_python3-unixadmin is not set +# CONFIG_python3-io is not set +# CONFIG_python3-pydoc is not set +# CONFIG_python3-codecs is not set +# CONFIG_python3-pprint is not set +# CONFIG_python3-datetime is not set +# CONFIG_python3-2to3 is not set +# CONFIG_python3-modules is not set +# CONFIG_python3-xml is not set +# CONFIG_python3-numbers is not set +# CONFIG_python3-pyvenv is not set +# CONFIG_python3-tests is not set +# CONFIG_python3-netclient is not set +# CONFIG_python3-netserver is not set +# CONFIG_python3-math is not set +# CONFIG_python3-asyncio is not set +# CONFIG_python3-tkinter is not set +# CONFIG_python3-compression is not set +# CONFIG_python3-gdbm is not set +# CONFIG_python3-idle is not set +# CONFIG_python3-core is not set +# CONFIG_python3-smtpd is not set +# CONFIG_python3-resource is not set +# CONFIG_python3-terminal is not set +# CONFIG_python3-shell is not set +# CONFIG_python3-db is not set +# CONFIG_python3-threading is not set +# CONFIG_python3-email is not set +# CONFIG_python3-stringold is not set +# CONFIG_python3-unittest is not set +# CONFIG_python3-misc is not set +# CONFIG_python3-mailbox is not set +# CONFIG_python3-pkgutil is not set +# CONFIG_python3-mmap is not set +# CONFIG_python3-json is not set +# CONFIG_python3-audio is not set +# CONFIG_python3-distutils is not set +# CONFIG_python3-mime is not set +# CONFIG_python3-multiprocessing is not set +# CONFIG_python3-html is not set +# CONFIG_python3-image is not set +# CONFIG_python3-difflib is not set +# CONFIG_python3-dev is not set +# CONFIG_python3-syslog is not set +# CONFIG_python3-curses is not set +# CONFIG_libpython3 is not set +# CONFIG_python3-logging is not set +# CONFIG_python3-profile is not set +# CONFIG_python3-xmlrpc is not set +# CONFIG_python3-ctypes is not set +# CONFIG_python3-sqlite3 is not set +# CONFIG_python3-fcntl is not set +# CONFIG_python3-compile is not set +# CONFIG_python3-pickle is not set +# CONFIG_python3-dbg is not set +# CONFIG_python3-debugger is not set + +# +# python3-async +# +# CONFIG_python3-async is not set +# CONFIG_python3-async-dbg is not set +# CONFIG_python3-async-dev is not set + +# +# python3-git +# +# CONFIG_python3-git is not set +# CONFIG_python3-git-dev is not set +# CONFIG_python3-git-dbg is not set + +# +# python3-gitdb +# +# CONFIG_python3-gitdb is not set +# CONFIG_python3-gitdb-dev is not set +# CONFIG_python3-gitdb-dbg is not set + +# +# python3-setuptools +# +# CONFIG_python3-setuptools is not set +# CONFIG_python3-setuptools-dbg is not set +# CONFIG_python3-setuptools-dev is not set + +# +# python3-smmap +# +# CONFIG_python3-smmap is not set +# CONFIG_python3-smmap-dev is not set +# CONFIG_python3-smmap-dbg is not set + +# +# qemu +# +# CONFIG_qemu is not set +# CONFIG_qemu-dev is not set +# CONFIG_qemu-dbg is not set + +# +# qtbase +# +# CONFIG_qtbase is not set +# CONFIG_qtbase-plugins is not set +# CONFIG_qtbase-dev is not set +# CONFIG_qtbase-dbg is not set +# CONFIG_qtbase-tools is not set +# CONFIG_qtbase-examples is not set +# CONFIG_qtbase-mkspecs is not set + +# +# qtcharts +# +# CONFIG_qtcharts is not set +# CONFIG_qtcharts-qmlplugins is not set +# CONFIG_qtcharts-mkspecs is not set +# CONFIG_qtcharts-dbg is not set +# CONFIG_qtcharts-dev is not set +# CONFIG_qtcharts-qmldesigner is not set + +# +# qtconnectivity +# +# CONFIG_qtconnectivity is not set +# CONFIG_qtconnectivity-qmlplugins is not set +# CONFIG_qtconnectivity-tools is not set +# CONFIG_qtconnectivity-mkspecs is not set +# CONFIG_qtconnectivity-dev is not set +# CONFIG_qtconnectivity-dbg is not set + +# +# qtdeclarative +# +# CONFIG_qtdeclarative is not set +# CONFIG_qtdeclarative-tools is not set +# CONFIG_qtdeclarative-mkspecs is not set +# CONFIG_qtdeclarative-dbg is not set +# CONFIG_qtdeclarative-qmlplugins is not set +# CONFIG_qtdeclarative-dev is not set + +# +# qtimageformats +# +# CONFIG_qtimageformats is not set +# CONFIG_qtimageformats-dev is not set +# CONFIG_qtimageformats-dbg is not set +# CONFIG_qtimageformats-plugins is not set + +# +# qtlocation +# +# CONFIG_qtlocation is not set +# CONFIG_qtlocation-qmlplugins is not set +# CONFIG_qtlocation-mkspecs is not set +# CONFIG_qtlocation-dev is not set +# CONFIG_qtlocation-plugins is not set +# CONFIG_qtlocation-dbg is not set + +# +# qtmultimedia +# +# CONFIG_qtmultimedia is not set +# CONFIG_qtmultimedia-dbg is not set +# CONFIG_qtmultimedia-plugins is not set +# CONFIG_qtmultimedia-mkspecs is not set +# CONFIG_qtmultimedia-dev is not set +# CONFIG_qtmultimedia-qmlplugins is not set + +# +# qtquickcontrols +# +# CONFIG_qtquickcontrols is not set +# CONFIG_qtquickcontrols-dev is not set +# CONFIG_qtquickcontrols-qmldesigner is not set +# CONFIG_qtquickcontrols-qmlplugins is not set +# CONFIG_qtquickcontrols-dbg is not set + +# +# qtscript +# +# CONFIG_qtscript is not set +# CONFIG_qtscript-dbg is not set +# CONFIG_qtscript-mkspecs is not set +# CONFIG_qtscript-dev is not set + +# +# qtsensors +# +# CONFIG_qtsensors is not set +# CONFIG_qtsensors-qmlplugins is not set +# CONFIG_qtsensors-mkspecs is not set +# CONFIG_qtsensors-plugins is not set +# CONFIG_qtsensors-dbg is not set +# CONFIG_qtsensors-dev is not set + +# +# qtserialport +# +# CONFIG_qtserialport is not set +# CONFIG_qtserialport-mkspecs is not set +# CONFIG_qtserialport-dev is not set +# CONFIG_qtserialport-dbg is not set + +# +# qtsvg +# +# CONFIG_qtsvg is not set +# CONFIG_qtsvg-dev is not set +# CONFIG_qtsvg-dbg is not set +# CONFIG_qtsvg-mkspecs is not set +# CONFIG_qtsvg-plugins is not set + +# +# qtsystems +# +# CONFIG_qtsystems is not set +# CONFIG_qtsystems-dev is not set +# CONFIG_qtsystems-mkspecs is not set +# CONFIG_qtsystems-qmlplugins is not set +# CONFIG_qtsystems-dbg is not set +# CONFIG_qtsystems-tools is not set + +# +# qttools +# +# CONFIG_qttools is not set +# CONFIG_qttools-dbg is not set +# CONFIG_qttools-dev is not set +# CONFIG_qttools-tools is not set +# CONFIG_qttools-mkspecs is not set +# CONFIG_qttools-plugins is not set + +# +# qttranslations +# +# CONFIG_qttranslations is not set +# CONFIG_qttranslations-qtwebengine is not set +# CONFIG_qttranslations-qthelp is not set +# CONFIG_qttranslations-qtbase is not set +# CONFIG_qttranslations-dbg is not set +# CONFIG_qttranslations-dev is not set +# CONFIG_qttranslations-qtscript is not set +# CONFIG_qttranslations-qtdeclarative is not set +# CONFIG_qttranslations-assistant is not set +# CONFIG_qttranslations-qtwebsockets is not set +# CONFIG_qttranslations-linguist is not set +# CONFIG_qttranslations-qtserialport is not set +# CONFIG_qttranslations-qtmultimedia is not set +# CONFIG_qttranslations-qtconnectivity is not set +# CONFIG_qttranslations-qtlocation is not set +# CONFIG_qttranslations-qtxmlpatterns is not set +# CONFIG_qttranslations-qtquickcontrols is not set +# CONFIG_qttranslations-designer is not set +# CONFIG_qttranslations-qtquickcontrols2 is not set + +# +# qtwebchannel +# +# CONFIG_qtwebchannel is not set +# CONFIG_qtwebchannel-mkspecs is not set +# CONFIG_qtwebchannel-dbg is not set +# CONFIG_qtwebchannel-qmlplugins is not set +# CONFIG_qtwebchannel-dev is not set + +# +# qtwebkit +# +# CONFIG_qtwebkit is not set +# CONFIG_qtwebkit-qmlplugins is not set +# CONFIG_qtwebkit-mkspecs is not set +# CONFIG_qtwebkit-dbg is not set +# CONFIG_qtwebkit-dev is not set + +# +# qtwebsockets +# +# CONFIG_qtwebsockets is not set +# CONFIG_qtwebsockets-qmlplugins is not set +# CONFIG_qtwebsockets-dbg is not set +# CONFIG_qtwebsockets-dev is not set +# CONFIG_qtwebsockets-mkspecs is not set + +# +# qtxmlpatterns +# +# CONFIG_qtxmlpatterns is not set +# CONFIG_qtxmlpatterns-dev is not set +# CONFIG_qtxmlpatterns-mkspecs is not set +# CONFIG_qtxmlpatterns-dbg is not set +# CONFIG_qtxmlpatterns-tools is not set + +# +# rgb +# +# CONFIG_rgb is not set +# CONFIG_rgb-dev is not set +# CONFIG_rgb-dbg is not set + +# +# rpm +# +# CONFIG_rpm is not set +# CONFIG_rpm-build is not set +# CONFIG_rpm-dbg is not set +# CONFIG_rpm-dev is not set + +# +# rpmsg-echo-test +# +# CONFIG_rpmsg-echo-test is not set +# CONFIG_rpmsg-echo-test-dbg is not set +# CONFIG_rpmsg-echo-test-dev is not set + +# +# rpmsg-mat-mul +# +# CONFIG_rpmsg-mat-mul is not set +# CONFIG_rpmsg-mat-mul-dev is not set +# CONFIG_rpmsg-mat-mul-dbg is not set + +# +# rpmsg-proxy-app +# +# CONFIG_rpmsg-proxy-app is not set +# CONFIG_rpmsg-proxy-app-dbg is not set +# CONFIG_rpmsg-proxy-app-dev is not set + +# +# serf +# +# CONFIG_serf is not set +# CONFIG_serf-dev is not set +# CONFIG_serf-dbg is not set + +# +# sysfsutils +# +# CONFIG_sysfsutils is not set +# CONFIG_libsysfs is not set +# CONFIG_sysfsutils-dbg is not set +# CONFIG_sysfsutils-dev is not set + +# +# sysvinit-inittab +# +# CONFIG_sysvinit-inittab is not set +# CONFIG_sysvinit-inittab-dev is not set +# CONFIG_sysvinit-inittab-dbg is not set + +# +# tbb +# +# CONFIG_tbb is not set +# CONFIG_tbb-dbg is not set +# CONFIG_tbb-dev is not set + +# +# tcf-agent +# +CONFIG_tcf-agent=y +# CONFIG_tcf-agent-dev is not set +# CONFIG_tcf-agent-dbg is not set + +# +# tiff +# +# CONFIG_tiff is not set +# CONFIG_tiffxx is not set +# CONFIG_tiff-dbg is not set +# CONFIG_tiff-utils is not set +# CONFIG_tiff-dev is not set + +# +# tpm2 +# + +# +# tpm2-abrmd +# +# CONFIG_tpm2-abrmd is not set +# CONFIG_tpm2-abrmd-dev is not set +# CONFIG_tpm2-abrmd-dbg is not set + +# +# tpm2-pkcs11 +# +# CONFIG_tpm2-pkcs11 is not set +# CONFIG_tpm2-pkcs11-dev is not set +# CONFIG_tpm2-pkcs11-dbg is not set + +# +# tpm2-tools +# +# CONFIG_tpm2-tools is not set +# CONFIG_tpm2-tools-dev is not set +# CONFIG_tpm2-tools-dbg is not set + +# +# tpm2-tss +# +# CONFIG_tpm2-tss is not set +# CONFIG_tpm2-tss-dbg is not set + +# +# tpm2-tss-engine +# +# CONFIG_tpm2-tss-engine is not set +# CONFIG_tpm2-tss-engine-dev is not set +# CONFIG_tpm2-tss-engine-dbg is not set + +# +# util-macros +# +# CONFIG_util-macros is not set +# CONFIG_util-macros-dbg is not set +# CONFIG_util-macros-dev is not set + +# +# v4l-utils +# +# CONFIG_v4l-utils is not set +# CONFIG_libv4l is not set +# CONFIG_ir-keytable is not set +# CONFIG_media-ctl is not set +# CONFIG_v4l-utils-dbg is not set +# CONFIG_rc-keymaps is not set +# CONFIG_v4l-utils-dev is not set +# CONFIG_libv4l-dev is not set + +# +# valgrind +# +# CONFIG_valgrind is not set +# CONFIG_valgrind-dbg is not set +# CONFIG_valgrind-dev is not set + +# +# vte +# +# CONFIG_vte is not set +# CONFIG_vte-dbg is not set +# CONFIG_vte-dev is not set +# CONFIG_libvte is not set + +# +# watchdog +# +# CONFIG_watchdog is not set +# CONFIG_watchdog-dbg is not set +# CONFIG_watchdog-dev is not set +# CONFIG_watchdog-keepalive is not set + +# +# watchdog-config +# +# CONFIG_watchdog-config is not set +# CONFIG_watchdog-config-dbg is not set +# CONFIG_watchdog-config-dev is not set + +# +# watchdog-init +# +CONFIG_watchdog-init=y + +# +# webkitgtk +# +# CONFIG_webkitgtk is not set +# CONFIG_webkitgtk-dbg is not set +# CONFIG_webkitgtk-dev is not set + +# +# x11perf +# +# CONFIG_x11perf is not set +# CONFIG_x11perf-dev is not set +# CONFIG_x11perf-dbg is not set + +# +# x264 +# +# CONFIG_x264 is not set +# CONFIG_x264-dev is not set +# CONFIG_x264-dbg is not set +# CONFIG_x264-bin is not set + +# +# xauth +# +# CONFIG_xauth is not set +# CONFIG_xauth-dbg is not set +# CONFIG_xauth-dev is not set + +# +# xcb-util-image +# +# CONFIG_xcb-util-image is not set +# CONFIG_xcb-util-image-dbg is not set +# CONFIG_xcb-util-image-dev is not set + +# +# xcb-util-keysyms +# +# CONFIG_xcb-util-keysyms is not set +# CONFIG_xcb-util-keysyms-dev is not set +# CONFIG_xcb-util-keysyms-dbg is not set + +# +# xcb-util-renderutil +# +# CONFIG_xcb-util-renderutil is not set +# CONFIG_xcb-util-renderutil-dev is not set +# CONFIG_xcb-util-renderutil-dbg is not set + +# +# xcb-util-wm +# +# CONFIG_xcb-util-wm is not set +# CONFIG_xcb-util-wm-dbg is not set +# CONFIG_xcb-util-wm-dev is not set + +# +# xdg-utils +# +# CONFIG_xdg-utils is not set +# CONFIG_xdg-utils-dev is not set +# CONFIG_xdg-utils-dbg is not set + +# +# xdpyinfo +# +# CONFIG_xdpyinfo is not set +# CONFIG_xdpyinfo-dev is not set +# CONFIG_xdpyinfo-dbg is not set + +# +# xf86-input-evdev +# +# CONFIG_xf86-input-evdev is not set +# CONFIG_xf86-input-evdev-dbg is not set +# CONFIG_xf86-input-evdev-dev is not set + +# +# xf86-input-keyboard +# +# CONFIG_xf86-input-keyboard is not set +# CONFIG_xf86-input-keyboard-dev is not set +# CONFIG_xf86-input-keyboard-dbg is not set + +# +# xf86-input-mouse +# +# CONFIG_xf86-input-mouse is not set +# CONFIG_xf86-input-mouse-dbg is not set +# CONFIG_xf86-input-mouse-dev is not set + +# +# xf86-video-armsoc +# +# CONFIG_xf86-video-armsoc is not set +# CONFIG_xf86-video-armsoc-dbg is not set +# CONFIG_xf86-video-armsoc-dev is not set + +# +# xf86-video-fbdev +# +# CONFIG_xf86-video-fbdev is not set +# CONFIG_xf86-video-fbdev-dbg is not set +# CONFIG_xf86-video-fbdev-dev is not set + +# +# xhost +# +# CONFIG_xhost is not set +# CONFIG_xhost-dbg is not set +# CONFIG_xhost-dev is not set + +# +# xinetd +# +# CONFIG_xinetd is not set +# CONFIG_xinetd-dbg is not set +# CONFIG_xinetd-dev is not set + +# +# xinit +# +# CONFIG_xinit is not set +# CONFIG_xinit-dbg is not set + +# +# xinput +# +# CONFIG_xinput is not set +# CONFIG_xinput-dev is not set +# CONFIG_xinput-dbg is not set + +# +# xinput-calibrator +# +# CONFIG_xinput-calibrator is not set +# CONFIG_xinput-calibrator-dev is not set +# CONFIG_xinput-calibrator-dbg is not set + +# +# xkbcomp +# +# CONFIG_xkbcomp is not set +# CONFIG_xkbcomp-dbg is not set +# CONFIG_xkbcomp-dev is not set + +# +# xmodmap +# +# CONFIG_xmodmap is not set +# CONFIG_xmodmap-dbg is not set +# CONFIG_xmodmap-dev is not set + +# +# xprop +# +# CONFIG_xprop is not set +# CONFIG_xprop-dbg is not set +# CONFIG_xprop-dev is not set + +# +# xrandr +# +# CONFIG_xrandr is not set +# CONFIG_xrandr-dbg is not set +# CONFIG_xrandr-dev is not set + +# +# xserver-common +# +# CONFIG_xserver-common is not set +# CONFIG_xserver-common-dbg is not set +# CONFIG_xserver-common-dev is not set + +# +# xset +# +# CONFIG_xset is not set +# CONFIG_xset-dev is not set +# CONFIG_xset-dbg is not set + +# +# xtrans +# +# CONFIG_xtrans-dev is not set +# CONFIG_xtrans-dbg is not set + +# +# xwininfo +# +# CONFIG_xwininfo is not set +# CONFIG_xwininfo-dev is not set +# CONFIG_xwininfo-dbg is not set + +# +# yajl +# +# CONFIG_yajl is not set +# CONFIG_yajl-dev is not set +# CONFIG_yajl-dbg is not set +# CONFIG_yajl-bin is not set + +# +# yavta +# +# CONFIG_yavta is not set +# CONFIG_yavta-dbg is not set +# CONFIG_yavta-dev is not set + +# +# multimedia +# + +# +# alsa-plugins +# +# CONFIG_alsa-plugins is not set +# CONFIG_alsa-plugins-dbg is not set +# CONFIG_alsa-plugins-dev is not set +# CONFIG_alsa-plugins-pulseaudio-conf is not set + +# +# gstreamer1.0 +# +# CONFIG_gstreamer1.0 is not set +# CONFIG_gstreamer1.0-dev is not set +# CONFIG_gstreamer1.0-dbg is not set + +# +# gstreamer1.0-omx +# +# CONFIG_gstreamer1.0-omx is not set +# CONFIG_gstreamer1.0-omx-dbg is not set +# CONFIG_gstreamer1.0-omx-dev is not set + +# +# gstreamer1.0-rtsp-server +# +# CONFIG_gstreamer1.0-rtsp-server is not set +# CONFIG_gstreamer1.0-rtsp-server-dbg is not set +# CONFIG_gstreamer1.0-rtsp-server-dev is not set +# CONFIG_gstreamer1.0-rtsp-server-meta is not set + +# +# net +# + +# +# bridge-utils +# +CONFIG_bridge-utils=y +# CONFIG_bridge-utils-dbg is not set +# CONFIG_bridge-utils-dev is not set + +# +# net-snmp +# +# CONFIG_net-snmp is not set +# CONFIG_net-snmp-server-snmptrapd is not set +# CONFIG_net-snmp-libs is not set +# CONFIG_net-snmp-dev is not set +# CONFIG_net-snmp-client is not set +# CONFIG_net-snmp-mibs is not set +# CONFIG_net-snmp-dbg is not set +# CONFIG_net-snmp-server-snmpd is not set +# CONFIG_net-snmp-server is not set + +# +# netcat +# +# CONFIG_netcat is not set +# CONFIG_netcat-dbg is not set +# CONFIG_netcat-dev is not set + +# +# tcpdump +# +# CONFIG_tcpdump is not set +# CONFIG_tcpdump-dev is not set +# CONFIG_tcpdump-dbg is not set + +# +# network +# + +# +# avahi +# +# CONFIG_libavahi-client is not set +# CONFIG_libavahi-glib is not set +# CONFIG_avahi-utils is not set +# CONFIG_libavahi-common is not set +# CONFIG_avahi-dnsconfd is not set +# CONFIG_avahi-daemon is not set +# CONFIG_avahi-autoipd is not set +# CONFIG_libavahi-gobject is not set +# CONFIG_libavahi-core is not set +# CONFIG_avahi-dbg is not set +# CONFIG_avahi-dev is not set + +# +# mobile-broadband-provider-info +# +# CONFIG_mobile-broadband-provider-info is not set +# CONFIG_mobile-broadband-provider-info-dbg is not set +# CONFIG_mobile-broadband-provider-info-dev is not set + +# +# wpa-supplicant +# +# CONFIG_wpa-supplicant is not set +# CONFIG_wpa-supplicant-passphrase is not set +# CONFIG_wpa-supplicant-dev is not set +# CONFIG_wpa-supplicant-dbg is not set +# CONFIG_wpa-supplicant-cli is not set + +# +# ntp +# +# CONFIG_ntp is not set +# CONFIG_ntp-dev is not set +# CONFIG_ntp-dbg is not set + +# +# optional +# + +# +# libatomic-ops +# +# CONFIG_libatomic-ops is not set +# CONFIG_libatomic-ops-dev is not set +# CONFIG_libatomic-ops-dbg is not set + +# +# mtools +# +# CONFIG_mtools is not set +# CONFIG_mtools-dev is not set +# CONFIG_mtools-dbg is not set + +# +# power management +# +CONFIG_hellopm=y + +# +# utils +# + +# +# dosfstools +# +# CONFIG_dosfstools is not set +# CONFIG_dosfstools-dev is not set +# CONFIG_dosfstools-dbg is not set + +# +# patch +# +# CONFIG_patch is not set +# CONFIG_patch-dbg is not set +# CONFIG_patch-dev is not set + +# +# resize-part +# +CONFIG_resize-part=y +# CONFIG_resize-part-dbg is not set +# CONFIG_resize-part-dev is not set + +# +# u-boot-tools +# +CONFIG_u-boot-tools=y +# CONFIG_u-boot-tools-dbg is not set +# CONFIG_u-boot-tools-dev is not set + +# +# x11 +# + +# +# base +# + +# +# libdrm +# +CONFIG_libdrm=y +CONFIG_libdrm-tests=y +# CONFIG_libdrm-drivers is not set +# CONFIG_libdrm-amdgpu is not set +# CONFIG_libdrm-nouveau is not set +# CONFIG_libdrm-dev is not set +# CONFIG_libdrm-freedreno is not set +# CONFIG_libdrm-radeon is not set +CONFIG_libdrm-kms=y +# CONFIG_libdrm-dbg is not set +# CONFIG_libdrm-omap is not set + +# +# xcursor-transparent-theme +# +# CONFIG_xcursor-transparent-theme is not set +# CONFIG_xcursor-transparent-theme-dev is not set +# CONFIG_xcursor-transparent-theme-dbg is not set + +# +# xserver-xf86-config +# +# CONFIG_xserver-xf86-config is not set +# CONFIG_xserver-xf86-config-dev is not set +# CONFIG_xserver-xf86-config-dbg is not set + +# +# xserver-xorg +# +# CONFIG_xserver-xorg is not set +# CONFIG_xserver-xorg-module-exa is not set +# CONFIG_xserver-xorg-module-libint10 is not set +# CONFIG_xserver-xorg-extension-record is not set +# CONFIG_xserver-xorg-dev is not set +# CONFIG_xserver-xorg-extension-dri2 is not set +# CONFIG_xserver-xorg-extension-dri is not set +# CONFIG_xserver-xorg-module-libwfb is not set +# CONFIG_xf86-video-modesetting is not set +# CONFIG_xserver-xorg-extension-dbe is not set +# CONFIG_xserver-xorg-extension-glx is not set +# CONFIG_xserver-xorg-xvfb is not set +# CONFIG_xserver-xorg-utils is not set +# CONFIG_xserver-xorg-dbg is not set +# CONFIG_xserver-xorg-extension-extmod is not set + +# +# builder +# +# CONFIG_builder is not set +# CONFIG_builder-dbg is not set +# CONFIG_builder-dev is not set + +# +# fonts +# + +# +# liberation-fonts +# +# CONFIG_liberation-fonts is not set + +# +# glew +# +# CONFIG_glew is not set +# CONFIG_glew-bin is not set +# CONFIG_glew-dev is not set +# CONFIG_glew-dbg is not set + +# +# gnome +# + +# +# adwaita-icon-theme +# +# CONFIG_adwaita-icon-theme is not set +# CONFIG_adwaita-icon-theme-hires is not set +# CONFIG_adwaita-icon-theme-symbolic is not set +# CONFIG_adwaita-icon-theme-cursors is not set +# CONFIG_adwaita-icon-theme-symbolic-hires is not set + +# +# gconf +# +# CONFIG_gconf is not set +# CONFIG_gconf-dev is not set +# CONFIG_gconf-dbg is not set + +# +# gnome-common +# +# CONFIG_gnome-common is not set +# CONFIG_gnome-common-dev is not set +# CONFIG_gnome-common-dbg is not set + +# +# gnome-desktop3 +# +# CONFIG_gnome-desktop3 is not set +# CONFIG_gnome-desktop3-dbg is not set +# CONFIG_gnome-desktop3-dev is not set +# CONFIG_libgnome-desktop3 is not set + +# +# gnome-themes-extra +# +# CONFIG_gnome-themes-extra-dev is not set +# CONFIG_gnome-themes-extra-dbg is not set +# CONFIG_gnome-theme-adwaita is not set + +# +# libsoup-2.4 +# +# CONFIG_libsoup-2.4 is not set +# CONFIG_libsoup-2.4-dev is not set +# CONFIG_libsoup-2.4-dbg is not set + +# +# libglu +# +# CONFIG_libglu is not set +# CONFIG_libglu-dev is not set +# CONFIG_libglu-dbg is not set + +# +# libs +# + +# +# atk +# +# CONFIG_atk is not set +# CONFIG_atk-dev is not set +# CONFIG_atk-dbg is not set + +# +# libfm +# +# CONFIG_libfm is not set +# CONFIG_libfm-mime is not set +# CONFIG_libfm-dev is not set +# CONFIG_libfm-dbg is not set + +# +# libfm-extra +# +# CONFIG_libfm-extra is not set +# CONFIG_libfm-extra-dev is not set +# CONFIG_libfm-extra-dbg is not set + +# +# libmatchbox +# +# CONFIG_libmatchbox is not set +# CONFIG_libmatchbox-dev is not set +# CONFIG_libmatchbox-dbg is not set + +# +# libpthread-stubs +# +# CONFIG_libpthread-stubs-dbg is not set +# CONFIG_libpthread-stubs-dev is not set + +# +# libwnck3 +# +# CONFIG_libwnck3 is not set +# CONFIG_libwnck3-dev is not set +# CONFIG_libwnck3-dbg is not set + +# +# libxcb +# +# CONFIG_libxcb is not set +# CONFIG_libxcb-dev is not set +# CONFIG_libxcb-dbg is not set + +# +# menu-cache +# +# CONFIG_menu-cache is not set +# CONFIG_menu-cache-dbg is not set +# CONFIG_menu-cache-dev is not set + +# +# xcb-proto +# +# CONFIG_python-xcbgen is not set +# CONFIG_xcb-proto-dev is not set +# CONFIG_xcb-proto-dbg is not set + +# +# xcb-util +# +# CONFIG_xcb-util is not set +# CONFIG_xcb-util-dbg is not set +# CONFIG_xcb-util-dev is not set + +# +# xkeyboard-config +# +# CONFIG_xkeyboard-config is not set +# CONFIG_xkeyboard-config-dev is not set +# CONFIG_xkeyboard-config-dbg is not set + +# +# matchbox-keyboard +# +# CONFIG_matchbox-keyboard is not set +# CONFIG_matchbox-keyboard-im is not set +# CONFIG_matchbox-keyboard-dev is not set +# CONFIG_matchbox-keyboard-applet is not set +# CONFIG_matchbox-keyboard-dbg is not set + +# +# matchbox-session +# +# CONFIG_matchbox-session is not set +# CONFIG_matchbox-session-dev is not set +# CONFIG_matchbox-session-dbg is not set + +# +# matchbox-session-sato +# +# CONFIG_matchbox-session-sato is not set +# CONFIG_matchbox-session-sato-dev is not set +# CONFIG_matchbox-session-sato-dbg is not set + +# +# mesa-demos +# +# CONFIG_mesa-demos is not set +# CONFIG_mesa-demos-dbg is not set +# CONFIG_mesa-demos-dev is not set + +# +# mini-x-session +# +# CONFIG_mini-x-session is not set +# CONFIG_mini-x-session-dev is not set +# CONFIG_mini-x-session-dbg is not set + +# +# pcmanfm +# +# CONFIG_pcmanfm is not set +# CONFIG_pcmanfm-dbg is not set +# CONFIG_pcmanfm-dev is not set + +# +# settings-daemon +# +# CONFIG_settings-daemon is not set +# CONFIG_settings-daemon-dev is not set +# CONFIG_settings-daemon-dbg is not set + +# +# utils +# + +# +# libcroco +# +# CONFIG_libcroco is not set +# CONFIG_libcroco-dbg is not set +# CONFIG_libcroco-dev is not set + +# +# librsvg +# +# CONFIG_librsvg is not set +# CONFIG_librsvg-gtk is not set +# CONFIG_rsvg is not set +# CONFIG_librsvg-dev is not set +# CONFIG_librsvg-dbg is not set + +# +# matchbox-terminal +# +# CONFIG_matchbox-terminal is not set +# CONFIG_matchbox-terminal-dev is not set +# CONFIG_matchbox-terminal-dbg is not set + +# +# xrestop +# +# CONFIG_xrestop is not set +# CONFIG_xrestop-dev is not set +# CONFIG_xrestop-dbg is not set + +# +# wm +# + +# +# libfakekey +# +# CONFIG_libfakekey is not set +# CONFIG_libfakekey-dev is not set +# CONFIG_libfakekey-dbg is not set + +# +# matchbox-desktop +# +# CONFIG_matchbox-desktop is not set +# CONFIG_matchbox-desktop-dbg is not set +# CONFIG_matchbox-desktop-dev is not set + +# +# matchbox-theme-sato +# +# CONFIG_matchbox-theme-sato is not set +# CONFIG_matchbox-theme-sato-dbg is not set +# CONFIG_matchbox-theme-sato-dev is not set + +# +# matchbox-wm +# +# CONFIG_matchbox-wm is not set +# CONFIG_matchbox-wm-dev is not set +# CONFIG_matchbox-wm-dbg is not set + +# +# xserver-nodm-init +# +# CONFIG_xserver-nodm-init is not set +# CONFIG_xserver-nodm-init-dbg is not set +# CONFIG_xserver-nodm-init-dev is not set + +# +# Petalinux Package Groups +# + +# +# packagegroup-petalinux +# +CONFIG_packagegroup-petalinux=y +# CONFIG_packagegroup-petalinux-dbg is not set +# CONFIG_packagegroup-petalinux-dev is not set + +# +# packagegroup-petalinux-audio +# +# CONFIG_packagegroup-petalinux-audio is not set +# CONFIG_packagegroup-petalinux-audio-dbg is not set +# CONFIG_packagegroup-petalinux-audio-dev is not set + +# +# packagegroup-petalinux-benchmarks +# +# CONFIG_packagegroup-petalinux-benchmarks is not set +# CONFIG_packagegroup-petalinux-benchmarks-dbg is not set +# CONFIG_packagegroup-petalinux-benchmarks-dev is not set + +# +# packagegroup-petalinux-display-debug +# +# CONFIG_packagegroup-petalinux-display-debug is not set +# CONFIG_packagegroup-petalinux-display-debug-dbg is not set +# CONFIG_packagegroup-petalinux-display-debug-dev is not set + +# +# packagegroup-petalinux-gstreamer +# +CONFIG_packagegroup-petalinux-gstreamer=y +CONFIG_packagegroup-petalinux-gstreamer-dev=y +# CONFIG_packagegroup-petalinux-gstreamer-dbg is not set + +# +# packagegroup-petalinux-lmsensors +# +# CONFIG_packagegroup-petalinux-lmsensors is not set +# CONFIG_packagegroup-petalinux-lmsensors-dbg is not set +# CONFIG_packagegroup-petalinux-lmsensors-dev is not set + +# +# packagegroup-petalinux-matchbox +# +# CONFIG_packagegroup-petalinux-matchbox is not set +# CONFIG_packagegroup-petalinux-matchbox-dbg is not set +# CONFIG_packagegroup-petalinux-matchbox-dev is not set + +# +# packagegroup-petalinux-mraa +# +# CONFIG_packagegroup-petalinux-mraa is not set +# CONFIG_packagegroup-petalinux-mraa-dbg is not set +# CONFIG_packagegroup-petalinux-mraa-dev is not set + +# +# packagegroup-petalinux-multimedia +# +# CONFIG_packagegroup-petalinux-multimedia is not set +# CONFIG_packagegroup-petalinux-multimedia-dbg is not set +# CONFIG_packagegroup-petalinux-multimedia-dev is not set + +# +# packagegroup-petalinux-networking-debug +# +# CONFIG_packagegroup-petalinux-networking-debug is not set +# CONFIG_packagegroup-petalinux-networking-debug-dbg is not set +# CONFIG_packagegroup-petalinux-networking-debug-dev is not set + +# +# packagegroup-petalinux-networking-stack +# +CONFIG_packagegroup-petalinux-networking-stack=y +# CONFIG_packagegroup-petalinux-networking-stack-dbg is not set +# CONFIG_packagegroup-petalinux-networking-stack-dev is not set + +# +# packagegroup-petalinux-ocicontainers +# +# CONFIG_packagegroup-petalinux-ocicontainers is not set +# CONFIG_packagegroup-petalinux-ocicontainers-dev is not set +# CONFIG_packagegroup-petalinux-ocicontainers-dbg is not set + +# +# packagegroup-petalinux-openamp +# +# CONFIG_packagegroup-petalinux-openamp is not set +# CONFIG_packagegroup-petalinux-openamp-dev is not set +# CONFIG_packagegroup-petalinux-openamp-dbg is not set + +# +# packagegroup-petalinux-opencv +# +CONFIG_packagegroup-petalinux-opencv=y +CONFIG_packagegroup-petalinux-opencv-dev=y +# CONFIG_packagegroup-petalinux-opencv-dbg is not set + +# +# packagegroup-petalinux-python-modules +# +CONFIG_packagegroup-petalinux-python-modules=y +# CONFIG_packagegroup-petalinux-python-modules-dbg is not set +# CONFIG_packagegroup-petalinux-python-modules-dev is not set + +# +# packagegroup-petalinux-qt +# +# CONFIG_packagegroup-petalinux-qt is not set +# CONFIG_packagegroup-petalinux-qt-dev is not set +# CONFIG_packagegroup-petalinux-qt-dbg is not set +# CONFIG_imageclass-populate-sdk-qt5 is not set + +# +# packagegroup-petalinux-qt-extended +# +# CONFIG_packagegroup-petalinux-qt-extended is not set +# CONFIG_packagegroup-petalinux-qt-extended-dbg is not set +# CONFIG_packagegroup-petalinux-qt-extended-dev is not set + +# +# packagegroup-petalinux-self-hosted +# +# CONFIG_packagegroup-petalinux-self-hosted is not set +# CONFIG_packagegroup-petalinux-self-hosted-dbg is not set +# CONFIG_packagegroup-petalinux-self-hosted-dev is not set + +# +# packagegroup-petalinux-utils +# +CONFIG_packagegroup-petalinux-utils=y +# CONFIG_packagegroup-petalinux-utils-dbg is not set +# CONFIG_packagegroup-petalinux-utils-dev is not set + +# +# packagegroup-petalinux-v4lutils +# +CONFIG_packagegroup-petalinux-v4lutils=y +# CONFIG_packagegroup-petalinux-v4lutils-dbg is not set +# CONFIG_packagegroup-petalinux-v4lutils-dev is not set + +# +# packagegroup-petalinux-weston +# +# CONFIG_packagegroup-petalinux-weston is not set +# CONFIG_packagegroup-petalinux-weston-dbg is not set +# CONFIG_packagegroup-petalinux-weston-dev is not set + +# +# packagegroup-petalinux-x11 +# +CONFIG_packagegroup-petalinux-x11=y +# CONFIG_packagegroup-petalinux-x11-dev is not set +# CONFIG_packagegroup-petalinux-x11-dbg is not set + +# +# packagegroup-petalinux-xen +# +# CONFIG_packagegroup-petalinux-xen is not set +# CONFIG_packagegroup-petalinux-xen-dev is not set +# CONFIG_packagegroup-petalinux-xen-dbg is not set + +# +# Image Features +# +CONFIG_imagefeature-ssh-server-dropbear=y +# CONFIG_imagefeature-ssh-server-openssh is not set +CONFIG_imagefeature-hwcodecs=y +CONFIG_imagefeature-package-management=y +CONFIG_package-feed-uris="" +CONFIG_package-feed-archs="" +# CONFIG_imagefeature-debug-tweaks is not set +# CONFIG_auto-login is not set +CONFIG_Init-manager-systemd=y +# CONFIG_Init-manager-sysvinit is not set + +# +# apps +# +CONFIG_ros2-helloworld-cpp=y +CONFIG_ros2-helloworld-python=y + +# +# user packages +# +# CONFIG_gpio-demo is not set +CONFIG_packagegroup-core-full-cmdline=y +CONFIG_packagegroup-petalinux-jupyter=y +CONFIG_packagegroup-petalinux-som=y +# CONFIG_peekpoke is not set + +# +# PetaLinux RootFS Settings +# +CONFIG_ADD_EXTRA_USERS="root:root;petalinux::passwd-expire;" +CONFIG_ADD_USERS_TO_GROUPS="petalinux:audio,video;" +CONFIG_ADD_USERS_TO_SUDOERS="petalinux" diff --git a/project/petalinux/kr260/project-spec/configs/systemd-conf/wired.network b/project/petalinux/kr260/project-spec/configs/systemd-conf/wired.network new file mode 100644 index 0000000..7406287 --- /dev/null +++ b/project/petalinux/kr260/project-spec/configs/systemd-conf/wired.network @@ -0,0 +1,13 @@ +[Match] +Type=ether +Name=!veth* +KernelCommandLine=!nfsroot +KernelCommandLine=!ip + +[Network] +DHCP=yes + +[DHCP] +UseMTU=yes +RouteMetric=10 +ClientIdentifier=mac diff --git a/project/petalinux/kr260/project-spec/dts_dir/zynqmp-sck-kr-g-revB.dts b/project/petalinux/kr260/project-spec/dts_dir/zynqmp-sck-kr-g-revB.dts new file mode 100644 index 0000000..56effb5 --- /dev/null +++ b/project/petalinux/kr260/project-spec/dts_dir/zynqmp-sck-kr-g-revB.dts @@ -0,0 +1,382 @@ +// SPDX-License-Identifier: GPL-2.0 +/* + * dts file for KR260 revB Carrier Card (A03 revision) + * + * (C) Copyright 2021 - 2022, Xilinx, Inc. + * + * Michal Simek + */ + +#include +#include +#include +#include + +/dts-v1/; +/plugin/; + +&{/} { + compatible = "xlnx,zynqmp-sk-kr260-revB", + "xlnx,zynqmp-sk-kr260", "xlnx,zynqmp"; + + ina260-u14 { + compatible = "iio-hwmon"; + io-channels = <&u14 0>, <&u14 1>, <&u14 2>; + }; + + clk_125: clock0 { /* u87 - GEM0/1 */ + compatible = "fixed-clock"; + #clock-cells = <0>; + clock-frequency = <125000000>; + }; + + clk_27: clock1 { /* u86 - DP */ + compatible = "fixed-clock"; + #clock-cells = <0>; + clock-frequency = <27000000>; + }; + + clk_26: clock2 { /* u89 - USB */ + compatible = "fixed-clock"; + #clock-cells = <0>; + clock-frequency = <26000000>; + }; + + clk_156: clock3 { /* u90 - SFP+ */ + compatible = "fixed-clock"; + #clock-cells = <0>; + clock-frequency = <156250000>; + }; + + clk_25_0: clock4 { /* u92/u91 - GEM2 */ + compatible = "fixed-clock"; + #clock-cells = <0>; + clock-frequency = <25000000>; + }; + + clk_25_1: clock5 { /* u92/u91 - GEM3 */ + compatible = "fixed-clock"; + #clock-cells = <0>; + clock-frequency = <25000000>; + }; +}; + +&i2c1 { /* I2C_SCK C26/C27 - MIO from SOM */ + #address-cells = <1>; + #size-cells = <0>; + pinctrl-names = "default", "gpio"; + pinctrl-0 = <&pinctrl_i2c1_default>; + pinctrl-1 = <&pinctrl_i2c1_gpio>; + scl-gpios = <&gpio 24 GPIO_ACTIVE_HIGH>; + sda-gpios = <&gpio 25 GPIO_ACTIVE_HIGH>; + + u14: ina260@40 { /* u14 */ + compatible = "ti,ina260"; + #io-channel-cells = <1>; + label = "ina260-u14"; + reg = <0x40>; + }; + + slg7xl45106: gpio@11 { /* u19 - reset logic */ + compatible = "dlg,slg7xl45106"; + reg = <0x11>; + label = "resetchip"; + gpio-controller; + #gpio-cells = <2>; + gpio-line-names = "USB0_PHY_RESET_B", "USB1_PHY_RESET_B", + "SD_RESET_B", "USB0_HUB_RESET_B", + "USB1_HUB_RESET_B", "PS_GEM0_RESET_B", + "PS_GEM1_RESET_B", ""; + }; + + i2c-mux@74 { /* u18 */ + compatible = "nxp,pca9546"; + #address-cells = <1>; + #size-cells = <0>; + reg = <0x74>; + usbhub_i2c0: i2c@0 { + #address-cells = <1>; + #size-cells = <0>; + reg = <0>; + }; + usbhub_i2c1: i2c@1 { + #address-cells = <1>; + #size-cells = <0>; + reg = <1>; + }; + /* Bus 2/3 are not connected */ + }; + + /* si5332@6a - u17 - clock-generator */ +}; + +/* GEM SGMII/DP and USB 3.0 */ +&psgtr { + status = "okay"; + /* gem0/1, dp, usb */ + clocks = <&clk_125>, <&clk_27>, <&clk_26>; + clock-names = "ref0", "ref1", "ref2"; +}; + +&zynqmp_dpsub { + status = "okay"; + phy-names = "dp-phy0"; + phys = <&psgtr 1 PHY_TYPE_DP 0 1>; + assigned-clock-rates = <27000000>, <25000000>, <300000000>; +}; + +&zynqmp_dpdma { + status = "okay"; + assigned-clock-rates = <600000000>; +}; + +&usb0 { /* mio52 - mio63 */ + status = "okay"; + pinctrl-names = "default"; + pinctrl-0 = <&pinctrl_usb0_default>; + phy-names = "usb3-phy"; + phys = <&psgtr 2 PHY_TYPE_USB3 0 2>; + reset-gpios = <&slg7xl45106 0 GPIO_ACTIVE_LOW>; + assigned-clock-rates = <250000000>, <20000000>; + + usbhub0: usb-hub { /* u43 */ + i2c-bus = <&usbhub_i2c0>; + compatible = "microchip,usb5744"; + reset-gpios = <&slg7xl45106 3 GPIO_ACTIVE_LOW>; + }; + + usb2244: usb-sd { /* u38 */ + compatible = "microchip,usb2244"; + reset-gpios = <&slg7xl45106 2 GPIO_ACTIVE_LOW>; + }; +}; + +&dwc3_0 { + status = "okay"; + dr_mode = "host"; + snps,usb3_lpm_capable; + maximum-speed = "super-speed"; +}; + +&usb1 { /* mio64 - mio75 */ + status = "okay"; + pinctrl-names = "default"; + pinctrl-0 = <&pinctrl_usb1_default>; + phy-names = "usb3-phy"; + phys = <&psgtr 3 PHY_TYPE_USB3 1 2>; + reset-gpios = <&slg7xl45106 1 GPIO_ACTIVE_LOW>; + assigned-clock-rates = <250000000>, <20000000>; + + usbhub1: usb-hub { /* u84 */ + i2c-bus = <&usbhub_i2c1>; + compatible = "microchip,usb5744"; + reset-gpios = <&slg7xl45106 4 GPIO_ACTIVE_LOW>; + }; +}; + +&dwc3_1 { + status = "okay"; + dr_mode = "host"; + snps,usb3_lpm_capable; + maximum-speed = "super-speed"; +}; + +&gem0 { /* mdio mio50/51 */ + status = "okay"; + phys = <&psgtr 0 PHY_TYPE_SGMII 0 0>; + phy-handle = <&phy0>; + phy-mode = "sgmii"; + is-internal-pcspma; +}; + +&gem1 { /* mdio mio50/51, gem mio38 - mio49 */ + status = "okay"; + pinctrl-names = "default"; + pinctrl-0 = <&pinctrl_gem1_default>; + phy-handle = <&phy1>; + phy-mode = "rgmii-id"; + + mdio: mdio { + #address-cells = <1>; + #size-cells = <0>; + phy0: ethernet-phy@4 { /* u81 */ + #phy-cells = <1>; + compatible = "ethernet-phy-id2000.a231"; + reg = <4>; + ti,rx-internal-delay = ; + ti,tx-internal-delay = ; + ti,fifo-depth = ; + ti,dp83867-rxctrl-strap-quirk; + reset-assert-us = <100>; + reset-deassert-us = <280>; + reset-gpios = <&slg7xl45106 5 GPIO_ACTIVE_LOW>; + }; + phy1: ethernet-phy@8 { /* u36 */ + #phy-cells = <1>; + compatible = "ethernet-phy-id2000.a231"; + reg = <8>; + ti,rx-internal-delay = ; + ti,tx-internal-delay = ; + ti,fifo-depth = ; + ti,dp83867-rxctrl-strap-quirk; + reset-assert-us = <100>; + reset-deassert-us = <280>; + reset-gpios = <&slg7xl45106 6 GPIO_ACTIVE_LOW>; + }; + }; +}; + +/* gem2/gem3 via PL with phys u79@2 and u80@3 */ + +&pinctrl0 { /* required by spec */ + status = "okay"; + + pinctrl_uart1_default: uart1-default { + conf { + groups = "uart1_9_grp"; + slew-rate = ; + power-source = ; + drive-strength = <12>; + }; + + conf-rx { + pins = "MIO37"; + bias-high-impedance; + }; + + conf-tx { + pins = "MIO36"; + bias-disable; + }; + + mux { + groups = "uart1_9_grp"; + function = "uart1"; + }; + }; + + pinctrl_i2c1_default: i2c1-default { + conf { + groups = "i2c1_6_grp"; + bias-pull-up; + slew-rate = ; + power-source = ; + }; + + mux { + groups = "i2c1_6_grp"; + function = "i2c1"; + }; + }; + + pinctrl_i2c1_gpio: i2c1-gpio { + conf { + groups = "gpio0_24_grp", "gpio0_25_grp"; + slew-rate = ; + power-source = ; + }; + + mux { + groups = "gpio0_24_grp", "gpio0_25_grp"; + function = "gpio0"; + }; + }; + + pinctrl_gem1_default: gem1-default { + conf { + groups = "ethernet1_0_grp"; + slew-rate = ; + power-source = ; + }; + + conf-rx { + pins = "MIO44", "MIO46", "MIO48"; + bias-high-impedance; + low-power-disable; + }; + + conf-bootstrap { + pins = "MIO45", "MIO47", "MIO49"; + bias-disable; + low-power-disable; + }; + + conf-tx { + pins = "MIO38", "MIO39", "MIO40", + "MIO41", "MIO42", "MIO43"; + bias-disable; + low-power-enable; + }; + + conf-mdio { + groups = "mdio1_0_grp"; + slew-rate = ; + power-source = ; + bias-disable; + }; + + mux-mdio { + function = "mdio1"; + groups = "mdio1_0_grp"; + }; + + mux { + function = "ethernet1"; + groups = "ethernet1_0_grp"; + }; + }; + + pinctrl_usb0_default: usb0-default { + conf { + groups = "usb0_0_grp"; + slew-rate = ; + power-source = ; + }; + + conf-rx { + pins = "MIO52", "MIO53", "MIO55"; + bias-high-impedance; + }; + + conf-tx { + pins = "MIO54", "MIO56", "MIO57", "MIO58", "MIO59", + "MIO60", "MIO61", "MIO62", "MIO63"; + bias-disable; + }; + + mux { + groups = "usb0_0_grp"; + function = "usb0"; + }; + }; + + pinctrl_usb1_default: usb1-default { + conf { + groups = "usb1_0_grp"; + slew-rate = ; + power-source = ; + }; + + conf-rx { + pins = "MIO64", "MIO65", "MIO67"; + bias-high-impedance; + }; + + conf-tx { + pins = "MIO66", "MIO68", "MIO69", "MIO70", "MIO71", + "MIO72", "MIO73", "MIO74", "MIO75"; + bias-disable; + }; + + mux { + groups = "usb1_0_grp"; + function = "usb1"; + }; + }; +}; + +&uart1 { + status = "okay"; + pinctrl-names = "default"; + pinctrl-0 = <&pinctrl_uart1_default>; +}; diff --git a/project/petalinux/kr260/project-spec/meta-user/COPYING.MIT b/project/petalinux/kr260/project-spec/meta-user/COPYING.MIT new file mode 100644 index 0000000..89de354 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/COPYING.MIT @@ -0,0 +1,17 @@ +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in +all copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. diff --git a/project/petalinux/kr260/project-spec/meta-user/README b/project/petalinux/kr260/project-spec/meta-user/README new file mode 100644 index 0000000..5a5b9b9 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/README @@ -0,0 +1,64 @@ +This README file contains information on the contents of the +meta-user layer. + +Please see the corresponding sections below for details. + + +Dependencies +============ + +This layer depends on: + + URI: git://git.openembedded.org/bitbake + branch: master + + URI: git://git.openembedded.org/openembedded-core + layers: meta + branch: master + + URI: git://git.yoctoproject.org/xxxx + layers: xxxx + branch: master + + +Patches +======= + +Please submit any patches against the meta-user layer to the +xxxx mailing list (xxxx@zzzz.org) and cc: the maintainer: + +Maintainer: XXX YYYYYY + + +Table of Contents +================= + + I. Adding the meta-user layer to your build + II. Misc + + +I. Adding the meta-user layer to your build +================================================= + +--- replace with specific instructions for the meta-user layer --- + +In order to use this layer, you need to make the build system aware of +it. + +Assuming the meta-user layer exists at the top-level of your +yocto build tree, you can add it to the build system by adding the +location of the meta-user layer to bblayers.conf, along with any +other layers needed. e.g.: + + BBLAYERS ?= " \ + /path/to/yocto/meta \ + /path/to/yocto/meta-poky \ + /path/to/yocto/meta-yocto-bsp \ + /path/to/yocto/meta-meta-user \ + " + + +II. Misc +======== + +--- replace with specific information about the meta-user layer --- diff --git a/project/petalinux/kr260/project-spec/meta-user/conf/layer.conf b/project/petalinux/kr260/project-spec/meta-user/conf/layer.conf new file mode 100644 index 0000000..10e066b --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/conf/layer.conf @@ -0,0 +1,11 @@ +# We have a conf and classes directory, add to BBPATH +BBPATH .= ":${LAYERDIR}" + +# We have recipes-* directories, add to BBFILES +BBFILES += "${LAYERDIR}/recipes-*/*/*.bb \ + ${LAYERDIR}/recipes-*/*/*.bbappend" + +BBFILE_COLLECTIONS += "meta-user" +BBFILE_PATTERN_meta-user = "^${LAYERDIR}/" +BBFILE_PRIORITY_meta-user = "7" +LAYERSERIES_COMPAT_meta-user = "honister" diff --git a/project/petalinux/kr260/project-spec/meta-user/conf/petalinuxbsp.conf b/project/petalinux/kr260/project-spec/meta-user/conf/petalinuxbsp.conf new file mode 100644 index 0000000..b983013 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/conf/petalinuxbsp.conf @@ -0,0 +1,12 @@ +#User Configuration + +#OE_TERMINAL = "tmux" + +EXTRA_DTFILE_PREFIX = "system" +EXTRA_DTFILES_BUNDLE = "1" +UBOOT_IMAGE_BLOB:k26 = "0" +INITRAMFS_PACKAGES:append = " misc-config" +SERIAL_CONSOLES = "115200;ttyPS1" +QEMU_HWDTB_NAME:k26 = "board-zynqmp-k26-starterkit-virt.dtb" +EXTRA_IMAGEDEPENDS:append = " board-id-data" +IMAGE_BOOT_FILES:zynqmp = "ramdisk.cpio.gz.u-boot,boot.scr,Image,system.dtb,system-zynqmp-sck-kr-g-revB.dtb" diff --git a/project/petalinux/kr260/project-spec/meta-user/conf/user-rootfsconfig b/project/petalinux/kr260/project-spec/meta-user/conf/user-rootfsconfig new file mode 100644 index 0000000..4068723 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/conf/user-rootfsconfig @@ -0,0 +1,10 @@ +#Note: Mention Each package in individual line +#These packages will get added into rootfs menu entry + +CONFIG_gpio-demo +CONFIG_peekpoke +CONFIG_packagegroup-petalinux-som +CONFIG_packagegroup-core-full-cmdline +CONFIG_packagegroup-petalinux-jupyter +CONFIG_ros2-helloworld-cpp +CONFIG_ros2-helloworld-python diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp b/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp new file mode 160000 index 0000000..35eb305 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp @@ -0,0 +1 @@ +Subproject commit 35eb3050cb1aa1948bb22bbb1a035833efab8d4c diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/ros2-helloworld-cpp.bb b/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/ros2-helloworld-cpp.bb new file mode 100644 index 0000000..9703f0f --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/ros2-helloworld-cpp.bb @@ -0,0 +1,59 @@ +inherit ros_distro_humble +inherit ros_superflore_generated + +SUMMARY = "ROS2 Humble C++ helloworld" +DESCRIPTION = "${SUMMARY}" +AUTHOR = "Nick Aizuddin " +ROS_AUTHOR = "Nick Aizuddin" +SECTION = "PETALINUX/apps" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE;md5=14549528096b9b60194cbada83109dcd" +PV = "1.0.0" +PR = "r0" + +S = "${WORKDIR}/ros2-helloworld-cpp" + +SRC_URI = "\ + file://ros2-helloworld-cpp/LICENSE \ + file://ros2-helloworld-cpp/VERSION \ + file://ros2-helloworld-cpp/package.xml \ + file://ros2-helloworld-cpp/CMakeLists.txt \ + file://ros2-helloworld-cpp/src/main.cpp \ + file://ros2-helloworld-cpp/include/engine.hpp \ + file://ros2-helloworld-cpp/src/lib/engine.cpp \ +" + +ROS_BUILD_TYPE = "ament_cmake" + +ROS_BUILDTOOL_DEPENDS = " \ + ament-cmake-native \ +" + +# Package "python3-numpy-native" is required by ament. +# See https://github.com/Xilinx/meta-ros/blob/rel-v2022.2/meta-ros2-humble/recipes-bbappends/examples/examples-rclcpp-minimal-publisher_%25.bbappend +ROS_BUILD_DEPENDS = " \ + rclcpp \ + std-msgs \ + python3-numpy-native \ +" + +ROS_EXEC_DEPENDS = "\ + rclcpp \ + std-msgs \ +" + +ROS_EXPORT_DEPENDS = "" + +# Currently informational only -- see http://www.ros.org/reps/rep-0149.html#dependency-tags. +ROS_TEST_DEPENDS = " \ + ament-lint-auto \ + ament-lint-common \ +" + +ROS_BUILDTOOL_EXPORT_DEPENDS = "" + +DEPENDS = "${ROS_BUILD_DEPENDS} ${ROS_BUILDTOOL_DEPENDS}" +DEPENDS += "${ROS_EXPORT_DEPENDS} ${ROS_BUILDTOOL_EXPORT_DEPENDS}" +RDEPENDS:${PN} += "${ROS_EXEC_DEPENDS}" + +inherit ros_${ROS_BUILD_TYPE} diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python b/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python new file mode 160000 index 0000000..663d299 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python @@ -0,0 +1 @@ +Subproject commit 663d299804da724affc3f1036a7f528893816d97 diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-python/ros2-helloworld-python.bb b/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-python/ros2-helloworld-python.bb new file mode 100644 index 0000000..27518f5 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-apps/ros2-helloworld-python/ros2-helloworld-python.bb @@ -0,0 +1,57 @@ +inherit ros_distro_humble +inherit ros_superflore_generated + +SUMMARY = "ROS2 Humble Python helloworld" +DESCRIPTION = "${SUMMARY}" +AUTHOR = "Nick Aizuddin " +ROS_AUTHOR = "Nick Aizuddin" +SECTION = "PETALINUX/apps" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE;md5=1317490e6c0aa391d3d8096ce4479acd" +PV = "1.0.0" +PR = "r0" + +S = "${WORKDIR}/ros2_helloworld_python" + +SRC_URI = "\ + file://ros2_helloworld_python/LICENSE \ + file://ros2_helloworld_python/VERSION \ + file://ros2_helloworld_python/package.xml \ + file://ros2_helloworld_python/setup.py \ + file://ros2_helloworld_python/setup.cfg \ + file://ros2_helloworld_python/test/test_copyright.py \ + file://ros2_helloworld_python/test/test_flake8.py \ + file://ros2_helloworld_python/test/test_pep257.py \ + file://ros2_helloworld_python/resource/ros2_helloworld_python \ + file://ros2_helloworld_python/ros2_helloworld_python/__init__.py \ + file://ros2_helloworld_python/ros2_helloworld_python/helloworld.py \ +" + +ROS_BUILD_TYPE = "ament_python" + +ROS_BUILDTOOL_DEPENDS = "" + +ROS_BUILD_DEPENDS = "" + +ROS_EXEC_DEPENDS = "\ + rclpy \ + std-msgs \ +" + +ROS_EXPORT_DEPENDS = "" + +# Currently informational only -- see http://www.ros.org/reps/rep-0149.html#dependency-tags. +ROS_TEST_DEPENDS = " \ + ament-copyright \ + ament-flake8 \ + ament-pep257 \ + python3-pytest \ +" + +ROS_BUILDTOOL_EXPORT_DEPENDS = "" + +DEPENDS = "${ROS_BUILD_DEPENDS} ${ROS_BUILDTOOL_DEPENDS}" +DEPENDS += "${ROS_EXPORT_DEPENDS} ${ROS_BUILDTOOL_EXPORT_DEPENDS}" +RDEPENDS:${PN} += "${ROS_EXEC_DEPENDS}" + +inherit ros_${ROS_BUILD_TYPE} diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/device-tree.bbappend b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/device-tree.bbappend new file mode 100644 index 0000000..218f8ce --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/device-tree.bbappend @@ -0,0 +1,19 @@ +FILESEXTRAPATHS:prepend := "${THISDIR}/files:${SYSCONFIG_PATH}:" + +SRC_URI:append = " file://config file://system-user.dtsi" + +python () { + if d.getVar("CONFIG_DISABLE"): + d.setVarFlag("do_configure", "noexec", "1") +} + +export PETALINUX +do_configure:append () { + if [ -z "${CONFIG_DECOUPLING}" ]; then + script="${PETALINUX}/etc/hsm/scripts/petalinux_hsm_bridge.tcl" + data=${PETALINUX}/etc/hsm/data/ + eval xsct -sdx -nodisp ${script} -c ${WORKDIR}/config \ + -hdf ${DT_FILES_PATH}/hardware_description.${HDF_EXT} -repo ${S} \ + -data ${data} -sw ${DT_FILES_PATH} -o ${DT_FILES_PATH} -a "soc_mapping" + fi +} diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/openamp.dtsi b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/openamp.dtsi new file mode 100644 index 0000000..ffcbf57 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/openamp.dtsi @@ -0,0 +1,89 @@ +/ { + reserved-memory { + #address-cells = <2>; + #size-cells = <2>; + ranges; + rpu0vdev0vring0: rpu0vdev0vring0@3ed40000 { + no-map; + reg = <0x0 0x3ed40000 0x0 0x4000>; + }; + rpu0vdev0vring1: rpu0vdev0vring1@3ed44000 { + no-map; + reg = <0x0 0x3ed44000 0x0 0x4000>; + }; + rpu0vdev0buffer: rpu0vdev0buffer@3ed48000 { + no-map; + reg = <0x0 0x3ed48000 0x0 0x100000>; + }; + rproc_0_reserved: rproc@3ed00000 { + no-map; + reg = <0x0 0x3ed00000 0x0 0x40000>; + }; + }; + + + tcm_0a@ffe00000 { + no-map; + reg = <0x0 0xffe00000 0x0 0x10000>; + phandle = <0x40>; + status = "okay"; + compatible = "mmio-sram"; + power-domain = <&zynqmp_firmware 15>; + }; + + tcm_0b@ffe20000 { + no-map; + reg = <0x0 0xffe20000 0x0 0x10000>; + phandle = <0x41>; + status = "okay"; + compatible = "mmio-sram"; + power-domain = <&zynqmp_firmware 16>; + }; + + + rf5ss@ff9a0000 { + compatible = "xlnx,zynqmp-r5-remoteproc"; + xlnx,cluster-mode = <1>; + ranges; + reg = <0x0 0xFF9A0000 0x0 0x10000>; + #address-cells = <0x2>; + #size-cells = <0x2>; + + r5f_0 { + compatible = "xilinx,r5f"; + #address-cells = <2>; + #size-cells = <2>; + ranges; + sram = <0x40 0x41>; + memory-region = <&rproc_0_reserved>, <&rpu0vdev0buffer>, <&rpu0vdev0vring0>, <&rpu0vdev0vring1>; + power-domain = <&zynqmp_firmware 7>; + mboxes = <&ipi_mailbox_rpu0 0>, <&ipi_mailbox_rpu0 1>; + mbox-names = "tx", "rx"; + }; + }; + + + zynqmp_ipi1 { + compatible = "xlnx,zynqmp-ipi-mailbox"; + interrupt-parent = <&gic>; + interrupts = <0 29 4>; + xlnx,ipi-id = <7>; + #address-cells = <1>; + #size-cells = <1>; + ranges; + + /* APU<->RPU0 IPI mailbox controller */ + ipi_mailbox_rpu0: mailbox@ff990600 { + reg = <0xff990600 0x20>, + <0xff990620 0x20>, + <0xff9900c0 0x20>, + <0xff9900e0 0x20>; + reg-names = "local_request_region", + "local_response_region", + "remote_request_region", + "remote_response_region"; + #mbox-cells = <1>; + xlnx,ipi-id = <1>; + }; + }; +}; diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/pl-custom.dtsi b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/pl-custom.dtsi new file mode 100644 index 0000000..7e7cb18 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/pl-custom.dtsi @@ -0,0 +1,4 @@ +/*Add pl custom nodes for pl.dtsi which is generated from base xsa file. +Changes in this file reflects only when enabled the FPGA manager/Device tree overlay.*/ +/ { +}; diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi new file mode 100644 index 0000000..47a95ce --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi @@ -0,0 +1,7 @@ +/include/ "system-conf.dtsi" +/ { + chosen { + bootargs = "earlycon console=ttyPS1,115200 clk_ignore_unused xilinx_tsn_ep.st_pcp=4 init_fatal_sh=1 cma=900M "; + stdout-path = "serial1:115200n8"; + }; +}; diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/xen-qemu.dtsi b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/xen-qemu.dtsi new file mode 100644 index 0000000..249a786 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/xen-qemu.dtsi @@ -0,0 +1,16 @@ +/ { + cpus { + cpu@1 { + //compatible = "disabled"; + device_type = "none"; + }; + cpu@2 { + //compatible = "disabled"; + device_type = "none"; + }; + cpu@3 { + //compatible = "disabled"; + device_type = "none"; + }; + }; +}; diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/xen.dtsi b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/xen.dtsi new file mode 100755 index 0000000..9ea75ac --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/device-tree/files/xen.dtsi @@ -0,0 +1,37 @@ +&smmu { + status = "okay"; + mmu-masters = < &gem0 0x874 + &gem1 0x875 + &gem2 0x876 + &gem3 0x877 + &dwc3_0 0x860 + &dwc3_1 0x861 + &qspi 0x873 + &lpd_dma_chan1 0x868 + &lpd_dma_chan2 0x869 + &lpd_dma_chan3 0x86a + &lpd_dma_chan4 0x86b + &lpd_dma_chan5 0x86c + &lpd_dma_chan6 0x86d + &lpd_dma_chan7 0x86e + &lpd_dma_chan8 0x86f + &fpd_dma_chan1 0x14e8 + &fpd_dma_chan2 0x14e9 + &fpd_dma_chan3 0x14ea + &fpd_dma_chan4 0x14eb + &fpd_dma_chan5 0x14ec + &fpd_dma_chan6 0x14ed + &fpd_dma_chan7 0x14ee + &fpd_dma_chan8 0x14ef + &sdhci0 0x870 + &sdhci1 0x871 + &nand0 0x872 + &pcie 0x4d0 + &zynqmp_dpsub 0xce3 + &zynqmp_dpdma 0xce4 + &sata 0x4c0 0x4c1 0x4c2 0x4c3>; +}; + +&sata { + iommus = <&smmu 0x4c0>, <&smmu 0x4c1>, <&smmu 0x4c2>, <&smmu 0x4c3>; +}; diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/0001-ubifs-distroboot-support.patch b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/0001-ubifs-distroboot-support.patch new file mode 100644 index 0000000..01cdb6d --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/0001-ubifs-distroboot-support.patch @@ -0,0 +1,28 @@ +From 357b3eebaa54be1ec8d14b306625eb73732ee5dc Mon Sep 17 00:00:00 2001 +From: Ashok Reddy Soma +Date: Wed, 19 Aug 2020 05:29:40 -0600 +Subject: [UBOOT PATCH] ubifs: distroboot support + +Signed-off-by: Ashok Reddy Soma +--- + include/configs/xilinx_zynqmp.h | 5 ++++- + 1 file changed, 4 insertions(+), 1 deletion(-) + +diff --git a/include/configs/xilinx_zynqmp.h b/include/configs/xilinx_zynqmp.h +index d3f465a..dc231b8 100644 +--- a/include/configs/xilinx_zynqmp.h ++++ b/include/configs/xilinx_zynqmp.h +@@ -154,7 +154,10 @@ + + #define BOOTENV_DEV_QSPI(devtypeu, devtypel, instance) \ + "bootcmd_" #devtypel #instance "=sf probe " #instance " 0 0 && " \ +- "sf read $scriptaddr $script_offset_f $script_size_f && " \ ++ "setenv mtdids 'nor0=nor0' && " \ ++ "setenv mtdparts 'mtdparts=nor0:16m(raw),-(boot)' && " \ ++ "mtdparts && " \ ++ "ubi part boot; ubifsmount ubi0:boot; ubifsload $scriptaddr boot.scr; && " \ + "echo QSPI: Trying to boot script at ${scriptaddr} && " \ + "source ${scriptaddr}; echo QSPI: SCRIPT FAILED: continuing...;\0" + +-- +2.7.4 diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/bsp.cfg b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/bsp.cfg new file mode 100644 index 0000000..204a0bb --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/bsp.cfg @@ -0,0 +1,2 @@ +CONFIG_SYS_CONFIG_NAME="platform-top" +CONFIG_OF_BOARD=y diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/platform-top.h b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/platform-top.h new file mode 100644 index 0000000..2325339 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/files/platform-top.h @@ -0,0 +1 @@ +#include diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/u-boot-xlnx_%.bbappend b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/u-boot-xlnx_%.bbappend new file mode 100644 index 0000000..c23e081 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/u-boot/u-boot-xlnx_%.bbappend @@ -0,0 +1,15 @@ +FILESEXTRAPATHS:prepend := "${THISDIR}/files:" + +SRC_URI:append = " file://platform-top.h file://bsp.cfg" + +do_configure:append () { + install ${WORKDIR}/platform-top.h ${S}/include/configs/ +} + +do_configure:append:microblaze () { + if [ "${U_BOOT_AUTO_CONFIG}" = "1" ]; then + install ${WORKDIR}/platform-auto.h ${S}/include/configs/ + install -d ${B}/source/board/xilinx/microblaze-generic/ + install ${WORKDIR}/config.mk ${B}/source/board/xilinx/microblaze-generic/ + fi +} diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/uboot-device-tree/files/system-user.dtsi b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/uboot-device-tree/files/system-user.dtsi new file mode 100644 index 0000000..f3270db --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/uboot-device-tree/files/system-user.dtsi @@ -0,0 +1,3 @@ +/include/ "system-conf.dtsi" +/ { +}; diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/uboot-device-tree/uboot-device-tree.bbappend b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/uboot-device-tree/uboot-device-tree.bbappend new file mode 100644 index 0000000..d7d03f8 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-bsp/uboot-device-tree/uboot-device-tree.bbappend @@ -0,0 +1,16 @@ +FILESEXTRAPATHS:prepend := "${THISDIR}/files:${SYSCONFIG_PATH}:" + +SRC_URI:append = " file://config file://system-user.dtsi" + +python () { + if d.getVar("CONFIG_DISABLE"): + d.setVarFlag("do_configure", "noexec", "1") +} +export PETALINUX +do_configure:append () { + script="${PETALINUX}/etc/hsm/scripts/petalinux_hsm_bridge.tcl" + data=${PETALINUX}/etc/hsm/data/ + eval xsct -sdx -nodisp ${script} -c ${WORKDIR}/config \ + -hdf ${DT_FILES_PATH}/hardware_description.${HDF_EXT} -repo ${S} \ + -data ${data} -sw ${DT_FILES_PATH} -o ${DT_FILES_PATH} -a "soc_mapping" +} diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-images/images/petalinux-image-minimal.bbappend b/project/petalinux/kr260/project-spec/meta-user/recipes-images/images/petalinux-image-minimal.bbappend new file mode 100644 index 0000000..eebb87b --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-images/images/petalinux-image-minimal.bbappend @@ -0,0 +1,94 @@ +ROS_SYSROOT_BUILD_DEPENDENCIES = " \ + ament-lint-auto \ + ament-cmake-auto \ + ament-cmake-core \ + ament-cmake-cppcheck \ + ament-cmake-cpplint \ + ament-cmake-export-definitions \ + ament-cmake-export-dependencies \ + ament-cmake-export-include-directories \ + ament-cmake-export-interfaces \ + ament-cmake-export-libraries \ + ament-cmake-export-link-flags \ + ament-cmake-export-targets \ + ament-cmake-gmock \ + ament-cmake-gtest \ + ament-cmake-include-directories \ + ament-cmake-libraries \ + ament-cmake \ + ament-cmake-pytest \ + ament-cmake-python \ + ament-cmake-ros \ + ament-cmake-target-dependencies \ + ament-cmake-test \ + ament-cmake-version \ + ament-cmake-uncrustify \ + ament-cmake-flake8 \ + ament-cmake-pep257 \ + ament-copyright \ + ament-cpplint \ + ament-flake8 \ + ament-index-python \ + ament-lint-cmake \ + ament-mypy \ + ament-package \ + ament-pclint \ + ament-pep257 \ + ament-pycodestyle \ + ament-pyflakes \ + ament-uncrustify \ + ament-xmllint \ + cmake \ + eigen3-cmake-module \ + fastcdr \ + fastrtps-cmake-module \ + fastrtps \ + git \ + gmock-vendor \ + gtest-vendor \ + pkgconfig \ + python-cmake-module \ + python3-catkin-pkg \ + python3-empy \ + python3 \ + python3-nose \ + python3-pytest \ + rcutils \ + rmw-implementation-cmake \ + rosidl-cmake \ + rosidl-default-generators \ + rosidl-generator-c \ + rosidl-generator-cpp \ + rosidl-generator-dds-idl \ + rosidl-generator-py \ + rosidl-parser \ + rosidl-runtime-c \ + rosidl-runtime-cpp \ + rosidl-typesupport-c \ + rosidl-typesupport-cpp \ + rosidl-typesupport-fastrtps-cpp \ + rosidl-typesupport-interface \ + rosidl-typesupport-introspection-c \ + rosidl-typesupport-introspection-cpp \ + foonathan-memory-vendor \ + libyaml-vendor \ +" + +IMAGE_INSTALL:append = " \ + ${ROS_SYSROOT_BUILD_DEPENDENCIES} \ + opencl-headers-dev \ + opencl-clhpp-dev \ + xrt-dev \ + xrt \ + zocl \ + python3-argcomplete \ + glibc-utils \ + localedef \ + rt-tests \ + cyclonedds \ + rmw-cyclonedds-cpp \ + ros-base \ +" + +IMAGE_LINGUAS = "en-us" +GLIBC_GENERATE_LOCALES = "en_US.UTF-8" diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/bsp.cfg b/project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/bsp.cfg new file mode 100644 index 0000000..e69de29 diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/user_2023-05-17-07-45-00.cfg b/project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/user_2023-05-17-07-45-00.cfg new file mode 100644 index 0000000..30fa696 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/user_2023-05-17-07-45-00.cfg @@ -0,0 +1 @@ +CONFIG_SPI_SPIDEV=y diff --git a/project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx_%.bbappend b/project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx_%.bbappend new file mode 100644 index 0000000..49991d1 --- /dev/null +++ b/project/petalinux/kr260/project-spec/meta-user/recipes-kernel/linux/linux-xlnx_%.bbappend @@ -0,0 +1,6 @@ +FILESEXTRAPATHS:prepend := "${THISDIR}/${PN}:" + +SRC_URI:append = " file://bsp.cfg" +KERNEL_FEATURES:append = " bsp.cfg" +SRC_URI += "file://user_2023-05-17-07-45-00.cfg" +