diff --git a/boards/amcbldc/control/control_foc.slx b/boards/amcbldc/control/control_foc.slx index d810703a..2d3ac914 100644 Binary files a/boards/amcbldc/control/control_foc.slx and b/boards/amcbldc/control/control_foc.slx differ diff --git a/boards/amcbldc/sim2can/experiment_supervisor/experiment_supervisor_user.slx b/boards/amcbldc/sim2can/experiment_supervisor/experiment_supervisor_user.slx index 709d244a..d9ed0c47 100644 Binary files a/boards/amcbldc/sim2can/experiment_supervisor/experiment_supervisor_user.slx and b/boards/amcbldc/sim2can/experiment_supervisor/experiment_supervisor_user.slx differ diff --git a/boards/amcbldc/system/dictionaries/EmbeddedBoardDD.sldd b/boards/amcbldc/system/dictionaries/EmbeddedBoardDD.sldd index 64a1f3b9..ff8901b9 100644 Binary files a/boards/amcbldc/system/dictionaries/EmbeddedBoardDD.sldd and b/boards/amcbldc/system/dictionaries/EmbeddedBoardDD.sldd differ diff --git a/boards/amcbldc/system/dictionaries/can_messaging.sldd b/boards/amcbldc/system/dictionaries/can_messaging.sldd index ee7f040f..bb56bfaa 100644 Binary files a/boards/amcbldc/system/dictionaries/can_messaging.sldd and b/boards/amcbldc/system/dictionaries/can_messaging.sldd differ diff --git a/boards/amcbldc/system/dictionaries/supervisor.sldd b/boards/amcbldc/system/dictionaries/supervisor.sldd index caef0ae8..6b73964a 100644 Binary files a/boards/amcbldc/system/dictionaries/supervisor.sldd and b/boards/amcbldc/system/dictionaries/supervisor.sldd differ diff --git a/boards/amcbldc/system/dictionaries/update_dictionary.m b/boards/amcbldc/system/dictionaries/update_dictionary.m index 5fa1c26b..59de84f2 100644 --- a/boards/amcbldc/system/dictionaries/update_dictionary.m +++ b/boards/amcbldc/system/dictionaries/update_dictionary.m @@ -28,7 +28,6 @@ p.Value.motorconfig.encoder_tolerance = uint8(0); p.Value.motorconfig.pole_pairs = uint8(7); p.Value.motorconfig.Vmax = single(24); -p.Value.motorconfig.Vcc = single(44); p.Value.motorconfig.resistance = single(25.9); p.Value.motorconfig.inductance = single(271); p.Value.motorconfig.thermal_resistance = single(16); diff --git a/boards/amcbldc/tests/control/control_pmsm.slx b/boards/amcbldc/tests/control/control_pmsm.slx index a4c9aacc..99673730 100644 Binary files a/boards/amcbldc/tests/control/control_pmsm.slx and b/boards/amcbldc/tests/control/control_pmsm.slx differ diff --git a/boards/amcbldc/tests/supervisor/TestSupervisorFSM_RX.slx b/boards/amcbldc/tests/supervisor/TestSupervisorFSM_RX.slx index 50ba21de..29fc3b38 100644 Binary files a/boards/amcbldc/tests/supervisor/TestSupervisorFSM_RX.slx and b/boards/amcbldc/tests/supervisor/TestSupervisorFSM_RX.slx differ diff --git a/boards/amcbldc/tests/supervisor/TestSupervisorFSM_TX.slx b/boards/amcbldc/tests/supervisor/TestSupervisorFSM_TX.slx index 513c3170..c7a45232 100644 Binary files a/boards/amcbldc/tests/supervisor/TestSupervisorFSM_TX.slx and b/boards/amcbldc/tests/supervisor/TestSupervisorFSM_TX.slx differ