From 6711b31da87a6e241c64acaf726546b971f92aa5 Mon Sep 17 00:00:00 2001 From: Paul Gomes Date: Fri, 10 Nov 2017 19:52:56 -0800 Subject: [PATCH 001/313] revisions to section 12.3 AT commands --- src/12-bluetooth-setup/at-commands.md | 40 +++++++++++++++++---------- 1 file changed, 25 insertions(+), 15 deletions(-) diff --git a/src/12-bluetooth-setup/at-commands.md b/src/12-bluetooth-setup/at-commands.md index 159ffca40..e492530f5 100644 --- a/src/12-bluetooth-setup/at-commands.md +++ b/src/12-bluetooth-setup/at-commands.md @@ -1,43 +1,53 @@ ## AT commands -> **NOTE** incomplete +The Bluetooth module and the F3 need to be configured to communicate at the same baud rate. The tutorial code initializes the UART1 serial device to a baud rate of 115200. The HC-05 Bluetooth module is configured at a baud rate of 9600 by default. + +The Bluetooth module supports an AT mode that allows you to examine and change its configuration and settings. To utilize the AT mode, connect the Bluetooth module to the F3 and FTDI as shown in the following diagram.

-Entering AT mode: - -- Power off the Bluetooth module -- Press and hold the button on the Bluetooth module -- Power on the Bluetooth module -- Now, release the button +Recommended steps to enter AT mode: -> **TODO** blinking pattern +- Disconnect the F3 and FTDI from your laptop. +- Connect F3's GND pin to the Bluetooth's GND pin using a Female/Female (F/F) wire + (preferably, a black one). +- Connect F3's 5V pin to the Bluetooth's VCC pin using a F/F wire (preferably, a + red one). +- Connect the FTDI RXI pin to the Bluetooth's TXD pin using a Female/Male (F/M) wire. +- Connect the FTDI TXO pin to the Bluetooth's RXD pin using a Female/Male (F/M) wire. +- Now connect the FTDI to your laptop via USB cable. +- Next connect the F3 to your laptop via USB cable while simultaneously pressing and holding the button on the Bluetooth module (kinda tricky). +- Now, release the button and the Bluetooth module will enter AT mode. You can confirm this by observing that the red LED on the Bluetooth module is blinking in a slow pattern (approx 1-2 seconds on/off). -The AT mode always operates at a baud rate of 38,400. +The AT mode always operates at a baud rate of 38400, so configure minicom/putty for that baud rate and connect to the FTDI device. -Commands (via minicom) +When your serial connection to the FTDI is established, you may get a bunch of `ERROR: (0)` repeatedly being displayed. If this happens, just hit ENTER to stop the errors. -- Sanity check +### Sanity check ``` $ at OK OK -(..) +(etc...) ``` Answers `OK` repeatedly until you hit ENTER again. -- Rename +### Query for the current baud rate of the Bluetooth module ``` -$ at+name=ferris +at+uart? ++UART:9600,0,0 +OK ++UART:9600,0,0 OK +(etc ...) ``` -- Change the baud rate +### Change the baud rate ``` $ at+uart=115200,0,0 From 9df1cddfd3c4a7c87f3183958b5207763b017dd8 Mon Sep 17 00:00:00 2001 From: Paul Gomes Date: Fri, 10 Nov 2017 20:01:33 -0800 Subject: [PATCH 002/313] another minor revision --- src/12-bluetooth-setup/at-commands.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/12-bluetooth-setup/at-commands.md b/src/12-bluetooth-setup/at-commands.md index e492530f5..c2635f143 100644 --- a/src/12-bluetooth-setup/at-commands.md +++ b/src/12-bluetooth-setup/at-commands.md @@ -23,7 +23,7 @@ Recommended steps to enter AT mode: The AT mode always operates at a baud rate of 38400, so configure minicom/putty for that baud rate and connect to the FTDI device. -When your serial connection to the FTDI is established, you may get a bunch of `ERROR: (0)` repeatedly being displayed. If this happens, just hit ENTER to stop the errors. +When your serial connection is established, you may get a bunch of `ERROR: (0)` repeatedly being displayed. If this happens, just hit ENTER to stop the errors. ### Sanity check From c66f73e4e68d0f230657f6430f9ac693c61960cf Mon Sep 17 00:00:00 2001 From: Jorge Aparicio Date: Tue, 27 Nov 2018 10:45:51 +0100 Subject: [PATCH 003/313] 11-usart: switch TX / RX pins from PA{9,10} to PC{4,5} --- src/11-usart/auxiliary/src/lib.rs | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/11-usart/auxiliary/src/lib.rs b/src/11-usart/auxiliary/src/lib.rs index 1a0a6a16c..1edc84276 100644 --- a/src/11-usart/auxiliary/src/lib.rs +++ b/src/11-usart/auxiliary/src/lib.rs @@ -23,10 +23,10 @@ pub fn init() -> (&'static mut usart1::RegisterBlock, MonoTimer, ITM) { let clocks = rcc.cfgr.freeze(&mut flash.acr); - let mut gpioa = dp.GPIOA.split(&mut rcc.ahb); + let mut gpioc = dp.GPIOC.split(&mut rcc.ahb); - let tx = gpioa.pa9.into_af7(&mut gpioa.moder, &mut gpioa.afrh); - let rx = gpioa.pa10.into_af7(&mut gpioa.moder, &mut gpioa.afrh); + let tx = gpioc.pc4.into_af7(&mut gpioc.moder, &mut gpioc.afrl); + let rx = gpioc.pc5.into_af7(&mut gpioc.moder, &mut gpioc.afrl); Serial::usart1(dp.USART1, (tx, rx), 115_200.bps(), clocks, &mut rcc.apb2); From 8c31c3a354e46eb253a807c491ae4d83a5b31478 Mon Sep 17 00:00:00 2001 From: Jorge Aparicio Date: Tue, 27 Nov 2018 12:37:38 +0100 Subject: [PATCH 004/313] recommend using Virtual COM instead of an external USB <-> Serial module this keeps the text about using the Serial module closes #76 --- src/02-requirements/README.md | 15 +++++--- src/04-meet-your-hardware/README.md | 9 ++++- src/10-serial-communication/loopbacks.md | 21 ++++++++++ src/10-serial-communication/nix-tooling.md | 36 ++++++++++++++---- .../windows-tooling.md | 15 ++++++-- src/11-usart/Cargo.toml | 6 ++- src/11-usart/README.md | 21 ++++++++++ src/11-usart/auxiliary/Cargo.toml | 3 ++ src/11-usart/auxiliary/src/lib.rs | 24 ++++++++++-- src/assets/minicom.png | Bin 32270 -> 22340 bytes 10 files changed, 126 insertions(+), 24 deletions(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index 7eba4a082..6bca9a37a 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -28,14 +28,17 @@ Also, to follow this material you'll need the following hardware:

-- OPTIONAL. A **3.3V** USB <-> Serial module. [This particular model][sparkfun] will be used - throughout this material but you can use any other model as long as it operates at 3.3V. +- OPTIONAL. A **3.3V** USB <-> Serial module. To elaborate: if you have one of + the latest revisions of the discovery board (which is usually the case given + the first revision was released years old) then you do *not* need this module + because the board includes this functionality on-board. If you have an older + revision of the board then you'll need this module for chapters 10 and 11. For + completeness, we'll include instructions for using a Serial module. The book + will use [this particular model][sparkfun] but you can use any other model as + long as it operates at 3.3V. The (Chinese) CH340G module, which you can buy + [e-commerce][4] sites, works too and it's probably cheaper for you to get. [sparkfun]: https://www.sparkfun.com/products/9873 - -(The (Chinese) CH340G module, which you can buy [e-commerce][4] sites, works too and it's probably -cheaper for you to get) - [4]: https://www.aliexpress.com/wholesale?SearchText=CH340G

diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 4d8f89098..7bcb511bc 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -49,8 +49,13 @@ What does this board contain?

-We'll use this module to exchange data between the microcontroller in the F3 and your laptop. This -module will be connected to your laptop using an USB cable. I won't say more at this point. +If you have an older revision of the discovery board, you can use this module to +exchange data between the microcontroller in the F3 and your laptop. This module +will be connected to your laptop using an USB cable. I won't say more at this +point. + +If you have a newer release of the board then you don't need this module. The +ST-LINK will act as a serial module connected to pins PC4 and PC5. ## The Bluetooth module diff --git a/src/10-serial-communication/loopbacks.md b/src/10-serial-communication/loopbacks.md index 6035d328d..3aa5520e5 100644 --- a/src/10-serial-communication/loopbacks.md +++ b/src/10-serial-communication/loopbacks.md @@ -11,6 +11,8 @@ Enter: loopbacks You can send data to yourself! Not very useful in production but very useful for debugging. +## Older board revision / external serial module + Connect the `TXO` and the `RXI` pins of the serial module together using a male to male jumper wire as shown above. @@ -24,5 +26,24 @@ You should see three things: - Finally, on the minicom/PuTTY console, you should see that what you type echoes back to the console. +## Newer board revision + +If you have a newer revision of the board you can set up a loopback by shorting +the PC4 and PC5 pins using a female to female jumper wire, like [you did for the +SWO pin](../06-hello-world/index.html). + +You should now be able to send data to yourself. + +Now try to enter some text into minicom/PuTTY and observe. + +> **NOTE**: To rule out the possibility of the existing firmware doing weird +> things to the serial pins (PC4 and PC5) we recommend *holding* the reset +> button while you enter text into minicom/PuTTY. + +If all is working you should see what you typo echo back to the minicom/PuTTY +console. + +--- + Now that you are familiar with sending and receiving data over serial port using minicom/PuTTY, let's make your microcontroller and your laptop talk! diff --git a/src/10-serial-communication/nix-tooling.md b/src/10-serial-communication/nix-tooling.md index 1e89d0d7f..e68a73b9c 100644 --- a/src/10-serial-communication/nix-tooling.md +++ b/src/10-serial-communication/nix-tooling.md @@ -1,5 +1,25 @@ # *nix tooling +## Newer revisions of the discovery board + +With newer revisions, if you connect the discovery board to your laptop / PC you +should see a new TTY device appear in `/dev`. + +``` console +$ # Linux +$ dmesg | tail | grep -i tty +[13560.675310] cdc_acm 1-1.1:1.2: ttyACM0: USB ACM device +``` + +This is the USB <-> Serial device. On Linux, it's named `ttyACM*`. + +If you don't see the device appear then you probably have an older revision of +the board; check the next section, which contains instructions for older +revisions. If you do have a newer revision skip the next section and move to the +"minicom" section. + +## Older revisions of the discovery board / external serial module + Connect the serial module to your laptop and let's find out what name the OS assigned to it. ``` console @@ -26,7 +46,7 @@ $ echo 'Hello, world!' > /dev/ttyUSB0 You should see the TX (red) LED on the serial module blink, just once and very fast! -## minicom +## All revisions: minicom Dealing with serial devices using `echo` is far from ergonomic. So, we'll use the program `minicom` to interact with the serial device using the keyboard. @@ -60,18 +80,20 @@ go over it line by line: Once that's in place. We can launch `minicom` ``` console -$ minicom -D /dev/ttyUSB0 -b 115200 +$ # NOTE you may need to use a different device here +$ minicom -D /dev/ttyACM0 -b 115200 ``` -This tells `minicom` to open the serial device at `/dev/ttyUSB0` and set its baud rate to 115200. -A text-based user interface (TUI) will pop out. +This tells `minicom` to open the serial device at `/dev/ttyACM0` and set its +baud rate to 115200. A text-based user interface (TUI) will pop out.

- +

-You can now send data using the keyboard! Go ahead and type something. Note that the TUI *won't* -echo back what you type but you'll see TX (red) LED on the serial module blink with each keystroke. +You can now send data using the keyboard! Go ahead and type something. Note that +the TUI will *not* echo back what you type but, if you are using an external +module, you *may* see some LED on the module blink with each keystroke. ## `minicom` commands diff --git a/src/10-serial-communication/windows-tooling.md b/src/10-serial-communication/windows-tooling.md index ea8f1d803..cdd5e87b0 100644 --- a/src/10-serial-communication/windows-tooling.md +++ b/src/10-serial-communication/windows-tooling.md @@ -1,6 +1,9 @@ # Windows tooling -Before plugging the Serial module, run the following command on the terminal: +Start by unplugging your discovery board. + +Before plugging the discover or the Serial module, run the following command on +the terminal: ``` console $ mode @@ -10,8 +13,14 @@ It will print a list of devices that are connected to your laptop. The ones that their names are serial devices. This is the kind of device we'll be working with. Take note of all the `COM` *ports* `mode` outputs *before* plugging the serial module. -Now, plug the Serial module and run the `mode` command again. You should see a new `COM` port appear -on the list. That's the COM port assigned to the serial module. +Now, plug the discovery board and run the `mode` command again. If you see a new +`COM` port appear on the list then you have a newer revision of the discovery +and that's the COM port assigned to the serial functionality on the discovery. +You can skip the next paragraph. + +If you didn't get a new COM port then you probably have an older revision of the +discovery. Now plug the serial module; you should see new COM port appear; +that's the COM port of the serial module. Now launch `putty`. A GUI will pop out. diff --git a/src/11-usart/Cargo.toml b/src/11-usart/Cargo.toml index 17502c46b..a0ca5419a 100644 --- a/src/11-usart/Cargo.toml +++ b/src/11-usart/Cargo.toml @@ -4,8 +4,10 @@ edition = "2018" name = "usart" version = "0.1.0" -[dependencies] -aux11 = { path = "auxiliary" } +[dependencies.aux11] +path = "auxiliary" +# enable this if you are going to use an external adapter +# features = ["adapter"] [dependencies.heapless] default-features = false diff --git a/src/11-usart/README.md b/src/11-usart/README.md index ab78e7a1c..30507e1a7 100644 --- a/src/11-usart/README.md +++ b/src/11-usart/README.md @@ -12,6 +12,27 @@ and RX stands for receiver. Transmitter and receiver are relative terms though; transmitter and which line is the receiver depends from which side of the communication you are looking at the lines. +### Newer board revisions + +If you have a newer revision of the board and are using the on-board USB <-> +Serial functionality then the `auxiliary` crate will set pin `PC4` as the TX +line and pin `PC5` as the RX line. + +Everything is already wired on the board so you don't to wire anything yourself. +You can move on to the [next section](send-a-single-byte.html). + +### Older board revisions / external serial module + +If you are using an external USB <-> Serial module then you will **need** to +enable the `adapter` feature of the `aux11` crate dependency in `Cargo.toml`. + +``` toml +[dependencies.aux11] +path = "auxiliary" +# enable this if you are going to use an external adapter +features = ["adapter"] # <- uncomment this +``` + We'll be using the pin `PA9` as the microcontroller's TX line and `PA10` as its RX line. In other words, the pin `PA9` outputs data onto its wire whereas the pin `PA10` listens for data on its wire. diff --git a/src/11-usart/auxiliary/Cargo.toml b/src/11-usart/auxiliary/Cargo.toml index 8d15d81ee..252253981 100644 --- a/src/11-usart/auxiliary/Cargo.toml +++ b/src/11-usart/auxiliary/Cargo.toml @@ -12,3 +12,6 @@ panic-itm = "0.4.0" [dependencies.f3] features = ["rt"] version = "0.6.1" + +[features] +adapter = [] \ No newline at end of file diff --git a/src/11-usart/auxiliary/src/lib.rs b/src/11-usart/auxiliary/src/lib.rs index 1edc84276..956835f2e 100644 --- a/src/11-usart/auxiliary/src/lib.rs +++ b/src/11-usart/auxiliary/src/lib.rs @@ -23,10 +23,26 @@ pub fn init() -> (&'static mut usart1::RegisterBlock, MonoTimer, ITM) { let clocks = rcc.cfgr.freeze(&mut flash.acr); - let mut gpioc = dp.GPIOC.split(&mut rcc.ahb); - - let tx = gpioc.pc4.into_af7(&mut gpioc.moder, &mut gpioc.afrl); - let rx = gpioc.pc5.into_af7(&mut gpioc.moder, &mut gpioc.afrl); + let (tx, rx) = match () { + #[cfg(feature = "adapter")] + () => { + let mut gpioa = dp.GPIOA.split(&mut rcc.ahb); + + let tx = gpioa.pa9.into_af7(&mut gpioa.moder, &mut gpioa.afrh); + let rx = gpioa.pa10.into_af7(&mut gpioa.moder, &mut gpioa.afrh); + + (tx, rx) + } + #[cfg(not(feature = "adapter"))] + () => { + let mut gpioc = dp.GPIOC.split(&mut rcc.ahb); + + let tx = gpioc.pc4.into_af7(&mut gpioc.moder, &mut gpioc.afrl); + let rx = gpioc.pc5.into_af7(&mut gpioc.moder, &mut gpioc.afrl); + + (tx, rx) + } + }; Serial::usart1(dp.USART1, (tx, rx), 115_200.bps(), clocks, &mut rcc.apb2); diff --git a/src/assets/minicom.png b/src/assets/minicom.png index 6605d5ed68e85e8e7f522c11d71b11ac8e83d935..598305b7a261550fb5886376665c5f1629a7969e 100644 GIT binary patch literal 22340 zcmdSB2{_ej`!=juBvdFuN{B=vp(tf0Gm)VZGG~^tLZw1vh>Xch<{@(vWhh~hxiZf( z&)##jpS_>`{DYuUf93-qwx8XD}`&@;fxyBt_;PY{Vi6T;s+KM zeid>}&mL|vjv3gzsarEQY;4(9*KO;=AND#?<+?88BSY;DQPI57SEJu}tclRv%?kQ; z?dDY}pQvtvkx$xz=5LGKD?76`uP<6w2@Fpd42bG`km*rGbFWc}bVqaj^pCwMDn{2E zeQjnx-RjVS%h^Zu^E5u|*T?Sf?px?7FE9Hr9-;oFHKTJj$VbiLOF|b*Nq6B*s%j^z zni6uc>Uo=PUq{17Pakt<3ppvWD{TBK8hBkM^w6^V#jvBty%|XzNw3~>@>hS`{kB9# zW-es6thu$24fRh}vw(L$a%f*q=Zka)RoBWD@{xLOcU+lrC>L2!?25kgIjeyt>q_%Y zgAYY_X*Sek*0x%`I>=%Z^=#O8!mU_QyIe2ioAlP%d#|(Kj8~aGh@Dcu{HtHcCUU8F zB~{>MnlXv^`;O{sNrSASa%!J`%8^QVozj?U)VlTH$PT^(DOY_&df6)FZN5?2+A0RP zSkzAn@5p^Z>MKO6(s+$s>&TH=Rh9UA!fsC^QjEB@^Gv=RPfQaU2&9N}_Bl+${W?fR zj;pjXJf&GjED2J`siM$qZljo%OZJjMb8lkp zs#|mKr*Olgog>wn&8Kv#T}Y3gQm)yzk6h-XQ`Qcp`ZqTHsW0~&wGBDZy-^|dPMVLl zO~|Fnk5}4u&3t5c)DK&3FA-8$SMGYNZ}?ejc#R>|?pl zmYhiPdy+?Yn<;-c$j@r~SUN#-nZtgW@8W~|mRepkeBMgM5{cBt*8=13eV+TI{YhD? zL)6}$(Pe|hy8=;L*7`$oU57?bwC{VFx<%j7XNBNsE;4hxW+(UI0+rU($Xe}dEVsC} zniz7us|X{x!gc+Y%PVR7=*rJ0O-75UeUf{6^Tofs^Dg!nBGWMSD5mzyXcUT;cZ|m~DcjatbpCzA63A#j~Akh8n z$!wT|v`PB8PfrDnI+F`epD^oeQX0At7h<=yAiMTfW%Utxi$^6JI!o!-YK)qxT9}Ts z_n)2CYPS)OU10HQB0n(S=H>K>K2i3SX!cLON8TlU=O5c>m2zplYCLCK zoI}PPQpOmvGubS@gOtRP>oot#H_ECPbE-Ll#{`+B5`J#Ams9i`7Qd9tV5D?h<7J%s zlI=zMM{9xnd=Yy?tww!Hc-|`d@3IM(Jee-3s6IFB8ll1T{n&9?cA2|d1i7<=RSce; zelM&x=hbhlON2OOyhqP)uzmiC-XvL&XD$H*gjFd60lQbW7=^S z`SY?0?>|1-+V6RgTtdo?qpy5ue}iYj7M4_dlgy*aChYT>vh;(Ad0uNKt4}0adN$OP zFm-bjMVvP?oXc;&(ke42Pp5YzQO6`AOvBPTgiqRShZ&vRg%{ZZi`C6EK@sko;({6; zt}1a!H(m5n5#guZdz#H@4__z4?Ex~XrLx569bBznO_e0FKJ)9IC6|r%yvR-;&+hp^ z{AX4Y^`X%Ps3=QHMe=IkeAcv3Y#kmS2pJoXa(#C4qrSw z>PIrZ`Lxe@F-9GxABAn7qt2M~KHM3fY!fSXBmTq}jd0b3b*O%Nr*>!LfoD)v= z-$ZdLEJBZ5>cBnW7|z3AC(jCXwzKE)zv$v71*rmyS6pFKKIdqjHRzRz{IVe zM)7vVyKu=yzAYzZVlP&(e{Q7Pr9+?AM!RFcbLh8i8bPhTdKGI zNu~cb66K}}>C%OFb2pSvJ@=mGdd`3OyIzP_AmcL%E2X27Uv@D3+RyGV@O67qgJj+d zizB;PLw&YQKbzUWa=UsXi}{|+I|&j2R15cZ<)mKirR6Wa#K96H{bs>__;k&tYNicM z6p_D*Jm!Yng{<#TQQOv%9?GP1+pbF`_K?H#;FJ9>2|Sx8vr{&ob-B4Jzx@L9zAqAo zKMlScyrpzgJY6;+*TnX^)TRgbCial?#U0x%BY(Fy_C@I8T0H5I@E8guPI|3S1^y$4 zJSl|*1#Ui!x%2FbfOH`>oAd>e7|Vw~PA+?%lPop`syCdKHtIFoN?CAlKgm-P^W5$C z*oyK#iW{FRXuQHzo5!tu;F0jn${n3wB`jkeS60>wSR6Z*Y4?CST;k-96IFXF$lN5( zXP-Z%`IxeW@bI=+Ui&U_hO+b7OLngGkq7qrol8uTIT=c)&hO9fqNU8wd4{WV%Nvzs zHZ!UN)D+|gy&u&cYsP(<*^V)N}R zrMa&8?OVL>_Qa^O=gJ23Zf273Gw`cOt4N#6Zm4^}9P+k)vx|&{-r%{Q=U+4TTGx|n znjd0HKfPIjMc7$(VN<4m_F2vLI4ZR*Z88V%MsjZ7$SUQTW@PVoLWEIP=9xl?S6Bww zr>j+@CpvYhH@vxY|C02xwMkErhYZU~7F7?79G++G+tX5c{A>B{>SvRJ$&q|l1k}FT z2lz&K*FV3{a;fAHFC(?k?I)W!FUtFdNi$3DTRUpGL^a*EK}#cFN$SCNN;>-S#+_I8 z+_@U#lfi3p`=`|S*!hWf4!kT5r9u5jWMy&EVF(MR4Dy%N;z*?(Yl^Cf=oP1)I{91M$c{ok!< zAKSm|s+V7N>QEVU77*@WA7AaG6yw?VCV5VtJR&okzEb@IwU&eP>8TewDdNxGt6uv4 za=QCEO_JWLt<<~~xu@AE3^(oM-W7F6Vq!9p<3_dHmNdT?(W%LYk8ja=vU~f>REo6L zIo_>8+jo(Klu8`f`NmKB9j0wA|MQLUQre)@MtAb7xZQM|ktznWDup}gRRd_OJ@R@v8pg>@;LPJxZ4ZU1f?k?x_~uAuJQN{pMq_!xZM^B2o}%3^IubUszjAx)VJgaXp!Cl29R~LN zIjT|VMBjGFiu$vb8(wW2AbGnfitLgmsoB>O-{njW>WFJTrf;fA`Z5Q!Ilfx-FVw%U zNA?aMzI3%M&7ZpN;KPKWAg%9+JLA?uM#`3m@wN*|=N>Ar5MBzeA(r9br#q zE@?g{BWIINkKxZ`Y@xmqQhes+oAkTezbPL*`eEz#cOH?nw@o>ux9C@R=KFtvM~^Vros+SveU|v^$bGZ!NRQgpyK76l z0ePcZ4`n)T(=4mn@9*&7NL+V5AiRrADq2^Do6UUm^}Emx_xC4ftczHTSIVa5v=%+A zBs`pdjC_(_5}JxGEDo4=Su{)Iqr5z(zt=4N>UoNl&eb{1=<#md$;9aHZO+yeyB*uT zx%Ij=5-OOcJ}}j}`fhi9Tq4oEx@*m2uf@E7d!kJwtY-}G@3Gr@Rr|> zCGc%YxKHr)NYaN%8jjgpsAlcFpH4X6Zj(^ELoRSHxr?c+kl)-CJwS7;m)kLtO6Sq*eqnJlsx=Ubt zl)#7i@Oy+G#zyN=i!P?CBCk(oEkAm{=3X^mHZ$+19POw)z8q4tnjvec%y{AuZNoxd zvLoMXp;f;0Rq`bQ)mp-@{P$mK)~*tgLV(kKUdg-aXU6NnA_#(&WG&|}H|{RLmHu^JT$)v}yq z=DvJf-{7$j*9Fd}KKntOo(|49a2OjBCj93oY~5acQ7?LR(Wt#=O|xa$SvO;TWY)R7 z*m=%5+6x09e%&sA@`_nN*g4;7lbpesN}@v{aKCUne*9#^w+GMhjl_C4zP(q#H!8sm z`2Np_4p3+|;qaU$n)q{#Zv^xeh9#ap*-`7?{H?KVqN zes`bJ|MBD6j~^fS`cjaRW@cxn)K?YTkFW)Q=;$aBpXJy?rl|NOH#e72#9^|Ogybwi zSK%X_cyA}y!o&-Gk5vIqPT95BF7xB-OD*MXxh99oOWaqN==5Dz+pn+Xs3uiMhK@bd~!0 z`tIJnyRNo&n2;J89$uXk+s1g>iItlbsyPw*XotqoPntZvyl7)o@_rBQsR1quZzP>u| z<>iHCOVv~fmOQ3krW5#5Jyl~n%Q4*|R_2JY_JRyQ-zM2Az0t1H%l0=eSw0rtwr!hC zpt*$wyJFOSTH2715Qpy-8(dsmX8HPBCad!$gpTQzBvprtKbRi)Qd6uS?>LA7;HHdg zLb!`OSlQW)tAmcbdi9EqN3WM*1IcarrVM>CnZPdR5$;W!Jk!#SdU&kIN%@npAgp*~ zR62|7`>xpzd@glcp6hsRtE;P3`ebDS6BM2&Fj;?&78ASv@rhOW>KrDx%8zlR zv)EBu`oWUxvtzzT?_-%gJ>Ow!S!IS>a}qs?U+2f#@(49Sjna%g3C()B=B*81iC@Q$ z92qjSK5_c=%0RTocx%qUcV9LXIhP;)tv?X9zMjH z*~W`8(b87FvBSE14_{gGpv$vp*SM(3t)2Vv)2Dr>ohE%51ih#l6BOj+<%4RzwY3=; z89Cp&l`JY?{quc$ftBEm`m^(e`{?QE>F5%px|$So%C-+F zO`)HK#l_?-_jc?%DAVxVs_cl@rQ93Jc6N5&^vUW%1C}A(xE-U#Ql-!*PbRYq_wC)A zq{PvC7;#ef@gs+=uVM2fS-ymXLKxp+cJ@TWnvmd*^kRo#)*(+yQ6l(EF1@c?XOb_! zHJw29wymwLt4s96iD_&O40m>Yu>^m$#w5VH(c9MH|~+($$EZVmk@|R{S~b$ZLd5lX{tUe+3ZzPQbHE&v87$y z5ra5)?%bECGnWf*UhOl@%*qC&^X zu+|q6E19Ng%$d z4hjemy1Ke5D8;&mQ8atF){fmw)=@;^~aA&oWZoTv?(%qlD_oG8tIQx z1XWT~1gGo~2;P1rrKMHA3B*BP=jP^Y%CMH$J*ly=v7DBY7cTVn^(jQ0QeIWHA8z`Zlv`L>n3-As?OWl@ zQsC34Rvm@MjcWIvKYyMeK3k)D@Zdo&s!dyWC~@yQb@Ph5`&w&`vHfTu5tX723!FH? zWlN)O-aGQ0#++Q&>DH~fZ~?R0XUC_8^^C9pGBPqe#cSpO!T$dK=O-;v?2zeV?gbq$ zPEJe=4GcV3Rd?K=^1-^i=XMq#e2IB0pO{$r&!0cPfB%lap=3CjmXsu2c3La`btlM$Io70V9D21e=X==3_#F)%Rf+Le9d z>kI8XQv~U2+-Py}m*;|t^Txcx-=x!D#Kke6cFMf=;bBF^S%4pMg!}4j%TzGGy4A~L z#1~09#H+N%oZAG@Ww{_L`*7UsNclZ1dhXIpqaq!*_MSa^)KpY3;DbD_bEBiE5<+Hl*s|PWzR-nX@zCusTpZZuIyvQb zo$thnzMLiJxzQssDnn+L$PaU4?V%A7`xzLDk#U>TFNeOWuBS&&%#FT)wxy*d`i#q*&u(@VRaHu6(bIN=N`%W(b&fi^x_w5{f!9q; zj(9ygVf@*foQ|_iI$hXqkZS+_2&9r{&k9W%*=C;~3~rQ8w;PpEQ&Ss~x>FM-voatl zJ$!zkr{|DN;8O6=KQhfpt1g`)?>BGW#LKwgmp~t^QUsFgR zLfAgV?nLh^yUT&yQi9Wu#k{^gDSs<;HDJynyRV+uq>c3ctOPJTiH=eL(ITh z1)YCzIo|pQQkV11tsNY?a!neM-MT#1S3xye+uA@FE|p%oe*I;6dAUk*Zcfhg)3X91`b16f2a0G-9!};jZqd9@tm!KDYHKB>|@j^mEb-`*g9(M!282MfmRF0*%c*+WT142uq)5f3Soc(ZQl2mznVOt@!kVn`{3MOV zy9gm$zx_fszkYte+I*7ZHxk6ykO9pM4B}GWynp}l{QaE*$FK2>NPzY=G&X`ycOi#n zW@a|zJjW%1SxqW#Z%8@(h>qvt#fwIFiK*?3-D=X;uQ_fEm+03O3l~RozF2}lO@2Q| zAl{0b+bYsZdD;tXZD5hZSev?Ljh%^SZ0rxL{k@%xrZ;X}D!K>Yr=g`aGB!>PIelxY z7l|vw#?{uwCP6;z=kTyI2Fyp!kF7@<5EhoKZ8PwhQB}3EEzhimhjIUYR+;R)yhtqI zrAwEzwcC)$t9>RA{~3wvKF=pg=dor%lHp+R&WRTzt5d}lCk(3|ah(f2+4M@+1w?Fi zm@ooIJ^%q-nYAptn#X9X5aY$214%#$R zac7f1)0w4(Uk0<+7z8axk#eG=qcbwBiXFx@GW6<>kz?6XtaEVV;3uH{1P)>{pYj~TcjokISi;56q8u5@+u7=g)wBUmu^LuF_)3FeC*+swO)d+tw{x zR*#%#rlWJjPJ+ZF*W6exAaVp` zpM{5;$_vg7e(m}G##6*$baHO4%<0!Pj4MO8Sc5zLYZe8Ww>T;Fu3b~TpPmLBk`nb; zE2-rb#ND2>Xp;})lPGJ`wSUJJeET*D9bM?;b)l{XJEPdiSGWcv8&jW6o)4ge(O@CGwkpoAedzx@lvxv@-qW zX<%SVsz6b}InrO)Y^%%j{`-Zdu=jaVGhkEmnM7$@-3ggcNs-HhUJi^VZ>R&T4S?qsq1*lmI7Dy7eSb+XDH#Zk{ z7`@~kiG(Nqz~=K=w^{An8`dBJP&7#)5h9KkR|X#16J@_Kwwbj7SR;XFKI)Y9HEZi% zA>5bsv^+VR?m-=O7h1pT?9^SQ9r4^sk)4yH%F_%f#PS|+BOp57*N08m|MTb6aMdcf zh=YRzwuUPAE$ksLD#V{*BJ!9A`}(w6EN(DL$d-+l_vX8M6oQr=gB`D@Au%^@+}Jd6 zRZ9Y?VWMQ(k4pTa7g*SdY_uq>KZJYH15*5iD9fzOerS@qST0t2VMzuCm{ zzM$J3Tew@d*uf0~6QtCnJ%4Ows1ec`e|)+K>tpS+Y0IlsOy@msZ%B)Y)|@Kjt+HcM z5K zmPDWeZx>rz=y~WDc&zl4{jk~)-!P>^K~4_&jvXbS$=K40QKuIPn)+a_DP6%<)8qa9 z3EDr2ykeJT!X~WQW`e5sUSeBG)0K|i?Vb4LKPTP)k|8W4Rw^u>9Ks6@SZat_SxrF1 z&n`#QywWXBC^9SZ_gBnIGy5DN6v&z-h$odiEYvEn>^v+Nf=u@^F)sG#@UZr0&qsRO0s;c)6)!H8uP>LA5qOanKYsiO z((~=xH|#SmuG9v@)2C01pFa;aonmu8b9Q1Dn&G!=65kTQ(l}5-kQ*zc{J2&tnk8c{BBa zV1Y6lX}*Ze>CyJa4%S4At;6*4M0v(c6*0t9HF*d%l%fk~178CAQbIU_t5Y>Iwr<}1 zkq7C7Q6{iyfIu%`8Wa`fzOwKObo#!xcW{)ASzB&0tITgzqXj`}V`C$5@usPTXS1GK zixO0zv9Yl~qey;(+mXaS=3TdyGHbLYLm%^|k#;E{GBRBole2)`Mv(hIv3qI^_y11S z;96oH?(*{TuC68JByXg# zT_2J5a34t2a_&NaW~ATo&SF9HZ%TSlf+q~C_h@Ri;58wyAO7~ipitE1#yegcn3|?! zwWxbHpS&?9VZZN;v)#FKZvYCWFMdx~jQsj;!N|zSM~@!CxT#sZ4PpsIgD;2q3xD#2 zii(QYqK$ucBW|P>Y!pj}pdt$T4>5;t}+xJ%`S55cN2V3x06zeZA2;oiPu zhy2BhCj|s(*26mO93APzckJW45cpEC+f|h`3%Yi2@W$(s(|!E|1BoeD!7lK^{QUfQ z&EIZPYn{!`g!aFjs}Ojx#2r9E&;LZfx}`gbIcs%od4kK1w{*o?$^HZ{uTreiN4b2< z&hUT$<>2%+yO$Rqp;LnMkrQhvadrj9fD)#jdlrS*-Ca*B$ftTFT|5DF;8R+N&}QUv)< zpYEuy&jfl-)xf8up`%NsOS(bYXt>{tY8kHQkt53KZ@+z8An5;4YqYq8G?Aj7x|Nct z19&R)lnt}&HcQY9POYx3HD8(;0*5MfUoA3?MUXvZSCo~Ng|v%|h{(6PF?0-naY5em6SAaf2^yc5eMtn&zfUww;3L2Y-N`KK3T%KC zGyFB~{)*z))P1)YU8t$3Vv1fQiJ!Nyvg%I1EJ$$m5K25$ZcbCw0+*_<#|YDfy-2ZR zhn|D8#?Yf%>f21Y6kg>dB_%nZR|k6(_$;QX&_Ta_oj*iaC z%HlLq9H3?l#0NAVye-4%Qc4$j`uQ_Pwa)-2Gc$IJXV2vr)gi0zXJpLD$suH{a7hu& zFlv{M$9{fHAbPhqY-*z1om%skYv)r6@wS}>nOAFw_M^bZ98ZF{<>RO*J*1ehE%;Vs zH}pb{6E3FmYxSo0r*04ce+_XnWY;XcVL}E61r3VMa!k+7;p!1!d_?Zo6-b%Jqm_Lf z>3!;YFOv3U9i524z!wI6utp2*M?#kJMIFZ@7QP%^NL8NIyTLdNk~=&yVipl_tM_97 z^2m^r%l(v=n9og3Gr&>TcNsxF$H1%+v3HkH; z_sQ-^8O036Xi?Z&G)05$H8sc$S`aY-Qh75<-4IELcWBBW!Db9jG2#?Uv}deA6P86) zOm3=lzH7I*PN}nc+)JoU9i0w)M~~zr?k_!66g5d=^orumwXK79Yh_Zo=J0B7~JvTV0B16 z_~rEfow=CtVm^pSDzIEUI)(KBN4%HCx6B`1r7kIsmtiUnNdI9fE@qg+Qe4u;4giJf z=0KEoxQs$8TKDS6z)pAcbmR$kCGqWR{_IAx&mD($jS->H-{}un3#$T2!Pt|#7?iQsuDD5=*{^es;W!3 zUOh9?VnqzDovJ!IrxE=imay>T=jn--GVGo$TecuDlQz}^RzaW-t*=0zA*>L7H)aOE z0=gIlOx3_N-Q3&=Tv#XH{dEfR@(&+A>@|1;l{TerHl^wcS~|Nr-wpkp2;fDyD9Xzt zc_&In!59wD%KGKCeK)6dclA_zj2urd6)dv9iJb{0GhGQn@e#A8BxS&{7uETDJCbMI zY&Vd2*uo2z3q3|bNr@_?|HFsc1(uOZv*&?k7_O-G&kLre!-#r9;@w^bmQOkNkUs)h zKhcrYg`F6HVzJq`IDQ(LCD2pN&Ta-`jQ^td7pEq^uz-UKY7vtsxbX>frdv*TiX(9PZwonG1W~$M^q*KnRLJA3|8;krrfOi(ACwI zRim>)Cw$J_>5z%rPHY*>YaZegE7>Jgmgo03)Ixs>bl z;4O}hq6UTdyNP8%PI5=SGL)Q#hawXQ+6GGb)(*H;#Opp5pCKDE0>FC<=!5}Cd7_b zk(b|begnx_frFMC#YwLk8@E6q!27zlk>vKUVFeY5_yf=%o-Nn!|Je&rR8#~`%Lf;H zC9kI+`^AZmN$)&->k_-6T|IzXdy~%mD5|#|Zy$IP<=tO;FfXg1z++ZW$b+IC|9rM1 zjaqDF^4&ukF1@*NEF`Bh-Mp#QCOm|K4+~@TCq+vd^NUGufxruHbnFa(&jVpq#NG{~4&w~fjii%=j*~mZLPCXQh>6w|lGJ*Y{ zBU6&GGO&)v1Q+5hwiL2{M^r@IXZcB70 zYB5A35S<4A3fb~@kQ;za&AF zBPpf}(1E~bThu(@4a3Z{>@F*9V=gj4IUmFU@me-I6s}FK=0=m9Wl#mN1Sj+5i&+UXZV^$B#n8M^Cq}n(*gs>*V4(|&}9`YRGG4pJ;)NtD``FJm; z>G+ij5}82RFXx$2>%ct>&oa={hlhoI5`(`@+&_X=UHrVfRN^J8bM0YaVJK+;lmf~B zlbqx{Q+_D{DLy_i5lCHvnmCeSJXec$%y8-xt-plhu2Nn;K2wPEVZ%qX+^jNFb8{Np z#Oh3jUa1<7*uwWsGJ%qQ`#;g`B>8S7-*W#FmIUlS`HLmz9x_-L4<0;dYHETVN5L$r zQ(A&@xa-lik&JQ;&R}xdqYwQ3Ls>snRY@JobX~NO2?Smr_A0a+3e+h?-$U^DC-i1m z!wrPRmCD0^{5S$i0Vo9R-w-e7>s#Y=as}=b%n8)V4;?&M>Cc?8*1Wd547ZgK+LV5I z9x8!H)2PZd)LcrGdci*g6>l?6In@KFY*xHFC}y;B(I=g z;CGzFtg5h_IaB)ftvEpr@dC|((Oira7C?)YqttEa|5eI;LpJt_pf)kyUht)>OV2GkQ_7zSv=tt5W7hB4J$v)! zO&FBj?#mXlC-?5%i*Aslki85HK!nwg0f)T3z2U&NJa`Us`NM|~h)ctrk!5A>FbV)C zkmHaUUQ|#w*mQ2ceo9~=dikbEKORX3Ylk}s1xeHyT@iL*f^g>IIJaC!Mu0G9K%O~l ziefVp6BD+Y+svSHpGNE}q3qY%coE&lPA*LnVThYaV z8V0mbGTG*>TiMvz%{oXvc6YC13xkwQS-0aps1Hdd(Ivg|9BR+E5V0G4QYo&fsp)$t zxZ7jBbm@)V<;(50wembXJcK}0Dp6S}Ms;DT23D)Fp&=-YuKEEEjzPnr*xHkW-|B40 z;8R>THvSSJr0SLgo|vvzSy#sm0ctr1N6W_6mhgoRbix?quSUbd$cRm*0i3mLeQgLV>i?=I%@7M&f@hSRjb0Ni?)AosOnioMV>QfbOTulI_BP{ zPrgXr0K`$fefRFX8(X$`s?&U@BfuBZfN{?rR{zUksLsGMQQb}VV*}bUI3}(X#mC2^ z3j`GPbaz}vMmb_yy-b;7&+t>%KZL8guH_4ra`#opr|PHSs9pEP*R@u8kCNLtcGusF6r`5LqUg*@FzqPYFUUK zx9K`TSb-Vt$xr@`s?{rCEGjH?f-&yn5mB?jn0L8JSNtch}%Y_tkt`WZOuxvO9=c&}C-Odh+`lR2N`5LLX&eoX~|&yG_xx z1q-Uz^-xW9bzrEO8a!@K;QS{s4=e%X0-PCoZR3+iFi<83UL*)!k*oW&(Dq@ z;^0Wi%v5sc5))e&=m_4laU;|xVu)+$oQumcIE6)PwuHM@e^^;j+D||*c(*w)6K;|N z000hH$T5Tt^PW93D6ND>Zredp(d~b3NCFPQ0!lA6I}@G5f6W+5?SOrajsi8?F-t;< zI%2B2#P3^0MGR_o5nuZI`nIz;&yS~Dzld7W`3^FSxax#^irp|j)-HJbc$VF@V~G@m zpXi-}oi&Q-!v=Sr9S)9)ihA}e$+-pI&)c`x-rU`S^rOTbwEG7LgEtkpJ&30OFE7V* zuEZ@eA_zhh!;%;W3r15LyO-04a%_L0STatq7Z}N+omPO|I%;sqkS96B&BjzBAMa!>m0$dg(+ViXf?nx@lOZV^CA;&I^;VDYa;kL?T?88%tHLD_&T zYSRePka?e;Kf*kwn|=LLr7uHYAo!Cd-H4)-3P#1O;gf3bt2LnbqH#jd-X@NY?jnrQu}! z??kzxs52LPAo>7NcLIiD@8SAj0l}}>g@u=3Omk_Zk?z<54mb##0z7{8=Ld4e=f4&Q zqPvm74GR`9L0{6eav+`+X)qy&~W1%v8_j`_a)hNPKvnPtYO1jdy zri2tEa9`Bm(E^Clfhp7?R;W^zhU^23CU`FF^3RlfmNoKC8YSHyC;IrvC@TlJUJyBa zSi*k#X!;f}DraYBSVCxD!IB8OuQ*9)#x{Bw@o0IA$HEAWEG=Dyi+U5G2-1VFZ2k5v zsk`DwqoSBD8XI8{qA1RpF6uIu7V-Qr5@A8S^`VyyGqbZqg7Wjd4`?kmo0IYtXvga3u~pO1JDM@`WukAU$!VU@viRk@D~ z5L--4;4N!tutC?;P+KkR)*M`_IIaIK;uATuewiU#6nHV z2C9wutD;8k;aQkGA8J;FeizU!d$FmtP)kqvCqS#X7cXAvyq*%a3Yzb7nE>0LT73!q zJ4qL##t9zjG2E04d?(t$8Mdlpqob@cOST!i(cYf%ynOP_j0Y()9{R#u!S4-4fAr^F zgLm@%`;{wKDm0*@<9pbse+a09VF4G*FQ~%rO>!rkMx~wVg?+oTIH@i9p?}+6FEIWO z^}6AAx4poF|3{keC$-J~^b3gBzfFJPcf-E*?h3B!*RQ`nKu$;aBes;c|xTK4GJL{n4nHxBh~V#jqL>n&&JY#PY% z_c1ffkCC6qiS1DCp!LvP+ct03K?de&$wFA+Y-};yDOhDsRGY9V5vzUZDS(y>StJs2 zu$3+jPEKrG0gtDRhTpNH_R}Y28a-qWn2^u;O;kQOfbf;$YB2XMW4C#W z-he8Lh|qCfgoK9*RF6#w;n5d$n*5G9f-8tTB+SDz5p2O`V9Td)=yRg$|->C96a~}>N1o^e~sKe z18)abpNiOq*NmNqR*D|vFo3@UYHnx?3PaPMP8u>jcDp{tYH9f@BJRBtmlG~~AO zs|wKBnt9ce>LGKItdrd^@Z%zV=f?B~Ts0_fj z1?9f{l@cAyvVk)h9;+jYtt#M`fvoC%SBm8I^`kw9GJI0Z$#*7fs_2$!@uz1z(_U~V zJ}bml5QT}JpFgpO*~@dYvH}!qmzG8{(5MZTh1!kWy2(D+syA+6kxegLc#j|u7&fnu zd4sjSW?*oFj}Mw=5j}#iNK(YNRKxBq2TZ14$~q__aybuG;7R1QV5SU^XEGMqZH=76 z?FAF)xOaeOiynT+fkaOAx(K1hwzi_S=@9W)v=;fn-%?OGgQN^9Na!Y5d(<0pgX@XG zMEawfOxE9a(Ix1ZPxI%`mTN1EP*TbBG?(bQ*?1$aFB)7>tqDQ8D^)FpYl8pwqlT%RS<>${$Pcxv2AHj)N zzKrUHKQ}Tk09GOZ>+Z>uC*ZNQ=tU`g3oCkdv~?P#Tu7o(pf_s6Jx5d%)RN9FA_V@Z zV5eF?3m3FH=7k|9RSLt6!PwnF+R*8MxzRjqSvK2z87h7mUV*BLirsn;Dg|(T-pr%z zp@yXgR=qM$&&0$;Pme7$4jeN!R#wzO<)5EaSS_bcU-mp!wlY-%*^&YDhG%yJZ~(Bt z0u)IN{Y;E@2OYeO(h-6i-p5*xznI2gPoKZ1IXD|8WfsMn*;xxHM@gRC2EziLaysp8 z)i@h*`g93sCQ(ufTIpf^z*BJ9#4;9$cYIZ!HhBpZn5;%TUDID1hUPj{`a0lv`)#jnKq zf7k#&hKP=hweeq4U;W45r9NCs*+72Ve)(B4g5q3*_Sw`wir_;kDxsc3uqWZQi=oLA zp#{H4%`nBCxAPCp&lCn6>+SDHi>LYv6p*YJCV#+I=tNOhoHy$%bEaeiBRuS_v&Q+mV#I4Xo`Oe1;Y$|+}h z4RcbaIKk}HH zBqYL|e>V^A->-t2pPijWds~`v0>nPJWDt862&~$#aWvwnaRwvts0Q!&>uATy6kdV$ z2r$6mmW*glreyt?xV+mMsFs4&3>(Y1iC^=(BLdo1^UsU$Ap#&!#DHG!^ut zs*E!SP!BJA)?(s7#lU?Q2Vj2fV_-;KTQTd5v)i+8U$UO3cr39LzRJ8wxAN-x+G>g( zQgqV1I2WVivW;`BeGCd2uxyDs9*~t%UE=iXg-tmlgcA~_E5zl}z6Qb#(3)tEwMF12 z|5^*7kAY2CSbH}0`jsmIxi=OYuZ5$nm)(_oem>})D|#;-cTqaF%)c{Kff%I zL7JbPy)>Mffk<-34m9l+!dbH=hz}vE8nnMbh7T8tsYN!QN!iuQKuxUeFP}205=Ya!wiZc%|ndxFcIDKuKcpeIyRdD_X+i6P;?T zhZ+v6XCH+n_SD<^!*46$QVg+;>Jr9H);R%D(Fea12{+j%^1Py=?bNd2_8!CK^Ms*n zDfL(SMS_>+t&<);9G{~vtru3BQZoZfkH5U|W*aja$qb+fVC8mo%8fU`)kJZ|Bk%^UmvDg5(hI8X~DZomY26XYL(oocA6G&D4vZUVg5 z)z?qP`HMD`2I3vD?(3-;Wz}E4WcWm)5Op5CDA8G*-GRDY)fxBvT-3Zjme#K}wQHW- zNz?Yn>f$=@J&d+-86(@kJzFQX+dh5D<=ihRvADFf2B&Jnh7EA+aA%ln+oc(k#WoQ^ z!2-Sv`m}1n9VFis{hmXE0}F6IPfZ^)%{Uu6+~^Ji6^AJKPo8|GbZ5%j%M0fhv8Ph> zZ^3QSA8Oyrty=`=AQ8QEuPTMofe&8y?=!3BAd`TZb}Dugs|s1yK7dVV__$aMmkD@N z4Zm?iFF%f+5|y5zNYXKvpa>?2`kGe7rs#G4D;2ll$#=T^GOaup+F2HXK7}OYd(;u3 zZzWd)vqN<`)e?|6U_*)vM4K8K8k(EyI1g)%Q*h>o}Hx-X&GeVDxvN zgP96S4-3BsQvNrmm+TAmN7j_=wdXkq zlKwtE){c&-e0!`cWI>};YGq=wV8Z=^s|?1#XZ|e{05s_SBedB%N%&7#MQt8jq5wuO zHZmfXE0 zi^ckiT?nmV50;7iJwMbaW%<%?`5jt?z{c`%)(R1{s3k>MAi@r(F9VB-QfKq!1oy}^ zVFWHJYUnD127aYquD8mYi@GEF0>H6d(B6i&M({0Uwq(U8SeHXEZ&5D3cmF=Lj+BH1 z_WG-t+4DtW>K`jA4sdb~Lzty3ryteIzQAb+u3D5!tcQLCo5vF?r%-N?34Ga;p5r+B zjV0I+whawql1&sRT1dnI;KT#M2?YNFGq`lyBk zXj~jVoIJpAiw0J38}J>Z35B$Q+A!6xXHr(I>$B+kTJ+Z}GYoigcd9`&0Wlqh8UUCt zajzz|2^e^ASDc#2OYzueI1GnnL$-zc2BWww-@*l3diJ?aNI7>d=c-yPW*VoAMlk1~ zM>K8BcRf81%V^`6qr`oB5+z+VLGf!GlY*MMUp~F0^Wgq%ASB!E*-BEfRQPFqhGfkW z77${bfriGuubM`36Umw#PBeKi%f{QlUjkCVXoxy)uyxZ$;(_<1i^zYN2b?^|nFg0U z{lcwq6G%w(=yr>Fj10DxS(O=9juOw%n^OIk=jTm32wtu%7rII}{ytdsUq5S3$}a#P zD9}m#T!6nFtN-6RasSr|ukU%yMHXjRyk2_;+%XMcmFe?HfZ?)ZKgP)E)%w@*{=c2! zPqNnBJ>^YxYo6@CIpXzSo@>vf1XYIpM83PQ+l4|Wku4fmREmY|11SsHsF{1)0Fvr zlV^R4!=LbYeJs}e?^*wEp7pm8{Otn&8#AY8_W!3dh=0WG|Jz~wdpiI6APYq1?-ltYKAQ?t zw=ar6C~?{H@1FIykIeW#+G7=F>3`ae{{~)2{zoA6edEUjXF)7-qh& z=UtxXAU%I>uLL`lH2bf_oZXuxLa+9Xn|JzL&ZN!WZqTrGGxwgc3vbwI2h>zu8}&)f z0$Ogvhb8_zfO8&y{(OQDUm^azU^Z>Ik9zeg3x1cD{>PL4d{Fw8a4z-NrbxX00L$y` ze_iO`U6Aj3jr?IfPxy6if7Ntg7^NgpRZpz4|s|L6znmc;Zwc( ctA*0KQ}T)#{YsTPfDypp>FVdQ&MBb@06oP4rvLx| literal 32270 zcmeFa2UJzrwk=9o28^I03MfHAP!JRek`)OG3X&v)A_5YXoH3vz0R;sm3nCy%36eoj zL^4PY8ze~v$r)bXcuv*5_tm-oywl$MxBd6;u2xl+ZuVYlt}(~xqxU{$d0df^+_{Z< z8wm-?&Wjhs+J)UZlT!eK!R; zxv0t}aow%=*|r_dd|+^*@%V#;$C&lrx#^UG1;x_HO z^IMq?xtLIBiVH;1nil>Foi5zA2=R0jt<)#}`hkpHnKKu7yzYw$ezwaG)2X_6sslVUt@`K16cX#)4o#mC4+U^xq6_w`X zf>lE!qqgd)Un_LWvtGoFjIOb+E||w8=q&vc8C^58wUxQfaY7F7v14)4StZ5AH}&-P zh_anH;pF60+rAQ#nwt9I!-w>At8?ehxl~Oh)-*JP3fLt1`}+q3T)lj`l8|FVMkl;H z(vmvXYqz>=xA_4>-b#Cxg~RMf;nuChE1VRwQBqQpkdO!p3bL}YawWI0u-LcnIkRk7 zePiPu(dn6)tqjkfKi8}jVrBKR@9OKD@%0|ls|vZLqqArC?%Fl4?3?A3tgNiZ>Bp<> zW`$^If=${!96NrzBhO*3>^bY^&71S{^OKU2qyyO#WsTQ%FH?ee^NvUtBX z>BFMlUR{kd#QUyMT5B@<*k>L$;FJCI(3#s2XX#IJalIC9Xlm-8T2K_B{U~z9+IqI= z;db#?hZq?7__Cs-i@$t%vt=$aI=XLg&<l25$F%L-_4R+=3r-C&YX@5VzdB=RQl)?vF++1B%R8_H^o&^Nx>FbB{Sx7{>PE1TN%Y+!1nCv7a zrFT8ZZyEdctzk>b&47RaRaI31o3W7wYCAhSlje8lre`Pn%Im$_+uOZqc%@aM9QFEi2W zLe&}0?Kc@GPTV{Dv1elQjsrChlzY-{)g9O&Au77T`2}%3&#n&m4CU0-)-t%hQ(+Sh z4-X9F)vr3pAIeTniXbxU%s;Wpy>q9xyE`eR*YlSzU;6vs(9@$lcyQ$V_n!;>;pK;( zkc*0*KQFR45T#!oM##Jqg#|AvI?Tp)NnM>74&9}fE?>48X)e^|tgEX_*2=@?$+4Z# z*|NoLCk@Yq5vQU0xDzK%>}S__A?&mm#BHReu73LTX(K~JM@PqR-@ci)XVyA!XS=Me zCTZr7GEDubtgEko{P^+f4}pP!(#!#Q5-I+9W5f{hj7dnywVmj$O1+udA}1&3?d{!! zpK)6HP*AWIa6%oI8K-a|U$C?LP9Tkc=|L`o8tgjzA$i@C2kPEjj*pFT{ zTi7Ue9`Y*gHqXLVl9G~YZEeM8B5e1N5l*PQyIZMe){)D{%hn)PKSwWt8-?re&*@=nksV&_$3qo2)*aM|E39hcL z4h;?USBH-?z8~TC3mvgajwQb6U1Q_o@=onJ&CTuY>+9>|L%Hpt>ytNc-hBUlO;S?Q zV?btBuygEAVB719{_f4W=Lo#kBhBX?Yz{qhCsM$Lj<_xEx}>Z*jEyzKD`R^qc80!s zb^Yc|CMz=`%YiF~MVBT9d`fj?f_V}nBF<8f?iSs%cW-b|P;OpcAA4D@-4rQ9ZEbC& zx})gVPi|zv!NJA;FJHW9ZfooB>yyivuTNa|*vlMyM(S|&^75jjwT+F=`+alT>+9=_h=7_ z^WFIJ_{R^uT2d`yFyBp*r^t(>6sJclCnO}W9S=Fp#pO8By=h@B@H{b1)D0#kCTeME zb=z_C@W@w>l-`qwdKny?k(TBzt5M7T_KD&ZhN3=mb#--tmK<9bcNWgU2I^9oeCpDq zscai1b@gD|qli^P>F6k}%>oMxi!pZV<;x~Zv!mZ+HR_7Z8=?K1~=NgmEoPSz?_-gVSJE-6V% zRdwNu`t7&D7j({@e061hvQPTZp+l$wB|B}*>MvO5$wdj*RbF>Bo69WMFZE-Rk&(fo z3vm|ti(V#qu%Jj>j0pWmfuXEpxEKCVnPPL~VIF*~yu9J9TPP`6EKKQ+Q&|@Mvm-5a z3Vq)vY%41(A8g(kS!)p5IiSEgdCS1S-RyO(!S7pkB_KY>DGPWF8FM;fYje7B?|c}=Co&!%EO`D$RD3#6B4+%xayml z0teK(%(F~POb{F~wFW{;yIx&U(bB5Y<;2bski94#X3V4R7=_~F=jYeXTaqVtWa4e@ z;Iwek`WMe7Z6hUBNzuKiqobp!SWhEBvv1$N{)(4VC=)8`>Na8Ds;gzso$Es7oEIX3 zu)AT8#||Dkga92H9^Oihdn3fsS2^VsZSmkBb zmy`+R7bjJHud~U&l){AT)G0Y7rG2tjH8eC-RK9lIW@BTEJ;6nN;^fIE_qNw*X!%Y(o>uHDZie)zeo1bFeJ~`fGq#a9sO=V?XCMNN2qY_`bjMP;1Ec58# z;5O80tf;Yxi7HzolIB=P9&29k7BX7gPQ{B;=arQ+va?$&Dn?L(o)!m}8`fP4H zs5nhpxkN7j^62U5ne{JN`{q2>(9~2;R8vw`ZmO>ryF7Vpa%sFEqs{J&K@I)T!|d#A zKR+1HptSxDKJQEieFAq4eedo^fjEtsl-;N(U)>7c&f-+6Eee&lgk zb@cExx3FkiDZ{=C2?;?FlL-^Nc%r%{>E7>KgzY8)SExiJh&Nnwnaj{yfcPtb@tFSx zrE4)bytK45BHhc=Q|wl=@hlLWK+D{7R^|MaS@qgBWGWges*B8Cj~`#UaN$W* z$n5NFr^}iUgYI}o-o=X-BS$5D4&E{{Lfq_=y%be@=3vzpI>(PX?_XTfv$NwX~M)?X;a~lFGUIn5@NQ#PzT3DQ}avqaxq`LIYbUpD-ySY#r*q>*szL2xNy!qR!^s85K@MgnCj98JW5-_oiIy#Xor`$fBI(hPftgM-VLBt0N3JUjr>)8=iY#C8^H#fJJ zFI%t$I%8zR_lSNzBEeU7jA9#LG8-)+ot1^fpd;4~@8mUYH!wBTd#|$h=%t@CGk^m% zFHh^+FP;3VWh3hT@L{ojxRaitVXIx((W6ImY{s3DG|bH>fruWF(dFOn62&D0@})U& ztrv*zLLOrVT&)GGGaTaL#Ylnu{r!7I2P_w}t%e<)oK}{Xai`G*Aprr_{Z)sCW}Y6A zjEstU@ZiDPe2?$a-1uHm)SwS$ox*b6SP1F^2SU!==_WR387z?`6(2u-ME2`0_L>_b z_{%gln0MqmwKX<278P}OeR4aLzQLu?tM;*8we;&pD#5Z{P0~s@iK{;KmB zGK){KPH{fSgF?#Zmj=Y>#uL`THePvue?X~CT=Du_1jwL^#u<(_#bbEujo~|yr z=uz3Q_KRUhBp%bdqK>$W3W$;hmJ>dhsG}!X<5LrTb^H6rd$(@gdiCm6AiL(CZ4VF8 zD)T$d|LmF@?~D-@*tV}8T|`&z7Gl)chDYUG^gp^=G)W`t@an1X>H_Og;E#LW-jy^{ zk+gEBd3eSe_SmF{REG)W;0EU>dY(Uf#$Ps7?6u$Z39pY8Mai|3&huSv^YgZVwE2S9 z&=wKi-zY)X*Lh&ewv8JuU%pK3eYEw$&Q(WX39;@@=cUndjsismh3#9nwq%%T%gcY0 z6VG+dWG!qOjuHYg19&n zAD^m{Qp2ZDQBi{1w{0^tGz75BFmBP&*Jq~PZS}df_D=8Dr*GfBMISI+{`*mKQr(M3 zDYn&p`=-Ly_$^vGE<#3A)2XZIAu2skQGiUfUTRciB=#f%3@zG@ojdVqhs)2cFVtP< z?(zPywDfe~)ad@U*yLnp*MXrS>#*J45*F6hl;Wy+G4XxCY8Pc?wcqO$BkQ9uwpFIa z#oa<7py4&Ov?0DYI5=cwWU9V?9blAq!VR9KkEk0Q6{c^Z8|f_2R#LKROi-6v4L)4JT~{ z2Px_$02F*uuAMx_d*$kV6Sjf@1aF@4P0Sy|C@ zpeJ|G(h7U^s>_c_+J{ys8<_*U&AP6svGLKvhY#J{ki>FaXj{=*cIMb5XJuu@#u_Zo zPoNm%Wz3U9`225B_@-}}qQpxh>DafnKO2qtqeqW^`+f6;m#@}pdWv-L;UsMz7<_N`MM6v9*w@_&6{1+Twlw}jZnuC zqn7+DXc|XngpIagKmRRcc;`rioD93K9ACEd#fuECw)Xa(E(sA4--m|Ol$4m%(w7tcb3#xO0JRm~ zT)Jgy8ZhrJ5tS|=`^Lv-*Pv}$3`(J!n0{L+%|K|XnksRWHI`Sa(GFfhDY+tGjzuMQU}a9&v)sEvAg>CI=!!oosOIo(qx z-we`9{h5Pbz65$r7id)g4G&V{B(|VVs0*`h|e=IanybH5mY^X zPvu`#fiN>?VYA`hwf?HmwhXh*_ctU#l37`$dwcKnDqbWKOMCY&MX(493u762U4~yd z*xQ3L*eg0YHC3#vIv~Rb8V!7uq&GE_@LhkInBl=eK7Rhy$#M>$L%bY?U63}heL*p_ zW?RM2TOK+5-8yZ$tR<<&rIq4o2YDZ-P3x}3| z30-?5wI6|N5-ZrZe|1+Ugt)b^F-scI~G9{8I&rc9=`?Ph}F3LP5d3m)gb0&t@k&&W0+y&?p zIx_E|O{P*Dm`9>VaoNi(gZuh>tyrsxl`LO><|N-kB_u&g6JLJ^QY3$8dH;Y~a+@mLLI)P>sJ|+H zMoo>HhDQDB)mfRQ@-JWXkX=yyMPI#3OPj8Ec^br!zW^`xKcF~2A0G;9Lw$Wa77LMq zs%XUfK0Dj~!UcB}hM*udWX!?37zG6dfn3sk`$U$1enK)5!eij#N+WQk>Q`%}=z2`g zE==`{*iF9nb!O9LVP^Q;*l3M!1r`E%=u0akg?c0_JN|S7dgr#XGDBPf!pbRkE<Hty|s)P8BsYSmBbeABN4MJ5z3YJ%7G$U(rRdj*(in zc6JQe!(vyi)PQ*bF-0WH+S@C1-XFd6;`{Kh131mo`c=76V6mw~jB_j70=@Nyj& zzIAjsqmr6S+VJr3w0$s9P*luCl?UqGv113|7j_VEhMI!Hk*6vs%ZCpaeg4eI${HUT zSwtW>fr3<1Qyb-Z^9SlXE`16_5S@rKTfVscc%Il7^C}>tV$XdV?7ZCE&#U{vqnb6p z14X%pok(CK36+_fo7=zbp&)It@7!wRFp+qtm$mF47|7JCq-GDy0U?ck_6p6*OFzI> zv1{cvlSymic_z(q)J^1PN%t0v2Oe~vOiGd{p>^$r^RW| z*}&pe;UXNgFKZ0azJLGDXYqpz*a_%}8H;U7dQa?HxP21?F%^ z(T3^f_csKrM_!!PmoYat$G)}k>>3#n)cyJd1!ddD`@(GwB5}k9@9twDMjx3Ng*o=_ zjCZc&w7&cI?=R_}s#O_}-hD)ZToh$W3{R`*?;T9B9y~7(a{ed zJ<`gyyvRZ^Tm9u(UI?0KE11W>s zu0sHCY9EYWMn~U-4p0>;P+Ye*+h&$wO29VRw%&Uy%gI5C;J5vG?dHws&q@D*__iuI z@$C8Y2lwyew$VZ#KYkpUST>Y@W^u-7@YxYbpODk~J}B1cJJ4-AycQ4-n59VnhNr1l z9X9&?`$xUhEDcixMEi|h+PSvpNT1%(jTlK}T&f+p!$ob(lCp`m@x7jG2dT8X#&>j{Jv2o2pH0LN`|vWf+VZ}7Dk^Du~YlLrO_ z>=DIAYF*j(pb(+p2EYius;@jypv(@N^58CJZtfZUC$@h_JTVT=`Yz>+6FUcTc@-6w z@+Oexpcy1NIFbQPkYNxrK<6%V1RKljUd9k85urd-c+(ir#dwcsp4()u4{#`S<;bCE6Vky{CVt8&6610N0?_#LIi67zQoP0ng{M|eY zwZ(aH`qu5+KYb1fyfy-Qe{o2_8aN0kLnF`Lsy*T-lYS>yEo0klg-)!M&)t}7BGB!h&G^oIw&;oezk>2mN)1cIidWQ_h?kuK+! zoz&A1d@dTtSTo)U)J9@%Yo1jW4I zG|5F@56j%Kv^>aX{u*6A5+Q$S3z{u*zZWkq9MXoC;E^}o(czH1u4D?0jpqv>xrw?z zo*F-){^62>g2l{GgCf_3n7XD!_5R`E;l4hmQ@jwC=BMjqk^S))(VQ)hW^`(0nLn)5 zTbiGL*D?a^e_9^kx>_E0m)#?u7l*VSD`-0$(dPgydzAJ6y_uQWE;ozm0Xg=-wwjug1~n0;W0tsHl$82m zVByiWj5*^qU0ylm&wy)UFNYKETFi4CWqRk1j?! zfQ`?7dLUBV3vu0N6rJI~71xnE(NjW3!($BM504fEUoN`k`-@O2dJJHdRk#*)d*hse6 zJ2=F@eS4INNv=N^w5j%3-rNwehSv0~<~zlho{J50tD>T!^0>ckHy&zhD?hO-%x4n* z7#CH#m2vaAhQ>zpm1qyBy&K~#WDZbMe;b@`Y`ltIc48F3WDj@b&??XnZM%bVTWVtB zsqC8Bz1`iSLVJkaY<)eW zO)>7bSn{&Io*vkH4z;weB_+%}JRicBgMKb76V*>ZanQU>>3w)mB2b0UXtb8@5Mp9t z+QVFMhT8i_e}75O1UQD+6NkiY^4`yNB&{b~GjW^xBGvl&iYhsR*q%BXbh5~kLjwaR z4?Ly~xt99=y_~XgVBxj24FN9cDClp;1YWkD+eI+04hs#4N=jl! zB|Lq43aomnUZtmp$L{ppk;G|h-yc)`)ms?~)>Z}fwR-53x3;SB1QS-8&HvDA_Vjp) z@4P^YZ(?>Gf#i=)T+u!9)hmY1nid~m3^(#3o%f3kWKuwwS*&}N% z>dOiW{v|y#h9~JgjjKZiG9cboDail!0HSpDW<{sEuoQ>I=|Sv!O$`me@`1slE$V}# zw3iJjuNhLfyDcm%)QhFysh`%XKwy~h?g@DK;KA<#ye7Qr)Trb*Ch9-s2;S;_;IwK{8Rz$ zM;yi*vhnlIKMS|8QL@4@vQ(FieM~|=p{lfTLm?!Ytqfp1_Gqs>F1)sJ^JZjh&kqzp ziIkM)XAWYA$hneZ2a@tR%o^KBMI|N*nfG3h3$yr9!QiTqZ5bE*{B^d%qa9S=i{KE|+nX3dD2pMan|d{ahdmv0G;h;yz1<=&(IS=S2hk5MGgwJK2lt))L=ev7LW z+zs3gkYB)}^ch5J2?d!kl9K|J8yFjp3=JJ`J%d(u^Mj{hVeB>^@Hn;e9qk~O0XZ;F z_<<0*-Bon=x8Gys?CPmF@lH4m{QM60D@nz;J$mGs9Fp|*Ek6JwmP%h=-==;Zl==ef z1wb}aSDjTMbGa-IGV}6gWM-c6P+DayTd0#2ahhpRCc=N-LZsF1RhRlHH|!L&hKC;N z3Z>6!B`)EjK|Kxh^rV`!@eEy;!>Zmjm48O62}~8hp-oFgWrs}%*a_MVtt9pq_N>?9 z)Bi*XYp6WNYQUvtYdc>V%!{%M>yNNueJoN8JSrZ#SYcpbS8~JBWQOyPE(P;=lgn#s zhrfJzEOZpeAJGp`^(sDIT~pIUPj42SX?uZ-wqPal*XYOy*yX_=Kdi?)gh00=(NcP) z>s1CJGkE$<*yh55gA8ejUJ$&Fqi|6eSAJ<)A( zOB>#}5j8KCfK~vhf9H-Jxp#WL;9|DyIPkrz3wQ4TF572O)Wq1Bi<^5Z11&9Wj`iq} zoE(b4p@ zG_*XkQ+auJaNcq_ueF(R)+8sAe23Yq9CGHWrOMHSo1fEqI@ zVe>!hjL)1`-{$8#_mw@zCs|oq?jR*KFfd?YXZLyh_}MPCDpWzJ66o~NANSy~gFpg_ z3(0d_rr04)sPn>q&iuT#XHNE*u9$}kCxu*=$0*8ygDFuCTe8)k;}`; zG0^fUDl2nnW^?|zE;7v6(wt$RtZ3qz*U6^OWbXB!IwxC1_s!)Od(&ZA7p^eUH*gAT~dIZv9C*Uol+{@J|VFmL1!BKf`&6%}<__bb}vCe!fi z$@V#2l_GZI_&5?67CX+@JM!T1?LV=1o#w}HeJ3E}9w8^?S9?ZAd>2@(k2%+|`9X%g z4$voR?{{6?ow?$PUQWEe?sWRc_C|lY;7T7)PflK5NxolXSS>+d1UeokH+N!k^0?s3 zyu1}ad?F%o){^=4iK6b2^TD#h3!l-N*b_iOqY3%NQ7I};cPQGTD@2?lUBSO|jxR@d zb$J2ACs9}dC-XT2m+F8@1&FbSOzajHwcDqK?+?gS*eLx=**G|2s-ja;I6aIxv~mH( zwlavm`ibuc^>XCM5qRLTvQ)Eo;JKqiH#J4?U0VToA9G-xv39U#{C|)M z8TS-8E^sn1+#`>C^F|L6ChSsVWWGd$+eTaT@Vj^KMmK<+fJ+-28$+)Gn%=MKmm#$l z{KxC)XpnVo_D*1}1_lPe#t@em)zRrn1PBK3KhyGDk@4|F?2TB&t*kmKcBsg-sK)`n z9{I~#snj)w4AK+FUcE?1?+t@D{w^n#R>CrjE9*V|d`;I^Iz z2HLD@zPj?l&rg)}J#v(f;(}v-EKOE^zAz8ZRZu+bnRhb%*5iw!^TmDpD`m~iDmqKZ zN9RRFVQ+%IA|)*iUKGF@kx1%OIGtd_2Hs-iu35NK6Dd@)Z0hK1uE> z3O}OJ+S(e-0iF=(9S{)qD~sv2L6Aq`IO&N`&d-L-I6rHazwo7@ft!)>HH7c@zGr#Q zb!D>*Y9pb@LY?JjWtDbZYD`oIu7r>41|RLR0~}fmpX=)t^N)x})y96myzc*riyK66 z0PKj-#D$%;v6(~r^<8IO-x0Ghi-?XEC$(Fgj+>WIP^be-aq?va{HEYPhs4#<{Jn&3K#j*ri=9Q+2nO1Wz!MD(O=w66IMTMuaj#w>a0_+bqbORKo1}k&%&-Vh#$@**X6T%QK<0;FH%kFfgKuIp#pbVGxTXpr=J^hx@z3 zw5~t1RDi5PImTjR@MUh87YeMoCkKu$*L(6GfHV#3d(juLPc@$!@_IsKBO}|LPDDPjsKAM zU|f};kBp1sgULqpD}bi4u`#4xo6%M!@B=H#Xz(|Sx@TnYx_v^I&k|T}VqkE9%YZyd zm$-fJ?h4Pj@$Yrj?n8f)6X01%cav^Z)b=kZaFL|iMJMdIwz>ij2cs(&FE5DoOpakb zqeeFPg?;o^dvBjvTwMIs_Q`P@+Prl->dyyO@&5e{a`>Q&mo6DY(Vd;8l)We<@+LBp z(|+2Z_6wpk-+4tXQdw%&e0DRkLRc6)aeN1T45D&!a?a9!t*Ce=(1s25?%g{yWiV4B zeA!h~deKV&lgTS88uPx{xsCV=f-3^_C(t4UkhqDLhe6^HdF7ahh)7 znRq!}y@=>>aajWg;6phEDHL1*uii~){4sTauE1}fGkAG;q?&~AK6wA?>ipH{WuWbs zmL7v;cId8OR7f5paLbu9&7VF!pcZ?ZkRS*K2A_vk+?u%!4teBpyde34qp1uP;Dz5# zCWh|J?X&z88fgZ*XLvWAKmRErA_5^64j!)N)%i%S`h*uKC*U<8Uzp#y^GXVm1(8fx z`YOBrtX-~HO8$Ed_{7t@_7xYq8^hH+)j+m6-VM)`^rcPD$s;2!RH?4@^yfniy2z2= zxQ7^-+&=9dAB=Q=r0t1I>+SE)$;{kw;ABKr)`IsZ&Q6soEf`4QB!bf|?grxibwUCh zER6~aO$`k&qN9Zc@p>Cj3~Pv{c=S#0)B^Z&C=i;LE>*bEIotlIpfbEqd3>*^JFxV+ z$q3{TlPeT1GzEq`juY>KBvRmF_b|cAGF4`kS6;?dB5LQsGw({S? zmji47GRH+C+wZv*%Pxrr=`jlQfWX9RjWOojY(Q!+$-%$P`}9as2oMTUp{qLj#eB>Ku!CpxNc&h&oL=pBq2M->krA>;DXQds#946ROQ30TkfK{6+f<3maxf%Ty z>YcXWrMzDaIg+wii26hHU`-DnJ`Cvs z=m*6UK?1}EW9jn?*t!No?ApW{)}~HRZYf;t{FhEq7q~hX-tvNwK5-%u>YJ4?`?Hds zLqhf_&C#HfKOKgI|--v&{Q z(K*SU&}OGi`YI>Gr*?7n8R8e$g~64+30pKFfigeQuYn^aVmefip=sT*PtyC*T9Z7xU8xAy+jk|%d*u4rkoYkqef zI;>+4bt9-FG(+f5ve|TyN=K!}^<04aKgSKTC~IWOOJ) zzyUj9`@e~aQSf(^0tqkyIuy5n{ur|ySHYM*dGgMviG70MndqyN*kvLj+VtdCUM0VL zsfzYXO|2EUFIqMc!9#Z7uY)IBQ1|5gt`gVg(~G$nHQ|Hc#^p(yFR<7(~J1mR480h{%u} zIur^5=clb;t*F=fw~e1Fp35{vDu%(rkN7iE3coxZ2%r3gAHl1gI-Jye=8O!zFm0G= zB>t^2)O2a8ecQqklUY+!bN4O@X0*_t&#fiNw6ruglWx2Zi=9S4@e6*+{uCCm*}@6) zMl|>itWmV`$@TX24-ZC-&42;X)Q;Cbv}K?&{NMMEvzEUW;wP<~*xs`ur>=dxtC|XP ztsV9{h%ro(Kpuk4B`Ta^93}LMk`j2bTe3F~?A{GO9IHM2yDy(Sxmy>}2@5TafVDBU zB`~&#;24M^_-mp0ANC;{sv>jNrv8C7c$J~DP%jm3AW%Nu-ritu0W-i6PfbpWg`=jw z^G^nN>chm&zC19na5w%olZ}cD1p%oF+Zx(i!2Dr&n80g7srz8k)|kqz!}$`l=k=2Z z(D=h}NtgYyZLZS=a$M(_Bzpa~7`+hC=MT+?xQf(@Tn@z>ajBxB0(?9jZt@tthCgl@EUd! zT>nVo+c(}H>m~LNAe!>UAqBw}2v7EpsRcqQF*Cx3K(u~A@)qfB{kzGfi@jj2?QhnX z7hRb^tse#U5`dfjni3elbN&oJf0AnIqw+q}whyC_LhB^}!*6|i4Of7?8OA(_#^G9J z9$1>MU4!uG0k?E~lrexSCJS{;O!7c1BmIJIw?19thc=kTw*=K96oy}9Byq{B!Z1qg zQAhhWXlZ}x(k0%dbo`T)Au?dgfEk))ylU3fxbVE|SD&K^198nCI)8@?3qB#$!=j~!U%^0$Ydhot*aY;V1Mes4t@RpU|uu%L%YD*2n82lga}R_ z0DIz3xR8=E0ElHCT~9Qf%^b~!&I&OIQ_JG_t>@qR zf;3Mg^MOZ0$iFn#dK5~gD$IHqP=Uw9RrI>Au1r)d&~6ll>)?F1p zZEk4+RFb2^UX4pnS311%*VG1Kdu#Mmsx;j6*RDl?_Iv;SRA9NchesCJ220MCYCEcx z|HMCX(`HxX*CC?xEZ1|A8fL<1%^CeeSi1i1NIf3NK$O<86XzG6Z;|0;o|^vp!a$K z`w;qOP(=(`PpGA_oILq+IJtmwCsQw|fn#NP8sb+EEn4bcNF=(MQl z*n>V0=|Y;cwxI#bG6?nDR!|GGM=+J}{9~_1Y(6#7;@6U80bA!C)LDECG95CN%Y4@s zaDZ6~Wncg1EopA6tkmU%mIL@KKvmK50G-OF4d-w>S6C`aOKY2&^ix}eo@ZeyF)t6k zp%D=GeIjcE)2Q{B-n2*6cO?g3J~Rs7YxMY6$lw3KsPe7fZ=_#85Z%!=@GIEY_^0%x zOYmP2u$2wE5Aszlu%mYX_y4V~F2`|U662V$%%VAUTiIYRaq#u@?7n>l5KdM~iW9R= z8DlBK-;~&H5OV4#0S#bUgEZd^H53*pQU>%apf~rFA{CPZQ&Ca1EIgKSB}az*Q&Ev6#E8|&ylaIUc!KjlCxwI@ zG?a##h{+QtM@O;hRF4mJ25IR=O~MYdmn?_Pz^b3}mJF0Hy8!G&PV`4>c@IDK_6EU+ zOuOsg8F~V1!_+;2&@tG0Ra9AsE1VoKnLjtZX<2)Ko+>ZC?~A)yr@ z$k+Ba03Y#_qXPq9`^@_U`g5osAA*YjbINE0W;WV^LN}dlQkSiM#L1N zBH@>lJ$jfuhh4Lnvr%(4AR|3}WgyBIA<1jf${4h-6u#ALk#`VQkNX7$wE~3-#MR>S zVh00R&=;cxfed_guH=MG1AHn-A5hnSAFPp?y?Pum&B|(lChX*a$oNP0!GKC_zn+sm zX-(~x9h)DZJy1(8D=vj(1DUe+0K8G46~eR+*$@py(2EzC zGsK+8X>)yIB3KyRt$of5#Un8~nu%H<5X3124F%th6WaOMWKL)}oIV)bgW!XW1yg>k zml8cW(QZg&*g9)7_(eo=;8s+lXvG9wJ4n4g;r<-N1asuiN92Cbo{42ou-ycg{kOM3 zxq3a^!K9h(c{7ff$KZ!_eKIg$KxCP~++QbSx38_KxpMXD8?=wtPA*RJ5-|Kn)J;KU zzzfQ;zMz;S0f@SE)c;eLJ5P2_&i0KP20hL@Eb~$!_IvAOIthT*pVuA~{Brb53elns zjTD*t@|pN@cY&eu-xEG?L@6GQM`zUB+Ug927A|mDb@3t!X2L0l9i*rxYy~F^PQ?nv zgQn^acY%dR<=wU4!mG))ZXK-pdiHp>QAP;DV9)TWD|7jg4rkWK()-88h<)v;w{PP^ z*7Yv*esQsJW>?PV#zu&`miGbaNQFythJ~ANWbBSn%}EWj9t;`Z<3ad0PM4!4rJW74 z&te_sq81UHDfI|WjGT{C2yn zMCXF`)F}s@hX(#Trk`LCwIP~I`vaao2bq77V<$6KORjbow{WWd#Db%tMgS@}`Zxe2 z*10qMWhmw>(wwll{P^*ue+pM6KBuC%pEw>0?z)ei1cXDnp%QdL6s4ufOVQaLjHav) zMY}M^17-5_7T;25=h;W>p;LtV=H?4lJ4;X1DCf_P2&RnsnQ9e(vkJ`XgSQ_ZiJLcX zl0Bk>K@)n^2}n;k-U6nPxsjIB!r=vm4aY4krt$?>&?666W=BTqNGc6~TPXd52b(Iy zP=l)KOMBYn3>Sakm57o^fr**1j@YTTBgYN>oIHj=JfO~iS$$Ega~XRAo)F}B^$*6X zc6M3q$8P4{2j)~cz3_!z% zY@IjVIqtBJ+w>}i;10VZQxV-SlCLgw&e2-Vgap!fOW-Jh-U-`Dp-;!O9cJ0GlDn;k z*&WKKY;&=qpdCw_Mq83j-S~3*ObBx4XnU4|qokALA`>&S(XCss&zeFlf))DPZ|cg` z)j-4V-ko%CSZZ(AfU*UQ0E23nP!RS}&4YowAOWz>7*ugNa9#oiH_+ciOCcC4e}4tv z*CS%i&ccD^h!g;k;pjKtrxwtfMAZ$V*o;14P)Gak`UDktX?YD4FZ>V~%IPa_1c>YG z)aEL=rXBev=gEsHg>_3zoHjwY%&E#|pOQ@$GxwnKXiGzUCLz1LV-o)N+}x0$f!LV0 zXICtj(TSL?>>y6&AYP!xL*ht?jcu-+It`J5o*Z2gHpKq@ftUp0eUu+sw9RqI)NK2`}*}HFE5VA&@-b`hPeYQJ?8qPjbR(a%(~5;J5P_uZhr6{6$(*? z&O3j6FDn>JHa2kVaL7mY&=P$wgEGp!Z9@IW>bV}Af3#yzP#>GlQ0eU3v2_QGC1aAlsrn2OfN=i@r#W z@Z`ci;jhvk5#O&5%@$$|W`6zs_xhJU3p3}> zn?cWKs;{4c+=<)69bl>+X)#450W6F=hozB@P6}cOnG1GO_*u6;6opM5L>=%7V#GtA z#i5KHrfv^UPc;y>7*s~tLE8!G4~NNsp27@Hr^1b6L~{&!1!$tcX!7OB9;qoQi!hQh z@q`K5rNpnC#=@LBeHsbLUrSdI)DZ+vL=<@TF~ctA%R!&@QsF>q4ON(cyknkxi@ir^ z7%Af)kAhcMchL9naZCcSqql+85mR&Ee=zj3GFiTMW4tOV>iAw)vd)d9q{lWy+PQSA*Xaf}Ck+O5`7@NUX@JElH9-l3l1=M`WF?tI*N zE(a|eChiKvy(po$w4h@l66(LvR?925C!Q&?@?Cz49{naNCkQ5(dUHYYjpr|om9ZuR zoX>za+U69&1q&9~g>&SJaq>*x$T(r&| zks$o@ED`ntcVCehw^FM;k{QUj#3H^1vL(%RIE*K|tQdt0J0)cgy`>eh z*S&cY3V=Q)Br)pFn&`F!(F8Dfr6JiFBDFhY@csN7u3`tG8{NP7oF)GXd#>6K^g&*$ zwXo0=nXcKpnhD%qN0MhD9XEJ63TJezb{8qENiy4J_x zf;rMoG>S;=E`Y{NS@&Ifef8s5$F3gi%9dRH3JuJgPGr?zRyeCKKs^Z!d}d}QSP^9{ zyPGgvKMWuaP$594T#VZ@Cx8MU&^M>*%NAyrfBkxZ+pzvTnNU5x0l5vVgveCIX_$Q+ zCrg<>ZGS1Yk}Jt9Ah5(+uuzHOkEDd^T?@TKa%B-G4)kHo_U_q32@bcBOgDh=Vh0I@ zGMHK`!EINnDq-*eL23Leurt2`Z8qRg=+om%&_mi-^@}%8B;%Se? zH7!_~J+Ab&B8YoP!VT| zb6XK(_zk?7gd~=9_~-lo_`^Tn|K}h6@%}&maQ*%J z3p~4AC{L(Sba6~$P$CKv{*{h1*7)lGa5cnt|A(tt|MY)>sV$`ilSS zm;J|W{uxaF>u5!~TD_2LJD+yT4fN z|E-VipZl=&6#ie4#{Obs{iDqDPiE|Yc|rfn*5JQW`QNJQzx?R_^}6ui+D~hiJ^03DA<(6CZ31GbtgI~AS^?yKw8XbsQu;uCI?twt z?^`ThS(<~TGZUZ2LV5kBp)rcV3&0eCpLrGh_-dZ7vL;L3-XO%uGDgEC!*7C zg@Oo%6A>hsQB6dlx>=r55tDvp(99PaGm1PXD0U!2IBd&d=73w4fd8Pw#6Rd$Oz}H{ z6TyKhPK`N27^23Za~O=02|4rh#S7d1P@4{11d~RJ3P%hm930uZfD;*ZQgaP};sVjN zE$<_E?`7P~rgJB8psV(B_x?>=0=Dft9x~JAx%_nP9EFWH1ZtS)vS9;&)2I=S0L;tc zRESuW_djb6EnHaoJ?P~1A{f6O(9chWuZ64yg@9FuGWdZ01?NpE*xWo<8^QNM9D9cI zWIQc0Q!=aR#NL0HBPG}m6AiZFX5)1+)nKX0KdBK_Mp%zC+Re-N$_mO&n@lI=jJ>_` zst6Mu@X>h*+&I>FU{6=93T3q%mB;P0V`Go|90DJk9=%;^T)h7wF7h&t!^r9n+a4b0 zh$H2ip`^TOG1JoOz`0|q!v(8G@UKIWgA$3ev_?A%T;KrSP8dCr(e4MQ%f@qHQ*Q=p zHe$jX;vdG{h7%MjQ(m|&s|=ZfS>q^JHiy}T$bI6FKaAsGngxzbJxmMX)Re9LJBbHU zYdd570`xh8FA+9BTj|=$1jNAFyzkn9tBo*+z@e9kQPcfewy*H>`}LS0^t<5kgT;c* z;d|iJVV)0S{ZZENi=I05{`(2cjo};Ls=U{UmU=E_UJbSm^81YiyDfPnriitlE>OSi zk2Mg$xUT(l6M-}vLlL;+egw|2et2{k?!XiCJ@6lfJI%J>Oq?|1mdQ84rcyZA4bcaO zC}?m+gHn1@ceL-f@^R$;EP}CfEVIWaHt=yf;zsiE0XYzX@I&Az zHX}$in0onvsK=R%%9a9{0#{8lkSnA-{=8&r3q=<-4*DAQU=D)^!xYEON>W!Faq?9G{!>)JbI)3Nc4pn7-qt1n=hu|T)fube$6AtYhms!0>WpwL>fAw`Rt z4Pey-tw&T~hWXSM_2RwQnMY!0jtA6QC{lWIw^A5=naq=x;N6?a^`V;u(W{TCGsN!k zVkYS(aGITV{h`QA8V;kxsS)DbjFeOv#Ctl&pM{ZikMNajf{G3>Q^AvwKig`=dNtty z%yzFnvU=Hl^^G2b+iL!sGzSwSqafr|k+p^DsZL+wX-ev*Sxn3CL35vX+f}*t9PSl( zOv&JAH+b6b<>j@#|KKaQqVNQKpp)PzE2bTZq!9U!3ky4cy!#u%9mm`)aW`ow_&MZ^ zerVf9;Dj3nHw+2y`OnNoJg*1zUtM~$4nS1NgIkDr6hpjH{A{nkY(5SqW76i-CVI}& zZdrtF;^gFHFIb)gH_gAMlm?gder?A)m;$R7oVxVtA#8e?2o1#V>SSQSj{D!M1jcce zy8%*8Vnzow3>O5yrw(u$V;V>cMEz~gx&oIqY&#s7ZBiM;E#8@^o^F_avpfK#v$j=( zQuMs->fOT{QJrh6b8rIMbv@i))Gx%#JLl`N+=I1+jJbjn_MT-tx%&c12JmBw% zMjqTTTq_*&l17aQTkn5ImVK=AMLyvaW0BnZ$6-+^w*AK&4HOcnq^T-R*u4|aY@>6U zmV@TOSV_6y7j1wPGM+mngSjy+$BAMj^@qH8Xw1ya+K?o~1Cy2F8}sHmg4g($d+65Y zYjNTk2Hc5ig=+PA_UmhN0pUnBJ~ubHy`m@W?jdsQ+C@?Fo4Cz71dkmXgrtti!LTXqj6bVWy+1eZFB44wtczm99p7TH)!~MY zj#R#GFXlp~p^H$qJ3GK$kK0FZhFP^IL)}@ul!WnWr13MzTWibJYhro2y&N+T~CDYm67HIlqcx z6`8+4bDf}+tc5}CTad9A>hhs7v1=5fc2J)!Gj;H7MJyv-5q(;s%=gyb6?N%AN`7dn zjZ=2;AR`5ol2vY8aETNFAcYG^G}D2Lgc$G z=U}@|N(pn*Xk8s|CSVB)=DWR6Y`E}j6V@8vrE*m4b48U4fmI#fiAzO}HACtm6Is4} zt8y6*S}ps*Xyipf$ewb(8eJZt=g605l3jM*{|?KDkkg{&yrhuBENrH%Y0I6fvl+dx zqu1AC!*at_2?dELiq$$zL22B|>+7=8 z)oEmdOyp*GY4ENBe1iD?CH$Ws-pGV55|yEe;{B*k5LL4AyVX{kT^Pr*hm#QcyQnyO zvDaF2?JO{A50fmd{lF{Mq|8VS6GhYg_DWOUaI0K%af9s^t|V0M<7v&x+D_@H z+D6HFAHKWM6|ESuDkl+--Jp=BG@{%`Lqj~56T?T#-lt%gBfX!R&xtj4s^o5B!JJict}y zd5cE#7=KiU6YJ`vbzVHaE?@NFHUtF~m%)`)Z{ik1=EVPwVBbvJ9oHKd-b)m3|9SAa zMsL*R-qQiyRVIfODUY02tx%C7;BZwGx4^X^wgu+L`PMY9^M|_Sv4O5{BYZyDN z0LWxxXCD(}wg`wsWMW5Y;VZDCu_!NaOfSYjk!Ym)sxUW!8O0w0NIilv%Hr}iFl2sR zI|XkMUhTD3!-*M`YPQ(@#O+LscA(+#)#(^GNnQexy}2BWBCR}dqBneXFdQ3X8i&!* zVIb?<>x-}pWT6<)a2XJf+PyEJ=sApVRiiojqmN#=1bD4$3C>BJp zfK-HYt!xHrx^S;9P%vS0<8oe}_p2ztj3AC01c?eL&Ab@dZPc@j!tX>4;QMQL(~tRT&r) zkPYIxg$stGKoDOU3?PykzA}=a?*h{feqxx+W&RUWI9>VO<|GGCqWyjWcQ9mNLQ->&5n3$SNKiKP5D_SpKbd4@~5I zF~}RA#`a7;M}wJf&=}Hz4Gh$A;f~%V!Z8CIJY};4~TSi3sz0 z+S=doNi++Ad5e#}?_lgkxG>zgGv^sN>p6IV<1z9U0_p+hZdWw1Ev|9!GP6(D*cIMh zzJqE*JA5otbc%?Tx_RFeVs?keq(|6GWsbsmbgQD9Ef)!krUij_>ZGEKkE+y^7$hSK z018mb^W~Id6#|VFp_!>H>Ut3X6Mhq>P>6gW31AKv^c zrXH+n`dRs3@%_NPXbpnJ)*?FjZ~3(9<;|>wu~!+|5&HkW@@(7)*7uT^Jb{=&^RUc` z)`Q*kORpeuJ@OgkLHzigNk9^eWSF+#zqwg@8cVBHcjB>3LHp0~byMKS0ck zK2EOBa)8iXR=(2MI?R13r*Jyn3L`i#c+B0vWGL|}A{-MLZN4*eq_}En3=KZDOkkr% z+}F|zzNWd!+V$5~rJBmlDYL3^S(*I{68jlWAVl7ej@AcqL{HbTV%t1rOoxG$Yzh(x zUU?g`DQGMMT^EAz6gTx$K~ut|u^wy*Ys(VX{>*`ZJUsT<`d{syB)+ewaju?%xW1KTGwDynKl;s(k3M7+O(?O@teZ5LA5L zR@o?*e8Zx~hT{aeX4g5>3hFFS74hm8ei4n+K*i{|vx?3Bgf;@Fo)6rMmACcb>xCV1 z@bZt%%iRc;hy)3p$0n?R_|cRBQZ^9e?^uoRg!=gt?sD-+4pJ;GxVE_8eaQ&ljojFS zlp;*VQ#Y&G{h*6>TKo@QnaFLcjKd~D!~z{T7?}27Y6c9#G25V#8?dx6@5o0|o6E8q zNzIhH0gFg)(0op`1Oo8mS^18a4uPBDFPrW+d&yuEkXv&kyxx~ATE?q!!G8*3+X|o_ z=29PX30x@TiyNADU<8Z&e({X~Jq%#?DSEa67!ssR-4H%t@Sq?qJ!T$oWXM5ya=b!`VS^|=tO+_>7cD~kXw_$oEzbx4WqC9Z*FY+ipf{3Ibl*{JOqGv`@zEXJ^KRPO zN|bNWo#(rMY}3}9#wvoX;*E%vZgvEx$3vn(QVn8-=Er{{4}2~`L(s$HNp8Rc864Zd z&9H)S)A!JA4PhVph>$QiTr}+D*OvyLkt*Q4;crm}u?d=1Q{v*LA0A$!fy1LAt>4#N zdt^L^*@E!G)3D_FIkk#z^w^Mwy9KI}@{&2`fiRA}_8j?0F(-@T3*6x9w%unRe*ouv zj+5DxY&>b@z@ZM*7}x`JMA!kOB)y`Sa+Ey4`=UV&z*->*4Jsx?2f?SO)dPDGy*f}G z0OaIZoa+>$A*`~rCZl0?8qjV(*ff6STQy6OgV581?E(`58@xizsL#P4eE}806MSZV zKsM6jy05;Wfmoo`Z2P9f!uKc5ElmVg+0et#>sjE`HICg4Bd9?1EZz4S2t|wXa!w+U zOd7y9Y7l0?Er^pQ;1c-(VqNq>Whd@Un@%I#<+GmjI@i8lB4I(xybQ^!_F~o=&w@-i z4jQ*Pf-+D(9PHY^kGeTgr{s;atn38L!m|Q|WdE-W@)9sOAc0UET+Z?DH=U9%>J< z_7|vEVjyIoGYRFD=Ziy!QdD!y3P*rnq87GACne1TZFN05^#yG3MRcnXg-x=cKE!(E zJQ`tnm7iJZgUqsYCz>+)N(u800R`>_DnurU<9%nqUXf`rOf50{KqH6nV)twGC$<*8 z6u4NChtOfoT4?KlLzPj8;B9!5U^03=A;GV{GyBMcnn_*I%EzE{~}k>}NUK z@bQ-+dl{}vYzz*(|Q#~8wy@?s}vX8q*1d6*Vpb!0edC zj`Bmu1dC^^3M_Z>`s|z@_YhtxgBHk-RD9z0(T|=_$EiOo~35kitpr3O0h%)LE)Lk(<3vcz-f?QTi)3I&7 zKk`tpLak{p8~}2VcPiZ6Ueq1$R_$d_|dn!eZdqJr}Lh z)|+2GJ(uC`=%}c7FN+cOvba88zYS-(y+U)Zv3+eu+A)V-iOxY|KYFJvY0AKd|Wya491imsAVO2OU(F6Ae}HQ@KW~hjyo#!>@fqs76x}%W{H! z{YsJ=kT1g}3L`GiZI02FccPaOB%t(y7W&q?H722<;=tt(;OMEV8@`+}5`MGEX819QozF`x{WhO{+Q!^XpdQAF#S%giV}XUmrpqo6=~xbv)M zBz^pwL|De1Yf?^Ta5sW^DtP1DbCE`^oaVTd5xsfLo5 zU;$!dGXPB)JjFWZ+)_SOc;`bc`Ny}bv(0)2>Ka&N-3iAD%eVWjO|7lFxx-NU+HADn zPA&<|cH=dZ;46 zZPp&C|NZ-S^@y2;h2QMB&{frEl&V}73!pCBLObFr*R2n!eM2QET^pJ+&)B*-4P+{#~ z>O}`LV`fGt)8NQ?kwujWeP@OSX%!|1B;LOL2@g$5stK;xI|)BhoQbYFS)Y7hc5LI1Gyo~`@^!iVeefv;i0-qC&kwBSRPVOz8u9>b6 zF*D%S$PM$mVsS3FOh<*%8n-?%py_k>O6(}l&UV5GukG7we>!QsrqiJ$)5(1M=#j)| z2f05{gp!%TLaf5u3Pr1;c0S{Yt+t4yS3)2^!(b5Z=x^RY&CxjM#(FJ1^~9&31enKd z<>!A)qy2*cU&-Atx5|9>Y!c_0a54}cYIyZ3*nox^l?$|9dH!Z(1B~o6GUAOqN^N(5 z3I)>VFJHdc%FTm*T^A563nNQ}wKO#`W@nuWdonUakGD~qe??o`_KJ{U<>v;SE;bMh zyf%iyht)xzKb&5}&0BCCWX+-~-~|j&z@~C?*6w?YP!0dnJ5NpY_1D=p%JY{Ca&r?_ z+A&(tFg0-|v3OsF_*Lz(yg%V&z^QFC?BN5Q5hyEGI{y<1ail&Rfz?l zz=M7I{?jL^jiH8;@i6xS{sbvIAl(2*dKg$mB$QP5O|J_H6^C*aW@xZ|fF#C%hJlf? zPzONO>ov6_DWPEI`M3gmIED?>GOlQd(z3HV{8R4VXN`}6j#sL)f3mLw5}s!JeMwDt zjR+Imnizv*sILzZ?b*EJMT+*hp7)AiZnZ~A=`JQX)v)+DI@ZJTq+OvUVqj>9Pf$>K z|9-no?)+72t9S88Z{F_vS7bpRkC*(_+~~F6E=bK_JjVd2J!-R*qO7zu3o9!CH3Tu5eIc=`!eCDrn~KDS%n&d) zxl)Z7Re>=Pm|e|Z_)1liG|AhIvn&*E;2^v9z@Z}b^&hG!SEqu~26m7J>M;8_U>E%CG+EZr(?FvW}dh`|PlYl5fPEUjQ^zSnn8@Beh|O! zV}Gj(bJdO8y10yisKYm7E$ix;*Xvf??^Rnq;Qal=J+oY+?Dsfmbzh`xx@q};AA2bR z><&sNQQmNbkt)uWwOorPewU90`?FmYCaa6aTs|J_Pfih;{2<~gQ@tGga&Hg!)+ljq zc4K2>)MwKii_cx@6#DNikV(%+=ipCst@>=9$#s?lVWxH3`JMm!zg1T$T)e*a%WOxE X9@Sp=d}k3FKvrmLp3r!rem3YolA?u+ From 0a07a9c590d75136cf511762505bdb7bba9a9890 Mon Sep 17 00:00:00 2001 From: Richard Pierre Date: Thu, 17 Jan 2019 11:52:30 +1000 Subject: [PATCH 005/313] Add section [Bluetooth setup] -> [AT commands] Section 12.3 (AT commands) exists, but is not in the sidebar menu (SUMMARY.md) --- src/SUMMARY.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/SUMMARY.md b/src/SUMMARY.md index a095fbc61..98746dd34 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -49,7 +49,7 @@ - [Bluetooth setup](12-bluetooth-setup/README.md) - [Linux](12-bluetooth-setup/linux.md) - [Loopback](12-bluetooth-setup/loopback.md) - + - [AT commands](12-bluetooth-setup/at-commands.md) - [Serial over Bluetooth](13-serial-over-bluetooth/README.md) - [I2C](14-i2c/README.md) - [The general protocol](14-i2c/the-general-protocol.md) From 65bb89f4827e2ca86b633d1c229a855d03097b12 Mon Sep 17 00:00:00 2001 From: Domen Puncer Kugler Date: Wed, 6 Feb 2019 20:33:12 +0000 Subject: [PATCH 006/313] linux.md: tell what to do for non-ftdi converters --- src/03-setup/linux.md | 2 ++ 1 file changed, 2 insertions(+) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 853521f18..6641ff3f9 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -135,6 +135,8 @@ $ cat /etc/udev/rules.d/99-ftdi.rules ATTRS{idVendor}=="0403", ATTRS{idProduct}=="6001", MODE:="0666" ``` +If you have a different USB <-> Serial converter, get its vendor and product ids from `lsusb` output. + ``` console $ cat /etc/udev/rules.d/99-openocd.rules ``` From 2b725bd571da66f744866adb982115fe3e673aa9 Mon Sep 17 00:00:00 2001 From: Domen Puncer Kugler Date: Wed, 6 Feb 2019 20:27:09 +0000 Subject: [PATCH 007/313] verify.md: update permissions to match 0666 --- src/03-setup/verify.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index 1639ad4be..9312abb0d 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -48,10 +48,10 @@ In my case, it's the `/dev/bus/usb/003/005`. Now, check its permissions: ``` console $ ls -l /dev/bus/usb/003/005 -crw-rw-r-- 1 root root 189, 21 Sep 13 00:00 /dev/bus/usb/003/005 +crw-rw-rw- 1 root root 189, 21 Sep 13 00:00 /dev/bus/usb/003/005 ``` -As before, the permissions should be `crw-rw-r--`. +As before, the permissions should be `crw-rw-rw-`. ## All From 6ae89f50fb499c889c043d1e9c9294e6dbe359ef Mon Sep 17 00:00:00 2001 From: johnthagen Date: Fri, 8 Feb 2019 09:44:40 -0500 Subject: [PATCH 008/313] Fix required itmdump version to match install steps --- src/03-setup/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 2a00ab32a..8cfd96597 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -32,7 +32,7 @@ should work but we have listed the version we have tested. - Rust 1.31 or a newer toolchain. -- [`itmdump`] v0.2.1 +- [`itmdump`] v0.3.1 - OpenOCD >=0.8. Tested versions: v0.9.0 and v0.10.0 From b0ef4339b325e8174a112532b3cc64cd7e67feec Mon Sep 17 00:00:00 2001 From: James Munns Date: Fri, 8 Feb 2019 18:23:13 +0100 Subject: [PATCH 009/313] Update src/02-requirements/README.md Co-Authored-By: japaric --- src/02-requirements/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index 6bca9a37a..5267327ec 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -30,7 +30,7 @@ Also, to follow this material you'll need the following hardware: - OPTIONAL. A **3.3V** USB <-> Serial module. To elaborate: if you have one of the latest revisions of the discovery board (which is usually the case given - the first revision was released years old) then you do *not* need this module + the first revision was released years ago) then you do *not* need this module because the board includes this functionality on-board. If you have an older revision of the board then you'll need this module for chapters 10 and 11. For completeness, we'll include instructions for using a Serial module. The book From f0508ca2744c24762b727574ca2db4dd2f457ff4 Mon Sep 17 00:00:00 2001 From: James Munns Date: Fri, 8 Feb 2019 18:23:27 +0100 Subject: [PATCH 010/313] Update src/02-requirements/README.md Co-Authored-By: japaric --- src/02-requirements/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index 5267327ec..0bac4f2d0 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -35,7 +35,7 @@ Also, to follow this material you'll need the following hardware: revision of the board then you'll need this module for chapters 10 and 11. For completeness, we'll include instructions for using a Serial module. The book will use [this particular model][sparkfun] but you can use any other model as - long as it operates at 3.3V. The (Chinese) CH340G module, which you can buy + long as it operates at 3.3V. The CH340G module, which you can buy [e-commerce][4] sites, works too and it's probably cheaper for you to get. [sparkfun]: https://www.sparkfun.com/products/9873 From b04f6ca6d85d996af22ce2776f27ed9ef3e99a9f Mon Sep 17 00:00:00 2001 From: James Munns Date: Fri, 8 Feb 2019 18:23:41 +0100 Subject: [PATCH 011/313] Update src/11-usart/README.md Co-Authored-By: japaric --- src/11-usart/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/11-usart/README.md b/src/11-usart/README.md index 30507e1a7..56939c17f 100644 --- a/src/11-usart/README.md +++ b/src/11-usart/README.md @@ -29,7 +29,7 @@ enable the `adapter` feature of the `aux11` crate dependency in `Cargo.toml`. ``` toml [dependencies.aux11] path = "auxiliary" -# enable this if you are going to use an external adapter +# enable this if you are going to use an external serial adapter features = ["adapter"] # <- uncomment this ``` From 932fd1b6f7176f31aa146c972403412cab9487cf Mon Sep 17 00:00:00 2001 From: James Munns Date: Fri, 8 Feb 2019 18:23:53 +0100 Subject: [PATCH 012/313] Update src/11-usart/Cargo.toml Co-Authored-By: japaric --- src/11-usart/Cargo.toml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/11-usart/Cargo.toml b/src/11-usart/Cargo.toml index a0ca5419a..956dc824f 100644 --- a/src/11-usart/Cargo.toml +++ b/src/11-usart/Cargo.toml @@ -6,7 +6,7 @@ version = "0.1.0" [dependencies.aux11] path = "auxiliary" -# enable this if you are going to use an external adapter +# enable this if you are going to use an external serial adapter # features = ["adapter"] [dependencies.heapless] From 396bf52fdeb2da538cdf02cba34f834ac62ed8ce Mon Sep 17 00:00:00 2001 From: Jorge Aparicio Date: Fri, 8 Feb 2019 18:27:44 +0100 Subject: [PATCH 013/313] ttyACM* -> tty* --- src/10-serial-communication/nix-tooling.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/src/10-serial-communication/nix-tooling.md b/src/10-serial-communication/nix-tooling.md index e68a73b9c..555daf05e 100644 --- a/src/10-serial-communication/nix-tooling.md +++ b/src/10-serial-communication/nix-tooling.md @@ -11,7 +11,8 @@ $ dmesg | tail | grep -i tty [13560.675310] cdc_acm 1-1.1:1.2: ttyACM0: USB ACM device ``` -This is the USB <-> Serial device. On Linux, it's named `ttyACM*`. +This is the USB <-> Serial device. On Linux, it's named `tty*` (usually +`ttyACM*` or `ttyUSB*`). If you don't see the device appear then you probably have an older revision of the board; check the next section, which contains instructions for older From 48d3999088c03cd7768b2c228e9aa4987be54b50 Mon Sep 17 00:00:00 2001 From: Jens Krause Date: Fri, 8 Feb 2019 20:13:12 +0100 Subject: [PATCH 014/313] Add missing instruction to launch `openocd` and fix info about `.gdbinit` --- src/06-hello-world/README.md | 6 +++++- 1 file changed, 5 insertions(+), 1 deletion(-) diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index d61004888..f31e1d301 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -70,6 +70,10 @@ $ itmdump -F -f itm.txt This command will block as `itmdump` is now watching the `itm.txt` file. Leave this terminal open. +Make sure that F3 is connected to your laptop. Open another terminal from `/tmp` directory (on Windows `%TEMP%`) to launch OpenOCD similar as described in chapter [First OpenOCD connection]. + +[First OpenOCD connection]: ../03-setup/verify.html#first-openocd-connection + Alright. Now, let's build the starter code and flash it into the microcontroller. To avoid passing the `--target thumbv7em-none-eabihf` flag to every Cargo invocation we can set a @@ -104,7 +108,7 @@ Breakpoint 1, main () at src/06-hello-world/src/main.rs:10 10 let mut itm = aux6::init(); ``` -Note that there's a `.gdbinit` at the root of the Cargo project. It's pretty similar to the one we +Note that there's a `openocd.gdb` at the root of the Cargo project. It's pretty similar to the one we used in the previous section. Before we execute the `iprintln!` statement. We have to instruct OpenOCD to redirect the ITM output From 611d172afd9ed5a92aca4d874b47d674641ce561 Mon Sep 17 00:00:00 2001 From: Vadim Kaushan Date: Sat, 23 Feb 2019 18:33:00 +0300 Subject: [PATCH 015/313] Fix header structure --- src/03-setup/linux.md | 13 ++++++++----- 1 file changed, 8 insertions(+), 5 deletions(-) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 853521f18..0ed96f35c 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -4,7 +4,7 @@ Here are the installation commands for a few Linux distributions. ## REQUIRED packages -- Ubuntu 18.04 or newer / Debian stretch or newer +### Ubuntu 18.04 or newer / Debian stretch or newer > **NOTE** `gdb-multiarch` is the GDB command you'll use to debug your ARM > Cortex-M programs @@ -24,7 +24,7 @@ $ sudo apt-get install \ openocd ``` -- Ubuntu 14.04 and 16.04 +### Ubuntu 14.04 and 16.04 > **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM > Cortex-M programs @@ -40,7 +40,7 @@ $ sudo apt-get install \ openocd ``` -- Fedora 23 or newer +### Fedora 23 or newer > **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM > Cortex-M programs @@ -52,7 +52,7 @@ $ sudo dnf install \ openocd ``` -- Arch Linux +### Arch Linux > **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug ARM > Cortex-M programs @@ -73,7 +73,10 @@ cd openocd-code make && make install ``` -- `arm-none-eabi-gdb` for other distros +### Other distros + +> **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM +> Cortex-M programs For distros that don't have packages for [ARM's pre-built toolchain](https://developer.arm.com/open-source/gnu-toolchain/gnu-rm/downloads), From d05346b4570357a629ed5ce7d077e63dae0cc9e0 Mon Sep 17 00:00:00 2001 From: Vadim Kaushan Date: Sat, 23 Feb 2019 18:34:56 +0300 Subject: [PATCH 016/313] Fix wording --- src/03-setup/linux.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 0ed96f35c..f6b17775f 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -54,7 +54,7 @@ $ sudo dnf install \ ### Arch Linux -> **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug ARM +> **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM > Cortex-M programs ``` console From f712448bb524aba999d6fe6bf850745d17700483 Mon Sep 17 00:00:00 2001 From: Vadim Kaushan Date: Sat, 23 Feb 2019 18:37:39 +0300 Subject: [PATCH 017/313] Recommend openocd-git package instead of openocd --- src/03-setup/linux.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index f6b17775f..5ff6bdba6 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -63,7 +63,7 @@ $ sudo pacman -S \ minicom ``` -`openocd` is not available in the official Arch repositories, but can be installed from the [AUR](https://aur.archlinux.org/packages/openocd/) or can be compiled from source as follows: +`openocd` is not available in the official Arch repositories, but can be installed from the AUR ([openocd-git](https://aur.archlinux.org/packages/openocd-git/) package) or can be compiled from source as follows: ``` console git clone git://git.code.sf.net/p/openocd/code openocd-code From d5a893fb9947eb84a5925e8b012653ca93eb59ce Mon Sep 17 00:00:00 2001 From: Vadim Kaushan Date: Sat, 23 Feb 2019 18:40:53 +0300 Subject: [PATCH 018/313] Fix header structure (optional packages) --- src/03-setup/linux.md | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 5ff6bdba6..4799da16a 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -97,7 +97,7 @@ PATH=$PATH:$HOME/local/gcc-arm-none-eabi-7-2017-q4-major/bin ## Optional packages -- Ubuntu / Debian +### Ubuntu / Debian ``` console $ sudo apt-get install \ @@ -105,7 +105,7 @@ $ sudo apt-get install \ rfkill ``` -- Fedora +### Fedora ``` console $ sudo dnf install \ @@ -113,7 +113,7 @@ $ sudo dnf install \ rfkill ``` -- Arch Linux +### Arch Linux ``` console $ sudo pacman -S \ From 7aa631c0112beb3fb76978d08486442a0d9c3103 Mon Sep 17 00:00:00 2001 From: Vadim Kaushan Date: Sun, 24 Feb 2019 12:11:18 +0300 Subject: [PATCH 019/313] Revert "Recommend openocd-git package instead of openocd" This reverts commit f712448bb524aba999d6fe6bf850745d17700483. --- src/03-setup/linux.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 4799da16a..63a40e781 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -63,7 +63,7 @@ $ sudo pacman -S \ minicom ``` -`openocd` is not available in the official Arch repositories, but can be installed from the AUR ([openocd-git](https://aur.archlinux.org/packages/openocd-git/) package) or can be compiled from source as follows: +`openocd` is not available in the official Arch repositories, but can be installed from the [AUR](https://aur.archlinux.org/packages/openocd/) or can be compiled from source as follows: ``` console git clone git://git.code.sf.net/p/openocd/code openocd-code From 1b0979183f348c13c7adb04c2ff10e8956f7e496 Mon Sep 17 00:00:00 2001 From: Joshua Shrader Date: Sun, 24 Feb 2019 10:19:10 -0500 Subject: [PATCH 020/313] Breakpoint should be set on HardFault UserHardFault renamed to HardFault in cortex-m-rt: https://github.com/rust-embedded/cortex-m-rt/commit/790e42477ca21d6d0a07270049c3747c7c316f4b --- src/07-registers/openocd.gdb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/07-registers/openocd.gdb b/src/07-registers/openocd.gdb index cafe49b1b..df15d79d3 100644 --- a/src/07-registers/openocd.gdb +++ b/src/07-registers/openocd.gdb @@ -5,6 +5,6 @@ monitor tpiu config internal itm.txt uart off 8000000 monitor itm port 0 on load break DefaultHandler -break UserHardFault +break HardFault break main continue From 71003ff5b2ca7977bb012ab646e8fa11914dcf26 Mon Sep 17 00:00:00 2001 From: Daniel Egger Date: Mon, 4 Mar 2019 06:45:42 +0100 Subject: [PATCH 021/313] Update src/02-requirements/README.md Co-Authored-By: japaric --- src/02-requirements/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index 0bac4f2d0..9686918a1 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -36,7 +36,7 @@ Also, to follow this material you'll need the following hardware: completeness, we'll include instructions for using a Serial module. The book will use [this particular model][sparkfun] but you can use any other model as long as it operates at 3.3V. The CH340G module, which you can buy - [e-commerce][4] sites, works too and it's probably cheaper for you to get. + from [e-commerce][4] sites works too and it's probably cheaper for you to get. [sparkfun]: https://www.sparkfun.com/products/9873 [4]: https://www.aliexpress.com/wholesale?SearchText=CH340G From b6dc565f02a2890e89ffe7a70da3804e838636f6 Mon Sep 17 00:00:00 2001 From: Daniel Egger Date: Mon, 4 Mar 2019 06:45:49 +0100 Subject: [PATCH 022/313] Update src/04-meet-your-hardware/README.md Co-Authored-By: japaric --- src/04-meet-your-hardware/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 7bcb511bc..70c99d942 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -55,7 +55,7 @@ will be connected to your laptop using an USB cable. I won't say more at this point. If you have a newer release of the board then you don't need this module. The -ST-LINK will act as a serial module connected to pins PC4 and PC5. +ST-LINK will double as a USB<->serial converter connected to the microcontroller USART1 at pins PC4 and PC5. ## The Bluetooth module From 6b3e790db5ca70dd50c1088d944706b8a04daf71 Mon Sep 17 00:00:00 2001 From: Daniel Egger Date: Mon, 4 Mar 2019 06:45:56 +0100 Subject: [PATCH 023/313] Update src/10-serial-communication/loopbacks.md Co-Authored-By: japaric --- src/10-serial-communication/loopbacks.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/10-serial-communication/loopbacks.md b/src/10-serial-communication/loopbacks.md index 3aa5520e5..d909d4f99 100644 --- a/src/10-serial-communication/loopbacks.md +++ b/src/10-serial-communication/loopbacks.md @@ -40,7 +40,7 @@ Now try to enter some text into minicom/PuTTY and observe. > things to the serial pins (PC4 and PC5) we recommend *holding* the reset > button while you enter text into minicom/PuTTY. -If all is working you should see what you typo echo back to the minicom/PuTTY +If all is working you should see what you type echoed back to minicom/PuTTY console. --- From 0ae8fbf480cb5b7972d52df7b0f0993b4bb92eba Mon Sep 17 00:00:00 2001 From: Daniel Egger Date: Mon, 4 Mar 2019 06:46:03 +0100 Subject: [PATCH 024/313] Update src/10-serial-communication/windows-tooling.md Co-Authored-By: japaric --- src/10-serial-communication/windows-tooling.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/10-serial-communication/windows-tooling.md b/src/10-serial-communication/windows-tooling.md index cdd5e87b0..d457e08e9 100644 --- a/src/10-serial-communication/windows-tooling.md +++ b/src/10-serial-communication/windows-tooling.md @@ -2,7 +2,7 @@ Start by unplugging your discovery board. -Before plugging the discover or the Serial module, run the following command on +Before plugging the discovery board or the serial module, run the following command on the terminal: ``` console From dea0483f872808647d809f5476c54236a83520b0 Mon Sep 17 00:00:00 2001 From: Daniel Egger Date: Mon, 4 Mar 2019 06:46:16 +0100 Subject: [PATCH 025/313] Update src/11-usart/README.md Co-Authored-By: japaric --- src/11-usart/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/11-usart/README.md b/src/11-usart/README.md index 56939c17f..24b925e92 100644 --- a/src/11-usart/README.md +++ b/src/11-usart/README.md @@ -18,7 +18,7 @@ If you have a newer revision of the board and are using the on-board USB <-> Serial functionality then the `auxiliary` crate will set pin `PC4` as the TX line and pin `PC5` as the RX line. -Everything is already wired on the board so you don't to wire anything yourself. +Everything is already wired on the board so you don't need to wire anything yourself. You can move on to the [next section](send-a-single-byte.html). ### Older board revisions / external serial module From ebf05741bcf3b94e7175c9d2673d2e845470167a Mon Sep 17 00:00:00 2001 From: Kevin Per Date: Mon, 4 Mar 2019 07:48:46 +0100 Subject: [PATCH 026/313] Make the installation link for `itm` more visible --- src/03-setup/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 8cfd96597..51b9a915a 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -32,7 +32,7 @@ should work but we have listed the version we have tested. - Rust 1.31 or a newer toolchain. -- [`itmdump`] v0.3.1 +- [`itmdump`] v0.3.1 (cargo install itm) - OpenOCD >=0.8. Tested versions: v0.9.0 and v0.10.0 From 0a78698c13699f9cb36d45c84c4e2288ec13e8fe Mon Sep 17 00:00:00 2001 From: Kevin Per Date: Mon, 4 Mar 2019 07:56:00 +0100 Subject: [PATCH 027/313] Change `cargo install itm` to formatted code --- src/03-setup/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 51b9a915a..bfae30ed9 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -32,7 +32,7 @@ should work but we have listed the version we have tested. - Rust 1.31 or a newer toolchain. -- [`itmdump`] v0.3.1 (cargo install itm) +- [`itmdump`] v0.3.1 (`cargo install itm`) - OpenOCD >=0.8. Tested versions: v0.9.0 and v0.10.0 From 191cc2ae888918a333e4721c4a4031e136055625 Mon Sep 17 00:00:00 2001 From: Ernest S Date: Tue, 12 Mar 2019 13:24:33 +0100 Subject: [PATCH 028/313] Update macOS setup instructions for ARM toolchain. --- src/03-setup/macos.md | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/src/03-setup/macos.md b/src/03-setup/macos.md index ac4fbf1c4..0d0c67f3a 100644 --- a/src/03-setup/macos.md +++ b/src/03-setup/macos.md @@ -5,14 +5,14 @@ All the tools can be install using [Homebrew]: [Homebrew]: http://brew.sh/ ``` console -$ brew cask install gcc-arm-embedded +$ # Arm GCC toolchain +$ brew tap ArmMbed/homebrew-formulae +$ brew install arm-none-eabi-gcc +$ # Minicom and OpenOCD $ brew install minicom openocd ``` -If the `brew cask` command doesn't work (`Error: Unknown command: cask`), then run `brew tap -Caskroom/tap` first and try again. - That's all! Go to the [next section]. [next section]: verify.md From e801335cce60dadb18b28b2285d1aa7b30b56455 Mon Sep 17 00:00:00 2001 From: Vadim Kaushan Date: Tue, 19 Mar 2019 13:16:51 +0300 Subject: [PATCH 029/313] Return back the Rename section --- src/12-bluetooth-setup/at-commands.md | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/src/12-bluetooth-setup/at-commands.md b/src/12-bluetooth-setup/at-commands.md index c2635f143..a56a4034b 100644 --- a/src/12-bluetooth-setup/at-commands.md +++ b/src/12-bluetooth-setup/at-commands.md @@ -36,6 +36,13 @@ OK Answers `OK` repeatedly until you hit ENTER again. +### Rename the device + +``` +$ at+name=ferris +OK +``` + ### Query for the current baud rate of the Bluetooth module ``` From 8399b5c386799a8d5080660d764f78805142e739 Mon Sep 17 00:00:00 2001 From: Vadim Kaushan Date: Tue, 19 Mar 2019 13:26:35 +0300 Subject: [PATCH 030/313] Apply therealprof's suggestion --- src/12-bluetooth-setup/at-commands.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/12-bluetooth-setup/at-commands.md b/src/12-bluetooth-setup/at-commands.md index a56a4034b..ddc72da0b 100644 --- a/src/12-bluetooth-setup/at-commands.md +++ b/src/12-bluetooth-setup/at-commands.md @@ -21,7 +21,7 @@ Recommended steps to enter AT mode: - Next connect the F3 to your laptop via USB cable while simultaneously pressing and holding the button on the Bluetooth module (kinda tricky). - Now, release the button and the Bluetooth module will enter AT mode. You can confirm this by observing that the red LED on the Bluetooth module is blinking in a slow pattern (approx 1-2 seconds on/off). -The AT mode always operates at a baud rate of 38400, so configure minicom/putty for that baud rate and connect to the FTDI device. +The AT mode always operates at a baud rate of 38400, so configure your terminal program for that baud rate and connect to the FTDI device. When your serial connection is established, you may get a bunch of `ERROR: (0)` repeatedly being displayed. If this happens, just hit ENTER to stop the errors. From 7a9500e0f525d76e1f0741ea912af9ca81d4eedd Mon Sep 17 00:00:00 2001 From: Vadim Kaushan Date: Sun, 24 Mar 2019 16:56:04 +0300 Subject: [PATCH 031/313] Install openocd package from the repository for ArchLinux --- src/03-setup/linux.md | 13 ++----------- 1 file changed, 2 insertions(+), 11 deletions(-) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 63a40e781..27ac4f9c4 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -60,17 +60,8 @@ $ sudo dnf install \ ``` console $ sudo pacman -S \ arm-none-eabi-gdb \ - minicom -``` - -`openocd` is not available in the official Arch repositories, but can be installed from the [AUR](https://aur.archlinux.org/packages/openocd/) or can be compiled from source as follows: - -``` console -git clone git://git.code.sf.net/p/openocd/code openocd-code -cd openocd-code -./bootstrap -./configure -make && make install + minicom \ + openocd ``` ### Other distros From f3b0ca280f6b3a3e4d33058ed64bb36b176a6763 Mon Sep 17 00:00:00 2001 From: Vadim Kaushan Date: Wed, 3 Apr 2019 11:59:08 +0300 Subject: [PATCH 032/313] Fix broken links --- src/02-requirements/README.md | 2 +- src/README.md | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index 7eba4a082..b9f6cb3db 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -99,4 +99,4 @@ and "easy to follow"-ness, IMO. If you have a different development board and you don't consider yourself a total beginner, you are better off starting with the [quickstart] project template. -[quickstart]: https://docs.rs/cortex-m-quickstart +[quickstart]: https://rust-embedded.github.io/cortex-m-quickstart/cortex_m_quickstart/ diff --git a/src/README.md b/src/README.md index f9b087791..4faed702d 100644 --- a/src/README.md +++ b/src/README.md @@ -2,7 +2,7 @@ > Discover the world of microcontrollers through [Rust]! -[Rust]: https://www.rust-lang.org/en-US/ +[Rust]: https://www.rust-lang.org/ This book is an introductory course on microcontroller-based embedded systems that uses Rust as the teaching language rather than the usual C/C++. From a5d696f6def0bf8154d8fc3b229a15ae74f39737 Mon Sep 17 00:00:00 2001 From: "Richard Dodd (dodj)" Date: Wed, 12 Jun 2019 18:08:09 +0100 Subject: [PATCH 033/313] Update verify.md Closes #183 --- src/03-setup/verify.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index 1639ad4be..53ab70783 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -51,7 +51,7 @@ $ ls -l /dev/bus/usb/003/005 crw-rw-r-- 1 root root 189, 21 Sep 13 00:00 /dev/bus/usb/003/005 ``` -As before, the permissions should be `crw-rw-r--`. +As before, the permissions should be `crw-rw-rw-`. ## All From ffeabc63d758085734bb7983ac3848b973c5f2ea Mon Sep 17 00:00:00 2001 From: modulitos Date: Fri, 16 Aug 2019 15:54:09 -0700 Subject: [PATCH 034/313] update led roulette 'flash it' docs --- src/05-led-roulette/flash-it.md | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index 8425429a8..a16d0da68 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -79,6 +79,8 @@ Info : stm32f3x.cpu: hardware has 6 breakpoints, 4 watchpoints The "6 breakpoints, 4 watchpoints" part indicates the debugging features the processor has available. +Leave that `openocd` process running, and open a new terminal. Make sure that you are inside the project's `src/05-led-roulette/` directory. + I mentioned that OpenOCD provides a GDB server so let's connect to that right now: ``` console @@ -100,6 +102,8 @@ Remote debugging using :3333 0x00000000 in ?? () ``` +**NOTE**: If you are getting errors like `undefined debug reason 7 - target needs reset` on the OpenOCD GDB server, then you may need to try using `arm-none-eabi-gdb` instead of the `gdb` command, as described above. + By default OpenOCD's GDB server listens on TCP port 3333 (localhost). This command is connecting to that port. From 8572ed742936f49a1d0f15385b77bca117061b24 Mon Sep 17 00:00:00 2001 From: modulitos Date: Thu, 29 Aug 2019 16:25:12 -0700 Subject: [PATCH 035/313] fix(06-readme): rename to --- src/06-hello-world/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index d61004888..7524b050e 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -104,7 +104,7 @@ Breakpoint 1, main () at src/06-hello-world/src/main.rs:10 10 let mut itm = aux6::init(); ``` -Note that there's a `.gdbinit` at the root of the Cargo project. It's pretty similar to the one we +Note that there's a `openocd.gdb` at the root of the Cargo project. It's pretty similar to the one we used in the previous section. Before we execute the `iprintln!` statement. We have to instruct OpenOCD to redirect the ITM output From 569e0c00e25c3b491e8c15ca8a573384ac6288f8 Mon Sep 17 00:00:00 2001 From: modulitos Date: Thu, 29 Aug 2019 16:28:54 -0700 Subject: [PATCH 036/313] docs(flash-it) --- src/05-led-roulette/flash-it.md | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index a16d0da68..5e3d82c67 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -102,7 +102,9 @@ Remote debugging using :3333 0x00000000 in ?? () ``` -**NOTE**: If you are getting errors like `undefined debug reason 7 - target needs reset` on the OpenOCD GDB server, then you may need to try using `arm-none-eabi-gdb` instead of the `gdb` command, as described above. +**NOTE**: If you are getting errors like `undefined debug reason 7 - target needs reset`, you can try running `monitor reset halt` as described [here](https://stackoverflow.com/questions/38994596/reason-7-target-needs-reset-unreliable-debugging-setup). + +**NOTE**: If the debugger is still not connecting to the OpenOCD server, then you may need to try using `arm-none-eabi-gdb` instead of the `gdb` command, as described above. By default OpenOCD's GDB server listens on TCP port 3333 (localhost). This command is connecting to that port. From 08877f711164550d16f2fa2ae2af8bd935104671 Mon Sep 17 00:00:00 2001 From: modulitos Date: Fri, 30 Aug 2019 18:34:24 -0700 Subject: [PATCH 037/313] docs(usart1 init): add comment to set the proper baud rate --- src/11-usart/auxiliary/src/lib.rs | 3 +++ src/13-serial-over-bluetooth/README.md | 2 ++ 2 files changed, 5 insertions(+) diff --git a/src/11-usart/auxiliary/src/lib.rs b/src/11-usart/auxiliary/src/lib.rs index 1a0a6a16c..bf4c7739b 100644 --- a/src/11-usart/auxiliary/src/lib.rs +++ b/src/11-usart/auxiliary/src/lib.rs @@ -29,6 +29,9 @@ pub fn init() -> (&'static mut usart1::RegisterBlock, MonoTimer, ITM) { let rx = gpioa.pa10.into_af7(&mut gpioa.moder, &mut gpioa.afrh); Serial::usart1(dp.USART1, (tx, rx), 115_200.bps(), clocks, &mut rcc.apb2); + // If you are having trouble sending/receiving data to/from the + // HC-05 bluetooth module, try this configuration instead: + // Serial::usart1(dp.USART1, (tx, rx), 9600.bps(), clocks, &mut rcc.apb2); unsafe { ( diff --git a/src/13-serial-over-bluetooth/README.md b/src/13-serial-over-bluetooth/README.md index 3d4356c9d..e0c882cc9 100644 --- a/src/13-serial-over-bluetooth/README.md +++ b/src/13-serial-over-bluetooth/README.md @@ -22,4 +22,6 @@ Recommended steps to wire this up: And that's it! You should be able to run all the programs you wrote in [section 11] without modification! Just make sure you open the right serial device / COM port. +**NOTE** If you are having trouble communicating with the bluetooth device, you may need to initialize USART1 with a lower baud rate. Lowering it from 115,200 bps to 9,600 bps might help, as described [here](https://github.com/rust-embedded/discovery/blob/master/src/11-usart/auxiliary/src/lib.rs#L31) + [section 11]: ../11-usart/index.html From c1941cf3d3b124208ea0a016ae01dc92249e11ef Mon Sep 17 00:00:00 2001 From: Sibi Prabakaran Date: Sat, 19 Oct 2019 22:33:36 +0530 Subject: [PATCH 038/313] Specify wire type for proper ITM usage --- src/06-hello-world/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index 7524b050e..5aed9c2a1 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -5,7 +5,7 @@ > **not** soldered even though the [User Manual][] (page 21) says that it **should be**. > **TL;DR** You have two options to fix this: Either **solder** the solder bridge SB10 or connect a -> wire between SWO and PB3 as shown in the picture below. +> female to female jumper wire between SWO and PB3 as shown in the picture below. [User Manual]: http://www.st.com/resource/en/user_manual/dm00063382.pdf From abca571d25613ffb01cbc0573f78fb79ebc69dcd Mon Sep 17 00:00:00 2001 From: Jason Miller Date: Sat, 2 Nov 2019 11:39:59 +0100 Subject: [PATCH 039/313] Fix typo in chapter 11 --- src/11-usart/buffer-overrun.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/11-usart/buffer-overrun.md b/src/11-usart/buffer-overrun.md index 8a2e3dde4..03cfeaf0b 100644 --- a/src/11-usart/buffer-overrun.md +++ b/src/11-usart/buffer-overrun.md @@ -52,7 +52,7 @@ so let me quote myself: Our pangram has a length of 45 bytes. That means it's going to take, at least, 3,900 microseconds (`45 bytes / (11,520 bytes/s) = 3,906 us`) to send the string. The processor is working at 8 MHz, where executing an instruction takes 125 nanoseconds, so it's likely going to be done with the `for` -loop is less than 3,900 microseconds. +loop in less than 3,900 microseconds. We can actually time how long it takes to execute the `for` loop. `aux11::init()` returns a `MonoTimer` (monotonic timer) value that exposes an `Instant` API that's similar to the one in From d5b6405811176d22f138f6baf239c4dbcf2d56d4 Mon Sep 17 00:00:00 2001 From: Philipp Hansch Date: Mon, 13 Jan 2020 07:44:19 +0100 Subject: [PATCH 040/313] Requirements: USB cables need data transfer I was getting started with the discovery book and still had two old unmarked Mini USB cables laying around. Unfortunately none of them were working. To be fair, I don't know too much about the USB standards, but one reason may be that these cables only support charging without data transfer. I think it would be good to mention this here, if people go out and buy new cables. --- src/02-requirements/README.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index b9f6cb3db..4f08dcf50 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -55,7 +55,8 @@ cheaper for you to get)

- Two mini-B USB cables. One is required to make the STM32F3DISCOVERY board work. The other is only - required if you have the Serial <-> USB module. + required if you have the Serial <-> USB module. Make sure that the cables both + support data transfer as some cables only support charging devices.

From e0da0ee4ac7d0ffdf6bd4476dd241ffbdeccd5a7 Mon Sep 17 00:00:00 2001 From: Marvin Humphrey Date: Wed, 29 Jan 2020 09:20:18 -0800 Subject: [PATCH 041/313] Link to Wikipedia page on Async Serial Comm By linking to the Wikipedia page, clarify just which "serial communication protocol" is being referenced in the text. --- src/10-serial-communication/README.md | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/src/10-serial-communication/README.md b/src/10-serial-communication/README.md index 9d71a12a1..967d7243d 100644 --- a/src/10-serial-communication/README.md +++ b/src/10-serial-communication/README.md @@ -14,7 +14,7 @@ Nah, don't worry. This connector, the DE-9, went out of fashion on PCs quite som replaced by the Universal Serial Bus (USB). We won't be dealing with the DE-9 connector itself but with the communication protocol that this cable is/was usually used for. -So what's this *serial communication*? It's an *asynchronous* communication protocol where two +So what's this [*serial communication*][ASC]? It's an *asynchronous* communication protocol where two devices exchange data *serially*, as in one bit at a time, using two data lines (plus a common ground). The protocol is asynchronous in the sense that neither of the shared lines carries a clock signal. Instead both parties must agree on how fast data will be sent along the wire *before* the @@ -49,3 +49,5 @@ offers. Pick a route: - [*nix](nix-tooling.md) - [Windows](windows-tooling.md) + +[ASC]: https://en.wikipedia.org/wiki/Asynchronous_serial_communication From 38c1f90fc8aaaa9896d74316dfc831ce0b18524b Mon Sep 17 00:00:00 2001 From: Muhammad Naufil Date: Sun, 2 Feb 2020 13:55:17 +0500 Subject: [PATCH 042/313] Fixed a comment Fixed a register name in comments of read-several-register.md --- src/14-i2c/read-several-registers.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/14-i2c/read-several-registers.md b/src/14-i2c/read-several-registers.md index 3cbd3b52b..c162723c5 100644 --- a/src/14-i2c/read-several-registers.md +++ b/src/14-i2c/read-several-registers.md @@ -77,7 +77,7 @@ fn main() -> ! { // Wait until we can send more data while i2c1.isr.read().txis().bit_is_clear() {} - // Send the address of the register that we want to read: IRA_REG_M + // Send the address of the register that we want to read: OUT_X_H_M i2c1.txdr.write(|w| w.txdata().bits(OUT_X_H_M)); // Wait until the previous byte has been transmitted From bd410aa040deb741a5db9a95d60bf2105cee02be Mon Sep 17 00:00:00 2001 From: Aida Mir <56483365+AidaMirr@users.noreply.github.com> Date: Wed, 5 Feb 2020 04:03:33 +0500 Subject: [PATCH 043/313] Update build-it.md Fixed grammatical error --- src/05-led-roulette/build-it.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index 744839fb0..429ea5bd7 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -14,7 +14,7 @@ families within that architecture: - `thumbv7em-none-eabi`, for the Cortex-M4 and Cortex-M7 processors - `thumbv7em-none-eabihf`, for the Cortex-M4**F** and Cortex-M7**F** processors -For the F3, we'll to use the `thumbv7em-none-eabihf` target. Before cross compiling you have to +For the F3, we'll use the `thumbv7em-none-eabihf` target. Before cross compiling you have to download pre-compiled version of the standard library (a reduced version of it actually) for your target. That's done using `rustup`: From 23c6107918480e2ffd6559071e5390076590476d Mon Sep 17 00:00:00 2001 From: faizytech Date: Sun, 9 Feb 2020 16:34:00 +0500 Subject: [PATCH 044/313] Typo Mistake Correction changed "rkfill" to "rfkill" --- src/12-bluetooth-setup/linux.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/12-bluetooth-setup/linux.md b/src/12-bluetooth-setup/linux.md index b26cd9569..34dc016ae 100644 --- a/src/12-bluetooth-setup/linux.md +++ b/src/12-bluetooth-setup/linux.md @@ -45,14 +45,14 @@ $ sudo /etc/init.d/bluetooth start You may also need to unblock your Bluetooth, depending on what `rfkill list` says: ``` console -$ rkfill list +$ rfkill list 9: hci0: Bluetooth Soft blocked: yes # <-- Hard blocked: no $ sudo rfkill unblock bluetooth -$ rkfill list +$ rfkill list 9: hci0: Bluetooth Soft blocked: no # <-- Hard blocked: no From 2e292d9166c72c051e44a679f1280babf2f34d55 Mon Sep 17 00:00:00 2001 From: Muhammad Naufil Date: Tue, 11 Feb 2020 19:50:16 +0500 Subject: [PATCH 045/313] Fixed I2C registers page number of reference manual in Ch 14.3 --- src/14-i2c/read-a-single-register.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/14-i2c/read-a-single-register.md b/src/14-i2c/read-a-single-register.md index a4d9297af..c1a34ae06 100644 --- a/src/14-i2c/read-a-single-register.md +++ b/src/14-i2c/read-a-single-register.md @@ -12,7 +12,7 @@ Just like with the USART peripheral, I've taken care of initializing everything These registers are documented in the following section of the Reference Manual: -> Section 28.7 I2C registers - Page 873 - Reference Manual +> Section 28.7 I2C registers - Page 868 - Reference Manual We'll be using the `I2C1` peripheral in conjunction with pins `PB6` (`SCL`) and `PB7` (`SDA`). From f7bc9e518beb8253a53327537fac65114c5ea40a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Tomasz=20R=C3=B3=C5=BCa=C5=84ski?= Date: Sun, 16 Feb 2020 00:05:49 +0100 Subject: [PATCH 046/313] Add `book.toml` file --- book.toml | 5 +++++ 1 file changed, 5 insertions(+) create mode 100644 book.toml diff --git a/book.toml b/book.toml new file mode 100644 index 000000000..e9a27ec21 --- /dev/null +++ b/book.toml @@ -0,0 +1,5 @@ +[book] +title = "Discovery" +description = "Discover the world of microcontrollers through Rust" +author = "Rust Embedded Resources Team" +language = "en" From ea8ccab83d1fba43b8c0101abeee0cdcbb2c6a96 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Tomasz=20R=C3=B3=C5=BCa=C5=84ski?= Date: Mon, 17 Feb 2020 22:40:44 +0100 Subject: [PATCH 047/313] Fix broken link for L3GD20 gyroscope datasheet Fixes #170 --- src/03-setup/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 8cfd96597..3b0f84d0e 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -19,7 +19,7 @@ several MBs in size. - [LSM303DLHC] - [L3GD20] -[L3GD20]: http://www.st.com/resource/en/datasheet/l3gd20.pdf +[L3GD20]: https://www.st.com/content/ccc/resource/technical/document/application_note/2c/d9/a7/f8/43/48/48/64/DM00119036.pdf/files/DM00119036.pdf/jcr:content/translations/en.DM00119036.pdf [LSM303DLHC]: http://www.st.com/resource/en/datasheet/lsm303dlhc.pdf [ds]: http://www.st.com/resource/en/datasheet/stm32f303vc.pdf [rm]: http://www.st.com/resource/en/reference_manual/dm00043574.pdf From 038f8a22d9bae91f714b4b509b4f9671d1f1bd06 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Tomasz=20R=C3=B3=C5=BCa=C5=84ski?= Date: Mon, 24 Feb 2020 00:30:24 +0100 Subject: [PATCH 048/313] Feat: add custom formatting for images Some images with alpha channel, are unreadable when a dark theme is applied. This commit adds a custom image style, named `white_bg`, that can be used to fix this issue. The style is very simple, and adds two features: - white background, - a small padding around the image --- book.toml | 3 +++ custom.css | 6 ++++++ src/05-led-roulette/the-challenge.md | 2 +- src/07-registers/README.md | 2 +- src/14-i2c/README.md | 2 +- src/14-i2c/the-general-protocol.md | 4 ++-- src/15-led-compass/take-1.md | 2 +- src/15-led-compass/take-2.md | 2 +- 8 files changed, 16 insertions(+), 7 deletions(-) create mode 100644 custom.css diff --git a/book.toml b/book.toml index e9a27ec21..298b7c60b 100644 --- a/book.toml +++ b/book.toml @@ -3,3 +3,6 @@ title = "Discovery" description = "Discover the world of microcontrollers through Rust" author = "Rust Embedded Resources Team" language = "en" + +[output.html] +additional-css = ["custom.css"] diff --git a/custom.css b/custom.css new file mode 100644 index 000000000..179afa563 --- /dev/null +++ b/custom.css @@ -0,0 +1,6 @@ +/* Add this style to the image if it's unreadable +when the dark theme is applied */ +img.white_bg { + background-color: white; + padding: 1em; +} diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index 47e0b177d..b023849fc 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -12,7 +12,7 @@ Here's the GIF again: Also, this may help:

- +

This is a timing diagram. It indicates which LED is on at any given instant of time and for how long diff --git a/src/07-registers/README.md b/src/07-registers/README.md index 0404c9db8..93532514d 100644 --- a/src/07-registers/README.md +++ b/src/07-registers/README.md @@ -28,7 +28,7 @@ connected to LEDs. An LED, a Light Emitting Diode, will only emit light when vol it with a certain polarity.

- +

Luckily for us, the microcontroller's pins are connected to the LEDs with the right polarity. All diff --git a/src/14-i2c/README.md b/src/14-i2c/README.md index e8f06c02f..a29d22fe0 100644 --- a/src/14-i2c/README.md +++ b/src/14-i2c/README.md @@ -19,7 +19,7 @@ uses two lines to exchange data: a data line (SDA) and a clock line (SCL). Becau used to synchronize the communication, this is a *synchronous* protocol.

- +

This protocol uses a *master* *slave* model where the master is the device that *starts* and diff --git a/src/14-i2c/the-general-protocol.md b/src/14-i2c/the-general-protocol.md index dd35e30ca..a017d2faa 100644 --- a/src/14-i2c/the-general-protocol.md +++ b/src/14-i2c/the-general-protocol.md @@ -8,7 +8,7 @@ communication between several devices. Let's see how it works using examples: If the master wants to send data to the slave:

- +

1. Master: Broadcast START @@ -27,7 +27,7 @@ If the master wants to send data to the slave: If the master wants to read data from the slave:

- +

1. M: Broadcast START diff --git a/src/15-led-compass/take-1.md b/src/15-led-compass/take-1.md index c739954c6..a2ce6f8d7 100644 --- a/src/15-led-compass/take-1.md +++ b/src/15-led-compass/take-1.md @@ -21,7 +21,7 @@ If we only looked at the signs of the X and Y components we could determine to w magnetic field belongs to.

- +

In the previous example, the magnetic field was in the first quadrant (x and y were positive) and it diff --git a/src/15-led-compass/take-2.md b/src/15-led-compass/take-2.md index db3a5c85f..51e7c6dd3 100644 --- a/src/15-led-compass/take-2.md +++ b/src/15-led-compass/take-2.md @@ -7,7 +7,7 @@ We'll use the `atan2` function. This function returns an angle in the `-PI` to ` graphic below shows how this angle is measured:

- +

Although not explicitly shown in this graph the X axis points to the right and the Y axis points up. From 921014bf9f368bfc8fa7f963420c73906a548e67 Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Mon, 24 Feb 2020 00:47:15 +0000 Subject: [PATCH 049/313] Update CI install to use latest mdbook, reverting 09753a1 --- ci/install.sh | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/ci/install.sh b/ci/install.sh index 602f22491..e34e1fded 100644 --- a/ci/install.sh +++ b/ci/install.sh @@ -1,17 +1,17 @@ set -euxo pipefail main() { - # install latest mdbook v0.2.x release - local tag=$(git ls-remote --tags --refs --exit-code https://github.com/rust-lang-nursery/mdbook \ + local tag=$(git ls-remote --tags --refs --exit-code \ + https://github.com/rust-lang/mdbook \ | cut -d/ -f3 \ - | grep -E '^v0.2.[0-9]+$' \ + | grep -E '^v[0-9\.]+$' \ | sort --version-sort \ | tail -n1) - local tag="v0.2.1" + curl -LSfs https://japaric.github.io/trust/install.sh | \ sh -s -- \ --force \ - --git rust-lang-nursery/mdBook \ + --git rust-lang/mdbook \ --tag $tag \ --target x86_64-unknown-linux-musl From e268b5c697b86da203c464db9c0a950fd01e9997 Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Tue, 25 Feb 2020 00:28:14 +0000 Subject: [PATCH 050/313] Update CI install to not specify architecture, as mdbook doesn't release linux-musl downloads --- ci/install.sh | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/ci/install.sh b/ci/install.sh index e34e1fded..f5596e4ef 100644 --- a/ci/install.sh +++ b/ci/install.sh @@ -12,8 +12,7 @@ main() { sh -s -- \ --force \ --git rust-lang/mdbook \ - --tag $tag \ - --target x86_64-unknown-linux-musl + --tag $tag rustup target add thumbv7em-none-eabihf From 89b4250685f8009da629d49e18e04a9fdef2dc0d Mon Sep 17 00:00:00 2001 From: Thx And Bye Date: Fri, 27 Mar 2020 16:16:25 +0100 Subject: [PATCH 051/313] Updated the link for OpenOCD Binary releases are now at home at the xPack project. --- src/03-setup/windows.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/windows.md b/src/03-setup/windows.md index 5dd1603f1..4760b714c 100644 --- a/src/03-setup/windows.md +++ b/src/03-setup/windows.md @@ -22,7 +22,7 @@ recommend `C:\OpenOCD` but with the drive letter that makes sense to you) then u environment variable to include the following path: `C:\OpenOCD\bin` (or the path that you used before). -[openocd]: https://github.com/gnu-mcu-eclipse/openocd/releases +[openocd]: https://github.com/xpack-dev-tools/openocd-xpack/releases Verify that OpenOCD is in yout `%PATH%` with: From 2343b2bbdb5c83106f112c803de930773b37f76f Mon Sep 17 00:00:00 2001 From: LeSeulArtichaut Date: Mon, 13 Apr 2020 14:27:14 +0200 Subject: [PATCH 052/313] Add triagebot configuration --- triagebot.toml | 1 + 1 file changed, 1 insertion(+) create mode 100644 triagebot.toml diff --git a/triagebot.toml b/triagebot.toml new file mode 100644 index 000000000..fa0824ac5 --- /dev/null +++ b/triagebot.toml @@ -0,0 +1 @@ +[assign] From 6552c032df62b8ca8785019e8b0286860da80fa0 Mon Sep 17 00:00:00 2001 From: Mark Hildreth Date: Mon, 27 Apr 2020 12:27:44 -0400 Subject: [PATCH 053/313] Add requirement for hex and bitwise operations. This adds a small note in the "requirements" section about the value of understanding hexadecimal and bitwise operations. Hexadecimal representation is first introduced in Chapter 4 and used throughout the tutorial. Although the tutorial often ends up using functions like "set_bit", there are still times (especially in Chapter 7 which seems to lose a lot of people) where things like bit shifts are used without explanation. --- src/02-requirements/README.md | 19 ++++++++++++++++++- 1 file changed, 18 insertions(+), 1 deletion(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index 4f08dcf50..41d7a3ceb 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -1,6 +1,6 @@ # Hardware/knowledge requirements -The only knowledge requirement to read this book is to know *some* Rust. It's +The primary knowledge requirement to read this book is to know *some* Rust. It's hard for me to quantify *some* but at least I can tell you that you don't need to fully grok generics but you do need to know how to *use* closures. You also need to be familiar with the idioms of the [2018 edition], in particular with @@ -8,6 +8,23 @@ the fact that `extern crate` is not necessary in the 2018 edition. [2018 edition]: https://rust-lang-nursery.github.io/edition-guide/ +Due to the nature of embedded programming, it will also be extremely helpful to +understand how binary and hexadecimal representations of values work, as well +as the use of some bitwise operators. For example, it would be useful to +understand how the following program produces its output. + +```rust +fn main() { + let a = 0x4000_0000 + 0xa2; + + // Use of the bit shift "<<" operation. + let b = 1 << 5; + + // {:X} will format values as hexadecimal + println!("{:X}: {:X}", a, b); +} +``` + Also, to follow this material you'll need the following hardware: (Some components are optional but recommended) From cff4473a7466fb780ee0f95bdc6a7998fe53099d Mon Sep 17 00:00:00 2001 From: Mark Hildreth Date: Thu, 30 Apr 2020 13:05:54 -0400 Subject: [PATCH 054/313] Removed ambiguous wording in introduction. --- src/README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/README.md b/src/README.md index 4faed702d..4d9e20735 100644 --- a/src/README.md +++ b/src/README.md @@ -42,8 +42,8 @@ What's out of scope for this book: - Being a comprehensive text about electric circuit theory or electronics. We'll just cover the minimum required to understand how some devices work. -- Covering Rustic, low level details. We won't be talking about linker scripts, the boot process or - how to glue those two into a minimally working Rust program. +- Covering details such as linker scripts and the boot process. For example, we'll use existing tools + to help get your code onto your board, but not go into detail about how those tools work. Also I don't intend to port this material to other development boards; this book will make exclusive use of the STM32F3DISCOVERY development board. From 5b995efd9388d92ac3ddd8f63693b0756e3fde07 Mon Sep 17 00:00:00 2001 From: Mark Hildreth Date: Thu, 30 Apr 2020 17:50:08 -0400 Subject: [PATCH 055/313] Removed overwhelming info, added context to "Meet your Hardware" --- src/04-meet-your-hardware/README.md | 97 ++++++++++++++++++++--------- 1 file changed, 68 insertions(+), 29 deletions(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 70c99d942..4bbd119cd 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -8,40 +8,79 @@ Let's get familiar with the hardware we'll be working with.

-We'll refer to this board as "F3" throughout this book. - -What does this board contain? - -- A STM32F303VCT6 microcontroller. This microcontroller has - - A single core ARM Cortex-M4F processor with hardware support for single precision floating point - operations and a maximum clock frequency of 72 MHz. - - - 256 KiB of "Flash" memory. (1 KiB = 10**24** bytes) - - - 48 KiB of RAM. - - - many "peripherals": timers, GPIO, I2C, SPI, USART, etc. - - - lots of "pins" that are exposed in the two lateral "headers". - - - **IMPORTANT** This microcontroller operates at (around) 3.3V. - -- An [accelerometer] and a [magnetometer][] (in a single package). +We'll refer to this board as "F3" throughout this book. Here are some of the +many components on the board: + +- A number of LEDs, including the eight aligned in a "compass" formation. +- Two buttons. +- Two USB ports. +- An [accelerometer]. +- A [magnetometer]. +- A [gyroscope]. [accelerometer]: https://en.wikipedia.org/wiki/Accelerometer [magnetometer]: https://en.wikipedia.org/wiki/Magnetometer - -- A [gyroscope]. - [gyroscope]: https://en.wikipedia.org/wiki/Gyroscope -- 8 user LEDs arranged in the shape of a compass - -- A second microcontroller: a STM32F103CBT. This microcontroller is actually part of an on-board - programmer and debugger named ST-LINK and is connected to the USB port named "USB ST-LINK". - -- There's a second USB port, labeled "USB USER" that is connected to the main microcontroller, the - STM32F303VCT6, and can be used in applications. +Of these components, the most important is the [microcontroller] (sometimes +shortened to "MCU" for "microcontroller unit"), which is the large black square +sitting in the center of your board. The MCU is what runs your code. You might +sometimes read about "programming a board", when in reality what we are doing +is programming the MCU that is installed on the board. + +[microcontroller]: https://en.wikipedia.org/wiki/Microcontroller + +## STM32F303VCT6 (the "STM32F3") + +Since the MCU is so important, let's take a closer look at the one sitting on our board. + +Our MCU is surrounded by 100 tiny metal **pins**. These pins are connected to +**tracings**, the little "roads" that act as the wires connecting components +together on the board. The MCU can dynamically alter the electrical properties +of the pins. This works similar to a lightswitch altering how electrical +current flows through a circuit. By enabling or disabling electrical current to +flow through a specific pin, an LED attached to that pin (via the tracing) can +be turned on and off. + +By looking at the part number and doing some research, we can learn more +information about electronic components. The part number of our microcontroller +starts with `STM32`, which indicates that it was manufactured by [ST +Microelectronics]. It also lets us know that this is an Arm®-based 32-bit +microcontroller. The `F3` in the part number indicates that the microcontroller +is based on the Cortex®-M4. The rest of the part number provides more specifics +(e.g., extra features, RAM size), and aren't as important to us right now. + +[ST Microelectronics]: https://st.com/ + +> ### Arm? Cortex-M4? +> +> If our chip is manufactured by ST, then who is Arm? And if our chip is the +> STM32F3, what is the Cortex-M4? +> +> You might be surprised to hear that while "Arm-based" chips are quite +> popular, the company behind the "Arm" trademark ([Arm Holdings][]) doesn't +> actually manuafacture chips for purchase. Instead, their primary business +> model is to just *design* parts of chips. They will then license those designs to +> manufacturers, who will in turn implement the designs (perhaps with some of +> their own tweaks) in the form of physical hardware that can then be sold. +> Arm's strategy here is different from companies like Intel, which both +> designs *and* manufactures their chips. +> +> Arm licenses a bunch of different designs. Their "Cortex-M" family of designs +> are mainly used as the core in microcontrollers. For example, the Cortex-M0 +> is designed for low cost and low power usage. The Cortex-M7 is higher cost, +> but with more features and performance. The core of our STM32F3 is based on +> the Cortex-M4, which is in the middle: more features and performance than the +> Cortex-M0, but less expensive than the Cortex-M7. +> +> Luckily, you don't need to know too much about different types of processors +> or Cortex designs for the sake of this book. However, you are hopefully now a +> bit more knowledgeable about the terminology of your device. While you are +> working specifically with an STM32F3, you might find yourself reading +> documentation and using tools for Cortex-M-based chips, as the STM32F3 is +> based on a Cortex-M design. + +[Arm Holdings]: https://www.arm.com/ ## The Serial module From 749fec44ae770570ccbe488f41c766ed71d3e1fa Mon Sep 17 00:00:00 2001 From: James Munns Date: Sun, 3 May 2020 22:23:56 +0200 Subject: [PATCH 056/313] Rework the "where to go from here" section to track items we want to teach This is part of the cleanup described in this issue: https://github.com/rust-embedded/discovery/issues/231 --- src/explore.md | 141 +++++++++++++++++++++++++++++++++++++------------ 1 file changed, 107 insertions(+), 34 deletions(-) diff --git a/src/explore.md b/src/explore.md index e90b7efe8..d4a8c4c3a 100644 --- a/src/explore.md +++ b/src/explore.md @@ -1,12 +1,29 @@ # What's left for you to explore -We have barely scratched the surface! There's lots of stuff left for you to explore: +We have barely scratched the surface! There's lots of stuff left for you to +explore. -## Multitasking +> **NOTE:** If you're reading this, and you'd like to help add examples or +> exercises to the Discovery book for any of the items below, or any other +> relevant embedded topics, we'd love to have your help! +> +> Please [open an issue] if you would like to help, but need assistance or +> mentoring for how to contribute this to the book, or open a Pull Request +> adding the information! -All our programs executed a single task. How could we achieve multitasking in a system with no OS, -and thus no threads. There are two main approaches to multitasking: preemptive multitasking and -cooperative multitasking. +[open an issue]: https://github.com/rust-embedded/discovery/issues/new + +## Topics about embedded software + +These topics discuss strategies for writing embedded software. Although many +problems can be solved in different ways, these sections talk about some +strategies, and when they make sense (or don't make sense) to use. + +### Multitasking + +All our programs executed a single task. How could we achieve multitasking in a +system with no OS, and thus no threads. There are two main approaches to +multitasking: preemptive multitasking and cooperative multitasking. In preemptive multitasking a task that's currently being executed can, at any point in time, be *preempted* (interrupted) by another task. On preemption, the first task will be suspended and the @@ -20,17 +37,7 @@ difference between these two approaches to multitasking is that in cooperative m execution control at *known* suspension points instead of being forcefully preempted at any point of its execution. -## Direct Memory Access (DMA). - -This peripheral is a kind of *asynchronous* `memcpy`. So far our programs have -been pumping data, byte by byte, into peripherals like UART and I2C. This DMA -peripheral can be used to perform bulk transfers of data. Either from RAM to -RAM, from a peripheral, like a UART, to RAM or from RAM to a peripheral. You can -schedule a DMA transfer, like read 256 bytes from USART1 into this buffer, leave -it running in the background and then poll some register to see if it has -completed so you can do other stuff while the transfer is ongoing. - -## Sleeping +### Sleeping All our programs have been continuously polling peripherals to see if there's anything that needs to be done. However, some times there's nothing to be done! @@ -43,7 +50,44 @@ perform some action? "Interrupts" are one of the events that wake up the microcontroller but there are others and the `wfi` and `wfe` are the instructions that make the processor "sleep". -## Pulse Width Modulation (PWM) +## Topics related to microcontroller capabilities + +Microcontrollers (like our STM32F3) have many different capabilities. However, many share similar +capabilities that can be used to solve all sorts of different problems. + +These topics discuss some of those capabilities, and how they can be used effectively +in embedded development. + +### Direct Memory Access (DMA). + +This peripheral is a kind of *asynchronous* `memcpy`. So far our programs have +been pumping data, byte by byte, into peripherals like UART and I2C. This DMA +peripheral can be used to perform bulk transfers of data. Either from RAM to +RAM, from a peripheral, like a UART, to RAM or from RAM to a peripheral. You can +schedule a DMA transfer, like read 256 bytes from USART1 into this buffer, leave +it running in the background and then poll some register to see if it has +completed so you can do other stuff while the transfer is ongoing. + +### Interrupts + +In order to interact with the real world, it is often necessary for the +microcontroller to respond *immediately* when some kind of event occurs. + +Microcontrollers have the ability to be interrupted, meaning when a certain event +occurs, it will stop whatever it is doing at the moment, to instead respond to that +event. This can be very useful when we want to stop a motor when a button is pressed, +or measure a sensor when a timer finishes counting down. + +Although these interrupts can be very useful, they can also be a bit difficult +to work with properly. We want to make sure that we respond to events quickly, +but also allow other work to continue as well. + +In Rust, we model interrupts similar to the concept of threading on desktop Rust +programs. This means we also must think about the Rust concepts of `Send` and `Sync` +when sharing data between our main application, and code that executes as part of +handling an interrupt event. + +### Pulse Width Modulation (PWM) In a nutshell, PWM is turning on something and then turning it off periodically while keeping some proportion ("duty cycle") between the "on time" and the "off @@ -59,7 +103,7 @@ motor thus it can be used to control its torque and speed. Then you can add an angular position sensor and you got yourself a closed loop controller that can control the position of the motor at different loads. -## Digital input +### Digital inputs We have used the microcontroller pins as digital outputs, to drive LEDs. But these pins can also be configured as digital inputs. As digital inputs, these @@ -69,19 +113,7 @@ pressed). (*spoilers* reading the binary state of switches / buttons is not as straightforward as it sounds ;-) -## Sensor fusion - -The STM32F3DISCOVERY contains three motion sensors: an accelerometer, a -gyroscope and a magnetometer. On their own these measure: (proper) acceleration, -angular speed and (the Earth's) magnetic field. But these magnitudes can be -"fused" into something more useful: a "robust" measurement of the orientation of -the board. Where robust means with less measurement error than a single sensor -would be capable of. - -This idea of deriving more reliable data from different sources is known as -sensor fusion. - -## Analog-to-Digital Converters (ADC) +### Analog-to-Digital Converters (ADC) There are a lots of digital sensors out there. You can use a protocol like I2C and SPI to read them. But analog sensors also exist! These sensors just output a @@ -91,7 +123,7 @@ The ADC peripheral can be use to convert that "analog" voltage level, say `1.25` Volts,into a "digital" number, say in the `[0, 65535]` range, that the processor can use in its calculations. -## Digital-to-Analog Converters (DAC) +### Digital-to-Analog Converters (DAC) As you might expect a DAC is exactly the opposite of ADC. You can write some digital value into a register to produce a voltage in the `[0, 3.3V]` range @@ -100,14 +132,14 @@ connected to some appropriate electronics and the register is written to at some constant, fast rate (frequency) with the right values you can produce sounds or even music! -## Real Time Clock (RTC) +### Real Time Clock (RTC) This peripheral can be used to track time in "human format". Seconds, minutes, hours, days, months and years. This peripheral handles the translation from "ticks" to these human friendly units of time. It even handles leap years and Daylight Save Time for you! -## Other communication protocols +### Other communication protocols SPI, I2S, SMBUS, CAN, IrDA, Ethernet, USB, Bluetooth, etc. @@ -116,6 +148,47 @@ applications usually have an USB connector because USB is an ubiquitous protocol in PCs and smartphones. Whereas inside cars you'll find plenty of CAN "buses". Some digital sensors use SPI, others use I2C and others, SMBUS. +## General Embedded-Relevant Topics + +These topics cover items that are not specific to our device, or the hardware on +it. Instead, they discuss useful techniques that could be used on embedded +systems. + +### Gyroscopes + +As part of our Punch-o-meter exercise, we used the Accelerometer to measure +changes in acceleration in three dimensions. Our board also features a sensor +called a Gyroscope, which allows us to measure changes in "spin" in three +dimensions. + +This can be very useful when trying to build certain systems, such as a robot +that wants to avoid tipping over. Additionally, the data from a sensor like a +gyroscope can also be combined with data from accelerometer using a technique +called Sensor Fusion (see below for more information). + +### Servo and Stepper Motors + +While some motors are used primarily just to spin in one direction or the other, +for example driving a remote control car forwards or backwards, it is sometimes +useful to measure more precisely how a motor rotates. + +Our microcontroller can be used to drive Servo or Stepper motors, which allow +for more precise control of how many turns are being made by the motor, or +can even position the motor in one specific place, for example if we wanted to +move the arms of a clock to a particular direction. + +### Sensor fusion + +The STM32F3DISCOVERY contains three motion sensors: an accelerometer, a +gyroscope and a magnetometer. On their own these measure: (proper) acceleration, +angular speed and (the Earth's) magnetic field. But these magnitudes can be +"fused" into something more useful: a "robust" measurement of the orientation of +the board. Where robust means with less measurement error than a single sensor +would be capable of. + +This idea of deriving more reliable data from different sources is known as +sensor fusion. + --- So where to next? There are several options: From 6025f9f5847a707684edb2c263897101366cbb9f Mon Sep 17 00:00:00 2001 From: Mark Hildreth Date: Sun, 3 May 2020 20:23:01 -0400 Subject: [PATCH 057/313] Apply suggestions from code review Co-authored-by: Adam Greig Co-authored-by: James Munns --- src/04-meet-your-hardware/README.md | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 4bbd119cd..690cb0bc7 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -35,11 +35,11 @@ is programming the MCU that is installed on the board. Since the MCU is so important, let's take a closer look at the one sitting on our board. Our MCU is surrounded by 100 tiny metal **pins**. These pins are connected to -**tracings**, the little "roads" that act as the wires connecting components +**traces**, the little "roads" that act as the wires connecting components together on the board. The MCU can dynamically alter the electrical properties of the pins. This works similar to a lightswitch altering how electrical current flows through a circuit. By enabling or disabling electrical current to -flow through a specific pin, an LED attached to that pin (via the tracing) can +flow through a specific pin, an LED attached to that pin (via the traces) can be turned on and off. By looking at the part number and doing some research, we can learn more @@ -59,7 +59,7 @@ is based on the Cortex®-M4. The rest of the part number provides more specifics > > You might be surprised to hear that while "Arm-based" chips are quite > popular, the company behind the "Arm" trademark ([Arm Holdings][]) doesn't -> actually manuafacture chips for purchase. Instead, their primary business +> actually manufacture chips for purchase. Instead, their primary business > model is to just *design* parts of chips. They will then license those designs to > manufacturers, who will in turn implement the designs (perhaps with some of > their own tweaks) in the form of physical hardware that can then be sold. From a22fa6017d9437c46f51172f161761e802d6af45 Mon Sep 17 00:00:00 2001 From: Mark Hildreth Date: Sun, 3 May 2020 20:30:24 -0400 Subject: [PATCH 058/313] Added microcontroller to list of board components. --- src/04-meet-your-hardware/README.md | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 690cb0bc7..1b940821d 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -11,6 +11,7 @@ Let's get familiar with the hardware we'll be working with. We'll refer to this board as "F3" throughout this book. Here are some of the many components on the board: +- A [microcontroller]. - A number of LEDs, including the eight aligned in a "compass" formation. - Two buttons. - Two USB ports. @@ -18,17 +19,17 @@ many components on the board: - A [magnetometer]. - A [gyroscope]. +[microcontroller]: https://en.wikipedia.org/wiki/Microcontroller [accelerometer]: https://en.wikipedia.org/wiki/Accelerometer [magnetometer]: https://en.wikipedia.org/wiki/Magnetometer [gyroscope]: https://en.wikipedia.org/wiki/Gyroscope -Of these components, the most important is the [microcontroller] (sometimes +Of these components, the most important is the microcontroller (sometimes shortened to "MCU" for "microcontroller unit"), which is the large black square sitting in the center of your board. The MCU is what runs your code. You might sometimes read about "programming a board", when in reality what we are doing is programming the MCU that is installed on the board. -[microcontroller]: https://en.wikipedia.org/wiki/Microcontroller ## STM32F303VCT6 (the "STM32F3") From 042dfbb28bc4b970d5448af6ef6f5299ff363ee1 Mon Sep 17 00:00:00 2001 From: Mark Hildreth Date: Sun, 3 May 2020 20:45:31 -0400 Subject: [PATCH 059/313] Tweaked language on learning info from part number. --- src/04-meet-your-hardware/README.md | 16 +++++++++------- 1 file changed, 9 insertions(+), 7 deletions(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 1b940821d..a8ecd2c26 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -43,13 +43,15 @@ current flows through a circuit. By enabling or disabling electrical current to flow through a specific pin, an LED attached to that pin (via the traces) can be turned on and off. -By looking at the part number and doing some research, we can learn more -information about electronic components. The part number of our microcontroller -starts with `STM32`, which indicates that it was manufactured by [ST -Microelectronics]. It also lets us know that this is an Arm®-based 32-bit -microcontroller. The `F3` in the part number indicates that the microcontroller -is based on the Cortex®-M4. The rest of the part number provides more specifics -(e.g., extra features, RAM size), and aren't as important to us right now. +Part numbers of components can often give us information about the part. In the +case of our MCU (with part number `STM32F303VCT6`), the `STM` at the start +hints to use that this is manufactured by [ST Microelectronics]. Each +manufacturer uses a different part numbering scheme, but with some research we +can also determine that the `32` implies that this is specifically an +Arm®-based 32-bit microcontroller, and the `F3` indicates that the +microcontroller is based on the Cortex®-M4. The rest of the part number +provides more specifics (e.g., extra features, RAM size), and aren't as +important to us right now. [ST Microelectronics]: https://st.com/ From 2f7c8d196ec6ddb2f6deb85a1b09e9f9131017da Mon Sep 17 00:00:00 2001 From: Mark Hildreth Date: Sun, 3 May 2020 20:54:23 -0400 Subject: [PATCH 060/313] Last-minute tweaks / fixes --- src/04-meet-your-hardware/README.md | 5 ++--- 1 file changed, 2 insertions(+), 3 deletions(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index a8ecd2c26..3a473b329 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -30,7 +30,6 @@ sitting in the center of your board. The MCU is what runs your code. You might sometimes read about "programming a board", when in reality what we are doing is programming the MCU that is installed on the board. - ## STM32F303VCT6 (the "STM32F3") Since the MCU is so important, let's take a closer look at the one sitting on our board. @@ -38,14 +37,14 @@ Since the MCU is so important, let's take a closer look at the one sitting on ou Our MCU is surrounded by 100 tiny metal **pins**. These pins are connected to **traces**, the little "roads" that act as the wires connecting components together on the board. The MCU can dynamically alter the electrical properties -of the pins. This works similar to a lightswitch altering how electrical +of the pins. This works similar to a light switch altering how electrical current flows through a circuit. By enabling or disabling electrical current to flow through a specific pin, an LED attached to that pin (via the traces) can be turned on and off. Part numbers of components can often give us information about the part. In the case of our MCU (with part number `STM32F303VCT6`), the `STM` at the start -hints to use that this is manufactured by [ST Microelectronics]. Each +hints to us that this is manufactured by [ST Microelectronics]. Each manufacturer uses a different part numbering scheme, but with some research we can also determine that the `32` implies that this is specifically an Arm®-based 32-bit microcontroller, and the `F3` indicates that the From 018702e0332ab864e7e4a59b4a2f49bbd3d78669 Mon Sep 17 00:00:00 2001 From: Mark Hildreth Date: Sun, 3 May 2020 20:57:14 -0400 Subject: [PATCH 061/313] More accurate description of part numbering scheme --- src/04-meet-your-hardware/README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 3a473b329..0e0f8108c 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -43,10 +43,10 @@ flow through a specific pin, an LED attached to that pin (via the traces) can be turned on and off. Part numbers of components can often give us information about the part. In the -case of our MCU (with part number `STM32F303VCT6`), the `STM` at the start +case of our MCU (with part number `STM32F303VCT6`), the `ST` at the start hints to us that this is manufactured by [ST Microelectronics]. Each manufacturer uses a different part numbering scheme, but with some research we -can also determine that the `32` implies that this is specifically an +can also determine that the `M32` implies that this is specifically an Arm®-based 32-bit microcontroller, and the `F3` indicates that the microcontroller is based on the Cortex®-M4. The rest of the part number provides more specifics (e.g., extra features, RAM size), and aren't as From 524530728410f10b1231d51b94d9880f0faec115 Mon Sep 17 00:00:00 2001 From: Mark Hildreth Date: Sun, 3 May 2020 21:46:25 -0400 Subject: [PATCH 062/313] Another try at explaining the part number. --- src/04-meet-your-hardware/README.md | 21 ++++++++++++--------- 1 file changed, 12 insertions(+), 9 deletions(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 0e0f8108c..71364e086 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -42,17 +42,20 @@ current flows through a circuit. By enabling or disabling electrical current to flow through a specific pin, an LED attached to that pin (via the traces) can be turned on and off. -Part numbers of components can often give us information about the part. In the -case of our MCU (with part number `STM32F303VCT6`), the `ST` at the start -hints to us that this is manufactured by [ST Microelectronics]. Each -manufacturer uses a different part numbering scheme, but with some research we -can also determine that the `M32` implies that this is specifically an -Arm®-based 32-bit microcontroller, and the `F3` indicates that the -microcontroller is based on the Cortex®-M4. The rest of the part number -provides more specifics (e.g., extra features, RAM size), and aren't as -important to us right now. +Each manufacturer uses a different part numbering scheme, but many will allow +you to determine information about a component simply by looking at the part +number. Looking at our MCU's part number (`STM32F303VCT6`), the `ST` at the +front hints to us that this is a part manufactured by [ST Microelectronics]. +Searching through [ST's marketing materials] we can also learn the following: [ST Microelectronics]: https://st.com/ +[ST's marketing materials]: https://www.st.com/en/microcontrollers-microprocessors/stm32-mainstream-mcus.html + +- The `M32` represents that this is an Arm®-based 32-bit microcontroller. +- The `F3` represents that the MCU is from ST's "STM32F3" series. This is a + series of MCUs based on the Cortex®-M4 processor design. +- The remainder of the part number goes into more details about things like + extra features and RAM size, which at this point we're less concerned about. > ### Arm? Cortex-M4? > From beb787a6b0aee7bb9b6e38125b1a19c48103008b Mon Sep 17 00:00:00 2001 From: Mark Hildreth Date: Sun, 3 May 2020 21:48:07 -0400 Subject: [PATCH 063/313] Spacing fix --- src/04-meet-your-hardware/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 71364e086..9f96f444d 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -74,7 +74,7 @@ Searching through [ST's marketing materials] we can also learn the following: > Arm licenses a bunch of different designs. Their "Cortex-M" family of designs > are mainly used as the core in microcontrollers. For example, the Cortex-M0 > is designed for low cost and low power usage. The Cortex-M7 is higher cost, -> but with more features and performance. The core of our STM32F3 is based on +> but with more features and performance. The core of our STM32F3 is based on > the Cortex-M4, which is in the middle: more features and performance than the > Cortex-M0, but less expensive than the Cortex-M7. > From 6249f5946d70bec92c8d9c5f346ceec36cf389cc Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Tomasz=20R=C3=B3=C5=BCa=C5=84ski?= Date: Mon, 4 May 2020 14:04:52 +0200 Subject: [PATCH 064/313] Change `laptop/PC` into more general `computer` --- src/01-background/README.md | 2 +- src/03-setup/README.md | 4 ++-- src/03-setup/linux.md | 2 +- src/03-setup/verify.md | 4 ++-- src/04-meet-your-hardware/README.md | 4 ++-- src/05-led-roulette/build-it.md | 2 +- src/05-led-roulette/flash-it.md | 4 ++-- src/06-hello-world/README.md | 4 ++-- src/10-serial-communication/README.md | 12 ++++++------ src/10-serial-communication/loopbacks.md | 2 +- src/10-serial-communication/nix-tooling.md | 4 ++-- src/10-serial-communication/windows-tooling.md | 2 +- src/11-usart/README.md | 2 +- src/11-usart/buffer-overrun.md | 2 +- src/11-usart/echo-server.md | 2 +- src/11-usart/receive-a-single-byte.md | 4 ++-- src/11-usart/send-a-single-byte.md | 4 ++-- src/11-usart/send-a-string.md | 4 ++-- src/12-bluetooth-setup/README.md | 2 +- src/12-bluetooth-setup/at-commands.md | 6 +++--- src/12-bluetooth-setup/linux.md | 4 ++-- src/12-bluetooth-setup/loopback.md | 2 +- src/13-serial-over-bluetooth/README.md | 4 ++-- 23 files changed, 41 insertions(+), 41 deletions(-) diff --git a/src/01-background/README.md b/src/01-background/README.md index ad22e63da..ec9b84abd 100644 --- a/src/01-background/README.md +++ b/src/01-background/README.md @@ -2,7 +2,7 @@ ## What's a microcontroller? -A microcontroller is a *system* on a chip. Whereas your laptop is made up of several discrete +A microcontroller is a *system* on a chip. Whereas your computer is made up of several discrete components: a processor, RAM sticks, a hard drive, an ethernet port, etc.; a microcontroller has all those components built into a single "chip" or package. This makes it possible to build systems with minimal part count. diff --git a/src/03-setup/README.md b/src/03-setup/README.md index f8c207043..f9ba7e826 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -1,7 +1,7 @@ # Setting up a development environment Dealing with microcontrollers involves several tools as we'll be dealing with an architecture -different than your laptop's and we'll have to run and debug programs on a "remote" device. +different than your computer's and we'll have to run and debug programs on a "remote" device. ## Documentation @@ -50,7 +50,7 @@ should work but we have listed the version we have tested. [`itmdump`]: https://crates.io/crates/itm -If your laptop has Bluetooth functionality and you have the Bluetooth module, you can additionally +If your computer has Bluetooth functionality and you have the Bluetooth module, you can additionally install these tools to play with the Bluetooth module. All these are optional: - Linux, only if you don't have a Bluetooth manager application like Blueman. diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index feb44a530..0c32c66d2 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -149,7 +149,7 @@ Then reload the udev rules with: $ sudo udevadm control --reload-rules ``` -If you had any board plugged to your laptop, unplug them and then plug them in again. +If you had any board plugged to your computer, unplug them and then plug them in again. Now, go to the [next section]. diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index 9312abb0d..59996e065 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -6,7 +6,7 @@ Let's verify that all the tools were installed correctly. ### Verify permissions -Connect the F3 to your laptop using an USB cable. Be sure to connect the cable to the "USB ST-LINK" +Connect the F3 to your computer using an USB cable. Be sure to connect the cable to the "USB ST-LINK" port, the USB port in the center of the edge of the board. The F3 should now appear as a USB device (file) in `/dev/bus/usb`. Let's find out how it got @@ -57,7 +57,7 @@ As before, the permissions should be `crw-rw-rw-`. ### First OpenOCD connection -First, connect the F3 to your laptop using an USB cable. Connect the cable to the USB port in the +First, connect the F3 to your computer using an USB cable. Connect the cable to the USB port in the center of edge of the board, the one that's labeled "USB ST-LINK". Two *red* LEDs should turn on right after connecting the USB cable to the board. diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 9f96f444d..e96846da3 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -94,8 +94,8 @@ Searching through [ST's marketing materials] we can also learn the following:

If you have an older revision of the discovery board, you can use this module to -exchange data between the microcontroller in the F3 and your laptop. This module -will be connected to your laptop using an USB cable. I won't say more at this +exchange data between the microcontroller in the F3 and your computer. This module +will be connected to your computer using an USB cable. I won't say more at this point. If you have a newer release of the board then you don't need this module. The diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index 429ea5bd7..420cf524b 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -1,7 +1,7 @@ # Build it The first step is to build our "binary" crate. Because the microcontroller has a different -architecture than your laptop we'll have to cross compile. Cross compiling in Rust land is as simple +architecture than your computer we'll have to cross compile. Cross compiling in Rust land is as simple as passing an extra `--target` flag to `rustc`or Cargo. The complicated part is figuring out the argument of that flag: the *name* of the target. diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index 5e3d82c67..c8904e8e9 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -11,7 +11,7 @@ Onto the actual flashing. First thing we need is to do is launch OpenOCD. We did previous section but this time we'll run the command inside a temporary directory (`/tmp` on *nix; `%TEMP%` on Windows). -Make sure the F3 is connected to your laptop and run the following commands on a new terminal. +Make sure the F3 is connected to your computer and run the following commands on a new terminal. ``` console $ # *nix @@ -41,7 +41,7 @@ STMicroelectronics decided to call it). This ST-LINK is connected to the target using a Serial Wire Debug (SWD) interface (this interface is an ARM standard so you'll run into it when dealing with other Cortex-M based microcontrollers). This SWD interface can be used to flash and debug a microcontroller. The ST-LINK is connected to the "USB ST-LINK" port and will appear as -a USB device when you connect the F3 to your laptop. +a USB device when you connect the F3 to your computer.

diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index cb1a98500..e96a90c7a 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -19,7 +19,7 @@ Just a little more of helpful magic before we start doing low level stuff. Blinking an LED is like the "Hello, world" of the embedded world. -But in this section, we'll run a proper "Hello, world" program that prints stuff to your laptop +But in this section, we'll run a proper "Hello, world" program that prints stuff to your computer console. Go to the `06-hello-world` directory. There's some starter code in it: @@ -70,7 +70,7 @@ $ itmdump -F -f itm.txt This command will block as `itmdump` is now watching the `itm.txt` file. Leave this terminal open. -Make sure that F3 is connected to your laptop. Open another terminal from `/tmp` directory (on Windows `%TEMP%`) to launch OpenOCD similar as described in chapter [First OpenOCD connection]. +Make sure that F3 is connected to your computer. Open another terminal from `/tmp` directory (on Windows `%TEMP%`) to launch OpenOCD similar as described in chapter [First OpenOCD connection]. [First OpenOCD connection]: ../03-setup/verify.html#first-openocd-connection diff --git a/src/10-serial-communication/README.md b/src/10-serial-communication/README.md index 967d7243d..09253bedb 100644 --- a/src/10-serial-communication/README.md +++ b/src/10-serial-communication/README.md @@ -7,7 +7,7 @@

-This is what we'll be using. I hope your laptop has one! +This is what we'll be using. I hope your computer has one!

Nah, don't worry. This connector, the DE-9, went out of fashion on PCs quite some time ago; it got @@ -21,9 +21,9 @@ signal. Instead both parties must agree on how fast data will be sent along the communication occurs. This protocol allows *duplex* communication as data can be sent from A to B and from B to A simultaneously. -We'll be using this protocol to exchange data between the microcontroller and your laptop. In +We'll be using this protocol to exchange data between the microcontroller and your computer. In contrast to the ITM protocol we have used before, with the serial communication protocol you can -send data from your laptop to the microcontroller. +send data from your computer to the microcontroller. The next practical question you probably want to ask is: How fast can we send data through this protocol? @@ -38,10 +38,10 @@ one frame carries a byte of data that results in a data rate of 11.52 KB/s. In p rate will probably be lower because of processing times on the slower side of the communication (the microcontroller). -Today's laptops/PCs don't support the serial communication protocol. So you can't directly connect -your laptop to the microcontroller. But that's where the serial module comes in. This module will +Today's computers don't support the serial communication protocol. So you can't directly connect +your computer to the microcontroller. But that's where the serial module comes in. This module will sit between the two and expose a serial interface to the microcontroller and an USB interface to -your laptop. The microcontroller will see your laptop as another serial device and your laptop +your computer. The microcontroller will see your computer as another serial device and your computer will see the microcontroller as a virtual serial device. Now, let's get familiar with the serial module and the serial communication tools that your OS diff --git a/src/10-serial-communication/loopbacks.md b/src/10-serial-communication/loopbacks.md index d909d4f99..9735c4e20 100644 --- a/src/10-serial-communication/loopbacks.md +++ b/src/10-serial-communication/loopbacks.md @@ -46,4 +46,4 @@ console. --- Now that you are familiar with sending and receiving data over serial port using minicom/PuTTY, -let's make your microcontroller and your laptop talk! +let's make your microcontroller and your computer talk! diff --git a/src/10-serial-communication/nix-tooling.md b/src/10-serial-communication/nix-tooling.md index 48e058b58..6aa07b31a 100644 --- a/src/10-serial-communication/nix-tooling.md +++ b/src/10-serial-communication/nix-tooling.md @@ -2,7 +2,7 @@ ## Newer revisions of the discovery board -With newer revisions, if you connect the discovery board to your laptop / PC you +With newer revisions, if you connect the discovery board to your computer you should see a new TTY device appear in `/dev`. ``` console @@ -21,7 +21,7 @@ revisions. If you do have a newer revision skip the next section and move to the ## Older revisions of the discovery board / external serial module -Connect the serial module to your laptop and let's find out what name the OS assigned to it. +Connect the serial module to your computer and let's find out what name the OS assigned to it. > **NOTE** On macs, the USB device will named like this: `/dev/cu.usbserial-*`. You won't > find it using `dmesg`, instead use `ls -l /dev | grep cu.usb` and adjust the following diff --git a/src/10-serial-communication/windows-tooling.md b/src/10-serial-communication/windows-tooling.md index d457e08e9..7efbce094 100644 --- a/src/10-serial-communication/windows-tooling.md +++ b/src/10-serial-communication/windows-tooling.md @@ -9,7 +9,7 @@ the terminal: $ mode ``` -It will print a list of devices that are connected to your laptop. The ones that start with `COM` in +It will print a list of devices that are connected to your computer. The ones that start with `COM` in their names are serial devices. This is the kind of device we'll be working with. Take note of all the `COM` *ports* `mode` outputs *before* plugging the serial module. diff --git a/src/11-usart/README.md b/src/11-usart/README.md index 24b925e92..d03148d4e 100644 --- a/src/11-usart/README.md +++ b/src/11-usart/README.md @@ -5,7 +5,7 @@ Synchronous/Asynchronous Receiver/Transmitter. This peripheral can be configured several communication protocols like the serial communication protocol. Throughout this chapter, we'll use serial communication to exchange information between the -microcontroller and your laptop. But before we do that we have to wire up everything. +microcontroller and your computer. But before we do that we have to wire up everything. I mentioned before that this protocol involves two data lines: TX and RX. TX stands for transmitter and RX stands for receiver. Transmitter and receiver are relative terms though; which line is the diff --git a/src/11-usart/buffer-overrun.md b/src/11-usart/buffer-overrun.md index 03cfeaf0b..59161a35a 100644 --- a/src/11-usart/buffer-overrun.md +++ b/src/11-usart/buffer-overrun.md @@ -23,7 +23,7 @@ fn main() -> ! { } ``` -You probably received something like this on your laptop when you executed the program compiled in +You probably received something like this on your computer when you executed the program compiled in debug mode. ``` console diff --git a/src/11-usart/echo-server.md b/src/11-usart/echo-server.md index 8ea57d81b..ed78914fd 100644 --- a/src/11-usart/echo-server.md +++ b/src/11-usart/echo-server.md @@ -2,6 +2,6 @@ Let's merge transmission and reception into a single program and write an echo server. An echo server sends back to the client the same text it sent. For this application, the microcontroller -will be the server and you and your laptop will be the client. +will be the server and you and your computer will be the client. This should be straightforward to implement. (hint: do it byte by byte) diff --git a/src/11-usart/receive-a-single-byte.md b/src/11-usart/receive-a-single-byte.md index e3612f388..33acd0b89 100644 --- a/src/11-usart/receive-a-single-byte.md +++ b/src/11-usart/receive-a-single-byte.md @@ -1,7 +1,7 @@ # Receive a single byte -So far we have sending data from the micro to your laptop. It's time to try the opposite: receiving -data from your laptop. +So far we have sending data from the micro to your computer. It's time to try the opposite: receiving +data from your computer. There's a `RDR` register that will be filled with the data that comes from the RX line. If we read that register, we'll retrieve the data that the other side of the channel sent. The question is: How diff --git a/src/11-usart/send-a-single-byte.md b/src/11-usart/send-a-single-byte.md index 8e06f2c03..d59910fb1 100644 --- a/src/11-usart/send-a-single-byte.md +++ b/src/11-usart/send-a-single-byte.md @@ -1,6 +1,6 @@ # Send a single byte -Our first task will be to send a single byte from the microcontroller to the laptop over the serial +Our first task will be to send a single byte from the microcontroller to the computer over the serial connection. This time, I'm going to provide you with an already initialized USART peripheral. You'll only have @@ -16,5 +16,5 @@ minicom/PuTTY open. This program writes to the `TDR` register. This causes the `USART` peripheral to send one byte of information through the serial interface. -On the receiving end, your laptop, you should see show the character `X` appear on minicom/PuTTY's +On the receiving end, your computer, you should see show the character `X` appear on minicom/PuTTY's terminal. diff --git a/src/11-usart/send-a-string.md b/src/11-usart/send-a-string.md index 3227d77a9..2523a1fa7 100644 --- a/src/11-usart/send-a-string.md +++ b/src/11-usart/send-a-string.md @@ -1,9 +1,9 @@ # Send a string -The next task will be to send a whole string from the micro to your laptop. +The next task will be to send a whole string from the micro to your computer. I want you to send the string `"The quick brown fox jumps over the lazy dog."` from the micro to -your laptop. +your computer. It's your turn to write the program. diff --git a/src/12-bluetooth-setup/README.md b/src/12-bluetooth-setup/README.md index a0735ce0f..0b8f63258 100644 --- a/src/12-bluetooth-setup/README.md +++ b/src/12-bluetooth-setup/README.md @@ -27,7 +27,7 @@ The recommend steps to wire this up are: Two LEDs, a blue one and a red one, on the Bluetooth module should start blinking right after you power on the F3 board. -Next thing to do is pair your laptop and the Bluetooth module. AFAIK, Windows and mac users can +Next thing to do is pair your computer and the Bluetooth module. AFAIK, Windows and mac users can simply use their OS default Bluetooth manager to do the pairing. The Bluetooth module default pin is 1234. diff --git a/src/12-bluetooth-setup/at-commands.md b/src/12-bluetooth-setup/at-commands.md index 00c41e579..4a295784d 100644 --- a/src/12-bluetooth-setup/at-commands.md +++ b/src/12-bluetooth-setup/at-commands.md @@ -10,15 +10,15 @@ The Bluetooth module supports an AT mode that allows you to examine and change i Recommended steps to enter AT mode: -- Disconnect the F3 and FTDI from your laptop. +- Disconnect the F3 and FTDI from your computer. - Connect F3's GND pin to the Bluetooth's GND pin using a Female/Female (F/F) wire (preferably, a black one). - Connect F3's 5V pin to the Bluetooth's VCC pin using a F/F wire (preferably, a red one). - Connect the FTDI RXI pin to the Bluetooth's TXD pin using a Female/Male (F/M) wire. - Connect the FTDI TXO pin to the Bluetooth's RXD pin using a Female/Male (F/M) wire. -- Now connect the FTDI to your laptop via USB cable. -- Next connect the F3 to your laptop via USB cable while simultaneously pressing and holding the button on the Bluetooth module (kinda tricky). +- Now connect the FTDI to your computer via USB cable. +- Next connect the F3 to your computer via USB cable while simultaneously pressing and holding the button on the Bluetooth module (kinda tricky). - Now, release the button and the Bluetooth module will enter AT mode. You can confirm this by observing that the red LED on the Bluetooth module is blinking in a slow pattern (approx 1-2 seconds on/off). The AT mode always operates at a baud rate of 38400, so configure your terminal program for that baud rate and connect to the FTDI device. diff --git a/src/12-bluetooth-setup/linux.md b/src/12-bluetooth-setup/linux.md index 34dc016ae..73bf33c26 100644 --- a/src/12-bluetooth-setup/linux.md +++ b/src/12-bluetooth-setup/linux.md @@ -1,13 +1,13 @@ # Linux -If you have a graphical Bluetooth manager, you can use that to pair your laptop to the Bluetooth +If you have a graphical Bluetooth manager, you can use that to pair your computer to the Bluetooth module and skip most of these steps. You'll probably still have to [this step] though. [this step]: #rfcomm-device ## Power up -First, your laptop's Bluetooth transceiver may be OFF. Check its status with `hciconfig` and turn it +First, your computer's Bluetooth transceiver may be OFF. Check its status with `hciconfig` and turn it ON if necessary: ``` console diff --git a/src/12-bluetooth-setup/loopback.md b/src/12-bluetooth-setup/loopback.md index 8b03a905c..ddbd10d1f 100644 --- a/src/12-bluetooth-setup/loopback.md +++ b/src/12-bluetooth-setup/loopback.md @@ -1,6 +1,6 @@ # Loopback, again -After pairing your laptop to the Bluetooth module, your OS should have created a device file / COM +After pairing your computer to the Bluetooth module, your OS should have created a device file / COM port for you. On Linux, it should be `/dev/rfcomm*`; on mac, it should be `/dev/cu.*`; and on Windows, it should be a new COM port. diff --git a/src/13-serial-over-bluetooth/README.md b/src/13-serial-over-bluetooth/README.md index e0c882cc9..51038044a 100644 --- a/src/13-serial-over-bluetooth/README.md +++ b/src/13-serial-over-bluetooth/README.md @@ -10,13 +10,13 @@ microcontroller: Recommended steps to wire this up: - Close OpenOCD and `itmdump`. -- Disconnect the F3 from your laptop. +- Disconnect the F3 from your computer. - Connect F3's GND pin to the module's GND pin using a female to female (F/F) wire (preferably, a black one). - Connect F3's 5V pin to the module's VCC pin using a F/F wire (preferably, a red one). - Connect the PA9 (TX) pin on the back of the F3 to the Bluetooth's RXD pin using a F/F wire. - Connect the PA10 (RX) pin on the back of the F3 to the Bluetooth's TXD pin using a F/F wire. -- Now connect the F3 and your laptop using an USB cable. +- Now connect the F3 and your computer using an USB cable. - Re-launch OpenOCD and `itmdump`. And that's it! You should be able to run all the programs you wrote in [section 11] without From 190a11fe45511aff5a5528d79e2b9fc090634291 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Tomasz=20R=C3=B3=C5=BCa=C5=84ski?= Date: Mon, 4 May 2020 14:08:43 +0200 Subject: [PATCH 065/313] Change the short `micro` into `microcontroller`. --- src/11-usart/receive-a-single-byte.md | 2 +- src/11-usart/send-a-string.md | 4 ++-- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/src/11-usart/receive-a-single-byte.md b/src/11-usart/receive-a-single-byte.md index 33acd0b89..9d977f5ef 100644 --- a/src/11-usart/receive-a-single-byte.md +++ b/src/11-usart/receive-a-single-byte.md @@ -1,6 +1,6 @@ # Receive a single byte -So far we have sending data from the micro to your computer. It's time to try the opposite: receiving +So far we have sending data from the microcontroller to your computer. It's time to try the opposite: receiving data from your computer. There's a `RDR` register that will be filled with the data that comes from the RX line. If we read diff --git a/src/11-usart/send-a-string.md b/src/11-usart/send-a-string.md index 2523a1fa7..e853c01e7 100644 --- a/src/11-usart/send-a-string.md +++ b/src/11-usart/send-a-string.md @@ -1,8 +1,8 @@ # Send a string -The next task will be to send a whole string from the micro to your computer. +The next task will be to send a whole string from the microcontroller to your computer. -I want you to send the string `"The quick brown fox jumps over the lazy dog."` from the micro to +I want you to send the string `"The quick brown fox jumps over the lazy dog."` from the microcontroller to your computer. It's your turn to write the program. From f2a7343c1935a9a1909f1a9ca548424aaad1eb8d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Tomasz=20R=C3=B3=C5=BCa=C5=84ski?= Date: Mon, 4 May 2020 14:17:13 +0200 Subject: [PATCH 066/313] Properly escape `*` character in Markdown files. --- src/05-led-roulette/flash-it.md | 2 +- src/06-hello-world/README.md | 2 +- src/10-serial-communication/README.md | 2 +- src/10-serial-communication/nix-tooling.md | 4 ++-- src/SUMMARY.md | 2 +- 5 files changed, 6 insertions(+), 6 deletions(-) diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index c8904e8e9..54c50b98e 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -8,7 +8,7 @@ By this I mean that there's nothing else running on the microcontroller: no OS, nothing. `led-roulette` has full control over the device. Onto the actual flashing. First thing we need is to do is launch OpenOCD. We did that in the -previous section but this time we'll run the command inside a temporary directory (`/tmp` on *nix; +previous section but this time we'll run the command inside a temporary directory (`/tmp` on \*nix; `%TEMP%` on Windows). Make sure the F3 is connected to your computer and run the following commands on a new terminal. diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index e96a90c7a..9c7316445 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -48,7 +48,7 @@ You should have already installed the `itmdump` program during the [installation [installation chapter]: ../03-setup/index.html#itmdump -In a new terminal, run this command inside the `/tmp` directory, if you are using a *nix OS, or from +In a new terminal, run this command inside the `/tmp` directory, if you are using a \*nix OS, or from within the `%TEMP%` directory, if you are running Windows. This should be the same directory from where you are running OpenOCD. diff --git a/src/10-serial-communication/README.md b/src/10-serial-communication/README.md index 09253bedb..10149fe4c 100644 --- a/src/10-serial-communication/README.md +++ b/src/10-serial-communication/README.md @@ -47,7 +47,7 @@ will see the microcontroller as a virtual serial device. Now, let's get familiar with the serial module and the serial communication tools that your OS offers. Pick a route: -- [*nix](nix-tooling.md) +- [\*nix](nix-tooling.md) - [Windows](windows-tooling.md) [ASC]: https://en.wikipedia.org/wiki/Asynchronous_serial_communication diff --git a/src/10-serial-communication/nix-tooling.md b/src/10-serial-communication/nix-tooling.md index 6aa07b31a..2a077e2ab 100644 --- a/src/10-serial-communication/nix-tooling.md +++ b/src/10-serial-communication/nix-tooling.md @@ -1,4 +1,4 @@ -# *nix tooling +# \*nix tooling ## Newer revisions of the discovery board @@ -33,7 +33,7 @@ $ dmesg | grep -i tty [ +0.000155] usb 3-2: FTDI USB Serial Device converter now attached to ttyUSB0 ``` -But what's this `ttyUSB0` thing? It's a file of course! Everything is a file in *nix: +But what's this `ttyUSB0` thing? It's a file of course! Everything is a file in \*nix: ``` console $ ls -l /dev/ttyUSB0 diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 98746dd34..99e41c5f5 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -34,7 +34,7 @@ - [Busy waiting](09-clocks-and-timers/busy-waiting.md) - [Putting it all together](09-clocks-and-timers/putting-it-all-together.md) - [Serial communication](10-serial-communication/README.md) - - [*nix tooling](10-serial-communication/nix-tooling.md) + - [\*nix tooling](10-serial-communication/nix-tooling.md) - [Windows tooling](10-serial-communication/windows-tooling.md) - [Loopbacks](10-serial-communication/loopbacks.md) - [USART](11-usart/README.md) From 1117a51af03e917b1e25476e265ef4f499f9634f Mon Sep 17 00:00:00 2001 From: Yusef Karim Date: Mon, 4 May 2020 10:13:46 -0400 Subject: [PATCH 067/313] Add appendix entry on how to use GDB --- src/SUMMARY.md | 1 + src/appendix/2-how-to-use-gdb/README.md | 87 +++++++++++++++++++++++++ 2 files changed, 88 insertions(+) create mode 100644 src/appendix/2-how-to-use-gdb/README.md diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 98746dd34..2ffb575ac 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -73,6 +73,7 @@ --- [General troubleshooting](appendix/1-general-troubleshooting/README.md) +[How to use GDB](appendix/2-how-to-use-gdb/README.md) diff --git a/src/appendix/2-how-to-use-gdb/README.md b/src/appendix/2-how-to-use-gdb/README.md new file mode 100644 index 000000000..d080118df --- /dev/null +++ b/src/appendix/2-how-to-use-gdb/README.md @@ -0,0 +1,87 @@ +# How to use GDB + +Below are some useful GDB commands that can help us debug our programs. This assumes you have [flashed a program](../../05-led-roulette/flash-it.md) onto your microcontroller and attached to an OpenOCD session. + +## General Debugging + +**NOTE:** Many of the commands you see below can be executed using a short form. For example, `continue` can simply be used as `c`, or `break $location` can be used as `b $location`. While you are learning GDB try to see how short you can get the commands to go before GDB doesn't recognize it! + + +### Dealing with Breakpoints + +* `break $location`: Set a breakpoint at a place in your code. The value of `$location` can include: + * `b 123` - Break on line 123 of the currently displayed file + * `b main.rs:123` - Break on line 123 of `main.rs` +* `info break`: Display current breakpoints +* `delete`: Delete all breakpoints + * `d $n`: Delete breakpoint `$n` +* `clear`: Delete breakpoint at next instruction + * `clear main.rs:$function`: Delete breakpoint at entry of `$function` in `main.rs` + * `clear main.rs:123`: Delete breakpoint on line 123 of `main.rs` +* `enable`: Enable all set breakpoints + * `en $n`: Enable breakpoint `$n` +* `disable`: Disable all set breakpoints + * `dis $n`: Disable breakpoint `$n` + +### Controlling Execution + +* `continue`: Begin execution of your program +* `next`: Execute the next line of your program + * `n $n`: Repeat `next` `$n` number times +* `nexti`: Same as `next` but with machine instructions instead +* `step`: Execute the next line, if the next line includes a call to another function, step into that code + * `s $n`: Repeat `step` `$n` number times +* `stepi`: Same as `step` but with machine instructions instead +* `jump $location`: Resume execution at specified location: + * `jump 123`: Resume execution at line 123 + * `jump 0x080012f2`: Resume execution at address 0x080012f2 + +### Printing Information + +* `print /$f $data` - Print the value contained by the variable `$data`. Optionally format the output with `$f`, which can include: + ```txt + x: hexadecimal + d: signed decimal + u: unsigned decimal + o: octal + t: binary + a: address + c: character + f: floating point + ``` + * `p /t 0xA`: Prints the hexadecimal value `0xA` as binary (0b1010) +* `x /$n$u$f $address`: Examine memory at `$address`. Optionally, `$n` define the number of units to display, `$u` unit size (bytes, halfwords, words, etc), `$f` any `print` format defined above + * `x /5i 0x080012c4`: Print 5 machine instructions staring at address `0x080012c4` + * `x/4xb $pc`: Print 4 bytes of memory starting where `$pc` currently is pointing +* `disassemble $location` + * `disas /r main`: Disassemble the function `main`, using `/r` to show the bytes that make up each instruction + + +### Looking at the Symbol Table + +* `info functions $regex`: Print the names and data types of functions matched by `$regex`, omit `$regex` to print all functions + * `i func main`: Print names and types of defined functions that contain the word `main` +* `info address $symbol`: Print where `$symbol` is stored in memory + * `i addr GPIOC`: Print the memory address of the variable `GPIOC` +* `info variables $regex`: Print names and types of global variables matched by `$regex`, omit `$regex` to print all global variables +* `ptype $data`: Print more detailed information about `$data` + * `ptype cp`: Print detailed type information about the variable `cp` + +### Poking around the Program Stack + +* `backtrace $n`: Print trace of `$n` frames, or omit `$n` to print all frames + * `bt 2`: Print trace of first 2 frames +* `frame $n`: Select frame with number or address `$n`, omit `$n` to display current frame +* `up $n`: Select frame `$n` frames up +* `down $n`: Select frame `$n` frames down +* `info frame $address`: Describe frame at `$address`, omit `$address` for currently selected frame +* `info args`: Print arguments of selected frame +* `info registers $r`: Print the value of register `$r` in selected frame, omit `$r` for all registers + * `i reg $sp`: Print the value of the stack pointer register `$sp` in the current frame + +### Controlling OpenOCD Remotely + +* `monitor reset run`: Reset the CPU, starting execution over again + * `monitor reset`: Same as above +* `monitor reset init`: Reset the CPU, halting execution at the start +* `monitor targets`: Display information and state of current target From 75f40ba3a651ae598d00c7055199392a57625f53 Mon Sep 17 00:00:00 2001 From: Yusef Karim Date: Mon, 4 May 2020 10:22:56 -0400 Subject: [PATCH 068/313] Add link in 05-led-roulette/debug-it.md to GDB section --- src/05-led-roulette/debug-it.md | 3 ++- src/appendix/2-how-to-use-gdb/README.md | 2 +- 2 files changed, 3 insertions(+), 2 deletions(-) diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index d38f4453c..4131dfca9 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -213,6 +213,7 @@ Ending remote debugging. [gdb-dashboard]: https://github.com/cyrus-and/gdb-dashboard#gdb-dashboard Don't close OpenOCD though! We'll use it again and again later on. It's better -just to leave it running. +just to leave it running. If you want to learn more about what GDB can do, check out the section [How to use GDB](../appendix/2-how-to-use-gdb). + What's next? The high level API I promised. diff --git a/src/appendix/2-how-to-use-gdb/README.md b/src/appendix/2-how-to-use-gdb/README.md index d080118df..21b1105eb 100644 --- a/src/appendix/2-how-to-use-gdb/README.md +++ b/src/appendix/2-how-to-use-gdb/README.md @@ -4,7 +4,7 @@ Below are some useful GDB commands that can help us debug our programs. This ass ## General Debugging -**NOTE:** Many of the commands you see below can be executed using a short form. For example, `continue` can simply be used as `c`, or `break $location` can be used as `b $location`. While you are learning GDB try to see how short you can get the commands to go before GDB doesn't recognize it! +> **NOTE:** Many of the commands you see below can be executed using a short form. For example, `continue` can simply be used as `c`, or `break $location` can be used as `b $location`. While you are learning GDB try to see how short you can get the commands to go before GDB doesn't recognize it! ### Dealing with Breakpoints From 42ec34f4d5a28d474377a92a529b45f4abf8563d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Tomasz=20R=C3=B3=C5=BCa=C5=84ski?= Date: Wed, 20 May 2020 18:25:18 +0200 Subject: [PATCH 069/313] Update link to eCompass calibration note --- src/15-led-compass/calibration.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/15-led-compass/calibration.md b/src/15-led-compass/calibration.md index 1517afa27..1b09027a5 100644 --- a/src/15-led-compass/calibration.md +++ b/src/15-led-compass/calibration.md @@ -10,7 +10,7 @@ The calibration involves quite a bit of math (matrices) so we won't cover it her [Application Note] describes the procedure if you are interested. Instead, what we'll do in this section is *visualize* how off we are. -[Application Note]: http://cache.freescale.com/files/sensors/doc/app_note/AN4246.pdf +[Application Note]: https://www.nxp.com/docs/en/application-note/AN4246.pdf Let's try this experiment: Let's record the readings of the magnetometer while we slowly rotate the board in different directions. We'll use the `iprintln` macro to format the readings as Tab From 6575345a63e14203796be7822d2c5bfcac246469 Mon Sep 17 00:00:00 2001 From: Austin Tindle Date: Sat, 23 May 2020 15:34:58 -0600 Subject: [PATCH 070/313] Minor typo in type-safe-manipulation.md The STM32f3 reference manual contains the following: > Bits 31:16 Reserved, must be kept at reset value. on page 239, section 11.4.6 --- src/07-registers/type-safe-manipulation.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/07-registers/type-safe-manipulation.md b/src/07-registers/type-safe-manipulation.md index 336ccacef..3fb805655 100644 --- a/src/07-registers/type-safe-manipulation.md +++ b/src/07-registers/type-safe-manipulation.md @@ -2,7 +2,7 @@ The last register we were working with, `ODR`, had this in its documentation: -> Bits 16:31 Reserved, must be kept at reset value +> Bits 31:16 Reserved, must be kept at reset value We are not supposed to write to those bits of the register or Bad Stuff May Happen. From 9d40fdfdef71861bfc7b9fef83e743e777b4042b Mon Sep 17 00:00:00 2001 From: Austin Tindle Date: Fri, 12 Jun 2020 08:57:07 -0600 Subject: [PATCH 071/313] Fix clock speed typo I think this was meant to say `80MHz` (Rounded up from `72MHZ` speed of the STM32F303VC?). --- src/09-clocks-and-timers/for-loop-delays.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/09-clocks-and-timers/for-loop-delays.md b/src/09-clocks-and-timers/for-loop-delays.md index 3a22dab05..b6dbd1486 100644 --- a/src/09-clocks-and-timers/for-loop-delays.md +++ b/src/09-clocks-and-timers/for-loop-delays.md @@ -18,7 +18,7 @@ In this section, you'll have to: - Fix the `delay` function to generate delays proportional to its input `ms`. - Tweak the `delay` function to make the LED roulette spin at a rate of approximately 5 cycles in 4 seconds (800 milliseconds period). -- The processor inside the microcontroller is clocked at 8 MHz and executes most instructions in one +- The processor inside the microcontroller is clocked at 80 MHz and executes most instructions in one "tick", a cycle of its clock. How many (`for`) loops do you *think* the `delay` function must do to generate a delay of 1 second? - How many `for` loops does `delay(1000)` actually do? From 42a39e4f505720f692e938423d454a89133fb8f6 Mon Sep 17 00:00:00 2001 From: Austin Tindle Date: Mon, 29 Jun 2020 08:39:23 -0600 Subject: [PATCH 072/313] Change clock speed to 72MHz Original PR to fix typo was #247, which was merged but incorrect. PR #248 was closed after determining the correct clock speed is `72MHz`, not 80 or 8. --- src/09-clocks-and-timers/for-loop-delays.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/09-clocks-and-timers/for-loop-delays.md b/src/09-clocks-and-timers/for-loop-delays.md index b6dbd1486..339dd6686 100644 --- a/src/09-clocks-and-timers/for-loop-delays.md +++ b/src/09-clocks-and-timers/for-loop-delays.md @@ -18,7 +18,7 @@ In this section, you'll have to: - Fix the `delay` function to generate delays proportional to its input `ms`. - Tweak the `delay` function to make the LED roulette spin at a rate of approximately 5 cycles in 4 seconds (800 milliseconds period). -- The processor inside the microcontroller is clocked at 80 MHz and executes most instructions in one +- The processor inside the microcontroller is clocked at 72 MHz and executes most instructions in one "tick", a cycle of its clock. How many (`for`) loops do you *think* the `delay` function must do to generate a delay of 1 second? - How many `for` loops does `delay(1000)` actually do? From 9281f14034173b61bc98dfd7f51fc8c99c00e7e6 Mon Sep 17 00:00:00 2001 From: Yerkebulan Tulibergenov Date: Thu, 2 Jul 2020 00:48:52 -0700 Subject: [PATCH 073/313] Fix minor typo in type-safe-manipulation.md --- src/07-registers/type-safe-manipulation.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/07-registers/type-safe-manipulation.md b/src/07-registers/type-safe-manipulation.md index 3fb805655..24a1dc107 100644 --- a/src/07-registers/type-safe-manipulation.md +++ b/src/07-registers/type-safe-manipulation.md @@ -7,7 +7,7 @@ The last register we were working with, `ODR`, had this in its documentation: We are not supposed to write to those bits of the register or Bad Stuff May Happen. There's also the fact the registers have different read/write permissions. Some of them are write -only, others can be read and wrote to and there must be others that are read only. +only, others can be read and written to and there must be others that are read only. Finally, directly working with hexadecimal addresses is error prone. You already saw that trying to access an invalid memory address causes an exception which disrupts the execution of our program. From 1c43f439ee54eb4a23aed1db888eccb314ab4fdb Mon Sep 17 00:00:00 2001 From: Yerkebulan Tulibergenov Date: Thu, 2 Jul 2020 01:28:45 -0700 Subject: [PATCH 074/313] Reword the sentence in configuration.md --- src/08-leds-again/configuration.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/08-leds-again/configuration.md b/src/08-leds-again/configuration.md index 313d9a1e0..bcb18a136 100644 --- a/src/08-leds-again/configuration.md +++ b/src/08-leds-again/configuration.md @@ -1,6 +1,6 @@ # Configuration -After turning on the GPIOE peripheral. The peripheral still needs to be configured. In this case, we +After turning on the GPIOE peripheral, it still needs to be configured. In this case, we want the pins to be configured as digital *outputs* so they can drive the LEDs; by default, most pins are configured as digital *inputs*. From fdd99bc98b68c24b3287200acb1b11424a42c010 Mon Sep 17 00:00:00 2001 From: Yerkebulan Tulibergenov Date: Fri, 3 Jul 2020 14:55:46 -0700 Subject: [PATCH 075/313] Small fix in nix-tooling.md --- src/10-serial-communication/nix-tooling.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/10-serial-communication/nix-tooling.md b/src/10-serial-communication/nix-tooling.md index 2a077e2ab..61442aa89 100644 --- a/src/10-serial-communication/nix-tooling.md +++ b/src/10-serial-communication/nix-tooling.md @@ -82,7 +82,7 @@ go over it line by line: - `pu rtscts No`. No hardware control flow. - `pu xonxoff No`. No software control flow. -Once that's in place. We can launch `minicom` +Once that's in place, we can launch `minicom`. ``` console $ # NOTE you may need to use a different device here From d869e2241090581004ac25fa203f8141fc6cab8c Mon Sep 17 00:00:00 2001 From: Heru Pranoto Date: Thu, 16 Jul 2020 16:36:06 +0700 Subject: [PATCH 076/313] Using the latest version (0.3.0) of cargo-binutils --- src/03-setup/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index f9ba7e826..db1787b4e 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -90,7 +90,7 @@ itmdump 0.3.1 ``` console $ rustup component add llvm-tools-preview -$ cargo install cargo-binutils --vers 0.1.4 +$ cargo install cargo-binutils --vers 0.3.0 $ cargo size -- -version LLVM (http://llvm.org/): From be7a23913d54c87d297c4566d96253c50a6a50df Mon Sep 17 00:00:00 2001 From: Paul Kernfeld Date: Fri, 31 Jul 2020 10:31:13 -0400 Subject: [PATCH 077/313] Upgrade most aux crates to cortex-m 0.6.3 This should fix issue #259 in all chapters except 11 and 16. --- src/05-led-roulette/auxiliary/Cargo.toml | 2 +- src/06-hello-world/auxiliary/Cargo.toml | 2 +- src/07-registers/auxiliary/Cargo.toml | 2 +- src/08-leds-again/auxiliary/Cargo.toml | 2 +- src/09-clocks-and-timers/auxiliary/Cargo.toml | 2 +- src/14-i2c/auxiliary/Cargo.toml | 2 +- src/15-led-compass/auxiliary/Cargo.toml | 2 +- src/16-punch-o-meter/auxiliary/Cargo.toml | 2 +- 8 files changed, 8 insertions(+), 8 deletions(-) diff --git a/src/05-led-roulette/auxiliary/Cargo.toml b/src/05-led-roulette/auxiliary/Cargo.toml index 617de1e25..1b7b80f55 100644 --- a/src/05-led-roulette/auxiliary/Cargo.toml +++ b/src/05-led-roulette/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux5" version = "0.1.0" [dependencies] -cortex-m = "0.5.6" +cortex-m = "0.6.3" panic-halt = "0.2.0" cortex-m-rt = "0.6.3" diff --git a/src/06-hello-world/auxiliary/Cargo.toml b/src/06-hello-world/auxiliary/Cargo.toml index aacd27171..0935a0938 100644 --- a/src/06-hello-world/auxiliary/Cargo.toml +++ b/src/06-hello-world/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux6" version = "0.1.0" [dependencies] -cortex-m = "0.5.6" +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" f3 = "0.6.1" diff --git a/src/07-registers/auxiliary/Cargo.toml b/src/07-registers/auxiliary/Cargo.toml index 08db809a9..5dceda7c1 100644 --- a/src/07-registers/auxiliary/Cargo.toml +++ b/src/07-registers/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux7" version = "0.1.0" [dependencies] -cortex-m = "0.5.6" +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" diff --git a/src/08-leds-again/auxiliary/Cargo.toml b/src/08-leds-again/auxiliary/Cargo.toml index 7be83fb17..a2c67f3b4 100644 --- a/src/08-leds-again/auxiliary/Cargo.toml +++ b/src/08-leds-again/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux8" version = "0.1.0" [dependencies] -cortex-m = "0.5.6" +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" diff --git a/src/09-clocks-and-timers/auxiliary/Cargo.toml b/src/09-clocks-and-timers/auxiliary/Cargo.toml index ead8ae4c5..2b16bbc2f 100644 --- a/src/09-clocks-and-timers/auxiliary/Cargo.toml +++ b/src/09-clocks-and-timers/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux9" version = "0.1.0" [dependencies] -cortex-m = "0.5.6" +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" diff --git a/src/14-i2c/auxiliary/Cargo.toml b/src/14-i2c/auxiliary/Cargo.toml index 6d8b296bd..0a582ddba 100644 --- a/src/14-i2c/auxiliary/Cargo.toml +++ b/src/14-i2c/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux14" version = "0.1.0" [dependencies] -cortex-m = "0.5.6" +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" diff --git a/src/15-led-compass/auxiliary/Cargo.toml b/src/15-led-compass/auxiliary/Cargo.toml index b6f4677ac..8a7f25acd 100644 --- a/src/15-led-compass/auxiliary/Cargo.toml +++ b/src/15-led-compass/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux15" version = "0.1.0" [dependencies] -cortex-m = "0.5.6" +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" diff --git a/src/16-punch-o-meter/auxiliary/Cargo.toml b/src/16-punch-o-meter/auxiliary/Cargo.toml index 543848543..96fa8da8f 100644 --- a/src/16-punch-o-meter/auxiliary/Cargo.toml +++ b/src/16-punch-o-meter/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux16" version = "0.1.0" [dependencies] -cortex-m = "0.5.6" +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" From 2642a6c526447f0a0dac2db27812401940b7515e Mon Sep 17 00:00:00 2001 From: Paul Kernfeld Date: Mon, 3 Aug 2020 15:41:37 -0400 Subject: [PATCH 078/313] Don't upgrade cortex-m in ch16 --- src/16-punch-o-meter/auxiliary/Cargo.toml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/16-punch-o-meter/auxiliary/Cargo.toml b/src/16-punch-o-meter/auxiliary/Cargo.toml index 96fa8da8f..543848543 100644 --- a/src/16-punch-o-meter/auxiliary/Cargo.toml +++ b/src/16-punch-o-meter/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux16" version = "0.1.0" [dependencies] -cortex-m = "0.6.3" +cortex-m = "0.5.6" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" From 8ad3866ac8fbadaa1412275db000aac55586ae31 Mon Sep 17 00:00:00 2001 From: Yusef Karim Date: Wed, 2 Sep 2020 12:33:45 -0400 Subject: [PATCH 079/313] Removed shortcuts and made slight change to top comment on shortcuts --- src/appendix/2-how-to-use-gdb/README.md | 32 +++++++++++++------------ 1 file changed, 17 insertions(+), 15 deletions(-) diff --git a/src/appendix/2-how-to-use-gdb/README.md b/src/appendix/2-how-to-use-gdb/README.md index 21b1105eb..f5c08645d 100644 --- a/src/appendix/2-how-to-use-gdb/README.md +++ b/src/appendix/2-how-to-use-gdb/README.md @@ -4,33 +4,35 @@ Below are some useful GDB commands that can help us debug our programs. This ass ## General Debugging -> **NOTE:** Many of the commands you see below can be executed using a short form. For example, `continue` can simply be used as `c`, or `break $location` can be used as `b $location`. While you are learning GDB try to see how short you can get the commands to go before GDB doesn't recognize it! +> **NOTE:** Many of the commands you see below can be executed using a short form. For example, `continue` can simply be used as `c`, or `break $location` can be used as `b $location`. Once you have experience with the commands below, try to see how short you can get the commands to go before GDB doesn't recognize it! ### Dealing with Breakpoints * `break $location`: Set a breakpoint at a place in your code. The value of `$location` can include: - * `b 123` - Break on line 123 of the currently displayed file - * `b main.rs:123` - Break on line 123 of `main.rs` + * `break *main` - Break on the exact address of the function `main` + * `break *0x080012f2` - Break on the exact memory location `0x080012f2` + * `break 123` - Break on line 123 of the currently displayed file + * `break main.rs:123` - Break on line 123 of the file `main.rs` * `info break`: Display current breakpoints * `delete`: Delete all breakpoints - * `d $n`: Delete breakpoint `$n` + * `delete $n`: Delete breakpoint `$n` * `clear`: Delete breakpoint at next instruction * `clear main.rs:$function`: Delete breakpoint at entry of `$function` in `main.rs` * `clear main.rs:123`: Delete breakpoint on line 123 of `main.rs` * `enable`: Enable all set breakpoints - * `en $n`: Enable breakpoint `$n` + * `enable $n`: Enable breakpoint `$n` * `disable`: Disable all set breakpoints - * `dis $n`: Disable breakpoint `$n` + * `disable $n`: Disable breakpoint `$n` ### Controlling Execution -* `continue`: Begin execution of your program +* `continue`: Begin or continue execution of your program * `next`: Execute the next line of your program - * `n $n`: Repeat `next` `$n` number times + * `next $n`: Repeat `next` `$n` number times * `nexti`: Same as `next` but with machine instructions instead * `step`: Execute the next line, if the next line includes a call to another function, step into that code - * `s $n`: Repeat `step` `$n` number times + * `step $n`: Repeat `step` `$n` number times * `stepi`: Same as `step` but with machine instructions instead * `jump $location`: Resume execution at specified location: * `jump 123`: Resume execution at line 123 @@ -49,20 +51,20 @@ Below are some useful GDB commands that can help us debug our programs. This ass c: character f: floating point ``` - * `p /t 0xA`: Prints the hexadecimal value `0xA` as binary (0b1010) + * `print /t 0xA`: Prints the hexadecimal value `0xA` as binary (0b1010) * `x /$n$u$f $address`: Examine memory at `$address`. Optionally, `$n` define the number of units to display, `$u` unit size (bytes, halfwords, words, etc), `$f` any `print` format defined above * `x /5i 0x080012c4`: Print 5 machine instructions staring at address `0x080012c4` * `x/4xb $pc`: Print 4 bytes of memory starting where `$pc` currently is pointing * `disassemble $location` - * `disas /r main`: Disassemble the function `main`, using `/r` to show the bytes that make up each instruction + * `disassemble /r main`: Disassemble the function `main`, using `/r` to show the bytes that make up each instruction ### Looking at the Symbol Table * `info functions $regex`: Print the names and data types of functions matched by `$regex`, omit `$regex` to print all functions - * `i func main`: Print names and types of defined functions that contain the word `main` + * `info functions main`: Print names and types of defined functions that contain the word `main` * `info address $symbol`: Print where `$symbol` is stored in memory - * `i addr GPIOC`: Print the memory address of the variable `GPIOC` + * `info address GPIOC`: Print the memory address of the variable `GPIOC` * `info variables $regex`: Print names and types of global variables matched by `$regex`, omit `$regex` to print all global variables * `ptype $data`: Print more detailed information about `$data` * `ptype cp`: Print detailed type information about the variable `cp` @@ -70,14 +72,14 @@ Below are some useful GDB commands that can help us debug our programs. This ass ### Poking around the Program Stack * `backtrace $n`: Print trace of `$n` frames, or omit `$n` to print all frames - * `bt 2`: Print trace of first 2 frames + * `backtrace 2`: Print trace of first 2 frames * `frame $n`: Select frame with number or address `$n`, omit `$n` to display current frame * `up $n`: Select frame `$n` frames up * `down $n`: Select frame `$n` frames down * `info frame $address`: Describe frame at `$address`, omit `$address` for currently selected frame * `info args`: Print arguments of selected frame * `info registers $r`: Print the value of register `$r` in selected frame, omit `$r` for all registers - * `i reg $sp`: Print the value of the stack pointer register `$sp` in the current frame + * `info registers $sp`: Print the value of the stack pointer register `$sp` in the current frame ### Controlling OpenOCD Remotely From 93e70b2699e6f17b578a3149e81a95bb48d9eb44 Mon Sep 17 00:00:00 2001 From: Yusef Karim <48184686+yusefkarim@users.noreply.github.com> Date: Thu, 3 Sep 2020 07:05:52 -0400 Subject: [PATCH 080/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/appendix/2-how-to-use-gdb/README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/appendix/2-how-to-use-gdb/README.md b/src/appendix/2-how-to-use-gdb/README.md index f5c08645d..4153c6dcc 100644 --- a/src/appendix/2-how-to-use-gdb/README.md +++ b/src/appendix/2-how-to-use-gdb/README.md @@ -4,7 +4,7 @@ Below are some useful GDB commands that can help us debug our programs. This ass ## General Debugging -> **NOTE:** Many of the commands you see below can be executed using a short form. For example, `continue` can simply be used as `c`, or `break $location` can be used as `b $location`. Once you have experience with the commands below, try to see how short you can get the commands to go before GDB doesn't recognize it! +> **NOTE:** Many of the commands you see below can be executed using a short form. For example, `continue` can simply be used as `c`, or `break $location` can be used as `b $location`. Once you have experience with the commands below, try to see how short you can get the commands to go before GDB doesn't recognize them! ### Dealing with Breakpoints @@ -16,7 +16,7 @@ Below are some useful GDB commands that can help us debug our programs. This ass * `break main.rs:123` - Break on line 123 of the file `main.rs` * `info break`: Display current breakpoints * `delete`: Delete all breakpoints - * `delete $n`: Delete breakpoint `$n` + * `delete $n`: Delete breakpoint `$n` (`n` being a number. For example: `delete $2`) * `clear`: Delete breakpoint at next instruction * `clear main.rs:$function`: Delete breakpoint at entry of `$function` in `main.rs` * `clear main.rs:123`: Delete breakpoint on line 123 of `main.rs` From ec00a90e16f54dcc96dcfabcf9f54d8280862c4a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 5 Sep 2020 12:46:30 +0200 Subject: [PATCH 081/313] micro:bit in the introduction Replace STM32F3DISCOVERY with micro:bit in the introduction. Not quite sure whether we should keep the integer32 sponsorship after the rewrite? --- src/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/README.md b/src/README.md index 4d9e20735..3fefe7c1a 100644 --- a/src/README.md +++ b/src/README.md @@ -46,7 +46,7 @@ What's out of scope for this book: to help get your code onto your board, but not go into detail about how those tools work. Also I don't intend to port this material to other development boards; this book will make exclusive -use of the STM32F3DISCOVERY development board. +use of the micro:bit development board. ## Reporting problems From f4249c8e990942c1b130dcb1514f2db936fb5824 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 5 Sep 2020 13:56:45 +0200 Subject: [PATCH 082/313] Rewrite 02-requirements * Change the Hardware to micro:bit * Change some pictures from f3 to microbit, these ones are kinda big though, maybe we want to change them --- src/02-requirements/README.md | 91 +++++----------------------------- src/assets/f3.jpg | Bin 259494 -> 0 bytes src/assets/microbit.jpg | Bin 0 -> 905667 bytes src/assets/usb-cable.jpg | Bin 136728 -> 1370214 bytes 4 files changed, 13 insertions(+), 78 deletions(-) delete mode 100644 src/assets/f3.jpg create mode 100644 src/assets/microbit.jpg diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index 565c2f636..abee52cb8 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -8,96 +8,31 @@ the fact that `extern crate` is not necessary in the 2018 edition. [2018 edition]: https://rust-lang-nursery.github.io/edition-guide/ -Due to the nature of embedded programming, it will also be extremely helpful to -understand how binary and hexadecimal representations of values work, as well -as the use of some bitwise operators. For example, it would be useful to -understand how the following program produces its output. - -```rust -fn main() { - let a = 0x4000_0000 + 0xa2; - - // Use of the bit shift "<<" operation. - let b = 1 << 5; - - // {:X} will format values as hexadecimal - println!("{:X}: {:X}", a, b); -} -``` - Also, to follow this material you'll need the following hardware: -(Some components are optional but recommended) - -- A [STM32F3DISCOVERY] board. - -[STM32F3DISCOVERY]: http://www.st.com/en/evaluation-tools/stm32f3discovery.html - -(You can purchase this board from "big" [electronics][0] [suppliers][1] or from [e-commerce][2] -[sites][3]) - -[0]: http://www.mouser.com/ProductDetail/STMicroelectronics/STM32F3DISCOVERY -[1]: http://www.digikey.com/product-detail/en/stmicroelectronics/STM32F3DISCOVERY/497-13192-ND -[2]: https://www.aliexpress.com/wholesale?SearchText=stm32f3discovery -[3]: http://www.ebay.com/sch/i.html?_nkw=stm32f3discovery - -

- -

- -- OPTIONAL. A **3.3V** USB <-> Serial module. To elaborate: if you have one of - the latest revisions of the discovery board (which is usually the case given - the first revision was released years ago) then you do *not* need this module - because the board includes this functionality on-board. If you have an older - revision of the board then you'll need this module for chapters 10 and 11. For - completeness, we'll include instructions for using a Serial module. The book - will use [this particular model][sparkfun] but you can use any other model as - long as it operates at 3.3V. The CH340G module, which you can buy - from [e-commerce][4] sites works too and it's probably cheaper for you to get. - -[sparkfun]: https://www.sparkfun.com/products/9873 -[4]: https://www.aliexpress.com/wholesale?SearchText=CH340G - -

- -

+- A [micro:bit] board. -- OPTIONAL. A HC-05 Bluetooth module (with headers!). A HC-06 would work too. +[micro:bit]: https://microbit.org/ -(As with other Chinese parts, you pretty much can only find these on [e-commerce][5] [sites][6]. -(US) Electronics suppliers don't usually stock these for some reason) +(You can purchase this board from several [electronics][0] [suppliers][1]) -[5]: http://www.ebay.com/sch/i.html?_nkw=hc-05 -[6]: https://www.aliexpress.com/wholesale?SearchText=hc-05 +[0]: https://microbit.org/buy/ +[1]: https://www.mouser.com/microbit/_/N-aez3t?P=1y8um0l

- +

-- Two mini-B USB cables. One is required to make the STM32F3DISCOVERY board work. The other is only - required if you have the Serial <-> USB module. Make sure that the cables both - support data transfer as some cables only support charging devices. +- One micro-B USB cable. One is required to make the micro:bit board work. + Make sure that the cable supports data transfer as some cables only support charging devices.

-> **NOTE** These are **not** the USB cables that ship with pretty much every Android phone; those -> are *micro* USB cables. Make sure you have the right thing! - -- MOSTLY OPTIONAL. 5 female to female, 4 male to female and 1 Male to Male *jumper* (AKA Dupont) - wires. You'll *very likely* need one female to female to get ITM working. The other wires are only - needed if you'll be using the USB <-> Serial and Bluetooth modules. - -(You can get these from electronics [suppliers][7] or from [e-commerce][8] [sites][9]) - -[7]: https://www.adafruit.com/categories/306 -[8]: http://www.ebay.com/sch/i.html?_nkw=dupont+wire -[9]: https://www.aliexpress.com/wholesale?SearchText=dupont+wire - -

- -

+> **NOTE** Some of the micro:bit kits already do ship with such cables. Furthermore lots of USB +> cables used to charge your mobile are also micro-B ones, these cables *might* however be missing +> the capability to transmit data. > **FAQ**: Wait, why do I need this specific hardware? @@ -109,10 +44,10 @@ Trust me on this one. > **FAQ**: Can I follow this material with a different development board? Maybe? It depends mainly on two things: your previous experience with microcontrollers and/or -whether there already exists a high level crate, like the [`f3`], for your development board +whether there already exists a high level crate, like the [nrf51-hal], for your development board somewhere. -[`f3`]: https://docs.rs/f3 +[nrf51-hal]: https://docs.rs/nrf51-hal With a different development board, this text would lose most if not all its beginner friendliness and "easy to follow"-ness, IMO. diff --git a/src/assets/f3.jpg b/src/assets/f3.jpg deleted file mode 100644 index 7a122aa04889095880427794827695aaa206d86f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 259494 zcmb5VWl$Wv7yrAsyK8ZW!Xm}p-F9(zcbBJ7+}+(-+}+)MaVTE2IE6xc`}@zmcjmsl z-^pZh<|OCjMP@QdKKZ-xcN-uDfcgIl_Wzi${}bH*BY1dtIJkcW4jus>9^rrb*Fr=< zK>VNazaam2h<{^Y5&m`iKVANRRey&8ILI)&u#0do)Bso<7&si5zoP&O000Ia4h9DB z{|f0}USwD}6d1t&-2WU%|5E?IUH}a2|0ae6Kt}ny1we;`0l;FzVgCzqg-50>X=e(= zpIY^JiDCct-zVqPs_lETZ*HoEZb{AU+YdTZp>q^FE@p3 zuu+l9z#d-!dZ16%pLfRf)|#Kt;LS7E0>cWH(Lblos~w3Ax>dzoaYx^C2@V}^x!Rp> zCWiP7-~$KcH23iLQChYvY#LR}5u%{4g`X>b-EcHgG{j}w-F9APAkfs_lhXyNnmMM1 zP|dM&r%g6P=`^ z>Zlqz-C}Py1LCBJyW9IHwz-ch*V)(0y#)$Y`-TEAu=1Zvz92N{Nz2kXV&+ETQD5{? zcyyY9Ca>Q=D#X1PL%(8^TJzY(4TeyYtr|exag{k_$k0qOv+_z*>3=FN;Nlz3tOwwR zfvnoc!Ocmzvg4M{lzmZS7Dit7&}FcXrj@NakDt4rc_a}Ep3$R(jSTfscI9Ka%ptC< zf1gT>_D=QeH@6+lRR)}Re(@#nCW?UvayHvAgDKu#u_p!zT!%c#cdQUbFD*e6A_V?0V$+>KVRSI}@Q7PVZlEiSfm z6y+0fQkZc=vYKjv5*xs~2=li>%1RxbH;ZSBU?@YRJ+nn3S%7ccHxePPRD~NV5gffQ z35M(5{SFC9LUHKqsALN~C-h#XaV_}CnW2r_7RH;brQ}X(p5ZzSG=I@M7tXL_$oh|WaK8QxzLCcGIV(x9+-3K)$!aAPH{{uGY{g7 z@kZr^NQujHfoCgez+&Z~Pq|MW~@3rttW2l5-rO_K1VxP1Ua#{S$zSjRB zXe^k@%IBxo$#>{?j@t95H@Xs71ni9yw)JWCB$G%>zJ~OMWoi&$3%Z3S@;QlV4|f`!iJ7b!gACe_JxOw zy#2F~t++>A9{yuE< zlk33fpcM}0Ii3rAQJvmGt&cdGnAGP)wFlox`&#$aa+cz?{G-yf{)rsWFDu15xUdO^ z@Kx1hKjp3WVv7p`1~zfKI2GOk3q{Ow)*fPV0xJ2wIiwZ3kZjtry-^yAA`Gr(m?p67 z$YA+m-e>-LD!Ah9s8iT&B*LWNO6i|&4+1H2{2q43nkH=710zY{sE*G*wjW?}<=N}T zH^oCkUs+0m1hpLSi2;I8$nE z0VX%8mO$~~R zjSWu9&D}a_IqbO&s=}i5<&VR)dfccf3KrQY$n^S5#fV)U(bno(J@m2RdY;P10aiq; z_%IgS#ld)-!fExVrAdPFh;BYK-C6OkTJeDrG@Z#0U1h%73P2hO0|t7yWIPs!B`q%6pt;~G{|kuBIXo3aaX|9x3n^GBqp z-_u0>jUg2k%_O&zqCM>*LO{NEnLa|LWHq`FnQjYD(`ws(NnYP{ZOl^=N*&$0m14O2 zTSMf}mgP*QECQXSIqvQii$`TP1wl>wQ#5w0O_&7yaXuqOA^kPuBYiJQm|R*1IvYm$ z@!S&b4T?C9-;Al{m7dFWy6*l`Zl(9#dO{K9yiN5X1Bm`0&=5qjp_12&s}S_ZC9b<cEN}6TH!%(9nJqYQp94NC zn~$l+>0T_CNP9Nw!N7LlJ!6glzY-=H8zd}FUdO4?Bt66jH*)1DXQ*$hUSKHXPueM7 zL}q6FQE4c%LwU4|>e=`)#`jHPzJa#-xJmfrF57ahUeu8-P`J&wI0WVM+2NGY3zglMysF>$`-jTW=OI-K9aH% z7$U-%e)Ib;t+?_Dw{YQTb%>pIYSR?dVGr8hVA%c)$Q2QM`vT`nOFlOhD}Yk@A8rf> zL&I_z6mhpd45!8E-0CV$v$dp*OpA0z3YY-g^W0yh+W*g#ks}S0El%F9I-Px4JWRDg z)2vx@S!lta=~?y0G#;(b-wPsUJaNbfZ>lH0qHil~x!~C<(fKCazKD~q)Hro&BQCHN z)Xb0o^6l{_Uz4IRWVp*YRj_5vyNOVDsQ*5!1e@{wj#EzU;+nqki07J@Y`P#26{1*L z*hr&i4*#-3HYwGGDBv(9pjs6(Ea91U;MEr%tmaZD8dDrs-Do-BbYkbp+q0|eOjYEU z4cccKSLmvhOqf*N^CR@N(=`Sd$%bP;O154sm9C98@+>npl5|_2t1jH|yqGo13Rn7N^kuIm3;cWUw9iyQLhuDAqu+Q{c25@WybL)VM-B|z z!kQ3V2$ZDb-~Iwn#Hns4I%{UUjCp>%)%b5)qJbG;2u+udx0(vADqY%|rzZ`D zo>)XH=%2gJMgr)+c~hX%+QUl?H_71e)DO$qr546XZAbv(arE?IQh&4CmH-Q4X zGz6}`FEuliiQ_98(D|;rpR=yc70`GK!XRcmU2+QK_qSQ7jLF-hJ@4^&;fr&C@1v0@T+h;~+mp_N zNrm+6FUnO9zl4I2t&@i+PYKzLfKTzP=f}HG6d2T`sMOyAb@eF@|;9O>@r*OrZcs~gBs>+Wgx5jr0In{7O9>p)GM6e~}kP|AHHAwx> z=+pIymKMQuHP0748$Lz7Th*r(Lbw(0AM{RDPmpiAu5aZf@hAU@9R0qp3Cc!dla}q= z_?*&(&PxksDnA|MOKNTX9sjf44x`I?^T*M?to6Zk*IA+*8iHuR&eT0#Rqq{6f{H;I zP6`|;=;P9`Z!5PIjkQu%L6n4oZ>}2(D^`L~l9i|WF<8jo%40EKutlLiCnS*SULf$1 z!e+nc7(mQ2CQYDFQ_&RB%cTKlxt2Yh2>dieCbeWIJ&&o>^3$TUVx85{yR9MQk9AAv z^wj87-yE53pF#1;uXNCw!u>!N^7ZdLtM3VtFU#$sllws^$}85vmg~{cO`NwYXav_4 zZ@R6B%<(z$8mP}Y8LwM(_kRJ6drOv?aM2(E##mMUTGQt*7k>dd*#m09mhPeF7iwBC z%kpoo%7N>AKj;HfH%*PDtY2iAQotD@2L)FNTSjESB=>s`{Xh0=??)^o6!XcNS`<`S zUKb(!>sJlLZ3fPP>|UNF$Sjk7P(#PxuQNN~5(~va1Bg_Y={KjfTMh4#;ifM)&GxZ6gO5!@QgS6m-k+yq9XeWr*zgfI2#f zefLT|6dIUw`=E3VrYN37c}33^Go6ymx(ofJ8Z!lqK(NpkIsMtNVz<}y3?4P(8fOBw z>f4Rp;+s6$E2M|IgcN%6ks2gE{y`quysZXJd z-{cK6OvsSif-R#R&###boHiFMF6-pE>~kaIv$bz1bvewU78AG;!C9|?R_&i^-<-^W zU8@#I;LRWlC7o%xb2guMg*ocJH(_r&WjfOmcxj3%ty z!rm7Jv0%*ka0&T<9%=4>G#P*sMpY2BMY`8TP{A{ zdlS8wLaF}!EPKeIV|9T0ASoBlaIPOHYP+Y#+_aPE)fq(P@#z^K@$2Ym`=5b@i|Uu< z_rHMC(8tASo5u!@DJhZ{37zb6h6y$rfH#R@^+z7ditd(U*? zUIo+`(iZAumfDUx2v%AB;-S&7U}{NBpJt;v$@cc+7F+X)qD|R@8JT&!gPXFid}3zS z;c)$!GyyyTve}o`?$sMsm*5I?{K{1~iaFyis>2|qdXXu3(rC&7`o#SjmFswD{l}1H zlV>HTI>?n#N{@b(-rXu<`A5#+P&XRQZb?^??I;@K52J_Wos@V9oul3QMt#4rBV=*k zW2Ororn0qZ(j5EHS^v5dG$jX`aQVBUig^AQXDRa*d5y$-p79aG7xh43SAD3z2(v_u zIlr75!X~-EKE0)3wMQKdS1PImgJ_H+hg`DxUY=py&}~w1!!i)b&%3l8q=XYtcim zCdiSXIR0K+Yy+aXY4uIfA*MUkhOsltrNPlbIZ9j5+DgU33MsV~*6-uN`LJ`nrpMc8 ziwodm%Orwt-=%Dof6dfI=*3#H+R=cI;4r^XibnCo&4339t|{7$yL{9rH(1$|V{;bF z(CC=_HBj$37t^N#(OtT?bKDxmz)1%x_kENJ3&$-7<&WwMzRvo^0hphp=DVldwNhs2 z9Un!|nj*71$t3=f}*I56%$(F*@q5<#AJQdFBFo+rN&7qr z=~kZyc)wU12yJ}Xvyh{!By4p(i1fA(NIW7uLR9>oK(D`)XBi(jY`WTf6~T~H9BJF_ z+2B=b9u&u$;118uM!xqKVC>|gxRkSZMEr`3H!~dFMX<|@+B#_cnJKGlh|4ZvJ2NkY zIMUibowwg>BFnuKV6hdMCo-iYf|qAcIdkS$LXH20#oH7^O1Ez9^aW+*qL9S+$54u~ zTCQu}#6(p}3K9lvLijQitXqSg zOTKoocQoLxhtNZlZGapAb$ggG7a66y<@igr|qo!V1JA=(8G9(^jyxn zbAJFrCzZLDf$z>3%X6uND3!{(n4szbP5bCtZQ5z#sSf{T;78?Yl1Alkg4csCym%$n zu3VwMjM~~b4CW?6mHq671{=#Gnots|$M^#-HY6NQDI!a8kA_N{ytIe+sog){8N$Ht z7mH^~k8TVSr3c|RZmc}r3k9dlC0L&m$-=M?sgqN9wTeM|?&=L_J5ZuwYV;XRG?90?>{=2+;wv99nE4o9hc5_T=A-To%V7dC#DA^AGAMs>lYDD>N^AKu?% znmAl^xUzpeMHu0Gn`v6rwdf-(u+Q7A=^f&UIOa5%ql{;PF&VZg{{p6~%iE<1&4L!BvA-LxypZf=I;^L zD-0S;v*EX|jNQM6`;f&2>*)^KgrR&;=kJdUNC1i3jN9^CyEVDvW3U=}Tef;Fhp_7p zA6h7SJYUD2inodIGLYPV9yDoN$fpv})wsh>&`YLi#e)*+65|Qpk)^YUb-*(|HDojw zigRzNp8yCn<3I3U*9x=9YvX(TPDWZb%kGj-f{~OqklhQqnmasre+9Q zp8XATBr{VJ>YJ*6BmvK2JB{Y+p!EX?yd|}IZJdtUmYkF@=kon7hI#U3%|J>dEncrIupDM6NQVETJ*X zn)EuAr)$9fV?yhg{AG=G(w~e`f}_kfEc#D)N_}0jm+d2qDl~=gr~39M@c!ZA5BjL^ znQNimFx8Obhyn(Oh?%*GSEK)sU&8ww=}b6A^I*-7+c^7H-d?8m#ebP&x+Ttj$+UU= zjT5)G){HH=MkbD4p%USn($g*;;hIeTN5U;0qwZiPV)55K399;{7Ab2AewB>4xX-l;yl`~yo+DW53t4>xzT zF@D>0^Y0%1jR=}N13^76N`GCiI`k{&ddyfD&b0oJlVc!>1KrcpNfGRC5^eeofx1br zj?w;cHG=4*x(v)0P-Nb9dVS};kJB9+H^>BLa*W$%;LpBDC~cK;3i+eaMaa1K(zCj% zA1Rlgwu{-v$+?l{!h2%k+{3QB*Kz6XmyCGe+G>uTABI>VxY|I)CTjTgHr|x|Put1W z+)fNLjckG#pqZaILLHR_HB~_2@h2>Tn#oC|u9CrrXWK~jF!Ivl*ET&EJFX^9lU$*%OO}6)8lPZT<|k#$IRrKY(wh3wv(Yt-1kp@T0|dp}Sv?j|%zZ8fy=>9p_@DBeThGc)5#H zA5uS2D1hD~_Pg62QpNzbG8nY3*bf@&<`!y@4Jc(^Ae6=aF~B6xhN~@(#TPJ&*%9l-6efuU14chEl zQW4p4+@y687Yv&0dAoEQ;_li#JuK-)Ptv0f*lrOL-(If>$?q`P(iT1s*)0a@&LdE0 z$_#1shNxoSgAmXkO3Prv=+H!6gH*-d#5Z{+EdLjf9^WM3qw}IYV-&;D5=?`;=y;wQS*OWN8_BER9!*QhKx%-1Npy>g?Vmo=#)-(=dKY zIyz-~x6Iayx4Y&nK$^MbjfLL?$eDddyp9)u%G&3h5UMBeAKUnZ@xs=jCSPdbY|+Hk z&+hE<_7^D)2YPM>IS$ z+m1pfh5m40{)rDff&?RRGU*!S56kf zek2~*MT%jJQtUQhm;wj2hXbwI>Zv9)zlp&ai=Fm4jwmGv_?ezOt}9=3?E#WvM5Gy$ zp0P*Y9MQdCDj-a~<&Cs3MTd#*9)i}qYl|77EK`2#%G00bfWaw2S+e5$UrcG&Q z z!8AGBdmGJVa$CcU4Y~uTO=#LVcJsNjN_pTPS|>sguCW!FY?8x_$?jOkQ}FRb6mu@K z{9;qBhaJXPqWGlsdLEG~7kN;*cmeV1Oey{*Pnu?rvX$dgL7RK^Le^IDpat0W3@zs}uhTOsUdwN!3(+OaLC zXhcmifC~j9OC{UL3YDQhw4Kn*)3u*S*2Lv$fp+EbzGwo}%QK7}Evh82m*@#Ol!f&ZMdrGQ z^H^qZOt~`WyEZ2V4O~2a24h~oa)SMKBYSZ>^m^Qoz3-=o!n}Yy`Q=u8D_>UAq*zbv zS0SIttwi9L+O88(JS;4`MSBB`C_Xdgbg6_g{b>)VKVEQYakzBCjNn|rNR4ax_Py| zXZP5I!}H8YT)4pX_~pAhuoUpw9FgLz@0P5de|XahbZ2xfCV2KQc?$nVC5!9=8zNPQn-tE>9@%XJx^YuBRX&eLe8fRj6 zzGt<~v^W)RWmJ0mXH6>ZD-9>Se8MW9ycCvg$;|3?j$=8RHjsrK+058Np+itd-atb~ zNZGD=DEpG%Ef1Hus)?gQJ?}6>Jd0OZrjqxe;@!SVW0V#RK=4xmI_1K3ITeW7t^zY@Uwi~PS&iyvvvrzx7&@8{}?rtS5(I!o^Wn#R`WKj?vli6X&kfe> z91cRmomKaGMHS9A4g?jx=eDA3dZY0_%ci`xMtT{gw@gjA>^J>Or>Ky4LSK}vY}R4q z*FFimp?cnci6_$nx5O~wrQL|(lY3zWoL7otOkHSlFkx@T*6j z4$P4xo_%8}%)&BQRdI2v^A-s_)8-SR4G>T=bl&Ci2}ZDOFpIQ3DKvn)__~01EE3ai zILnaSXz(BTw!LNNtj5N89Uh1T+Yk{MdsKj@RV`j5~Berp16N!7b!>*7|9BsJ{r)Xhd z6@qVH>LE<|J4Lq7(Ag5cRGV*n7@|n^9ho-SF_|3HVDEpm3lW6;7Gpy5M2aE(M&o{U-fa!az7w_iUHva;=0c^L)RjI@|;QHWD5 z_AK1IlRsn2=fz-KYb~ZINCo*+q(Mf`I5Mo9pu+3BiN*Wo^dq{M0w`{h>I-s2a*;~1 zf%pk!)_XRsxZ6HO=ap-L%7dCMS6=RnhGWkbC^-@ajGmNHP?CVgF8TmAW-E4Q{HxgN zk3^1KZUTiH&3Td4&(Uk0VbSl}6){E%rHK_75PfMOI+;k-;5O%I8Z`D<)m*t1D@+f6 z4#T|$D`P&L2g7pqEtb9V0-J~U6u3|lw>`RwPy(jsufI9%+|C)r_FiKK#px(ol9p}p zL`(KM3SWyjeD_59IROd?4Dk`QHB~`aY>Q9K3q_apxjaqrFh@bUf*toL-15HT03KegY zK(Le&1$?Y|y)#hB`-l)6XB~}~iyUR7KCC^lCG8TZu0tH}cPjFRNzD8be0 zQNoSy^NNO)&wSQW$Wed*iJ8D(A*0lyw`-+Os6!Z31pEM(acbljI^d9QBpH-jqhBH} zqb`VN^crgB>MerqeRpz8>&qxshQHJ?Z2 zYtOx^DiAJTng2V>eJ&YB9)0pdUR>w zJyW@=LgeB~1uf{)`oTJ+ebrkUc!CN1YloAYBSoH+Hq-DwBsH2o>P&SjDm*#cS!UKG zAxSN*=_$NW_~bv*(M=7BpENDGQD?P7EXq_-N)gq?CTJgg#%6f6;<`h z`H{IwF`c+2i4e|LrqI&jDZub5YI>)>zOJ28x(0e*1!cuhKnjcqdX6aW>TvJzg3T&I zd1p**i!!2XzWu`Y9!iyt#?OKv0j8y<{s7Y$_#7Q}qhjvw45ExLHC3m)Co1jTybPRf z`C&iGr8eI|)$!q!fWzK__$iM2AGIU2b|sd|=tIVTB*vOn*6|a_=`?eh9vp0We?)O` z5oo85ttqTHfN;7dpz%CDVA@Co-8OKlj+V#3=smw1$iYgaI`yEd>?>tKQxS1L@DBi1WG zGyR4>WeNI$B9~%RPMM+7pS_M0tYzVfO?NLRaqR2#`$(jbr;=%JUc>TaLC&kO{sXo$ z0BXSK8!~KQl{1>_1)fws!etj!3RZ{AyaiRsC4@>OhAYyUPF5TVr-i`K{|H>{26W`g z#Y8DpaF+U0*N(t+8@Hy@K17yDV%k@n0(|x@s-|il*eebTA>@> zM37*7F1=HBtQ*{wkqRtQ&~AQ$DeVa`GMw53NPZLaVbve=8f=5zy<-WP^U=_*OUl!> zJaw+~6%Of?Y)g_Act#E znKvxh2VY%pRjSItr3{zSFdjwM>f}=HLHq+ffZr(0YE<7eF8!^j+*i!UE4&eO#$7%$ zokqBZNr696;QTx?-^on6Cc0+#*^(~Ssfctv8PUy_INHE`_UYd(b1xH4CM;FBd^Vps zvlj^@;^umb2&B)!Cj=I9Z_V*ds_9^-*4qu~W~6O+08XD~jkzbZyC222($2atwkOG- zptgg4P2bWqq#0SL_y2ZJz_NI1y|spSih>zKKs_cYRKz^J+)Ph#SjrXv(w`itRm37M zwYAc;wMR!>H0xd3@IJRdcKx@?uMDh8Ke2k+6Oxv+KPco3&{g*W(N|Mv#o2RI`V5-r z&GE7s3OM)FmkbjEtTcAmO~X<1_cUfu9d(~%JHHN>>S_W*c}p~d0uY>@==GjPt1cOA z?S-P;CRIQ;6ZCS|g6zyBs*0PyhAVUDHe`*lh3Zfw{tc466!&#j?-TIplVvVdkjr3% z#khVk7qO@t$nu%Sd}kbAn)ES65QjLeZ#J&Z>PX0e1Ga-?b*L*?e1w< z_GX84QX`?WujI4@C!L|{NMt%!EWL&~3C|wPn%t|P2Mxqv2#=~Ac5pH(RGG(ybK6a1 z^$+mZmQCDz+>Fh~(^p2N0ehD)T^wj5fLlt}ahvpFTtjN2II2OT8g25~3_-*$Q-ueoU>;djVBgGAxSUhjwdzwo6f*9ijZ{bQpOCa@bp83VmD}irqYa!L^u~(p z3|Cq@wV%QA|D3Z>h! zNoxMW$w~vRl@_jNo368yY3a6YxM&AG!|M7A1cVyqZ3yejHouqH+LJ-ObB}RH=FyG9 zz3X;NL~-(xPJg~_5+x@yc;0fY-Rl{qP1)U3%{lPLeBYx6f?#@9B2W;}Q&Ek}nMEg3 zlnQZx>S`iXIYEGJ3i6yF1Uc}1wrF!Gy?BlYAYn&2Cx}YLm;j5{t<4wN?$^*w2!}!x z@u9>kFvhHy@J}GhA=Ha&OePqNas7-s5dTmQEfPBJgpTsR@3rxlmHCjG3H0I5z8gT%DN z3Kn$-PH2HfvM>C5A4F8NW}lcXD?b!Lvrkmyibir8!vATco^oACW{n5QYvkEf#W<#Q z$CU(DRpHSDD=Dz^y-q#mZCUCFf7XbI#ekxH&%1wE}u;-1xmvo`^ z(^vRi;QGlutTsiSRRvNH(2k0U$T0u$P2_$*Crax`D<+h_Z3jn7W>-B)(zpv9MLKd}t}p@Mx*|`6}6KAq4Dt5F))A4-lMR7t*oX z7abV+3|pEU%wTbUB>Ra2-s=AFjMzy|R9~Qloi)yNxkiA&b@q>>z{EhD6(4Z|-N>`$ z-g`7jgsZjNg5Pgf?Gr3NVQU71qZ_t)8s#I=rNS?To3%UAcj47B77|Ceo#_srT|7J) zD!+REo|#`G25@jk+mL$bX|dg6Se{Ce)5lJ0#$_7X=aoGe_m5iw*ES#}-FIG@=XFe< z+5*YcZEcUSuATMj$hRt3>OuaiFJHPUI^FHZv38TJ%QmR{1b-hX_^_1AxF(V2@>!6! zD@E&vwZB`2wF%&OE_7ZSR8lML2hNp$vxqccy=ng)%R`Pg4TQZ-~Kq6BE4=|H4GYa z#0f#j4@cLdliKP#k8TSt344(u9R7xnEeooKcMD?wiFGZocKt&gGzBj468uk&3Xb~& zTHI!HTtx16M&Mo%D_>SfQ$3W^3rV9U;t9RmH%xOox@PWbgwAr>MW*L+7Wm|ui267F zn~I5|&T4V8Dd;kB++ZweuKo*{G5UImwDA}4BDjmMg#lgA%@6XOjo&#sEFyJa8V-%Q z4%VCCOvD}$;%zxn#XUf>_Ok*~MU?Me&E|u3!5T~UslXlDh4CU33L5*(! z&g_afMl)97q*P7utKhZryYMA+%<9ZwzFoT?H#KHNBak!wKZ>vl^6VR5} zj5h%_%NTa}3pmqnwjUFNCU-lvf3ERppE3H;9ND!?8$?ajnqw%|UW|L0Wro{6<6(i1 zfGaqDKf8HxL@q8AzklO0wQw|0;kW%X+m-DxlU*p>l^x{gGu}@=h4AAkX1!nFZ2o<= zE3scL$13}^bCa~iXd}&z_IH?4hv2t3E2MND1u5!kxz;s9vdf4F^nFhFKQvVV#C%i^ zYwJwbhcP-JZ<~HFej`k5Yk<<)4QBP#F}lQLP=$+2a!_dJdImbXK}^dmJ_NU0eH02s zfSAmD49OxqUyC#}E9CU6r+}t{xqnwVMiR_x%!Od*92Dp?v-AEQq>Mb_VAyrdVRmYy zgeV>eOKw`q0n_f{ScFN_cn5FAwCI^K`kS<;S5U(-eDse_YgU?aa}U*%GlYhd6nf9c zWh2FjiZ-H3o&{^EFR#7jGT)yP}yL&ksT75E~hCmwD=i{WVd55(< zrLU}>ts}v{O`Pd{M3duu!~REk?mgr>uutp9I6QR+Ig8yHfa2krnD>x6{2FhVFF9A; zRt*9WpqMGdL-}Gh)S&GMpX}NDbKP=ROT_dMAnZg>hOFh2taeL7mht$x47anDf$}|z zfekL;mj|FR!<{7Qtj&Vi%I|&Bk*m-=m`iXffkwdn_?!k<|ILpHM@`6t!@S30%6d-+ zP2jT@aU6RgcPwqqe$YED{X(kNmHi<6%SPZdJ1l1ff^-I(D8`n&W z^fj*Fo!TP%^POG1<~LM?*NfTpeqoc)^>&R)Nvm%Z`k5!{TeLCjX5JE8zh%F`Ze!Xw z_l>FcUGNcig1VtYH%cg9`f4eX!$&jqpW`-!o%0HJ>?hDks9BB79N?Yl^m(_AR){;N zm#=F@@-Jx=yIa%-TsI^}uYd|0!huPT7+Khz*Wulr_Sckswo^T5zKFXmf?V;({?9Hw z^q@`dP<`-rb2+=hY`nVn;+;sf$+Zv(hH*1x((`rx3}R+09M=W+4pfFi0y1~L^&5}y z`YX{@W|;o3Yqe{82bmGaIZi21m!mAT>KJQHplz&~_fjAMu=Ox`MWx<9!Dzzq$%&{; z$6|#zE;+4DA-5w>4fdzXxEK1pFNl6SC?NhagVQX)-0M0n-rTtzXsB5<1I{u&aGnVA ze!a6jP_K}`Bqytaek(z_u`;rY!0p7a(_E?P&My#NaJ+T&Zg!p9yf9|er&Bin_c@_` z!0URfsn~D(5o*7y5CHXW->hqZdP`|=qbY9?*fVr&wCRtoIhJa=KA5gtQ)yV41;Aai z{Wii;ouA@Zs#Vk8zyfcH@NXnLxNdIJ7X=rztJGa#Qrd^6^>4}89{kCf$BM$}!G1Qf zixH`9mEB#@dD}qtUcr-hE@+aEA7Su++pwpLNd7bH+~vUsU7pKD>OP9Mx&)Bg=!#fv zwO>2;hF}6@m&HrV=w3{)rrfvz=PuGF^UHSZ-is^!y)HpilG?? zyb#Fw*i2hpi%pKL5TTdE$fdf7Nu#EajS{f7PRs%^r(xNSvh@bK`(r={gs!n3l}8h( z?sZ?%!=a_OOtsjs`BX|}z<#Jzx6^|&&81T$liELI51C4L@m(RM<&JLG3NxFX<_Zhg z_acs99$2HL(g`UcWNV=r`G^g+AOo~HwdT;|z%HW-x6eL>)ymNa(qmk3|BO?5(cpi3 z?I7-f0Pkfy$1BytgC-GRck8JdCqY;HTgoW~~)u`a^Ks4q3NqQ;wVN1uV38X#t7YPdt0 zdUeb*^2)01%+s5XcyD>BE`xXSUCY-(T)OGz$n(gRNX9qLhw+p5ob|!2F=VJ`Xj77e z?ajOCrB0Ud|557!<;{w>*lt9L)BVwK(@4b3HC0@9Gh(U|23#9iZ8=Bzp;vTQc(gpJ z1m7HR#*2)YhlY_|&7pk(6baAi1a*0Qi(~=2R>1?{o4rj@oZEZSN+r2b?^Ql6#HooQ zpJ=ISRuYI?$DHc0u3&R0XK+^?Y^RfxA8rE(8VW(q+_vpbXqj0;^85?HS9jK<(LsY> zgXxKMhz5|0cslrrnCZ4JVt5^RT{KtHpW?vUn&BGkDoeBl*7wHk2KU6NnT}gg`cAa@ z&YrPN<6e{vY_>Hxpz(=l-;of=)gPHdHJb2j30o)~&NZ8tpNl`}`*^`=$$XryX_SQ= z>a@`AcS$b!5rzKqIxXdA7T2jE{dY_#MWm(e(kjyz^)0(!Ye6jPt*N@G?lpq{>1J*5 zjryp2%*Z)42`QmvIB|twL$w=(Dg(4RN=?q0SYo1^a(evDsoI>`b=vjE#_juV_S(DH zw|a2>B{H&Cj0Wb*`ltz<(!b1&jFgRh!`QZ^{BEMyX#E!e@$}Bv{l|(a0$v_39UxjJ zOKq#c!e;8k9P!A)Uqm3wh`USd#>R7N^4khRLF&vWw95mPWZ}W*&S7-6>m7hPbF@~` zZ#*R^hz8hG?Cbu4#bDG6d5l0uJ!@(fVJ^uPUE&zgi;o6Fc4B~0RI^_#w3_W_BXcb@ z%AI~_(%}x1s~LxyH<`-12CWQ+Eh*D1k;y{)YT_!bWnZL)Qm;VnS7NZkufKpRu4avR zZ=Vy27RR1>3XKd=A&znx<1O$K5scQD1jI=Ry+K;Pip|OGa=j>>f!q=n?cD|s@99-YoSqZ;N@JjLtT{`Q%WK(t$q!xL_)Qpc}9fdgjFSk zPUHBP4@aRsulv~1(Yx2l{y^7m*2z8;l9{lgiT5dC)l^_WDH0Dt@illwUGhjTp?7e% z8i>GGN(&O+Z9>4t9P#x3*`n9h`9*JKniX51(7XHED7zWt%9Y4#gXyR)pt3h?i7IS(hL0pDq5h6MTG01TrG&iLm%E-JoD)rCn=m0Kq3>XqL_i=ek{lRPPZqat`;~zsz{2uwT4)}fPF^1K^yEgZFLe- zE(YFajC(S84wG{+Y2`3f4N|42@-%l$N+Z7v#d75P?Gem-8*Vx`&elf3IeJqcvyS!o z1bGoLGx^r0njO2>>7&`Zv(;M8x${=HKMPwgmid#(b32;5AkO7&FuMDT42Ah!$1oy$ ze@T;>@P#t7b%(ATH(4Q$8AP)FeLZPNoo|HltTLJ!r#p^^J*bwQJldraI;mX{U+bfx zj~sLIt0#&Od%(aV`g9IP)CJD8^)mOd6P<9P%FQbkZ`8!6Yj%HJCSuBI^>^EaNKk~~ z$_2YR8NoJ>@#6x#0cANcG@?(0By!qmY>j>cJMdu}E5SU3dp>_z*0{`};n8OT|R?TWF)IK3UKhMwUp?|}}WLr+hy#pb0F2f^b zo2_R-yt!-FN)g_Qi1`JhzT-c1O(+bKgc{@Zw|)cO31p^bEw-`mU9&AD3*UU6$MV>~1Q!?dhk#cN7`eKR^22cJ>zl z$LM@~^El70EU`_fizw2+5&OKvmJr~5_Dik>Hz7aKn*NoUkKt`+`amx-szRokn5IfO=@ zCU+fdc0)j8(!vM(WV_lth%Rf&2Hn&qTPqX>#o5rQRQE6Gp>rPXN)l7VJ^U?S-rQ*31Xiz6OsNF7`;wkC1otefD8Q>Oy2u3 zH@O8R&Ua(cdZAY@V~x4?pv~?4{<~Q@ih($fKf>2;S-NB}%_Cf}N(R;B#V84AFUkyHg zydDGB7nMmWqx3cp{9$7YUN<9KPI&`n4l7>_tkk{UbXJ0Aj2&u8rQ6H zIkHL58PZq$`^V=!L2ph0QZ!uTnZ42;6|TlLT@(<64!nX#4?qXpL3U4E8Gfe+rH7MvTArtBdin(U zRf_o97UwU(dWDB=RRZ%XK_UpIQNr(G zX$~^kHZChV=;)DfW^FJL3Km#Tf?B>FAuHizW`bH0lM4RxSr=P4|HJ)Mux~V=R_hvi zZ^Ixf1dCOg_)xem(!-qi22~b8aqx%8G9gfYeYSqFS^MAP_Wsqyr?O7#4 za!xI>Sw8IJ-A|IfJjIktnS%W04_-Rr_O@$2{+d z=Q)mf_4;C6vAaej1AM%>OfpFVk(-FEy;|^FA&1>9Geg)39NimDqTKY`OR_t!Ni}u) z!m4dHrT+l6?Ktt}GsTh@_T6M>WV)Y>e4PIP<@|oVvCpo5o?{$5oRx1()`r&W7mAmb z{{YXK=ifWaII_r50tlJb&+C_X&dnP)9+KnzP4tpuF|G8xZ($DmgW_3~EbW;lN?F-w zUUADH>Eb2@mI|FIEmj;7x@0po!AE-PFd*lcBm@g~2~PI&`my%#{{UETuk~MDZwRkU zX&qbt0Q0-PG4s9im=h@b!+MHN)2=T$NLgllnNFIebk;vk5_e?X4iJ5Dv#mGTcu2=0 zJviyrk_SxM&V}0cls)sU5|Sp7CN^Jhw#NEX>6>w_*wxvLyH(GW1D^aG^W;S3t$?aKCdKb;ta;fn0`7LQM|*w2D$)9k z^va&Xi%skeG<7Q;r%p8K_z6xk`6Y7g%D;f~oZp)}bE&!R_FwYtkJtJAKgZ~+S=P*6 znsy9*FFnR;t|b)3ctS_?viira>+Lr0z7GpYyWv9d7}hJs)R)eCS5e-$Np*g#wcC!e zqsmzvmSWq{Iy=kqYIC0?6oq=bfJud71$j4R6Pn`PX2Dy1p1Y`8uL&+(Sgb)N=*Jc% z+60(Ch%9b4i;aOU-_@97I^Ok<{=u5(h!`*K)k>y~Wg!Uzj>jrW*g)+OaWA^_RJLBA zCXg(d%x}l+zN`ja!K)+$u+sp!;>p!nEY~T?XJ&_kyc(6bjp}R<lz` zd`Jm&{pU-HBezXdva_}7a>r4(us;$~TN*B-)tl<$WT9Vaa6JD2s?8mx)T~GHDPleQ zexK*JJ9F#xQA-%>`lhr)rd>o#vtOi2$)%Rf8!B1bmt9cR#w0Z&+U%yvp=mY`MIl$o zedkfL(0j3!26lf|FV0QaXeu?94DR@NpGaYt(_vVKTofNm6~o5~52bl(_El;s{Dn?8 zUH<@K@5$l5$F3YcWObPBbvVx`y4tEo)Ln&D%{O$r(ry0gE!aKQGmEUpd#?@I{9hles z(eeK8jN>x-w3qR&N0I18vc{2+g)}hJwGb@8ta=)TrTJZ z3TQdg6uRYF>fM!~+dE1qs9L|ybIkt$D6Py2RnxNW)FZ0MEs>zL)meG;&R5g3KO9l1 z)~j^ZwSb%BU>H<{Q)GQBn%OG^W+8lK30xhdu|0A@_VUAEu2xqxmV4S#%gVJ`$iywO zy0DJ_0Ch@RvkWZEgt<+S<*BZ?xG6Mn=k=N^vdr2!92qlRfyt8}TVm&0{?tdZ$&asF zts)O(8M_E<;(2V$Ejk*Ng;*}sw{5)+svi4u6I(2+a&{%5w2bo}bk}0nl)X7qChWEQ zRwydAfLBKD&@;=|*d|uhmea2>5h!~3Wx5YvqKxHTd0ROUOkvOQ-|TDc9_;R{{cASn zv&%h|gNm`p=Qw*z{-MEfjNMix>F;;?`wo8doPG2B#urpIX2G~WzK5avWaW@&NyyxBe)MRzpvNw<<{bU5%W}?s=qfMcc zhQNz_t*C2$F_^c;xr=mKuB5E#Efc4KI- z3?(_lnTT-4hC_&2RmC04XeepUsaIdT9yx{MS;Y>fqxb?rM!`4Ockk^bY z<=i?(hUf9en24&F8-o$KUqlxdndLhSXDgVF=Q)RJC5Xfev2hn&n)$x}0Q!9P=hp-F z*(J&<*bUPv*Q|JQ3pQS9yA6wo{V~q0rDL!JTcF#af3DMDOp$-LwD`*XSA}Cw$!0YG+6E_G6z2wcNKZUpa=POf zjh}xZtjMUfO|`WZB-_)Kv~0~AG5IDu%O)PAnim}9nLZh8#(a}TepS0=4E(z|!cQ96 z%)N8tdn4sQcei_YqVZ)$S(xxZyVX6Jytv7U4e0=EW15(*q&23mgl*F6jUXLR>yqQO zdr@ApT`Fy*7346FHaJyD@Ww1>8N_BZn^bDB`ZBo2!ph;zrzY!q1D&Fh#g@22?_${I ziZ4d_0{dAx1=yC|k}^qp@szD{G2kzNj&+eL)`|lHn9+5L#I-$y*RmtB8=F8I&mH+I z@l z!Pq6NF}+_7Tc13ynUt<7B@iUNJK;uX_3B4Zf=-lviho7X`%5U-JonE4Jf+0% z$>D1iX(q+$hh?LgTY#fb*uvhJOb$saI)KXC;kp-9)$QcQi+2Jou6w=myHe_ARr77x zr?WE9GAN3+J8)LV)9v6?sQk^5*L=EuTNf`Tqd=;yIMHRIp00u!la4gQuk{d9Wo(tf$cT z!8Q{+{@s;u+XLN&SSmI;a}Q0cK$8bsCOS$Wk8#O8rY+GyLO)oTHLJl>sR6APIfI}D6}GUHpQYbrHLWO-JDts zD+ger(ADh>#xU(cgelwZuNdaW**$h_)a1LR30VQ5WNQ-4Lfd7Y`<`?8E_sgl&NIy+ zSID}=dvhGPYDvb$u3v4;6v=Booj+*kHd^?n604?Yj~#^V1#C4|TSl%{c0IM$x2h}G zXvgW)g`TJtQ+@oJ2C;!5-XUMkJhPmdMChwqe5?$};x@NUl9V*LsLF6-vSloKMh7eRUWxV{kuMU;>K=;-vS}HOTHE0;m||3ySFbKLte=DL z^_wj8hRr_0t3;$=w7V+}ma0#31lTl$J8cbw(>iD+6~1?l`E-iMEs8D-9l8Gi@B!1Z zn4qh78W7uxyuhTNoLW5nKCTH^^u`#MBUjg}br8B%6Uou`6gb7yzT-~e|GxY(2 zfsag`NL^uw+XTKF+$nC=HUR3l_X+YTHl^gL(mci!NCdgMRV-fLS*I55Iw4j3$4~i7 zJwE~jN`X#@$jDYK<%QV6WT$Z9J0eUc6jFtmXy%Fg-5efb-qS)mvTU& zjm4s^mfeIJ7Yoz)KWl#0&u2_RB07Z?=47MF?RFrJv$D9$8P8rX9m`D-eX-RJ7!|qp zVgvr_#JnyBg_o?aEJ~%V;%iDny`7M~1(o=6UGaf$oL75{$QkZO2~2lQg_Q-juWX}! zb3Ku7PwB|J2_O09usO=QtEvva`~{IDGz)Folblp3y9@F-rGW+b&s3}y%3)Mzizjt5 z^3HJx$C8cks9aU<(LhYYrlu=hW!Epw8uq=@)1yI$u-Oa3)vW5f7f@$}^!}Ue;uU*| z&btnxnwNLaZL16ZI8VW3X4(BOtp^|35Si%jKSx`6gS%rj9#2LW>-}b^D!bZ5OpDsNiHp5oC)7xcpl0Wn3 z^mYFL;I&VZ_Ku$IYeZx$vpsU}27?*nZ&t6e`uocqvX4@%!|M=?c{z4~Q}8ccBAWTr zv|u{my0YA3TIr%fBs?bX$Yat9>Q09IW06KJbpKEifu4MXCoS$vLYxYyM4C@D1 z>?rx6{L$a;L#O`lHW}yV6l@BLCuvuJirThaUotypO4)Y6&JP@P`L3p`MD=dMX0Xo-qE-L%~5i+W5rx!zgqTH;-Rha(+2 zt*T5??Yi($-wSaAUVm(=voq!;SC96y31&Q9iTk~7X8CmaNiGPDWe-}8m~2d1L9No* zjYdk+*WsOH6K7GRn@)!D?v3s88Rt@=-M*+WbU)i63@`1D{{Z5T`#*T&-?tpKQ!I`> z4%Ne3%uh+3XJ5_L>&OPTVrsLj8|{H8*w0_TKkAj=>Co7STq?yAA3gxh(bJ+qcDI#N zBT9uuQ)h1|=B&yy{{V{nD#-aHTIdgIM>bmi$FD%HtZXaxAi8eRGJc%v2GMU)3NXS* zY}IbQ&fxH&!_LvsQik@`Pt3Xkmu4qb3mCIbI?bCTx1hUmc9O!ozYaW!$5J{~Tc@z9 z7ikQtvZTeypeW%~G<0^)5c#&bSFUvhvr11IFIa(nMpsBe-+$opQ=&skgC?D+KNs_9 z)N!%ftf4PoJ7#Ll;CWB7MrlVrk*kD#)!s1UeD`PD>W#j-20v;5(E4<4E?Lauzv$(? zD=2{MHR^SlfsEGuVd&EapgZ9A9@&iYmACjz%m)7Jp6V3Oi?L+x!iV=~5lBC}qrGUh8w82ll4 z$GO(K55^Yx*1?cjko9+B)S)6GOx9BPO3L4^gDF$BR3$jFxKS%Hz-2n9_t#b+#t(eF zNsLByu?bb19@XK~{QE7by%z%04iCGO)Hhg1!-Zot~c|uStBVN z)U2jVSo^FX5~#(f5rSfTF?y0DI`*(-AmLc%Rv9s|gMrmVTCRu1!r9AU67qc=vUX5@ zbM<7uwghj0)diDj8>XvnFn*LbwTta(_NoPF`G!n*UB`yL?O(yvfefw)(#Aa|{feAgC4h7!(tGtjT9 zfDO!MKKB;+;fL9H`sdd_j$%@eH5YhtuWr~4QAk@`9dmBj*oD<5DA6w0z~uOUjWm)#}ImC12oWq#UZch;BZ?6ewo$=c- zmppTfFX|kt*D5PHFF#prnc$h32uD=NRt&~#X-{@>A}D4^HOSH~t1T_WD=tu(Exp`B zZ-63cUf_k>6J^n8WsMD}ndIwflq&{^SS(=?;5ODpe50!Ewb%PwU6*c#z$B?luZ{2B zbqe<6rdzSOuGD5$>CaF;9?-QoZ2q3)bV#TlwLK=%k-r}--2PNV7u9Ili5S!*6hPZU zrj~kpJ#`>kLzr#nY-A8A*#7`WC|fG*7ZZS?>t>Zv8zyvjAnX?ycA%gKRcm@8tzdR` z)_AMgGOUzr3Sj>LY3cUy7IlGcz9U_j7~MtQe5*b1C##Y!%yUVf_Boj69IBfyn&jXk z8EFzwQbF9%2V=Lbp*mnv)1LU}^TvxP*%%>JLjI>m9l6^*+@%TFoj%mS*BNgMc4gN$ zd~VD-)_|KWfki9smOFE{duJWFjAJ~PZhtwS+`0Vzndg@?E=*8+>$t&7UF_>Hw4BQp zXCHOP9%M#1vp>v#oc7NOvNvbH?aZ?tN6XZU3;V=IS0t;5K`1YC4VQJRC;_*69_>SPHY& z#{Ti_WaJOv!>3;Cek_w=!gt*fPVQje! z&m0mU#cXI$#t;;S+-T3{9PIzS%iZ8STx4M2GaMw3lAmWxljA496!9_zmz zKSi{3?VoiMQr7?*{CraliIb)bG{}@JHVEta0Ql^C8cpAJRK0nn?hiHHwf4;q^=jyD zP`iDW`X!4=G@{rHOhwzF%CNzl+!37ThKU1kITkIDYzU%O7**9YBFgYFghI^cw>$lL zac9Kf&3G767qK2(h|q+xzMlTVs^?(vvl#}j8-l9(vrYtKjMv^D@e9=M!e8mH{{WPG zXPNu`KZxB&xrziix}qzRd8g_ndBAM|K0H*CE4C%q+ILm)o)*LqVB@HRQx(;dwJ_Nf zO1=s<2Zni`cg{K6h(P%uHYk?`p6mlI$q~mTek69sW!}POh&Li3I{`M*y%#c`B`May zWw8`gk%(CuxdiaD$C<*(0sS$nURm-XYwKV(QmwTyn&42ny>V~IjDd9PmcFkG*z0Sk zx}8;B%$Mt7N|%TQUjU_Q@=t2Pr1SNjnzv4qs69y71h9>alI_-3wTKwsw^cW8b-0>& zbr*G62QKAdM8{LzMFK2M#y8o2@luh@||2)nR|BQ=IZDe zb62mr%jxDLA1la^%v0mAiD<6Ub{e5PCX|G2;vE(EHpeZS5n~?Ni9Nng70!7V8H8xA zR$PCCsk^^BcKv((SK)~fa&@bWGDa2O_SI$4nEO7&77{Qzy5U(Obx-HEw%j)cM$G;4 zlCBelyB&xYO1VqCd7k;^IUHtO(@zy$Tb}i0XxH9-7U7lB;O*i&H(f{~?N}GLHKV1w zn!QAwhpCJ2Fg@6E7F2PLTuREPv~#p%X8lzvtK>b4SVe@<$Y!|7#% zS$9vfeQtD*$E3oWEv+v4;+i%mVlXa?phXgvbHYWas>LdPwlpoO8M9p3Ybazp30Q`| zuw4>Yx*C4nr*-1UI#cJo5NmJtmb(tT`(B)m^?5V%8EDFOHw?FdT4A$#7SW-QV3*6N z9>`sS9XoYKwzkX>i>vh;M?Q`z?VbmW8_kxi|qot@;Y4NMTMHGFK%v~mN}{Gn=ZuXVykr3 zY}543lWkRhO&*VUw@Njt>9)?>T79YPKn132`&Q!z8uMLwr9*4A&jVm?XX;$`up(!* z58vyDr=_;OSV%cm(XD3PqNAkiG_dZptF5n2q@1Cp=falHV3~V;-zP>J(n_^xUP)r9 zH}yCD(V6pqk99sQblSr;hZ5NJoo-{=JDE{gmEu-#ct;Tr=!V{&7TJ&O^XvZrhkvip zDI$o;@yial4Q**Ow%3kR1?Kx4wl=fN?J0hr=6H;G+v-`*Kb~vZG&LKO8H#|??JoY@ zj&t7MJjrErcr2fY@SWZ5GHwRj9Wr7DoW=m9$vcqjwoGISR&1eE9lOZ641CWM)V5a6a`gt5c+6#2-vz`od+*+=fbK3ok23A} z<4p7MhWX@mcKpty=eOc+rO(6N^YG`q{4MV>rM=**cL7Civte!@;JXhk(KasF(YAg= zr`rUjZh^7l{{ZRk&7OZwc5Z)8wp?<^dUgslGB)llg{Zd~jhN3r%=4cmlh2qR^{CEx z5_M!PDH1w>s-~IeOu)3q`=3f?c=-b5ERmjH0;|Zv0Eh)^KiY5BRQuL z#Sh9oO-S*_f zWWl0Wt?zoy+0#O`Ve>o)H6rW6q-wcYOAfL&-H+v=hz`;%!bROZ>7Qtv1n^9%A zP8Z-}<=+F@jKO(!MY0Fp$|Q+QwXJcU=H>hsqb-o85+=Bu=9QSGg7|Q*_+w5!>hCCr zkM3-dfao*{_`Kw$7)Kk1u`4sb>Dc7=OEw`+xv$7V>T*>wj|jhF5^=~ z1&{&T5wr+B{{UDtn);2aT0q;XW3QJ|HR{vT)vaRcBh_7dHczO@YrQLa-mKjz&09D- z3xKY=RGyP*g))6=I=M*qSB2X6N5COT^?p9d4zeLd53D^^jhh$#i|99F=)vxb7EpmTd$y{ zgO^*r+IFoyJE#tsHr`OlZq0KU9)9qepwsKBZ>{TfcTI@M?3I?PDie6V`w@IuJou~o z;c*@sTp~Mn>dHBOw68_VlT$Ov$u(uUGhkA_ZE-+S9k#fVNVVN*yEb;|;!&+sq9JAp z(_c1=@HWToZm{SqGmHV8RYX$9yQRHD164n9a?P2WRTc~?HjDj>X9AkLw=aw`%82+v zjCbIlL~WCn5a}|^AMGZ12p%b8loT%mSHQB` zw0VDjTd6(0HQ!Ds5xS~!YBct_8v9&`U-cw3*5RGL;GL7H=m9OD^~RcdlTK>c{`pRG z_`dd|Urv!J*RbRkizwU(v`cMGuP)X#gpe&dq6=I$F$%eRE9GycTY&@tGWFe|~2o#?0Y~ll&Mrtd2$Vjb>4@shXH3O3a6xEG1oRtBn4|Un#Cfml8|aA znvL{Q>u^|5%PQBl4^conh{ZKawi86PO^S$x7G0ZnIJlEK`JO&0lPCVP+=kn%ui()w@w{zu+=gJe!Y{|J*r(@){ov&&t z8@XF$wRH=Bsz7a9IoMb*<12NbucoR+~Fk zm*t^R=2@4V2#HQt z&pq{>a()iG^S?Z~<&Jug<|Q2C${h7;$31HK>(|e5Up>Vf_e0|nU>|>w3z=XRIr6|_ zGHkmL!=*i>;Z`x#BLOyF&U8k0s?SjNhWjil)!jnO>&|h_0LFT@)6~7WmdC)ux|M%? z@6RuzLiBS@)2hu;sG#fHcq|Cmej?swP5qqumxNW96|8(!{KE1hix*ukd}Wf)#SzS@ zzr;})fJ}!AV#^&vZuP>__NiY#O(zKvgzUCsPmqxH^BW_N9PLhV%xny;u#<3XtCbb< z6lU2?Q81oX6NwGfJ!b;Xs2aZ|)E#0xb-rt)D)_>2l$*x7GI(_-PVHX#sLn+0`p>qy z-?+;&=Z-#BFwe|)`<{N)Dm~n()7$1&>CUU;CCpP7pHj+%i=+lvKfW)fqLJt zGJ7=xfLhDM_nR_>%Yv^l_2h$Hxjg*5_2l~dp<2R$SZl$fa!$~kMC7f4?31!;%9O1h z`O`7cCpl!zFBw3r7h|`POPOn#t)W|T=`5Hy10}fBmabVWQ;S2>lrRZ^c@lcFHS6`A zzYgixbw%{MJ8#yduT}WZCcYE zYLKlgf=t&o1nMq)_?#%yZ*mcY905AkyiUnh#JQHVYE|qVRQFhHhzyx`Y@2T;!ra%A zEAp|}GR7K>W`0~AWZ<)Ph!zr6dir)$P%2Xkf<*3D*{|xufPS~9D+gu;I@5b{A6fhP znPGbK&CyeMbs$@S)HGpjpAT(Pkm1ir83dUGJU(Yt3f{5L?j6}k(A5}-kBKOH# z=j_%>{kYSp&B1JW@@cMm@SEGsv10sRGqxhAz0SeJgCxy%2FU%! z!eS^zH9mO`37I&0f)JKV>f;3^ppEfg}zn`Okc$BNc@1 z!R>3TTr>vTiJ*+@Q4Ysjb&68!Ub{7Q7^<34uGLFNMY~$hTFt$&q|HF- z+U5~q)2q}$ms6wW+o!}6WB#N3g#&hXo>Ml}TUb~+b`(8Q!LaS_>g3o7U3q36cuxAn zh+n=iwyAXqoacVzT#Jk6Yv?KaRs)p4#s-K~430QXtdf>f)+#a`LIsaalCl}&0Ti)j zFU=}6XidE2OEsjb>n4?buKTcEqaKYPOeRO#*rRE?Kc@C0>z(D<^KTJ2+ZRI z1lNU|2?CPLHnyyr7lbs3W@I8*?NcaRW9m1Rj$h{+!kZW*bCU8>)|acjgR_}H0%t*Q zBJ5Cc-#H%g>!9K8`6V)EPPehmg{3GsU}nwb1_&__rouLDdR0q2A7olE%nE^qfMCMb z)V0JxSz`dtVcP_CR3+)yZtyIt%;ChX71p)MLl)0ib~2uATL`YEp^g=?WwMEC=vj

}BX}UhntOdJ+ z+FZBOx_x%sM%1|NLhVGx5CzjH*4}KrSYKGwLj7w7*1;A67K`nK)!CfcoMV}cd-&s) zRa~pY^0s%4I%hanZ8Tdd=DfaG@IT{)$cj)6RU1IruK}sVK2cctjSEe|ACFNgKulaOty=8m=gL!+%PQd84k?et ziG7m1VYzz9u(Yuk)5s|pj!i&g)lz{9&*PNU8L1vt=UmKVcr@1`HS2BRD6>~7*RQ{y zjulTbj{9+qT-fvA$>7?nDN6LW(`8z-5;=CN%zl0{;@r?XsP(r~wbRH7)vml&he+uPKK?tG)|Iw?X+gf#TxwRfPsq z0M}M)O?}AsCe%P1C1_P=TP-;h4gUaVsZ-7njt9F=PV*vo&}R-dp(Y-wJ+Mi5h>ERS z8@Pp*qf}K{&ya=ncTb(-CKpi!z?+5xLyu!BRfbv=6hkA*K- z+7zj4L#tLK)&$xpl2)3&w53{Y&X7i@NY?7vNZ6DoEDdZDcE*j8YQki@7}0bz{ZP}RiV=NNaM{x zJ=6+UKF8MPHBSqJh3U(VNDHfec3AUx?pr=LF32Qx#HEU~1G5cApwAF*sJ)0RM z(W_Fp9F*l-BswiODJUUPp6k{(U<@zzxuR1_?R9W*S+VR0y%sxdQB&`JfI6BiT@85g z>kxmPV_LgG#HAb|QA;f6LLB;P(o-a@!h4glwwkT4JJ`gMHs|n@-ge0KB|Em`1PwoT zBCBlpT{hk0&D1;{;yA`SsSM|uLfEV$W>_7m>{h=MuBxesx&HuB)b9_5yqkbZYu#Yn z*E(X!uH?Gu6iF}5Cva4)OIH+Z6e)H1=NN2(W?JlLuJFD4UnWD^X{?Cd)*Hqb{MpDh zdi6*?*#~i6oY{V*#=DxFaWo8BVf{tcnMAv+*qATgmQWkV0qk7Xws`7sce(4t39lb5 zF!o#Km3J{!*G~SSYK>}OVB0H18``NT*;|0yI+p8=Qm31izZ!M2WvH{*_w6~?4UO+D zv#M(w2EYk?#8t zttjcn>8`C0X4zDWPfj)kT1&S3T54`zvK$+>`_kr=4AU>(iMV8RRQGMMiKmmZ?XK(C zQ-WX1dw zOv#H2Yn@iu|*{I*BK(#g>4;)Xo&+z ztgWhMYN#?Y^I5%-8fLf+WER0uOLTx{1Inz{O4qgfc3So{L!%K8jW)*(^tJR$7U(XmxgyRD(?Y$w8;U@|KA6v8 z74mcSE+$&BHt~%dEr&Cq(yilT>Xq{8L+Z?3w8d zRt*xZD#`TK_6NCygta!0vTeg`O4uT|Uf194mbBHJ>KMi5uq3XX>}qPV`FRi1ow1P1 z+K`OJb?m!O6&_L@p=VI9)%FIhwnz8uFef2GBOJ<~Wm~veD@d!o=E_+f4QCH))9q1n z3^~^5AlT$E*3TplL|dlzHdfGxQCPm=2-Y3RrfjV{s-GYnotVlvDGv)B3bHL3X34NY z7NxQvmN$F<0NsQVM5U^JrDx`G3bM9ZvIkF}HcO`1(q|{ed-W#CVRgbPyt#?XqJ_hptM=P+n3PNmwt}G!_rvIQ_*Max_e@9RqTR_lunz5trIt*-&u+k z(*FQr+-mh|*esNk(@V4%qN%*gL_tJjI#q&bt#R3ve>VwP@HR*XFbs5P+JF4v49+dB2Mc3-cJezEZ+Rjtu&!B68_ z#DKFpaA9$>xs7WwmZ)-_OqsL8T_Vt}+BUHD(g={D-+NRDqA0Ih-U39h#|w^E(`%wJdn%F(E(#n{y0qrPyV00MIq30nkR3l_1tMxQ z0^o-B_w^^%_ONe-fZJmOXrcR2pe2u(M#hcR+goOgF247w>dww}{JZDlOg3`-D}zF) z!^1(cCzNkKVCA-a)Yw>6g$0>E#qh|)VldcybKK1OYjpr87LX##Pk^^glJcxJR8^U5 zAX`j`66%K7mk*0&Gvqu|{j*9+FCaWu8I zpQ@>`gp+$Zxe2x0KJ+gndrq!^n=O zXC9k*%eVo^@R@g!x}<5OCwZUAtrFvm*vj`wVH|nc7Gfo@n%yPH7Pb>}U2==b!6M} z*1EO9FehlKKnikit0^0OZkY*NeN$CetWYYgEpvUJVb+zEx$TW`p`mc=W% ztv-?3(Kd&$b#tu3hBnEwv~^;51WDA1Bh6vQ23j?uLy1kBzgL zb+HG+9=5j{RHXBH&(&n1r>%NdV8xo&?< zaIrCVb8Adj88Vh@pp4&7l9?4m4fiq&WCKgfG;!}@1a=F=6ma=7jF^*vK z@HFQ;XQ~TPpzR?77d3ATJ8r#b={s`QZLgkuv&~Y7+KX-yks9Y5{{SE7x0VPS(B?f@ za<~yqzw0r}WL@{IjgY^T<~g$2$2^yZKb~jroxZ$#evzXYub9ti=l63t`$pQOa-(w$ zb57mhT4j4kfrbY1C=_RQfcF(`XKXkd%D+SIs($t~KatySOpLcSbkz9rT`*_iK9)Jg zWzy%El)7-%tAD0j266o~*k#Q0*J8$hrkfun(~XiG{+sOF{+;Z(gKeGv00!H6JsWMtKL^{h&%(C;ejB%Q z@Xfck;ro7L_=ex#I=<11KM&k7&&2lZ1!UY2Ei zD$k=JtG>(+pB&MQazo>uhi(ur?Zsbpi{l?}=MQHZYrZ**aZhRHjPn&|mD9-&+Zh|Y z{U5JKw7n1AF|(UJzwWZH7~p8e7#|$PJUMnJ74o!^@lSq2uP$bv3CMpgW{=w{J7z*- z%E57TGB@ssP^PfEwBda5pC8;9sojn(5>S%joP1o_y!;pG) zYU%361&?n-wqiH$|HJ@L5dZ-K1OoyK1O^8M2Lu8G009C600adQ1`;7LK?f5QB2i&+ zfswJn6*8gl79&DZV$l$ClCr|#@fRdBf}$ogHA7Qlb0s!JRD-i1!_xoS00;pC0RcY& zb<{A*o^aSE7sGB34wjLe+o}=64i{daFTxSR-WWfB!auc~GqauH1BFa9Msu3okT_Sv zAB)02wQMn_s_=yHuZ2n4uL%Ct@TcBa?7-nu3Lmzw*@W!aua^ zC8yUP0}A0c2?PE&z`)@<34N#j1_udPNB;n^@GzbdaF_o8XW(HxBH<(d0Ll0m{?Krq z&Q>5}+$sM6+Y$8h3GbXt3~_}{f^jf0rWYsu@iFwr43Yl$pBNak4itaAqs9g)!>SzF zw0MY4BA}CQR*w+^yG2&Xl=z7D%_nrid_;R*maqOod_)SJH0*soA`?)MKl78~AWq5u z0Ms5ZCZ$Nx!Q&jFT}MTT4>R|pjBj$IIyV@)dSDb^Y-!UPMpy~FVj@#PolyS(roY`W zg{co$<{Fe_B|OWLM(Yh>6q(~+`aZIhuQpqqpC@!xD76YTbu>ApCo-+h-Oh|bM5tl8 zhNWiIl@|rU8#cvqiC4qyuJ6y$FA8}!#?P-srfCf^^`Mb%&9J&`^EGis zTNZZqw`@<-=c%)TLV1j4IX4!b1rPOKC=$f9FC`$Gom|Zq~i6qor zmm#HWJGF$#&8na6M6h_Yn-xPW%s!4emXt?NW~bo`cK-la5^WF5&C0fiW?E7~*?sXY zmtR7b)%3Od&Aj4miK=`2MBq{kapWKI3?^+>w-{rUySdeP!Y1F``n*hX$9G?k@i2;=PiUG~iG@C}6`XNj+J;Do&%&O^1iRS(ReLN!(hO0R3j!>h; zy~Xx`K+-r#N=-^ZtD6K{owr3>;~XK&qEeerlxHwYWl0&g+b*N4qA?pSN?=K8Ml)C` z3fEyPNhZgrz?5!NgNIVtRou$6_ivvqhlF7|b1h&>jX@3&rKMo>b4H$j7~sZ1rAkiJ zvy`X|y;im3g9>v~wby3C>{=J?zM94!l$U!Oy7bGo;?$*P=^SY=PZ(| z+-?CkjBM1sM;Nf$T)upQ{r3KG!YE~PH1^taIzlae$l(rE5}1CSD$}`w2^#JB5nPo_ zuLtZf+u4ay6h}s6-q9mZa$AELsf4-yC5^f)yJ_2K!R#rVFFy8rDdepw8@Z{uJ+?8y z(+lJ0ACNX$6QDln?d!dxgO*hGA~{!;VI+=&(hpQp%AUtpn|F#|8m_)}ZJqlfve291 z5VTy&nMksR?r5}Q!2bZ!@R{CilA96HeZFzRNSi~l67wA3lxVNLvs2NqMlIvfg=z9G zIh?k6{X~nTco>~8x53+Tt~r@SNmah;3De>to`s$bTv!?m!2Z_Xo`}H@DU3ZlUO)&J z=N^)so=}cGoDAz~0HI12JI6Z8^o(?+s|iRmZfGg8ukUj`FKAyJ#sLp42*{v|5$_cR z>^>2~3#)dcE5@TLxTN2-^gslr0xYO)3C&{(X)(ncLR%XWB5Iz5;YKEs&=(_}yql`v z_zOZC$+81B)WX>b+Z+=o{hC+)MS4a^#FqL!JDdKR{{VEy22}}ZDW_#n)~Rmy8k4h2 zcf(UsbiNVSH#MRXwQFsc9UZYznWt8De zlb9?^N;tLgd6UlV76Y?nSKOs8g2aOlEUCP@8|4>Hpm)RbRHMPjl}p_Fj&1eLn_W5x z)+sH?3>M|sT9!if9_Z9qp2)(6O%xe{G}_ckkGuMt;{&}VCgjTJQlstxlWEsyd|{^L z7g?A?j2946t0#V{7S<#Z)41KiGcH1IyQ6=)bc&i~&McJ-uvUJz&n#8^Qvf zUsoDOyY_FNZ-imWjWvuVG{nmJ-0(VXr0Mxa4su;wbuHmUt+-prQxr0k6U;W}e;}X(*bz zs!LZ#P`~FAETj7~aVgPCx!b7$Kt&7MQW#=#c__Op=IhdQzjQgAqaiv)OAyp5(rw7i zTO)SMZQ5Joz8%ChM=64mwf#`Gord@Aik)JmS(r@J^0O3+4#)*S6y6(UM#eDC8Kl(c zlEK!6>~448QR*!i#*0moWv65s$z@yhw7Qnz}>8(S8_T5c_#ucEZMA__`$8#%FFr(+7+ z>6o3BH%@}dwwJt2VLJFoOLB_vkD=IwBaAYt@P_csaVa^aRpAWb`r$eGDzxe_Fx72t z6$w@N7+ngo7iCK;z{j#Gp5d2QfrQfQ3@NagbzFWE3#HUTvpCAH*kIFU)6U}6;9yf{ zPdK!%0|G*7;YG9I5|w$x(0z(oz4z|`kVx?m#b#Bk0IEg4w`IT0d&9EoTCyZVjIF&b z0ZIbahMIlnIH`1%9;ikXt1&Lj)YBvfHg`b6)du8wdfGVw%ij`hVqqu!*5M2fg~zCe zC2KXFE?Ll48wC28l%q_|JeC+m%COdSNu?XxOPq z$W)ixI&HG91>&JXVwZ^|Dr6FsT`Esboe_v=36xZgUAv1dAdCCJo`}X&2Igr>sY?=q zm1Y`|rS?U856jdji+)TN)P#aI?E?P*7?z_mSi>x`Zk6QQefvR-H%M-wh7m6|RmNRc zRnE=c5re4T+$Use3M*J%P;Pbz!t^64TBym*xTU2!Cg)}Kiaim@Om4YpmsF;5=CM6G zd<;#{W+ic?pi8RaT;~+u?(H4%il`H8)+Jw%m|9TkvXOrO04T;(X_i}Vc9i;&VgVQF z{&9>bPdA6#o2I;^IMP8?j^3DZi81s=86Hr6*{{>SC={8w+$MPE&m88dS43etY~@0c zFuJV4&8SaN1~7#xr1bRDRf&R9(JB6ML8jHlD0WJAV5zqC?FVE(Az1ob$P)e8S2$_9 zF%>qcD~V;;r$6;B^$iHy7`j5KPI^srhk2{ARj1mFQzKHP(OI97bQOfs1s5HqsjO$IU4yh?v3Dr5Hf(d3*ZlKy* zW>7B4%v4Rcojwq7EkBhO<(LY!jqZ1VePa<|o+a6Knm|gGuHM}~5rEp{^tTv4WoO7n z=*A=rD^b7wCI0D-4>2T#K9t<7^MSmrBo%lQm?i8+`p^1Y$O(-kVyLY|B!``U%o7?jvGr6x1%RYL7RsD9h&q5;E>SaT&R} z0x$TrSMrMXBxN|#QKYD4LP$NTAP*?UxPggz`fFh9USrfPZ&=5*>2@g+$Yk8DNbm;a z{38=rS#Jk8@)dI60X=t*q!Nk3JijouPmQe@La@Sc(q4UIm^s~6j0IV4Zl3CwZ+S-D zMBE<;!PTZ`YV`q_09Hu9M$7ynm0Fj38cnu^3k;*TDAasne!VI5@wp@@UyD9BykdIf zvlxPxDx+d^d_{y~s@$}T6!foZoKL_;Fsn<-VMiZu>&^2UBBfZCMiyN_oeDJX*%AvX zlqpw4V2QUM;Z04easDL6GUZZ~hMuYGXTBL17|G>(4r7;`$Z>6k`_vAdfJX%k8A(~` zb?wjT8MPOMF2V}5v|mjiD@`CBG>lWlC2Muaz^iFgrmegmGZdE?mYjZFgQ7?u=>{=O z+|0dMhUK+UH`k+`aqx^5=NT(BuluU>ff&zBB~kwX#J}A!O~CYAPlco!xeHSxF$ZP6 z-8;--ehz6e?D8pvo>GOWDzW=Jj8gbNqsmk!q$ivu;H2c&Qj;G*Xz~^3nyIXiq~>p@ zH$+ZgIz)yimv*qCW!wjD&_L;m-v?60c1B@t9x25oD!%LQ5sY|0WvLeCWL_(63bwlQ zZ)<}Xi-U@KDC(J_yrm(%ZF_^$4RCoQF^5}nn(@t)D2>^+kqlsII>iJkR$!&$dx5j7 z#wb`aT7H`9OQjB^<|*91}B3m}&IP7hCsr-FroM1Wd|PW#*9iT1Kh| zaU*wpP2hRaLTY+iVAveR8?uk(61Wy>dk(ojD>0Oxv(h)k3kXisrKTQX{w~8#vlJX3 zKR+;9ZSE+fSf0CViY^qZJ5mxnW=9frSAVQKgX^D6jI*x&U^ff=Ju!+fjd8q8)T8d_ zQMOh5^hPzpwbrI2+nj%l3IfNWT@j1$rXZ7|N;x+6bR7u$u+|c;%i)$?oOd%xBbnQ? zxJ0_D&Qsi-OJgcWOex7~9d+uXX#q!}Ry&MTv6Its{EDoFDJNZ3xQkqP#Lgu|%Tnx3 z1zDX?3XO+EP-~4*n^R%D#bjzaK6H=m3SBb_lv76c>4}aIW#u0%Jj%7U_mX3Tslg=`SMH+myB#r z(HfEe0JOg-;O2RxP??oM=OGEddSeFH!;4~i7GPf zVOQNw^utrq{{TjnGJom%X&6SJ%JBORldwh$sIfCnQkA(!&+?2rJjBI9poHwseIibJ zU3ji>l=LUdSeu`f7|o_P@n6Llj&@F7wM%J7Qdi_76--Jp#G!ENT})!RiAHeqj;#I9 z-Vr@8$FQ7s`ego*ChHDSSy?>XxA;aQtTy&0ako9d=DnAS?AQalCU5B4X6?<;{7>f+(oTwMTU>$myETDsLM6sBHoO};dg{bkOV-x#jC z#V)AHsL3JKkO)=In#M7zF)rMs*?S<*L#THB6Tc{p991JFX&u zP?VT?a?&jEX5Bk_VnTLERE(^f86DJK@yaRERiKi^o+sYT)BK{NIVB}jNSkKv8*6yP z6EY0AhbpaRCsdpCMSgNyh++DZMMxt{E3m}O#H;jH-$SmeP2Uy75eY zT~z0qry)VDZ?BD_i7_gaI+{WiYichPNx1|}DAQ7)r44n7ccj^^W@9%TbTGP&G-Bn< zJ;o+rSZixo+R=#BMsl#s{Z?U3x{DNu#j22+GS1cJ6y8l!)*4m5eL{@0>b9FbSkfm8h`yzoQH!WCZ zZb@oWiO>Q!TV!H7RFtMBM9>yd%19dmww-+Km7%T2WyDV0O}do*9ru z!GHV#U$6WrfDNM_>4R!N_|+U5M%^t*!;54NS>-(AcOEv>*)~~kFehce((#X__{yZBoCQ3?q>Y4f%?F_K|zPE-=I6p@r`xQoUNGOs!92bFBQJ9os2W!=O} zFRsBPoArYeL5bW?#k{^@J9@2n#36~Q(`Zwi)#Z$1kp@+^oVr47x1!OBWZIRZ$+DH* zBd41CZ$ zd+q#CoVHiTO)(7uEQ=DbtOvlid4L>D@a>9g%g_FE!P8pAlAN>7NFnfFF)xp&+v%tZWyugbYosXJ$Z%a-4E!ZgFG|a_l?w%G zu8Zkz$i=k^9M&0-lah25qS_6^F25M6Oi29*mg42rb$~Pdkff_!I#0$VTV83HnCn{c+GF->NjQ<`mZO1J{V->xwwLZ3Lx zB1y~Z;4BA*_^8auJBDT0N`=#xO>PeTkcVlh!wQ#ie_L~Jd!%XE6n7*>9GGHZcFlgC zjW)&6IG;Ct^A5{gWLm?vyMPZ^N-9_&;b1cfFm$Q1ih!8&qmd zuhp6`EkU||5}BA-_X$2Wj6I3xT*T7pTE3}jI(EfEcunw=D=yKvK+ui%1J(lM$te3) zitCRzAypYP<5k(JljZ9i7ZLP*U#ykN}WPMYUFMd+*sNW;?Fdg zqErP6sNDYm7shdL<|k9QnK+EJ5^fELR95jC>h%UHJWxhKvvtADPkAwlu{5qDEQXpX z%b4nKskg&OSwKA4mc)}Y^cL{%6gaeMPhq(JaLyxoN|o%ge{E}s_;RD`=!W6L^Z=_P$M5{bnhNo}OWGgC?K~Ka%X*YF5bBcdI>D>jMDX{9g zV}fZZSES|>b7DdGM+y}>mK>=|vr2fR6x{a52Gx3&Bz@%7mvE3RrL~R|6y-0Iw#J~J zNM)!tIiG{B{@>~)3zt%6(N+VS+BfBvjAB&>wS1xk^G8yR#Y-}d3Dd1ob=B^@47!XG7(#d0nLJ+Om@CpaEH}f-x8D8~zy{jK3Ho4qhhY8T z0XH{H0jLUzgdqVXCKR$0ea1b~2!MHp!YJ}$W#Z}BBBt?`Y@}^eDZy*sSfsk^$(V8D zbC=M=VRH`#6Te8{a#i*V^6T51&_@f6H9cKvEUXfg>l_kDKFs6Ikz=Nj!i=Jvm~8d> zMH-7xGJIOo);kWd$=xR^2EB@hrJZbMT5bDK@#l!(_xXY?XO)o-{b?=Ns z6#TlU-)}4I9IgTOf%{(wXAsDeq$n!=u}G;%Dw5l%%WE6ljM=k~g{)$(8LFYzC5DP5 zr_=I)EONy<=5dmK@big!Juu5Oz(5Hp*}5eubcu--IH^D!y85;rZ;hge(wfFa$z|ls zRxP*$-#4YZVu>u&wkcbQe~ir9JGt6;!ls;4DP>y(Vkg)u4kX1gUR`nxsA-#QPsmDr zIQC~3^?87|Xc1SU$T>=SU1_*Ne1?&brOhJ?R0O64m{~mJ-rhHgPB5s{5p_9MV6tMLP-M9vIqc1VR%xBF(ob}7)Wqxb@}Z4;-fRlXB4n>8h1vQyiwLm z6DOp47^E;=s08j8iZ&}&6T>wMn?K`cN)y^suY@Y6JnZqGal%$j`}W5N^|>mQ3R=4; zfR|2+?HWcdWY5fGwe>K=Ps%sPw!z)Mp*D$1t1@*a%gt=<=;(}BUuIpV*;7i_v}_;F z3WUOf&~!S-2V?up$m$+(N0MrXAYGWzOIE$-K^Wn*v}T=7^frIj3ZuN`R5Z?sI^Uo~ zmjyD0SS|Dy6}*FQgj6Vp4Nn?Ns<>; zs^wm4l;zF9s?bodmNRA}e?&1N`zuJ8Z&kQ0 z@r*}?>h+33RPK%=otE3phTiB>6xz78?v4uxG$q^be+pxCaHxOSY2Whx`d}TYR02pK zK|I|cAZ5%p#^V%uJ~{I8#);M|GwI~qUiCtB1!{%ZoY6=iwJ;IU=wk!Ym{W^#WxV$p zvW=$O0P~Di=O$^|dK)ND98HeV%^P7GHI4!wQ;kf?tn)z!z9$lt)NgI@sYxzM97yLx zvr>~7rRir_*?S(y$I>!w(Ro{_;|f(_-x6;n6He37rL`S~*Aav&67-_{)Yik4AI>oj zA!eggl#r5D&=!(<^^A0Me&2Dw{{UfxO7e>|4ZePR;+t2O5;kDx3ew}MG4(}>%uo|6 zVmP$x&_N)NkHRpU4>O2fQqo3zmeY3{h?~O_lC+m55Kdr^NW#>X=c=icS+L1}D91Eu zX$fW;Xz#pJGckx84GwWrpmveA4Q_6gi5%wLe^lD9+qNt9ca=|mT?6MbMxG`x4FRc@ ziqz_Eb|cirM)PE}7G=q?5M<>Rn<*kOE*X$qp_^eADcf#{RFiF9X5Q}3-O(*Zl%JYh zw8YU$l9EhKNzj%kW)h;Cbn0_xlO_4v8dNs@|G+#x|2K@~VnbKo60w>!mP}u93j96w41y^r#OA zoKfXUSK@`gk)AVrSFt?(Hf=MkD^s==TdU%dnRfZPk$djE96wX1Po{Q%QoHQfHBGgQ zBTizfiMbiUp-N(74^7dlY;l6mk^S?*Y~st-S((XgN^%p~6spBOy)Vu8hS3=3Y{~o$ ze)^)GRmT$&c+E2m1t9Tzh}*I;RdK4FLCM!N2^R4Aw|q;~WU+z~O_I@JD88FMv8_7x zU%oLMy1tCeBpKx(e#cK(Zr@%WbRZel?mEIl5M1w1t0V#DQ0o3cKKzb z=i<|tZr5yM!%!45)2-NMP5OP|Xu~h@l!;l8b_+sXX-4pp*7F%qc9@uSCRtI4XOe`e zDd<1EBM3b7yqlwoBwU+~oe(FcJ+7cwQRaH>?1U-e!W%+&B-1aPtc0J3H;_mTVQhO9}?3EOi3rpEAjyJLf?8Cm*^?N5857x=@q*Xb25N>og` z?i4@Fbsb}DN>e1KX|pvq997VC0ABiEUV+yNHX6kttm_jleVv~@Q1(iMs1~^I(kZnD zpYOFv^=e z?kHd382Y0%F;8GRyYv45g;9iAn5xW5zc#fLF`H)4EG^v>j87omFqoS~%VQxLH+?T27)2?KAAWJYYXBwJ!W)GBzdH z#Mp{bg+^W1b*n)WQ_7iF5Ia6-<_NZyp z%PVYSdda0wQ!vV`tkJ=vyrPop>pGR+{USF<3p(Jpf&0z=_=TY$-M#R3LQ&2kFbK88 za99*-QzZZslYd!?J`AMP=_;M5F`YoJ-It6%Ed0F^u!~zI^uJLDz-s}OIIx6`ObU9X zspwNRQpP@m6atu zg-7#%(a-bM^W6;~%OS^9c9O3z$|hY@+`{G@-xxl=Xca=?XN=8ZZtzExBNI(MPUUKA zn6quMD~VGo=;B(}VEAbmhO)QXT%_Y*DLmxd+gzmFb`7ydmZ?zc(z3D+<|8tVwiM+` zqFIVm{vlO8)_94R4?JxISgSXDS7{E@sgKNno^Mv&mWlb>jm{w9Rc;G-X)Tb_U zQiUqVv?e%2ca!3|c{J?X;~Wx(rK&2QQ1?;?alB*7Y^_HSN>N>2L>%9`3`$cQr_W6? zo_YfEU!-q|+&qyiT#~s`cK-k_$e`5bq>zU=%W*2_eyWAM;aFy7w3&LpAGq7c`A5)s zjQn34^QeVjr;VI051SY`8ff!4!Sit+Lgs)<^N*2up25@UlzDlFH7fW63`?!&!wqU; zgF&gzR`{mdAwU~kTXl!eR56C;B`L|KGnnyu+pNQMNy@w2Q+EkT=MmKyicWr;D!Rhk zNMLJtu;?#%yFAO z*JhE0UqrScVq#-Ni~2??snfleW!m>geUu6KMC8i`jJ5;0)IjY#=%UnSWvR=QoJEvL z+&=BSeh|#7%c8jY<;NTF(5FuO!{%>`b?KyKvTQB0Ut8J|oXOS@wYKV9Nz_^nKddMa zgd`r%9}}!rD-$)@w9QXg5vHA_`o{)2eTK4+z5FTt955&hAgliX)NjrbE{m92l6k~N z7s3@ftu5N+Jy}%I8G8DBB9lt`Wjx(xs@0lg01|hod&Ko#8cGm=hQ)w~s!YqvI>U|u z)xTs)oa`Y-coB*);wlXC=^P}HEKpYZ7bZA#|3FyrNpD59!5A*o<$oFvo$c^8EnGcB%wc?G}Oe&Q?51}&#i{* zsObxraXDUZ-a7m*6SGZ9R(Qn=rDL7n>%X=zRGh!jNi;WdENy+dm=dk$&2ODx$ud!E zeAq`MZ#DjQm@-WifzUl7rzVq6mG@Qghu{EXb2&#Q=S(Cw6U(MbeE zoZS4DI+B(Ta*T+&PVG^*!ZB_m3Zkhf)IDMA6zX);<3-tYUuEHVcMv&H6HX+NZ;$k} zDvwmQK*{;}FlF3Ati8Rkndh3Ps-JBXcKr537*tl)>YjL}#Utms7b@>ARXM##R#D?< zZwyyvTT9JLn|~;i!!<|gp3!ZSme;?}L^naGx{^@IJ3cnInDLGcWnYt`shO1JIlTl3 z;e>y(KmJ5{!gA?&!L*qw)f#?HAQ#nZUvS%a;N4P+!tKhXyrn$SI>Fb*0ue0XltzU< zup<-UdWBu*W~H3U0PoNUkxp4w`vfULJ77vO)g9wBGLCW6qxr=B3RyIT)sHnxQBp?i zm$kcf2eKScxyu+Egf=jIn%QxZwJ6`l!_q2P#|~ne>vQvx%i|84VvUcecu4{278lPQ zyEO5PPl_aQtx<=WLV-!ldi~GS%61sV7#3oDxi=|cZEX^c@-~XnHRgan z%9vgwtGd=w-S5^STB}<2%TjcOWpRG?@CRRD)+=cGNXn_QHBK?q4N+?aTWz9IY(DKB zrjj4Z6f%9&#isY~iknplUJ&IIF4#dWfRye}FzMZ_SDv4Cmc+J1hRV}x8lHNvxKfo_fWxx2Wk9%2eyD2isba zn`MRXU|=-;ClF?-=%(BSUN?yxMM9OcPE90)Z1B1H>4ev*tkBRLdi>Q4qfT!alWyBy zDRsI8i+pK)IbFy=zN4Ci>)B|-lMGHa@u9%9x(J@SWcqx$1}yag>HlZ z5&(+Lb-4<(q>HKMsN9~9yYY-?F!aS0_N2CiT-)Pr=*Kl#9x0j~H6K=hgMOZFgQ)bt zS2`|&KoFPI#K3W{vkwGLODTr7EN4gSeWb=0mu$YNnUkQ?%Wr+V;$aLuV95}rB|kqu zHjFF(011~S`v%kLx;uYG>|n*T(gv|o%p_dOPrK1Qlk95x@?i-#gx5m z9DTP(9n}@@u9OWSJ4{n0n^qijIpNzgIyF?L_t8Uny!ZY}@6-~I$F)P#(I)Jn* zfts2}I$=H$Q^KxEVis_|VVzdjcChyaHFnpPE~MMGFdZIdi%ndt&fAY615q3>n_+gx z{nUEHcQ6|+EF^EVVfg_`qf1Dm>?C;aiY*MYIXOQ%ti@_J>POCy(uS>#(0H^d61gi6y^YAdI> z@U^pM>ggR&6m<9{f9f~wOEjiD;^jBza{`_DG=Qm?JkTYZ5T%Yy2L8KE^^`zqQuru#1x z#-?AXP?aXEsthRh+WL3KD1NP2nPqhsE`YZaYkRqDPr^7oWfGKbPTpf>e1i(x0A3BF zP}nzzCz6VE(^ZBS;6CS+Fpe zwv@xI7W)iLDa9=k_vWhpP=<+d2>T)&LW21z)FDbK~-r^YcoDu0$ai|Or<%9VSa$4`NZLS<$uj3GYi z&UE!3v?87vV;`D!RTlDP1AVds6&FL3&lD!tQSNG_Z5+EchV*BoXhnYYOKWXJDNtD3a@V{YEGuqx>zn|SV`v?pQ$-8CFbW1?KDB` z0WreeLQOm$%*+RZgwGd{cwQ;Wnh(*Nl1^r4?Ggp`+hnx>?vOur@tXHB-^dn?D;U}DwD%F_Hud7atIa! zu`xiz64kbZ#IBp|AKBGfanw|mEp=&Qq_r~TFJ zjv1}=Zd`xfPm-ep%~GZ5%3R~9Nd;OfX$LRlL{XJRoUBTTC80`2WpjU4$IL;2(+;WQ zFo~5N6Q_4fIzPNM-wJWi`c~2RHudyFIjwg#n7+0#!h6#6+T9|rG471u_G$UXB*yYJ ziczM@G^CQG>TSB`%*8&Os+~!xDRm3EU_0WCM0TH^P&k)z?@pszM9^XE6uJo^D{|=< z*>bDJBM4#{DvD`s<>L@S(66)C-Dqle09+n(pIwx#_;X zX3>UHeU~k^*+*x0r|A$QX4pF-s;fviFZOymp{!3eNR$&ZNe0_GZLuTF!Qp;qUpYCj5?vE&%4Dw}%_FG=aZ8&r=vn~^`_UzKp zinYUX2j^sP!j3Y9CXSbr`>KkL8=R+2a({da48vei-P$7qV5yum>S_}}mmCE5D3@_u;eP#=gg2EIJ7CVZ(NT4> z05-o&Pht}xI@FTo)JvKtt}*r$n#L678gA{WyQ;)>Y>6x`q)AldrdlR#jJ8i#+BV-| z7)c?T%X5p^(Y#@7J4~a}+f1`|D*;OFv`*3K^Q~lg7q-3;Ly=F?V>Axbgn)WRkldp} zpy4A>EMtU)%u3?ZH%?8-NWPJVfc9ewBHYox)AVeM>1fi|jM`}(kyJ{#3xi#rku!s3 zCxo(Q+&1RuI!L#q91BQQFWET-vhA?Hj8xi8z8?%DV3=@tB|oB%%0)_oiC{m$H@?ie z-Yb=f<>F;iYF4vi>C3zu8~Axf2}nenfhSDY*$#W(plJm_G$Nx%lXhv@rqh|lD4P$$ zq2Z)b>5^%YLYlfo`g=rTX+iC-OE0cfr}_EBvr-6js$O3qx81jNQ;+_f!Tc%m5dC^l z6UwF~1xtyko=eT-MBk#(j$AI$C7dYB&e`1@Fes*rCV%e_-BcO5Oiw~YXU)VeT6c{KOvarSjKP^Sht<4%_{JnC_U$bRBT{`fM=6ynEPbMwULvGWxJ9U% zn>Q<8HGg+Vsxa5KoaF&2T8Q@n8dzHWN(asoIimY|plyjIrHbZoK5q=v8dXvDzSsbt z^F}h8X;sBC#+DnllbY7e(2e8dFi8vx(kaScsXLQ^L)pR7`MMkuGhO{Vfmk1=h>QJ{~5l^Q))Ve`@o|vnKn69aXn~U@Mrp|gl* z)^_uJqD!49lBnSj4`s9Z-jTyE{2E9P`oF@crbyK1(%`28a*LaEM+YufrK)PC)(Ojy zl!Mf(800d%cPI!Mx_82xN!tr>G}dImWM)QwgXs+~LWO;wkr_9`^yyirlQA%hsXGru zV+qQ`3zMc%90+mj!snqWyjCc4bhlcoR^80v)GyVbR#KiF9rUqk_TW>%D@Pyf* zkegaIn?@k5O;YBaewdu=P4x7S?LQM!s*X-Lz|P=J?mq6#BBAvYk*x_#u(UE~(M57@qlPIz2zSyI%>-7pSVR==_ z)o8~qzA-l?Q>DZUD0OVV?#3N8SvFitX;MK^BHQo2FlABty(^BIzF@SZ^L76fB(>S!zn)tKhn++&7M_*97h0K5DO zj3bC8@YBw?;xp$+5kbYWwY+62W!uTjT21=(^!*2_IU=Q|lbG{Pzvljsvu6-HeIdzn zFz!LHiz~7iN}6mk;ng~RjuY__qT)((jcq~}e``-j#2B`35z8W8ZLx4~aoK30)7_q` zGSUuPoxL0VB863zs?%DSVc@f5=C|IoPgG>-ta6E`f(J=G@rG8+_BER;UCq&u>yz^Ah204j(_q`<=?j}>IzN>?7v68aF!D-ij17I!<+=u@HW&nNjnR9L(Ni{% zb6wpXQ9Ulud+X3U&yj|z^Nh9{ZO&?c7xIkCT@#IOLYF#kv1&CV;0nmLbcQGb0i+SC~S-*mCZ=B{&RGputC@eKB6#^ z6sc!ajWr%hjwyM4{{WtE=K(KUQ}fRJqZG`#<$7@|KWR|Ka$<=^OKlwzH0?V1>)jNd z6y*~nl+=y1o5S<3RqCdxEZ;fOEINkM@QO|(ldC(#vV*E`-FtdP8ve|cKY>0_ z@CB76X4*n!AB7M#|&9jPmxN`{KNZ(1YwE8Azzc5QTJ3Y z-=tpp!V$_!ihjW!F!IF1sJ6s;!^oe^XA+^6H`Br!bTYm>cKDegn@uSD-=l>&<6h69FMS*9NgjsCzb|#Y7`F7It*QIMQZS^?L;zoeH-!YpCA}#xpe+nibEk zX*%WEy3xUfU8=?_oStRAEddRTyL3*Gj&TJxk5qAUYq44mUl^sC%GBZ}CbdgjI@R;3 z?1}<%(%3qCqsj)~&@K8EYZytqRKYSX3mu-3BT4p*){cdw8yjvqY4L%D?2FuScDGzg z%ebn&MuhPCtJo^rEwK7S_$GYUT$rk-B)T06ZfS zDHQtD)a1E5;EcT@={k6i2*M0WxrW$XO#_Tlb?yF# z;i%c`@QM`WVyKloZlE;MF@_s*Ql~LA>aE6IL)QNQ7_ATeDYX8t?!`Jqbs4cF$i4n= z6gt$E3Sm?8ZIV624d4x@zA#~8dUaJ90cP?9j@;`u_=u-)lP@PG%&M6rp&)JO5a$XK zYe_=okBl*l=q#VAlDB+T@oQD7G*g*pAW6J%$_FBlN#5c8!y07(LjhTWle#DsFKbX` z=UCLKKN!PRNt$}*DD@R)bp-d^`d$(&BaUp#IF9dti(WC6P48wVlOlex%{w|F6RVM> zE@q>(q@3Nu)+>pdMOe+$_Pfvhtzl{oSwb0gqRN?2*GNJY#&Jo7B`w)YjtgtF{5@NK zVy5OqDZh=yqlC)Dy?YUIxfe4w5|BM&g~ldTlF8=U-=BWiqGe4vq=UP6WL`SIMfZM< z29Z~{vz=A9boE6C8c!P2a@uN{#QZ zWw_IhJQF*p^THYU=N=}o8(Ju3VtP^m3?Wbo{VXRS1rAs$2n#;BCy77(b zl9jre1g@kfDLbvSp95&bOG9!6qH`u#(>!N-YN76C1S;g z&=iuBrtQ%!D=#PtB-;p0_eFBCGb4*wrnWhY%khNE1vs865Wr*V*Q^Al+6dv7F_)(S z_tpEbol&Ofz;vcum{nSL{3eF~06xLKI5?0p)bd+7m|B)o)SKxO6q!$NuB4I93CkM= z;bpW*DeS*GK-mkWO1)QRq`j#Y>iH4EWQ5|d{Liy?TIa7RxgFv$19bdHggvg>(v>&P zw*1FM3;0{GK+F_V2|{m@4YOrLInHxD9QTBSc&ALiB<D>>-7mVASGPYi*K;h{BIaa zqf(fnGccEJp5O!0oxVF_r%(*ezPFyvQfzk}yDjSzqlunoc^>MtKc9H`#Xg+Fv}H_` z{go>B-ac;^aPRnts!j6g!UmjGI^n;95H4hE-XbZNBoqb`qiiF)LaO;rFDI zqVK2bs@aFaC^d>imJDisSwJ?Fi+F4C5lo(!s!1YxRaXnv2#LC`Clw{igdQtBy0Yfm z7SblB8IzV&+{&z!YGSEXqEv8ulk*C@Dr}N^h|}k?6H}NnPSKlPnNqWOY=RT2wnhoy z@{5Tu^EDZZ=RJL7dPQ=bgK*_l+C4&D#TM82*o zwzivYOUNf z@r)@d^!<5>c8!VI58*n5%!;JmaYU5uzc%rM=?zfu^yM;ZRpwGpTyS#4yrvzUxc8Rg ze61LH4~#&RN+UP;>91+ z)E}Fe8C0@KiZkMROv$^n59oW3oH;vHVWmg~l&0q6cI(ABGHKje&pCJa1NnSoVxxok z*?M(&PEPxYNx#A>wW;(vRl^iRCItvmZ6{8w0cNm||6 z93M~2Psq6Q>bd5?*&H%zifFd={^*3IAqiRE76n>G8QPU)hA_$;`mEW%IHO{A@MU1q z%CpL@#B`?r06N61+_dz#nU!8-m~u@X&Qh~;GRu{7 z)%UIG7>0VGMVFbvS3E&g(|@`)cR)$ksvNq23XTT>P8DGG{_&0!Sz7Vr+U@szgm5z2 zo1-`m^`9Xau8lcS#jU$0cHaL0J#jg~!I*W&0@a+0+jZif!PMU;QJ+~(x?5P7!n1U_ zl!2-;n-jd38ifpT7-pC)t1>^&l=j_3mN6-18GYP-X;f^^6XV1O)MQOljbITi> zU+}`~bu~#Ym>)b+wus>TwAL$^Q-;8Ak7`M#R5VrBeb$P7YF~(~EVwy~US7TN!OdNR zhiVFRgxIKo(nt}9q-#}4=4a`m-1jbeGi%`(@xn11!#-jXl#|vHB2_A!lQO5@Z=9aZ z)#RPBOm{iM(!wH)^a)aM!#1&ju zS&`+{1s)>$ZcIr`E>dHaG;E~ojD1m*s>@1F6L%Ibr`b(_%74vs3Q))bM%VzV){X^b zrT&h#nS-$^Kk6}yFypm4)3c~-o7>7bFQbVm7npoywa&2SMPe4-Xxrz~3+y=3THYd@ z>uj=C=FNQL9DQW7LA7-EL+{Kol91^?ItX&5$!LMu-4wCS={x|GM*boljuSVdN0 zOH(06{{YhPnw3POM^DYaGZm`EXiF&})0JG+cl_JB8lua+PMWC)PFBs6rrrAVMifFF zudveo)yKjyCn;)-+9h0470gKl>oUwM!%R`w9;l%_F|Vynwovq zq~-Y5Rb_Nq`#nT1p2?dszRx9BBX03;&L$;gC1p7InH42Hu;k@6QmdDgWTdLw`XY%# zVz-IRjNS5)e}IfngC(d_Or}a>EyeYWDL`%7!c>`%RkT~CCMan3isfg%v+C{*ti{n8hqT>qNtYSJ_&S{)oTJ>pYsmLyz$`Ikc-TK^rEuEqQ z=}zJ9CT;A>%XIz|ZGiMdt4c2BTqa;GOBS%by38phFBcB)pUc84E;8ht!Uoa&qKi?d zOJUlKoYRCY%Vh)S#xNBry0#-ktJf9;+-}(l!YmrfBqrQa(U&mScsfT26jV|uXql97 z^V|tK^y*?q1Jj5yK%oZ9E=_ zKZX)C)(wEt5^WZ+xrth(F&ItiQ@Oa+s^g$+{&7{oNSC5FR;BG|U3cH0H%uNn>*P4t{eDdns&_EDg`%5?q?wfZmj%X#D|Lw}r2^kL3xn`6R;s3`-HUljN%``J z)El8H;UOGeoj&LP0O}=@65ZjvGGCRGQ9sB`up?{uUlO!?P)wrcEtL zDOk8S=^YS(ePe?eu_=gNnRPd_XJ_js6^djVt2I%ZPF*tFr2bLDC3;G)L~347ZyV*e z&MEk&ki?s5Q=1d7b(7}?yDP|joDzA!c57(GA@hPM>nQ;y-MVJ;5YGhjPD-Geur2}F z(jiIS<9oK4TG+KZKVYm_SfF!b|M%&KMGi2(S< zJgZDnu+nBm@|?X#Y;a#V{Oqj6%*ncylgPl?94SezqgAF!H?>@6@WOaHtNe%@8&z|2 zpzv!^+;+lvGpLca6Ty`5+F_bybkba;Kd30k55vkoviaiK8RPpds5@l7y$4(?f|<}t zQgrQs!9?ZrTps@bz2=i28JT;1{ljxnK zKb5kCX_$>|7-J6Ns&z#V)nCkj4wveTA5VNLc@qBekZl5ki$J#+d}6Z1^qn?Gm7aP^ zukeW=?1bUu$0&1WQTfso;v|ga~6JR^FiMn+y*)>Wp zHyVb*^KRLDcxeqJrLYwev$Wnw+}2@`CsW}PhJiFsDhMYs^Iq5g027<#45Ct#H9d&d z0>f@8Ee*wF?d(X_C1i2*)UVQpl%h86-PE3lmVFaWvx{X97PX!&V1Lv*`v}%5)moxz zvglzjlXr(L!`5fk-_?6pbu+eNIUZtIG5TBz)#>yIRRpLc#_Lh*(oT#=_<$RgIa zObU2Y5;Vz!?SvlbmrZgM;HLnJ$vI8VIxhJ zN@;Cax^nuRy^&Fpny1Wid9>K*d}D$;dp+a&p0FbsIhPsHzs=@|)(o`8?U2V1^{cObK^QtRXD8Q=Z%H9Fg&THb*9VH_rIvt`U2PTS{-7essK6D)Y1~Uq0l5$_a4a zuUpzMG{cj4Wr>CTyywMaz(v*0*$0}k1UmaHsIBzeSoy_HxbkXTp&O6LiWy>VuOi|P z+5~*|Y1$&FhoteOrDa;Q>bSqvBSGV+juEj<6%Hnf;kwd6CfBz6cEwV(LXo(+<}nYZ9PH@@uihdXsxkUkxZ%sCvA*XTalHOQ_P)zk%`KriV%dn-OaBU zqM({{H|-!83BwR8|&+ahXScvBEuCQJHCHT+|gSFdJ;7k?RIdDS~I2}tucj12z(6NphxckC+T^>+UNKN#UM zyDLkeBA7qMaD@-TLCt>{cC$>IywyTqGm6@8wDiE5s87(|lx38JAt5Tc)PjJk_a{RF zn@dpzK|i+&q|+3uW0y7cSIfz%}+KUm^PSM&uj17 z5)&>ies*!h<#B0QI*rNnu#6DvSf>$98i?ZpKm)kP2-t3!OQf|mF4k44#Oo4xCWP#; zbhGcLo$x+!QIMv!hbEm%KUkLym2b104BO`*EgDdkzYgr4|nxKN8U_&xD8Rb}I69tBF%#xX)yN}W`;dxap86=}U$7yAMw z7)0}naq@DcMhm7(PEpu0Yq3zZ`!w{95is1c(#g+dl~|LrDpE=EioG?;M8YN9HzWmk z#{@dl-~Rw7tR^wavf}Dh`ag{j%FAm?#^^H|o@m$eye@dFT%r{;RE{pg`aqj-0Fh`+ z!q7D7zkG71h$8!8Zz)GHFlL`R=7lLr(OaX0JEIv)EDeDt{USInbN!xS2_Iy9Ok<}9 z(#f%ZwHy#7El|lo_m8Y_n(7mY1;^R`BNSIzGWKym3+sNpns4;9RZ#6ZWs1a?ywL#m zeCZg1fi+T)MN*@3mn?2Ji}gUmH1^1FEq}8UQj-RP9%Z70tt2elQ_RQ})ueqGkWRqWXI9TdC^LJZ)lUnoi^Eb@>DLQ<5QERFZ-^vkUA192=CS^ zxOu5sg=TFpSTCA7ipWtO7mg068N+pHgwBn&0x|7cVzW(dNv2dv7B_B&adfP_xl@gl zgaLc^4>(e$XJj9z7i`muDz&a{n&V#Bm&0;gYIw(uyCJGYJCin^C};-gT@IGE%1bHm zj1xeVZlLm=P;*X>xK%2iG~$F~_S&MoAyAP{QWQ^ZtYWu`7l|rMn#+|bY=IR!0>V@G z1XE}E30jt%!0wDEj%bo}q)Q>d($VH)9OJ18)XRwj#uO-%&_PKAd}6CnnypSH>5E(d zBZ8TAF(mL;LOozcKQ_hG%XEMJiGZymFy3P_V>)_cjX>W8*8cQysQb{Tn*!v=D`MXG zgw4utaCpZF&b00;aImZMQ{@~RJ0UBDr55t-r>Tr#K&{T=dC94E3Xr8}L~vr!q|g%G zPUuz6oA$>F1brs@zq{&TN;{&FG}Qe%&u!SRL-WxXlCr5BBQGfBmZtJ&9Tx{I3{NhT zaWC;O?lzTN)5#+3mO$EetAkwiY%o-5EOa^{xOZ2Zk1eWRzbyaWho?PCu#hn8%s)4rKD9!aYKv* zkW_lVoL40&QutOWPkgDl ztKR#zSopjKFl8!2p3O-rD+|)sfrKTPp{BOW%0Gtvc8P2pnVMOu zA>~*CZGMRMTO(rJhGf*6aaaEUH>56uKI6IUHS30O^+Mo~JY(q9Jx!|8;1kXMeej$$ zPk61PDU$hx`YmLS8{QF2r3o`G^I+vkzjmIHiKQi}^_Qh&#gdzO4PsA4JNk<9iJXc{ zZMZg|YuSG|;Gh2hbX#SRuSjWmh$nnwd1b2~$xNrAzcUOZI8&HIY0C1%0iaaezswh_j*POPZx$NGcPs9r0I}!+iY-s zFvP3#a}!#lC>d>h#GM3S=bA<)Ge?-(LWvz+5I?rt-y7bLJ4GL0|Zk*nBv|$S9n8dCoWdMZSix0#-HHW4tui}sI zCz_&r&9CRDY*$~BpTwCPR?L9u-58n1=GQFa2_Y#3HqiU3^@{s!oINHQY^K|YA3xS5 z#IeE!ytd~H>9pK>c|@6EtUEETg8FoI!;7XVqje2pX~*Z?5;E)Nqkiaai%`lww0Of* zs*a08gT^_2FVymZ&KBArPU6Q9q`2=v+q`UvY*SKlSqgD}=_y}+!x)u^CYttv_qY7@ z9XulkQ>5q9IH|Gjq*~p3Vu6Gyiw(}8Y7>^nW|3KCtQT1JRr`{7>kf`ZnZir%mi8E$ySolMDTM?-L0EKSx6SNw&DYU;n01A#1RHpF@ z4j|Zu$L|=c)Z~|f*p^GFB;)}j-UT zBBwK{(!g{Bdq)TeshX=TPPCM!*G^sc)c4*ooSf|CGEud-3Q|*;0b_iYjwy_pT3cqQGF)V`^AJ_pm zaaZj3?-+Lx%F<{vRQHfdj%>Erb0;r5vut5%`xF#9nU*-jxKsX{k@2)(d6wmC=C?A7 zr8n_c$%Nt=V~qW_Q)ZH*Vb!j`8^d*3TD?rxTSb$98(Y#b66M>QZdZ}yur}+~{=XQj z)TO7Snq)R~>DV;3kZ}~V)FDJI<#j$99ljAWh9@Sl&$L>2ru#=qc7Ir?P~Q3{DJJ*X zRr6bM2E*s7CFEX_N+l$9b;IQh(dH7uRHLo7Z;JGqOsf8M5Zc%7-X&&UY?Xtu6ze6_ zO@GUHSzSlxSeGh(Ta!L6QO!Mn)OO|t^CYYCVA#82wzR1Gz)s`tq4mp_HSjMf) zsHc=~8b=4nTM}){x-3IuL;CBAeT1U{KXiFS$^(+iP3>_Kw90!ZE*DDANmkCd#LjYz zFDUm)zc|M<)+)H6EKNJbr7Y$rs3^qN62r2oL$A**A!de> zWLcWZ#YH*OZ7rxVTB0tiG~0DLnscXd^ooTdan{t{uQ27kdvCLBs6Vc zc4@LEct27a~2lG$78q<+*n!OdKxD5*P7+JnK)Axet* zr9Z6$gX;NKt&3^)ggJt15|qA4B#>0DzaPpE2UwV>1T+tLiY61SNJ!;VeCwSr*%eBD zA+OHfd63^1pf}xrIF+E(_@AstjiRT8YiXNmZc&?*{Qm$bLTaz!uVgmSN&Xw($8=WR ztF-!M_|uo8pp6V+DSkH*36*L^k~*~4#yK>hY&(=^iS@FFpHa(TRiWt$ikWZPvjvlJ zomTOQet8LrB8S72)ofm={bBj+i7C9H53KvV#s2^by&_R{R+L|)HJs^~ejnt0B7-?o zGNo&mu-CLkD_p{-nd8NVmiR&toPlaZjlOn8F5b?UU*S)bJuxYMNb0paqH&rHGz3hy zXvPbccMY)Qpt5s%7@Vhyw+LvbpSH!n)MFUo$!WSWCEWtyXt!55CM$F{6Ng=uZ~zjY z3ka0Mtg}KC_W;UMuU*II7)FTPy#=zA=)Z`JA%`WUIaAW<7A`1w7@|;Rn#9xYFrAru zvug(rO8q(GP?4CUG3^G|iuN6pnZ%U$ibi8`+igT+Dg>n3WnFn7DJw|sJH8Q&@a&`b zaY=aqadsoR(L07E9>S}UTVpOyOWrW%7?V~OZdFZ!m8V|2#t6eQlC-BRka^0#jiU-- zSt%-%{{R$=*;eckBZg#U@nig2M$EuiZ=N$@&Fe+x?0XCDTDlUm1$` z^hO(?woB${X39!OV2nS6(I;P=%%Y^E=n3i86GBA3BILnGBCfk%vq-MtP;nHZ*-;5| zXum{aDmj!(4$3Htr~|B3@bZ%?!_wLK4P& zTKmNNsZ2?MJ1d%CUdA!&X-x?^e(?2-MLhL47C%2N@3$>++@(e*;ZeG^HdacQ4q&9I zSKXIec*S#sHfHdOm}N(da9ds1+Y+21u`Pvc;I%&}=qg%lk=A0FjAYxvoV$z~BWC;CWN>qerM``E(+&%ybFbAH4-qA9 z%`RnDDkWO)ln z?b)J?S80CEPc0i&a7T1YOR>pNoV$c_Ic%KNMlWR&`5R?E^3r1!aqFy(P~uc8v= zO2$)EieDjR0PV5rKhId3#4E)zoPp-Dldbwly}Zm}Ik{G4-EwNdeAJu%9fm1&no5!w zWx!3N6;3lTQDvER>Ycv`Y_r6DQf=^x0nI~{QTvJViJ2MpaT%XsH*G)z51HvHekz`f z=fW|1=3K)8Q)$Yq!YZ`oRq?a*@%H7w{{T^pOTpD8GBUGN$zX>NKCh(lCArk_ebHZ0E9yJR=X_ITkC^ zZ93j$wsh~-1_Y2sFf6INw`}(KTgEG#A4nq;%9asiJG6F2GQbi%bfny(GTlKWc}6VY z{+OBoenq^hZr$U2RQNTfeHAH}MVvs*e>kLYZA_ZN!75MIEMENvFn$(mBtJd#wa zj>|?C;Pyz*P4e{LB8qg1cL$QG#P3Q>+@ZZkVc8R09Lu+h6DxDXtY#fOW5zK@2TTgY zpC%`ZcTIN~##|jSFDk^vJx13FP(6F&5b$oqz8Xu?YdMr`B`zY_wG<2$iWKMTC-9&OL%Dc(Pum`%E@4L5vVr0~BCUyLV&qtZ;XU6FG;9t+h(?j2Veqot>$z0^^KTz5~)Jc$JqiwCuWd%9M`#MMn{^G3=#1 zJCJYUBN$>Cd3vQXTYA=fqaI=zSt?Uxi)LB}#9lEic3xKqKQ`dEGtML{Ql||fX+uo6 zzjR=V#I)8QL;Hw~8&zTVCl;}$bLkXH=Wdg$}zfNz;@khpwzbKP3(Q!uRH6ppmb`qwMw@!$sVyszILygmAl0e&G6x>&d=N&S%nRFFfTP7s& zUL#ERBA1m%8+#BFuUt%HI@GlHCo&03*XY++oW=FYNz2t_P?t7~jbgEjF#|5N>R>%4H_*%{wc~+o`?b%yPqZBRe@wv)v@?6%2VHH8PpX ztuW_K!c0}MjI~x#sj8JUmWF_nrQ&BENmL{gB|jjgGCK5u!VM_WjWdz2irKa)*z%E1 zl2tmLD6oUL9+;uxsyRk#Ybs6jI{faBZ_%hP8jBL@%yd7_6O8b}YTnx7+~Z7CafTeI zRMAzLOPSHLsw)`J4B`UPstI%I^%jb*G{be-G*ae^nM5NU(2Y41w79&f^o$EomG(nZ zZYxC`&u@}nlvt&|iGq)lTQbw$Z=?A3#JHp{e;XnP;hotIp? zo)%Y8?}}AAn>8oORM^bmxL3#FZ;Vms@-&$gNy_tpzP2O}_V3Q#n+|FIO6_DZ=6LzRyU+9I9g%FO_{#vm|zCEPZ1h8P9F9%*r)N z2E99V@r-V%flj8%%&aV?;YaaUX%&X2SilWS%{iN#gVqvtOjDbhX8}%^T)I{+gzo?w zE8`d$Q>mj)OdyUdr9cDsf^X#<8YTW#US!!L-oE?n9x;Vls5^@kWh@jHqOQy8BCOp( z{B*G-EbkaC;rT{AOY6k~$+GN=eD=o(=$Im$-0FGxP5RF^{{TI4jahuqsqf4TgmZF( z&G&D9*gUbW4%Q|b=JRr%tBrho;|ro;I6|UU*e&H%yKS$bjt&z404ta%YcqL8`)#Cf zdS;P`l%`Q|Zmq2+O6kxU^%MT%6R@vXqjAWEZfC z-W;Nu9IVR?7M6DDw@v>52u%WxtRu&9SzJj2HMKEI!?Z=v9eKlbfT6Rz7{aVMEFDrN z74`<5XUZlK$6|STTB7r|rioBJSJo(WX)j_eNlyN6P|a(6BD+DQCHEHka<);kujIp0 zvhCqsR?>O-wN>>R?i~=dEo;?Rv|$4%-B*pBwp5M%(TW|GdG?%_0>wAetean~2xf9= z%4iI@LYe*2eGF5vV%lLjCh`rrZQ~ptzNxHRF7(Q;%wFEJA5?&*&iKY?4r6&FZaF`C z4BVYOp@OlPYI}Mi`LQtn05IJ)(h}z593-p#s&tRK-|4I+gklmYd278|ac#NHrw za!AQ38$t){Y>FtSeGQm>*E#484$R-4k$_Ot-6nOiyt^-L4XxAB7#Rdi%&E77WG2~; z>!@Dcc8okf+Sfxb0#n8irEK42dcl{zJ40^2#@$Hgbm$`(DfHq7)iW?0Dz?pu*JNX6 zrKQ6yu`(P{acUrLy|(y9GEe&xDzs>?tITb;LziBtZJDFN=NQ-q=vO;<9AM`6#s^H( zxK5(B&<_{xlhc;U{{T#ISjQ=LY%0Yd1Fzl&>5L7^jw_iBk;VYN%jO@}8xu(38p~bP zpZIoqMmKTPVzfB5i;#}Nujd&3Q}Zs#y*pv=WwydOy7$ICZ7k7b&S9G4J-+rqZ-h>l zKeFbH#>Dt%+2s_5>I%YdOfvdXfE~Cy^bx_sGtWvqxkXzllYYx8Faz@rRHm6>RyGFx z9PxjIO3%47j31F`UCX#O^(rbg1BbdTbPsP!3zr7ljJ=r(V(U`J+n$;nxj=Hkd6aFyvC zCFVGuWTb9y$i-6<&Q;|alX0+~FL>TSwajKvR23{-4Up6Cu!F@Yi~M5?PtoM~_0|$d z=GYF9Zxk82SJHh+>x$%r!KS!CX%PN^-ga8yq`yIX! zi|Vv0i;W_N(M^iUJvX#ZR;n{p1_8Knwyxl4mz7?qx#mvvKYI8w8;jk?1VvrWu$)U4;d@4oQ#+tVv9%qudw z;rfKs+8brnl>}_+iry)jt;n$^^IXbxpdUF2lA4 zn#6?f8i1mEVp|eVNy~C3S7cuHzWqNat=DF%2vhSb=UU%BFwMt09&xoBBS_C{-ZR`< z7;P@Ol}6Ef7|b(WQ9;GBw5cZLotMp&?dse6!XC$x(={fh-ea1SSdP1ed4UIn30@&7 zLqsV$j@uuHL~wUFnYo&T!bO6V4~}C1#v|bUiuF--6-=oafCLL}gz!-&f&TWd0Uy~^ zpwLX80SAJ~U_ahZgfD{T%CmB>^uge*3fVRAgTYX>`A@<>vb7yV!0>~?OSk_3c^(Eo zvYDOJ3Ggs@DVn$V=2J{ITLA`!cVmUL<&k{>`xx zb>coE2M0KbCo)+0i2lzp7Hr&{_=q?I5nkq4$IvSJMY$=3V9ZQd3~>s#M12RZX?aya z!Bz490LE8@F@>=Vzq>522=*2s0^F5e5cVCeyo`&MUxa-XtpWb-zXSO@$Cco_OM zRo=?H3>-OCPS#c6V9@GGI<&6?9><*7koXuhiLtdOz{j&D(k+nq7)>@~p!hG009630|XHT5+M^YK@=iUVR5m+ z@CFq!LV=N?(IZmf2M}Vy@gy^Hf|9Z&G(*xBCN)!Iq97MkbK>&<+5iXv0s#R(0jQW# zK_yGIYemrXjOs)uUbHS#APlJDjlQ6-Sw?-*y=2E}V!dL_z>&Ee zO`Gh!X&>7@RBCP_J22-+JZr;o0tnB?n6Nj5f8`tZ9M1WHAa|#mm+d!23zKg)#Th zucddsjdeA>waZ{W`W2M_0G%4Rzc@mwFU+}2Uzu{4#+QBk_KXEQ!fII3D%XRG9y>~$LTCsRn;?nGtdzk;l%nv$ebI!=$W#3^cM9@xyc z&CshTs%W8P=3D0IQ&Ln#LfY-F^x8U?P@4UwgNGGMNmW%$`j*M;tUi{n5X>Wz)>Ked zR?cCHOA*qdhO%njKp(C9l#o_MTgXer^z7AELsv&If=6mqWi@318)pqwT_tTTJ1QRG z&PJNKv|_Z8l}ArqB^j)3vV})YTUisOiq>kRinh3x!NF6qvZAAtL^TLUS<3kxxyoi$ z!%``pIm)Iwx<`oTZHZMeR?;*+p_aOmD%c7xyv+D z8j5Q=XsvY{Y2ZEt|Zp7rNexaq#?Y66A$+6l@XwDyub z6l{r}j=jL@@4CWbjhIbDx730@i_ys1UnNHCY4cbwCv91%l>0w$6)R(QHuE9S5`Idw zLs5+elcR|6Siz2LL77g34)u?0{{RJ%9R^4SSP|+k^%<=t{=j{hA@ef}PSg z31K7=&9~~Yry=kK8?pxLGqMkqaTyL=P<8^xr1;i&^sgEq&gvP;R9PBn< zhj>^t&1+?D&i$Ls+^8xero^O``>y3rBvm+(n2ip4qM-UYQg(n#H&ZQ6gBDm!w?og**pXry=iS*7vYcAo`M=DMklYcl9GSglkQ1~U%f ze+4~65>vI2i#q!Jl+|>`ft1a?^z2Yp#M~gm#NA=jL9l!iI@;f;=&*X2pxW(9p`Hk9 zcCzl(Ve>QA+g2MlRadfZDhm#m3c6_DP}v&%-*t+~3xjq}zNGgmxnktajgQpj?oJlL z_J1F$h0;TYJdMuWoa4n*R#a6ClN4&|9T?D#OOOEGwN(Zo zTI-g81^PIlh1H$SQN@V9myqPs^}2#QMhbUPwVUttQN@T+w`T)X6!?uB+*-|Csp+vO zYB@$t_fMw8%}*{Y)pC4Jck+pwxjzrBk%6IzVb!iS$hi$+voa>q)ede{Xln0lib^`R zZ(&A~sk_)zG}Uno&S6s1R2@SK8&^ITRCQ|g1RAS+HUT}T@^+q1k`$JFWINvR|jGZlhxwR;pgC_Jg(Hx3pt<; z>-|(hLx;mjH?{`7ztKQuriYN#4t3Ayn%U_lm6Ph%+TB9hsEtJ(L48iFbAGq`D^yd| z$5M5Voqq)=sB5FEfSkF7$MEkeu+J?#l{5s6*6qENu6;yrl9Z8Pes(H=m-CrlqvN1Iybx1nM6HM7Re zqsYIt=dn=MS5917>vOH};8Sa=4NR?rK;7MaC}K73uWdE-176i8E0VG}M!hPcxwvqu zueHN&eyalXv)58dPaI{WH#WBFSXZR9*u`V0q24;Zp~QuQ3)6gl%9esS=a#37jnSZF z)yA>?7FARYtg4Pzdy2k=wXKd04LA8J+9pp%Xqq>s(Xu)tOa}`oX$=?PUvh}Gux8ob z!s?#7ntCBn=tE7_JwzCb2!*+Fn|7%NS6Oko#sT$v&q*EOVpCJU4QzAojm`SCzp8-J z)L}27c+?Pn991NgucfHNLNxQ(u-Ku5IgZ>x?crsFM-3%N zbi(5L_9)$p*|z*s^Eu~I(R-xc0l4t9P8iUtC>$cOl_>}pnO7^l=D)@eF(qk zoeey=cFF$OKVYGaoQ_I)2B&vc^Ww1hqm71|eQ)|IDB*&uE6u~c%8m|6T<1L9x3a6J ziVB^yXPeQ`iy|17(l>K%r>CcE;zsoak1?&ou^Vs)yDjyHsU;SDHAgp{Vf0U^bE~C-V`c_|J5KdIL!zpRCuqVA&t+e4xsUByKI~}P5;iALlHF2_cZ|FU$I+sf`;&HKK zkH@VUZ&>O=>ssF)uY#Jb(bh7F#=-5q{5~qGsno8H=GpE$I{Xy!$xBQp94tO|{;BVh zo|)6;_C?R0wF_mas1*%&4A<&V$u=VRW{ck4)Qs}hxQ3r)*?v7g6;(X1mGpb|cE80k zaSdEl5}TW5{S^3{AgG2JBW`I9p9JnsWRbElq(6Q5sA(uDWG;r0tZ^38qeM9kG>(!e z8ppeiaZ$E zA(%lUwQ&07Kcd5^DdNN!CU>@VzgZuu6cN)^8Kia2WAfY4&GPd6kJXSA3cT>DOOCO+zUnM*)XJ2}rn4Be@Adck9NhHFY+wQl=RfbZy(ajm(WAf2pl;4k%QErct!)dm7 zhz}gyK6g%lvk-oq(xjq%IGC4yz6sO@TFtrE-%ZKQY&e!z?hf%rU@-JGkIRe zX>xj$VcD#s!(TCUm$jE5+6TTvV{H+kl3ALVHSk_!14 z9h%xES4L<#NR7I1`Y7ugQwG~0=I~6GI>1S2vSjV4J3OE?i~N(H3#1V-qg#)XbdWHJ zg9iH}JQRm!){S`m6Qza+-SGqDBP0xuYUTn+LG--Zg20&CVm7W|O%(G-e1k>(o~@kL z+lp*-^8*uE^-%IB)pBOC#C7PL$_S=pZ_tg}so|!L!LBwt_o*rA7(X9N^`{IzD1~F& z^-;E+kZo*D^;HycPdla8UZp`u_SA>O<9@NhPeo4LP)OdSEc&;%eu^$(U{|(LYwDZ^ z(1#}*$m=T}M$&g>@Kx`7Tbqu(YMN?^W)U7KkcQ%HYleh`DQI4H&1mzYt!r6VBU!rY zL8E&Y6^>t!67S63@UYiqq@3Qtrt1ij7XJWfc+^;Y$O{aKyt&P`V%yua6v(5S8gy>m zo5Od{MOzq`6=|1D*>BXbi*lAW*0XnZ8!TEpki!@j4Y(beYOCXom^4g}i3jErSraf~ zPanF&qHiFX<9WFHDhI~+guGp%p^>g-a9N zXb;1QcUr@k(+*cRr&U`FyrK~Z=YFVBRK*A3%kWb|T~6wmozA_J{n6C5C1YA);@vIp z3kzZ7Qt#CKwBE4EBZ>KEZNd6mRfX*2t&#S*If%Ece)kw^^Q%1{DFMsoYMTNp* z!yP1y>Fx^zf8on!+>Lw|9@jQEJTm$YJz-M9=_;HhY4^D3wv2|nz4};%HAGTWhcNSW zRg*(3!SQvfx5FbN%73Ml?t_yuF~8!PVB}dZs-rOSc?5+~Y;0KLp|_s}NEx@2u{=i1 zM_|ovZd35spH#^+Xe_F5*ra<%cal|p9~_afjdGGLg$rW`b(5k6l3 zMPOux$*{UyV7}JLWy|7{t@JJSnl7hjvo}JjcG20It9_>SAnpY{_Q0EQ=IalfGYll~ zy2GobWlLD&cJwWvsKi*?^rIFb%N#Yz4b=IC1lQevvUs~0*tms`T;af<%--}Sm5njA z+8ybp{LobeX~U{E?QU%fhY)-<=C}<_{-VlELC(Y(U29s}b}T}*m9sRlwhbODce1&3 zwb4gP;mu{fpLFWOEk7pD(Yv<(dl#%4+IcAB)bq9NR9KYsF}gTCl-SFD;oyc=bBUfhK{AhW4U<^oB5iz z=!LUgsGT%KT%)}UX4N>TW7#pSBYyPRFaH2f>J#JqM>uaG7KVW0uy<_m^6}IE0BWtp zYQbybb%v`A#A@e;S!FlNZ>q%Wrr1L(c$a*%M%D$qeEImMk2R?vSP8h-Rc>;_3wb0XwKhFX%BXNDP`9(RI>T0#<)&g*7yke+FT=%9Q%@l>#BF6)XGgUoR`2umLcT3DKQ&8P0H974s=GkUgl!N9HKS0Y5kC-YPHu{;DTieCrjw(AeCbdeXbE89f*BCMFn zCp3N4Z9Ft=4vgqK{{VBvn$E-kvp`J0NVr(&9Fd>m3xdi*2I!K2;(v4z?3g1v`1$k2 zPcG6zcq@Ubp*-17SKUxFx}l5qedybLD#XPFPxma<{bXgZ`IK;K7g2I2Ml#yV)4xS) zaL-8Va96wV{igMUyX2o6rGf@Dd%tAo%o;{jO;*_D*_iBAePbG0+@5q+2UhMY3m@6! z9iA#CHVD~HJe6C_W60@maa@toROF0kR>KUYv=Zu6ZESBbFx+|3Qb!jkl+4TS`lr7S zk1Jf=+qB}Os%$4C_Z7;hrfH{O)w-%4eQumfycQZIWlZ7yBgIv}EM%(U=MWmAtf_{L zp`z)|pKHn*HAcRoYG-F|<&?ClYM9%GNl$%#_EZn6p=oGk?xBJ0AWAp5EJl>n(*~DW z!-BPrS1C1XI}sx5(hG_x!TiI;bSs^~4uxv~<-A;~IP*)L+nTE-$sU{C=g-Akp)?EK zP7G{gpQ|Y4MM}7B8A&cE_@K5YluYF?h3pYGC(?8+*J!h zjz=o|K1!Osv_8v8OpVY?V|KUIQ?^QZ-sg@jSbwJ&h9!=&f<^$xzr}i8=?u6o5^G{@ z&R{#&p?;86(fg7-93@HvcZcI!TRzUroJq`Ce{t4nCGu-6BfH*WeB zhPKhY!Mlf!<@*b(V?}Kz@sf)Dvt+4jD7T!h?o@o*c!%(W^7G8#r>mNlM!qEslU5wt zuHUNUFQ=&5%5^G^Hc3d}?w{pQ(`@S)=K0lDe{}xVsxS=J^TAbC&qrCwi+9~aD`uN$ z!RG52mP&XZCHSvKx!#geAL9G3Pe67Fum0fiU$bxd8otrosM{NAW6SSm@F}ch*p#l| z%O8@&-d_+bHr%mz9U~>TyJQ>q0g0rI3J01BfRR)i){ilO^jxeimuBNpQ0?iokaD+F zKb#75lzEJMA)>^o;gmK;=$<*P2caKndP9Cq8U8Ns=`R_y7vewDDoOB8}!y*y4ho3(Mg_7-~3&!Q>1~@$)^rD=|d6T)r>MWLWrgkG{Li=1MYWJJSv>Ak z^Ew)Q;9p&T(wOjn5+bVq0K0!^R4y!-KcnvlE*( z;sUOg32Drboira+T_u4d-uov~)PcatD$0H@s*K^Sq{9v*&*CjqPX4?cbhELy5EOcoynhB`X41x$n3y}ND} z5sk;`4FhH}-p0>r^v7dQAg81{DZjdJVhw=PNpd$H&%1F8Fk+ znusNM$<(RlYcAP6llBT2c6BXudy1_7<^7>k$QvM3RS>o(5_sFPe|~6=M6oda67wmV#F@m|E>C2@jmISDWO&f{wAWSIkc9 zSw4=9j4?6R8hpu5h9|X@*XM9erKlQg*1}LVjG-4x3aw#;?H{K?xHR|bJ>g-GifA&p z*)gnij*N4Y=&vpE?^uzNovq8kNaF0S=||dLk+#(F{{V}?qo=Zh0F$B%(d}ZXJwSK; zl+=-yz}K{AanrxWM8`7)sqG`W{{SS`xz7!66xcQ~HM~6E&tj^SG;G`Fqwa&OGN`Iz zrl5uIb*+D?0bw<=VA5uyf3~rlaLovsq5IEzuYN|gtxhhsWryNa^zgN^ZyG6p#4zTv zpLs6uPop%hWKTCX9tz_po`n@#shoO0Ra)rX258Ohd=p|I+UczrE7=n|rUreWrLuc1fWMQxIdc7q^0>hI(qIK?AS8{{TeJOl!*;-hUs0sjIlLZmH8g zrmdYr?5kN@3-iM%H%6wtkQWksg%%@=Ifg)VRW(vn6C}pvG!q57k9b%$Oi`EZjjv?K zykZ1G`vUJ38-(OFjz7~yjBQyfV|%ZlPSuR;R$h_2@FE|@{H1VBX>rkD(MK!fWB1$X z?|f{lDfuO1smNG97c)bppPCQVRy=z4k`@~b%^xLQgVjdYGM)Dqy_Oq7^Ht92uvn|$ zf)-M@b2anaq;Zkuu7-)UHbvZo#tYqr4R? z5w=-0()Lo&<&N=cLZhmdCW#t-ldoc$Hq_LzMx8~zx~@%TA3Opy`Jd;dayRAk`BulD zzmJM^1Vl@Zk^+V|Ng!htB^L}jf&(i)S26IKXn<<>g>n;X|f5l4ugp6!1wv1e+Lml(*{!qC@+h1#s^Ay_3 zfXyGn`9c%CN$qj|WZC3!0mps2f}n7Usjq&Y(M8FsBP<5|-?!?HA5hsRneFD4$(-ym z+uyH(nx3L6R&ASNH>x;!JdMn39e)K3k_=g~6L1HD#9JF;Y^(}4bSKl#B-=+jK#Wpb zMX;aADU!(8HWCdwZll8+SDR?^og0kX5z}PMF0Jg^HM`SjDjf#YH%;lZ6%TFN-i16e zLe?@tt-p7qM)AekdzS6$f|fe0B3R@0?{nBZ{Z)I*DCnkxni$`cuWO$?R1-3)mMXZn zIDER0-lU51fahB?ylke@R|Ml=ro^_mq*SbB!$!Rwe<5#@s-cQHO}NmVf68}x>sJSI zp~awrgOX0mi{H=9MPG(bQp~XtWHB5zI%dO=mYW}lxbamL6!o&j91XKs_d(&0yB&L` zN-jFB=jsu|8686^Cw-2F-y|vHa2dtQG_YI%(Phag?|iG0Q(D;jQy0}mJyWW-aT^oAs4CJcnX`&bLTPK|hi9#U_-;;YjK?fE zj|GUCOiW!MxGI@|N1Hv0Xf*YrN%#<= zs-$BB1iO%{g_La$cLS%yC~9dVZfxGwyMS1;nVUKEv#VmX`<;$AZLLwP|a8?MH7A zu;w#4l0%}YbP`$69Z)(4dGq%Ox!W*Y{v1X6Km0pWW^r+An`BorZ0~1z?@x+snOZgf z0Q`h-cg)=Fezx3$A4Np-CXR8khG)Ylm7BtXJhiuvto-t-_wp*Jsz&`(bq}qM`7Gb) zjWc9uC(iG(^?MH$$Q${*=%#FuyJLM6@5>}DX!e0r@QIovcIzsvPrKHl5lbsr^X)2l23mkU&^yAAM*2vEjUN=s zsv5HPQImG`^mTS91NQK^aBqNEi)N^nGcN6Zijp{^r)*$@zkk6-KL{4v;IkW93y`Wq zcIR?wd4@J*)kjL#KnGH+X&7ctJJi%ctjNs}bNOs#!Bs-)b_eeTRN2oGH;6{zdPojf zul!xft<##YI5XmLUxvReQ8|!qX9kZv6$6BuXz$G$kEeQVwyiD~$~6A~Rr|`E7eOsc z_cq^K{gfG7Ps+H-^dG7#6Q+Nxp4hnS^ixn&(hJNwkR`s-!1ho(l|O#A`YQT4Ad{Cf z*>NZ8u=d3Iu+MeZBju=6r!FlsZNs|B*K@8`Pr=o{{lCM#8)elqAl!?Z-)ODU3xv$O z!022N&z-}a#Ycrb(za76%(l#mC)geUs<-EgB7ZUPSX{1>R#TXZ3=ME`=_Kf&FA_tO z@_02?XU+p!p)J^e-8b-2J2YUOeu!I$S_M!>*xdaT6)=c~yOZPFH)#{M^{J;3RLLCY zMAyeXKGZ3FJoHuyheag(=8`vj*Z%;ho{7{**qb(DdWw_M%qA9qV}JSzqx6Re#-8{n z4>~(s5oPHfVj>6lmKN%EP-c6Fy`lIjU4yF2;Uhrp-EJSR?ztP3ED(*8ef>{w4?QJ+ z)%bXX_{_1nx6$0*?S2Yp2S1s+a8_0BWH_u+OSpnO{MIFj!&J?bV{@*lY57$~$*0Yg zGzOeLJLb39ViC5gkVMmK_$q2js#zXCCZoFF6v*Xqb$n7<{(KaAU4-7;dXBz(Q4QJV zId^~BkFbT_)0sHAwqsSDeNc0x*N5&622*jzr>#edx(GzFi`&Rj;`DB%eVgrAs;M@V zF}=T;$Mw6Fw`#@D?J3yS z9~(0mvvc=a+HYQl+kF%RPKQ_#%}#v!P_TkyKVpn`{J!tQ;wQCCMS zyE|~XKN}-7IW~dXDdWiV8Q_e9Nk&tvhu2T)jfXUD36jSAyzNf}Y9SH`^J-QvK-#8> zTOj7&;+sR7j$4bpr|D&dGzyFEus=~{OF>T@0y}DV{H&@eB6MfwqG06pHIqA|>HQSb zHYyfW4}AUAS(-Y+VjF<&3GxvT1=iE@-}0^qbu71bWt`^9n8ufvH&5I$8IGfQ?_Q{i zVA!NSY!uPLX3Cs5I0+VG}^S3?VUUfs&0 zHr$6EDmbP%=$WINYke0RqfA*}XvX%o-L?vX6U&Cw{%@m7iweXx%$)lSJv}$Kdc*4> zrl@=}i#YN44GMrp%F@TPTdV7JF&j5IQ&KW&385S{@bOa6Hf$}ik8qC_H}|~Wt=)kE zAdbL4cMpPgt+I;QbWd~Jxi!4|kgVW!{l&z++MYZ**D9)Gnj>$`I;x&nA96a@Al$Uf zvA;Rq2*)FATop0BL@hj7;MI*~WlLpew4ii55t7|{C{N)w*7z&mf9|La+MC%JrOMeO zlGpo$B$gIswbYM^(ppZX2Ee(ldl#f*{{S%7{{Z}t{{V4bl21E-XV`pkoeXl{=Diei zyA_hV2V6x{=N% z+)BzFdmX@87i|X6aqmII06G?#gSdrVHB^&wSWfnmeu|=+N=lYZQtO-dSw~*ORM2GA z$ADXoszYepnOi(j%E&pyi+6uj>ngZneLI8t{erwbaxdQh<1mkty8`xO4iK#-1w*|1I0sLJl$2o(@Ptq_ij}LwXS<5 zsgp3MYn^Y(T1SGV7pQ|;{KWl3R2PqC2ZMk|y8FoKhfB7H&;=l1*C-hamjLlDS0Ri9Q zUW+>d!6$!f;B&V0sy2nw+k%gK!qny?08T?4?(C+ZCtWqpFuWQ?T+vu>` z*sAd-n8mLjaULJJ=bBY4oT4{d{+)pAJbd(~?{~pZF{f*#;r!e`Ud145?Ie4hukOS# z14)U3-^*oNUi#LzGj;x-Wk$z7ag^ALpOCtN?Wl#bX1|cCT*zZPL+8j;(LJ)%*zU5W zjF_C~^R7Scw}Nxn!OpPl3B|c`ytm>y!hVqzln+T@EC48~GxSas}qW3Bw8&MfP>wExMu69?uE~KnB z&VjNMz$zG8>Tq4>ay0SqTLz6h@Kw$`c^FhxucV|6Xxuy07~M1A0-?4cUDY#fq8hun zZ$L!nHPN{4UX|?zJ1~#`0Ng(PmnwnpWqePCw>gD}POBQny(hvesP+^&g+2V0^>GRX~Ngxhm&t1Ch`uM7PaYqx689sx0 zr>J45jtK|1Zv7QY86`QUlW`;Lsh_7JaMWJkZxmJ~Cfd43b*l`QDw3hJ(+Qj|HTsJ$ zS;*IsP0eZGqs0u92iEg~f@jx7S1ej)vZktzl88qutn8!3LAI-yK3zk5(RtbXXZ<(g z6ZK_^xDC4z&I>~|N7_+=pS2yyjn6(-mhb56OpLjO{?c|W8I-{2?B$1-*2gOxG~wdC zT6tNTe`G2-IYn07{{R<)t#-z?XSnO9axmAJVSFclwb;2#wCxRNoypZTBAiQg4O@hJ zY29F}sM_fyosLyH(zoHBK9)U^d<#d?EO-|9yZeOVTFG!AQ zV{!bh?`kelJI4P#j2%ax~*7*IE8)L+2X{nrVvL{}al3n!iQpjX}VxfddT@?Ml zOQ#FyQ=K@Rx+BgfPd`OhBVwmDE!;)Io+%)kEZftFb&Aa0nQN1EHVVLRSS@8V45)&q zOu$>T5#X^0wek#(xC)p1hGgtgHRIT8z6Y!$t87+KvfSNd=j|@GZOxr;YK~X7YqXsY zM_+QF#a{ZB#OUlbQ{j!;y0Dc<@Y)#+TY>u{C1p)hBPQ+A>7WcsF$mJe{{Tgb5Nag@ zrK;1y!IyCz3QeKBu1%4~g{^d-k!6{+MC1qE# zH2LVNYR(;k243z1o7hdy(P5AX=wqmGv)(>H*sj$E(mYBiU&mkd3x&q$Q^mBFsmEM~ z-MW2jqOE;a3}e4K7X$GAs}zbFnkH4ke4nwp7X?^d4PDJn)ZJ@!uH_W6LP=q=m5t0H z3iF@b7Eky;H|WB*S&h)l73k8(Lg?+SN` zrM>hDj!4}bSl3^h_El|bs-M(8%a_xb+peG}&T5F|Jbvo6tSx^{zN%kksIN%L6%79X z4~Rm;M=n<1R%{!-_CMj!_p16TDvJ2#{{WyE*L(*K-UqEdK_S{VasAkQ^>Woh`5U;{ ze}q^MqAFLiILoCvE=I~}Ws%S3xcxs{u2S;{B=JF;erTXnS)FBXsKX@^zF>f1NBsL$j7n7T!2>ILAZJ5{nsd$ z^7++ri9au$7Txx~UplS3uWY__`=`uW+{Ag;?5jWY-KaLWSZH*WZ2<1@Q&hnY zu~AaN>iCB9nPHUCawZ#VnPGBdss`OX;bKxaVs4Y6Q(_wc*e%UFl|$Vm?|a6is!1Cx zMkj9x)fG&SlitBn#}TH;{q9ZyuCl^j*y?l0bg@%UELAc-B86=G?u}I0+b0m6TTt`P zDnp%(apIhL%hFPLQ!V*?Ld&_winZOMp=`B8QaJNQr?7eXstifAH4ek7U3Pn?x4~PA zq@I}K^z`gJ=Cs^)4G(gA+Q#N_PMl)3jiYJiaqCr5O%q>5Cr{CEMC+<>&4NY`53;PV zpPSUFE$R+;<~_k0cfMF%8L(@0?7FF>hFIM^a@|3P*a+s9#Tdw{*)-oM?^PIlb5EE$ zz+1XMx;S7C$)C?T94&#mzt1!7h8EMg{&~sxUcA@&=6%&|7RHFnp8i~oZ9%8xrQFqk zvov4=F*N$^Z= z(jyNMsPR*cplv|ozqLZ`l$pl`P(!KnlYWJ?%L5F4>Z**1ONQl2(B~F)C?agQj#qT6 zQkurIWngTpn@q^rwCvNcDH#?}_>PIk@R`_-_30J4Lv8tgnFn7&jqjquCW4xdYNz{6 zx1XAHq1xF{;#h?!yALz3a%R$-ACho&41I_Be??nUiB(3~sx)c&DoLcLkW9mE=G+3AC?@N6g*DVzjJ%Oi{t9vkCtINKIpl9Ns!C^G0lBC(fRFl|@CM8rjLUl5frD zL~7&?*#*k#Nf}+c{{ZT$s;bOox6^U+^FoRPlM)xt>)fHs4u-%$~bqf>OO5s%&s+NU@0+5&LZdO%Mr7yP5WHr6Pc8wHrA>%9j(zKr`m_t{qB^wo`Qo17R2$0!QD zjP+eSfb@8+LqS=I-h-^8hFL$m7gUjdWsIJHod~vzWD8DUiw`PpRM}$%uDTrgBx zV4#iF8QMEk?ASO*=g99>O=IZ+zN3n#h)Cb3s54ab7VY1rJj~X?S_``(PFbx@f)nRWmFk0ao@LrHxlxg`#`;bQW zy6P&(R8zkQWgX6Z-(`v60+s<&Pwb5k&dOTGO+w0I+Yo&Bqqzp%w<|4*^lT8>iR$rG zV)2Lde(7{!vX;%4IZrIwMlH>~)YR}+Pnk;q+^+8us@I(@w?k0Qkman*V`AQck1-3gm0VstWp)W3LD><(yq z4*Pei^BqZafbUf;j%fgB+_5{m4TM{vdW_oHk-!0^9OTM@cq&oFshTiLnq@F-BYx3_{iVR?oD>qSI2%IB<8 z+27ov00!n&F+$eVKQ|F?ujr$So<@T4{Zt}4Q6+P=*3Ciqu#c7B;F#7vM%O{6eLg%< zeMC5fY>eHe+!rpdjl{#;psA>QGd@m^gzEaYH!p%^H{ruiCs&Gg*Dd3J(H7M^np{3g zIte0m*yLcT9`IFEbGT=HhzK_{WxPV=F%M~)s(hgJE=j5HEp40B{T4{6V$V4mWg}gY$5k}3wvo{|`#gGuhl=&3Ax8MV>=cxicVUvco?AHGPRBs@&j;1#J?i2D!PWM{JKBJ?j z;k_PhSY!CT{{T#`?`c%I-6c4GK)=UlWX!^y%|Dsm2gIt;maJj||rABgt6hNP(b7p}wC4 zYU$-U=8o=D$+xC^c2?HMUdy3PPwi>*T$-HlAL>n{gMc6EQbNX7GGH|sc=ZJAIwniX z^7F5K>Y7(X`J`iPPP_WTqmoM6iKqO4cd5X(?jcmh_@t67fmBn%+&IbxxA9nEwQP4! zk&kF&%8Lo0Y1*}d=$1E2r(u|VL-R=(OsA%&jMLKq&{btSsiZ9F3T+E7(4vc!IJx_j zb&sH-GsZJCcq!z5C9`|9%&KXhO7R<){T3%#6K_bPJ#6oCsEkb$qdZgE=?&a?IDe~p zhBrV1SakFDQ8CdtI3GIw(2CZv-RH$Unahj4;bd8ul6dd)@hZ-Dx2?QTX#h6Td4ki@m(1uKou1Dj6db{NryS zJ@V4d%E-N@A3tSZOl1RaeRp~Dxe5w!My~lPqaMJuw;9H|antOL40qmsNKiw&Ir%O@ z7VPKbg$zH0+^#(9Q2!-!)JJ#(0ME79&?r zG_HFz{ZpRlsZBf1m+0Yj6$Dwp&VEUd!VRpQ3|UCB!s%I^?k4MH7edAhDP)3n4aHSe zw!WyngFw=%faU?vpq#){SzypYpz@MO|7GLqClTnOOW0`u*&!@@b_D_ZDJai zkDA8hifoKyuA#7V$5B&X@cR`kY?be8#^l%_z4ECcZrzO=iZqbFR;in^Yhy>HVzWLP z$t90MGJi6~YO5fkhFIkw^KaLfT{^l~;D)KMaCp;ySnyOea@1kdx=9_Gx8v3K?^rbM ztuKnEM`^oXn!+k1p08t4_Z#llie*MzSkucRvSuTCt|prm2b&Rf zi84B9VW_5gv(;1#IBP4QdDqEN(NoKXKDReLs|zlvqj=hoS=_LhX03afG{hbXf~ICQP5G;ys)<46%HLp`mRnzz9`UAvaexlZsMymz(fvl z`GtsKH1K62=KX2-WKclah0Xfct61oE*u*G;6S%gzfBV`-{g8x$VXy9$tk&Hf5=TDav zgqC{2*3|1{;S`weS8gR@GD7@Wy4-1Xj@Y_34gptG%qZfQFuCLKQq@}qYwoG4{{Z1m zef8B~^|_*c*zeI`^`+Gh+VBcDL@T-aTK@n<{hauLX+0bQ#3auvUD7%NuXr)W2I176 zVH7OWL`+doWp?HLgQ-sUPW^;uK)F1cbCh(m`)qRMc$vA(HAb%zdu^hR7M~!^!$bF2 zhIy|s8muawImC{Whl+}d7)vF|m|DtqM+?nJWsgV6ekENmD`3*e_?{b2{q(H_b*jHe zy&RE+lh!xp5%iZHNHAPpM^oYQW^;A;EMd-Uv$=HY)~vj54|g-bgl^PS%#$yv={gxm*H+ zlVs;~{BEf$=^~_jQg7Gl0>djJq|deU=IV|}Svi_P%}%L>n5d}U&{Wk>%UCCgx?C(q zt}3ZU&w|9Kfz;798+i(9s7@TnIH)V5j}CMPuj;6(qNj|uR`skKF4^yYLV~U#h;2#c zjmnN#r>W%)8h(LF>U{b$GO3m~k)4+VtG!889FU5A&gkq_LVixz!L`*@AT&`%M>usT z<8?US9$_Hd{R)lT%#v`^&%t5P)MtEf8(YX!^SQA$ zDy@4JV}y<74HY9?DC3UYKI@Cgw&Is;T$5Mg7%P`gVh~dsu*QYu+=UXP}?}_h8Y-kBxr;&*6#3W8Z-1<y`VJHCpRaml5w za3n-CcMkPMmaH|mCpFK@f6nS0L)J3nZ@i?$B9gGuFzz{R^i>#(X{Hn-Ot$)qs+!MN zZcmP+zT*$esXy2B@yx!{wVjny4< z>!%Ty?>;+})iMg%X>)rf*68R}7=)13@*^gL&DBL^MI)n(KX|&S#Ofl6uFq?sh)o;@ zp|9Wa9VwWM@=_U?dVCc%Z1V#ye`kMRLc_6IiR5!Ha;fo2Wvd{SPmEMLyfw#5s4~wZ zva;$JL^6j$a+`jM^%TqnfwwBD*`dhKIw#OJEs@WmHdVqXXT3h+6($zh>Dx8=#a7Zs zP56ZSdKH9D!I~M4x&+Qn@4*LNl2uKcCo`R86XML?Qyq^|^s?>rpAc{V04x6h+*M^+ zkM?OvN*nF%FYviugyL1P(MZVTBVHc``!kX6^pWO6a#(xXrg(+*l978lJvw!2mU=o` zw#`H|-baF{b{f~ZwvSBS??+OulL(rn*o8kgcQ>qk)RN)V&_^T2Ng1L3E`!>H*lrTDbHq z8Bf7h(lZdl8BZH^X}`ekO@f|mGHflo#lKaA(ZduDqnC(3Jr)g3PeE15r`-FGri%!p z(c$IpACXMS@jug6R2A*ILX6puD>)NPlx_AjJS8*GN4HJIip7e=%K%|j8Ox* zRq0h8LBuReKP$9=ZX1<0Fxq->%(o#_Rx-W2EVjDBofbzKcjyppB)-P33Zw|+*~aS? zNy=LBvUqaz$}&AG#9BZ1AKs{O3=*@@X5lrH2F$|zxBeyQSEBeH83Wml){B$wT|W$L5bAvH2;R6P;Nc#?3B_f3-cZwz1A*wEJ09O-$*zGzU*MKNV2wIC8?r z2Xy^D>PczoSlEFD+t1l$hMFSli<71LKUE&Vkh-+kUv>UvL?M1^xi=2`*;58pJV^U# zzp~d+i0Y=5LJM#VLqO$yz@5PkbfRMJRlyQ}IS(!q>Eum#2tP zVoh}IirT4L6?Gt{X8!=yM+Qd-j|4_iovxNr#OW$D(#Qx*h4QyBX!|JrTImF0G}DJm zIAma>O81vJoK+?q#u-J_oeireKt^Ik=oI%pdJ_J9U*#2TNBJ zIE)Utxod|&X#{-Km^D5jO_nEF(Vu6e5wFP{B9gA1)J%R^8_xr#+&ont5lbr_g~;rg z*nVJX^H@$93=^^{gO@eM)eafzvudw&?^EEcq_386b2j${Rp4>YU+Wl8HO(I|1^%lO z!lSKyEo3gz)^O{&SdI?)u*f8*ce48)%K)ar`A!KmaJtT6Y59_{+5sgL^u^YBVI#Mi zy&9qsRWdr0tFATdD+t4kHEcDH_pR_GDe&nj@j1-S&>cMWtWt(nV?5K$A*aP-7*pmn zwG^-Bk*dY8x5+^koZRLM{Z0hj$#CJK^k^gcd8kqfz`8BZol%j%4*3eCCDKbBTJ6OHX~OHT4jI$ zcW8zuRw=~prx|-RP~uZQ2f38O-HIGaX&mEEPBiFH;;wV~l;ZyY6x>m}aM4UNd{nrM zZgCP*4Br(lCe{$zihGqlBNlJQr?@?9)-ae_9Z${eLlc3tq&6OC>rvu#fvyq2KnCs&s(nktNoiDdw39oM z)4JE`QazE)kky)f;V~;Gw35NQ8iDLV}l*#3Fw6k-VbK(&184 zvNnDurB7M2kTE`B!F!`v`37jzv&!+2>b6XVpdH!Aa9H~bWM-Nhp5=@iQd2dTx9GnW zm^7dp-%vUmn#Eyq$jmx-GhUJ6uqR5_yvMDE?v;hP?ynL@q1R8T2$#)^Hb!#}ZYvU! zNpS;a*yyp>yvY`A_WJQyi>Bl-HeMfXK8Wqxf@PE8*>y@~^<27Xuj;T(rfF<0-9Bpw zbb_Ps#+KDU_j=g6Ht{+tvhP~{5Z2?tV-__GA+|PHt?a@@ufbIR0O?E*ZHTgmAi`a= zV#6{BC24Mp2p5sfEzqV0J1xb)TL^hh&@}J(D(ZT7)P5fBpsOgUGO_U7T}wwv$=c}d z3K)GqB-(l2u=ecP?fny>GU8mGb8uVOxf6!RCgl|lXrwb~Ww2u~&QQV5=|S!zGnN$C zols2MfLM;qNYKG;6Dq1XHqJNu9m$xD+A~O^H+UnUpQy? zt*oizaXC*5BNg3sh;wQrY1g0ptzM9Z$1Efb*BkCMvYxEeGiPof{DxFm!+>qtb+y0y z-pdW9bl6nRW39iqTj9N6ZFz!BjstMH`0iLNQaYkSEtmt|0)iPma9B;v&)h1O&fHn8 znCfz@N+(A4G?DLa>nj?FHYPcpI`-LA!tsQ+o4{+;ecuI6*9;-@<-M=+HoCzgFQ=8` zg{|7KEZa#496VJRcdg%j{O=W39L#7Aw)iYjvO1-K?aQZ%#~9`Yb>HWu)nslMRDb2} zQO8m+!r|=L_pB&O$z0}c{)+-9o*BGb#X~cttCBX2b=ZY6uK=~SYYMWh3>M{eY;nT;lQ({IFXq~^MQ5V$L8C}tC<+Q20BkV!XbvyG5 zpV3KE4n$Dca-^s&drT*CqmHVi2W=FTl?|Pw$*qCtK5V0fp??1+Snz0;1)(&H`WwxiRo#k5U=GMB7Sz}|H8>|Y#EGJ`J2eNebr5UO-yZq zXJ0Dyg-L^xIo#CB>WJvgD;=R!*o93bWssff8j0X>k{4MrqFAQo40kz6E9Q22P0D># z)8#U{`FAS(Kvss?wzqnHbwrfSrE%tjCX;8gzKR<9ns|&cI>4%GiK)wsPPNt$UoC0p zYC&0CF`(SnH(1DgbuGKEqN%T_t2dd;5Cq{-yD_&nvvR(Re&tU)fX)hSWP;Y7jy1dD}JWd73}FM+BDl*)LN`{{Xa6!QX3$$~ZIs03kyMlYT~L#=&wY z1Ss4$rokkB$+B+W^CKLR46ZAaI{Fl8-+SG1X9IhqPbW%j5_F?Z`{=e0wU){IlVWy4NH!~kFr009F70s{pE1qTQP2MGiV0RjUA00j{eArmn{1{5MuVHG1XaU?=gfsqh1 zVxh6Y(H12%LvoUn;Wblpg760*L{wvBlqPg_gQBCdv(h$ImBJS=Mpk8Zgrvjbj6{Dlsku;|NYux9gxgoyQ?K z=AYwOF)kec07v-KjfmF_taCbljbKKfrx-}^{{R{}k33`hKgNJdQ&KU5@c#fB7;52x zw)%gKerk88FXKS)XB>6F{xlR(Kf|~KAI7u8ou51p<66=s;EW|DALmC}XPk@>2l>)l z2%G{&00aE%P9}f`F{k|LD8$_-;_&|fohymn%SqX>!{7GSHP1-K5<)qCx==6Adna`* zJN?uWrOfs4(OP@fto1>qx5Su~tmQrvO83(L0K%mOOP7_Bau}^BlPNjCQn)6R%3qd* z6RQYqThdQ^mI4!ijuNP5Y@`C5D@qkfJ@MHZc1xwT0tHCdJ>_v6;v|NbM-towFKo8H zRkY=o22?%L`Oztww7Q|JTEReo*RBnnX$HS$3 z>k7H2mn|!xl-+zO805OptGX4QxK}F2wVU+uC{SQ6AW zZ$uljAZNm!A*AWMNAn?Wnosk~0H>F}IG|N?jvW60Z9&&=tZPrf^qqxxuFPW?3GXzL zo6JAA-$J}h^TDG621I2wF^O66EZ+BV#?BvzklFm)bb>TSi{8CHR_VnD`e z97~*XbBu{XyccwpEqTuzF1DW>o~rKyop@)*TC=Q!C{~{S%2x8Vt4rdJXEd)Qi2{M| zjd;2JAW+1{cvvA`{{VX0Vh#@HkDAJ5Ckp=nwXLKik+ApMQZ>Fa)gkQB`cK3HMjYi@ zxTRx}!s%+`6Y_GChwz@BI$yqX#y%xInmV4xuBu+A)r|Ak(vzv>D4o($v&xR^NYc{r6eV7X!`uBUKCDBd`IU%3}U;=q(X?stq5GDV^OCg zNo}y=JhunbQDQowHsIU|E`)0NdDBixOhuvP6s1foD{)Q7PjzUywz&!kPvcKYciHZ? z%0ku{cqo8*8cKL=jGS&Oj*n%y+LBa`9HgA%o-0b4LDRRxUFI*dxP3z8q$xi!(w&JV zmXh--(y*QB!4tTpZJcO*;DsNMrjaeEr;d$nt%ZdGE4wh5sc?-$j^a>16Glv^@i<9e z4SXk(q7SVhq_)sgiwFgK3S6Z#0c|0Y@!?8BiAeJEugxRyrk`P$3uV9xKA4WZK6LDN zm_l7?Wwno5FT#x(Xh|Vjq#-BpuI(~2ZM&o|30AF-%8L-#VNNAFh;ihj_S0@oipo&x ziV&vW3fz3DsLZn2b>(P4QuK`c%{wXh4W*VwrKvBZoO$!CaFS>`n`#u54M{1GjsE~$ z6sepLa777l;WpzcCw}me`m;_%mm7rpTP+&1P78cK@LI;O90T^#Vtger#ss&OgP+2a z793*p>)j|ysK}dbb%p`brIikJl%>3>O45;b11lJlQDY6^Yr&~=DGexN_KEt47w&=m38Y?co^7l#`88yO~%!tloIvq|a4Ky8b z#hoZZ21%u>hW?hmHE7s$uZe#uJ|*o*P-0n1w@C~u6jD^6M=(=g5&S8nDGnu404#+k z;s=!|KFo%+D@wJrK+Sw+zc_aEqwf#lUz{|3;jhjbKJ?J{$K^*4AJ;?QezQm4tNX@> z(iQ#VN9hXB_KgpuulweY(wzSQ5mX0ycle_$NObh z^vC}I##IKDDdi-ztfj{Z72sG}l5nJtD)1{(Lbs%nb}ouK!>A0aY%c*-F8~JAP!c+g z=wxD*4~ZQlCBPQ+M-GImpNo|^o-+@nq$Q-SkB0zaq+DexMw@n*P~24jvaHp*b51xT zyR9N4sYoeM>$jaT5=z$E9M$>0WaE&jYz17HOfrTNXE2192bOu9Q)yAaQo>D3v_|#- zi$HY0sB3_?lP%_(EvG5w71K0Mdh@7K+eOXyZivwGW3Y!B&aS!Tikvv5^Ts~$RPEJk zo+Ln$l%)`wO7K)>=>{iy-fF}vZEK|~@OMV(* zXmz!)fTOEdtuUr#2NKMcwzMITjJefceMXAwrIb9c6Nwy!KEz9h8BD=XjmW?)O$B2~ zIrFS?$;CTwMa@q;#sRK8V0dn+9MW^k6!0fe;vAnkW_$M_j{6nT{1;iybUECyKdDK2@#EW0Cl2txqaA;-_1v8>D%Pb+*HI zMpFc|ZUyzFTqSS14>LxZhj6(z z3exJ;6T71=?7IHY;=$sZ3& zG&ih%289|Fq4-dvLX9WVvDY;E;#*@}X7{AGrdBW;$3c<3OpeP+We8CBD*C%_KN<(} zCY3|PKOo026-&^R42@0^TWpSg9;TY#lE&43LH@A2R(CALwF-@O@wsv6(~y~m8mJ=xZ^s;I*e0ljL>P+EkR)@(h7Q0 zed~yZxJy`DSqnTHEZY9vB9+ue%$CWUL5({O(74oT&`~BVKcttG5N>!x?=jC2z zpl0K9V7k`1aUy35Kf0ut>T`p|JT*V@ee_o3-svF^>QP(DNLn$=@ua@Psdd%0^pe|! zNY&|@l(}ub;v0&m6W#tGeDl(o48XZEc$&nyr#gYCX?Z1Wu0lf4I*OizN4q@YlZ37F zl(&P=WRZ%NB3fp#mx6oS zuj=+GrIhDRtbk258sglj&LKxk=+0{VQh#+mxJuO< z2@S7KI#l;0+$KVJtq5swkBLd&J5*&sku~)pjvMQmbHA9TS0T+rpu0cd?pGtlM zD@S@ujk;FCj+YhJ@TQb=ggOuA)b`H zxXVghav58|U@PygI<5j!t9)?Mm-P)e=Z>4yK<1R7$VgE#Q9n9Nscr?n+Cn&?027ZtGhNzLwK&1XTG9YGr32JZA9Wq*QK_xD6`5Bx zE9j_{R+N;1zLgKHQeI&QY0H}pIcaZ*d@2Lp3WXV~N}dyL4qHr?pI%$jj~C-om`b&! zht$|to(&GYa4CC@x>R`W47nlD(|f7{Hs3All{tCm1=e5JlSQ|Tu#bODUoe> zFkA~uX+iMdV*;6!n{172xEx4RbnIrkI2sN#roxbw5;bmrU1Zy3=N((5hHXI$WuV$r zVd_^eI#bSDC5|Gs958WR(H5s3;gu>o%Z{y0m2<@h-nPps4W+Dz>f|ZVEY8S7panMk zbz>uyHkT~Uw3gIYh9Z%Yded^#Y?Z`kBn8J+IT3K4;JU21hTvmAfTE>arVYR9>a9tO zEF>M08C7K4X5$-u9aV(e<^KSHswCUyfsgvKtNIkL@D*RsW&F4ct>Q>e&6QEaIZNB4 zDy`wl9dKDyZ4OuX3a=bFKzhejU`LVu3afbUf&Rr+vo8Akvs*@ygZ}_vsw6b%)SV|R z8pjFZf7zNPO}d}=4FSVn&q=J5FZ;FdFZ-s6(7*1Q9u@xpc9pTm_G!X~(DP3tg!>Ms zDNv@`?u|x`A0@UJ8)WsVE!-8s62K^XPb_)VM(xLo-Ga5hr=EIN;{*+;@0t{AYBVdx z>Ko77Rw%t0A=caYl!HpjfX2r(n9#8M>;A0-Q>;Fo8>sk!rA31{w%fF%yZ*xq#olVJ# z_+Ayzid-fkhUG|ag12~?8~F+deGW2IGlgwRB+_%7dQ#sl2isdpVdhkEsV2B_VXciZ z1ZG@RlC>ub3g=Q6HruTd8f7X%U%(1ST6$W-Qm0k$qmZQ&UvrhQN{5jctu392@oH5x zka;+f&oqFrHzbX|5lZ3yZ8Cxh{VFY^3w8Mgwp2N!;B=`FF81k>CZ*!bGQyRqL*-91 zJubfzBK{W@@oF1pi?c1$6KH)a`a>#ly$bRj4M&E=*)rfrM;Wd=hgvbxt?ReipIjiM zcZSBajU7(0QLfe*Q&9_v-Q~cSAgF`ODKub5hU=FUxS+W_B`mD-0Atd

L6JwO(RG z5~ag>O5AOJV}4aDzB2U3-un922=1{ zr2TC9R5`Z0jJXz>uJ?Wvmkzeq{3>%vjV?sR$}PrxYhE_xwK+cBK6^JCdntD7Z+X=e zA3Y|YO~q2=##OhBj5xEURW;Pz?iTx}8D`v-y0Y7e8{mV=pvQS~RO+q*BkU#RbUV|9 z5^grQsb@%ihnyI9jRFpkE_TO+FCZjUd+FaXg z?3j`i`oh5-4)u1Ob9!@*E?0K7>5}I`x!C#BS7;ZhvKva0-O3BEt5a${Qhcf5aFaFL zL^vhsN4zhY_*kr z)~37M^_dFYK0>D4dpmcOhT{1BnEQ*=qz-2%tw6Uyxyf78Plr;uC#^RE4`}2^yRwp* zCPT!wr#!JwFyzTi%#8m4bapsj*QS+wzDjEGa0y&ClIhZfpS4fhWnElTjiZen_Eq6^ zuQ5&E@Ap|u+gx!?#zSiHsQLVyL_HAv%V9bEJmk5aOQh z{0;&$#O01&bljWe?J-=C$XhX5VFcw0t$^!wB2oX`0ulCdBuj}Bpig~5Ng5`)AiRYq-1vno3o0f{Kc@}}fFl%`SQ_)jnL zuVhA6wtgk*s%sW=*Q(v@g99rCHW2|Y=rqIl|)3BO`=42{0oFP@;rWjrJ7-O#)-GXV74VXk~oS!S?Npc z-TB8YcPP%09$H6**7K3#>GG!RouAb+i7ZH$HA(QGXFDFIt}(Bytx#?)j_Eh*vaX=> z{AzNo(ww{9Cop3%9SO)8fWiW5h~wuk3+d z+Tj+KtEy)um2N{|{{RYZLVHY@31zgGpgNBRepK`Da$rS{V#AQO^y@uNJgVPmopAPD z;tYQ6F%KgFKyuvls1`dajJ9G)?^9;z@DCslTDsVKK&K#yukr1-RHGp|=khgog=x5Z za$VaDqDm5-IO$)zK;Qr)-y5EnBV&d2dB}K^%_Y@7AZ=AweQ-UYg3PVXK{hlIE`r=>r6X5f3Pjm2Unf~D%@HmfB0V^eV-Q`Z5} zv@iFH@6hj&Ua~s+WT7R*XJPzmZOS`(Q!ZnD$+9##-BlRt(ty``(De)dlu}o*<=nHWR8h;9H$fPDcw%y%J z$LlzHebsBWDQJy%w*aRQ=-1cmjp`km8EyG*+(hXLXkZ`@KPtP~ZsifU^7zPcc<~^Q zUs}2;axLobG2Dj|JPLGX_=|RC6#d&_+IKAQ;xZ->+krZx7vt~J+~o+*LY4GQixMhTYDNju~K`u zFU*f&l9!%G!m=AbuQhE`Uz|*|g|vBtN`DGSQgX^k*nh#Ir#D$}>fd@_2!%Mxvb-LY zoRi>Ao^_3A)Dhr9tAIHL>d2;+=E>GIYBaDp>Clil1ko$hm2(-U-a$%+oafe@Qi;jm zofT)smpohaqUb`nEr8ild1kyM6)k=&8tYJl+3w%Uh~4KOXB6GKA{>_nd^TG`nQQ=^ zKNsJcxy0j2ahUy=dDSx|SyEJg%B{DA$O&#UU`&jL@}(TC;x>TS4skW}A2T`G0G*~_=7w)oLr zb{4kC^)%I*=%$8BREd%P6}6sXp~8tN{^KQKj`!WTxL?c4qN&&7TrG24;jum=d_5}i zP^P4|^N!pyOtuE#C(u$aR{1fm5K_RADkpW}NNFdj^Q()~WGG2W5@0fuvCo|<;RalB zF}D<+;Vv$ndU@$pH3~$8+uAB|x7<+!N|DTOR|xSgk=k}H7a7U%GKUlM9I5ME*zLrV z6oBUsWGN@>Cao~w&&ikIks-+ZM4?~8n~N~Rafu+96XE<0dU_i6lH?br2g-YpNInp8 zns$3`M4xYvfvr(nEx5r~En=mr<0HGX3IZ1&Bz#BGq~0Ml3Q{9Jv^fm3q3jN$?Nz=c zg>a+Ho>M5EpFfpKiD@ttBk6USa@+8_KFBotE=N>JFyol+x1L8mD$U*9j;S*mPZ_6J z{MjQuBT%if;xgK`@mh8{_ilWue5s1z&1OQ7ggTI-;S^*`jUC9-M-7>9{-Uw)taLTp zrmYM`MZaHqbv_h-nX84yBv{iSM^f7=LUg^PeSCRT7^!V;rbZ7913H#ZUzI3Y(F*8T zYrHKbvX8iW)U|NjjI=x$TVGitsPw286(lBn)eScHd^k@+qw7rD&q0 zN1aTWD2Ut-5+8hLjK3t%ZfrC)-x8A^RCAQ5c^@NAK@E5i2B*mIwB1dbBPL`f4-8Fj z;zzCzN{b1GT$HD9q%z+%xP0lCxFX>3kKSK~-pXtB?rKWWttnK1!5>&7%9D3~C$p0F zp63QalpJ^-N1oZP=ImD?y#)&5Ms+-w=j%(9)F&guZ)a|@R-l!+Zns+=nzoxNdDILB)8woczUbqefvGl%q(Q zabbQf*K6V_Yi}I#E0R{ikP0^FY02-#e$xks$7#7QDLg=YlTI|xfUtDB(uN96ajlUg zA(E~elf$ZQAFG`u4xSQNcsf+t^>LN4#!u^~7`66Z>|S}rKJp=6UP?h%Gvq2EyV|Fo z^WhAl{OLa>W}Y!uEw)T{IB01hKn@yu)K=zOu8&BJ(wXmZ<(5j4RjY6*F1=~(5DWa; zA1IlMso;pWN`O|YFs%#j!;rCq#aY z#r^8yT_#;$alaF2j?xmJzbAT9g~@H|S4bT{y;|;9nafB>P9nidR7c)vn9%MIwG4O| z4@Am|^}($BU3?XMOKukb0Jej6%apVwUMX+PiWGkA8g+*6c|wTe4&th7xjgIbhK9Ae z-khth)v%{+v(+J$Dr#l6;|zuL$68x#_NwW^&Qr#=Hlm-A%}jD-;^8gXuvH1yoQ2}w zsos{%PSKw3q=aylXxm8T^{Iv2E(lr~mfXbmdrpOFNzdA;N^NTmIU!D^8S^x>sYN;0 z;s%nG>EH8vrz2L_ z%_3_vBB6vjRD#kGkG~Zz;z8iKc4!iMp%}@ee93LO7w&oFBfdwpp&B+04FW6rozQqu0pP##D=8?IcTr_zcUyC9_wi zCCFqsKMk=F8>vom!1NU+EzrXet`^|TV)BRQQaNMiPPny4ixIS|jlvm5k1C&YyT zim}IkN@Osn5Ol9N^feh!BSd=hXq@ULi60k;^B;9w;>u30@g)jt8QET6s;}0Yh>9J0 z*4xqh(y^-pkg2ZRn@mUoBaEP>c}-bWA)X{xnsEtpZ75QK!9OaJ-MTb(T0@$eeU;~0 zYHa5M9zw2Y*MSS}|U0Dg6IdTU+Y8tvCB94(0u5~Lt$z%obO6U$C2=l!lcY(PVV4)<8V*Hy+cll z(pFr=W;Z|V(@?wb^iK4i@%^0Cwxp&iqADQ_d_3{t(^AuNw7YVUg@FcHjm1Mr@=&O4 zIV#aE8XhHxQ5)bXA22AB11c*1rS%`cP-#mlZEx_Ck>X0c#Wd6?uFHSB_lMBRa&UvX zzX~?|a9k1yQkD+e^%UEyTT=V;f-_CW)vGESa}*G~ASI7tdr zXBg71e7WpuE7BuA*jws#u(rs`PbxB4kre=LrkzrPhw7&oU&PCWwczA!N)*aCYtq3E zI_8~#G+S*d)Y`O=N1Z<7u^&Q1c`I8a3V4{VxR*i{l7Z<-`W&P83gI~0tSNt+;*E_Y z{q&^Lep}J&x0N9B^P(w1T)bSXIMk!?fnG1wOpQBn6W7*?ki7~%EY-a0$TEKJRLph3 zi6tzksfQM&YDqsMLAM3Fy)7I>+t#=7=ZxnWrMBm1tyoI88FTp4uC;Hlu%MEsm|EY| z6HY-LpS2R4DNAj~uygm)+iKfpg!=FTT$1{ApG+ERO01T79q6BW)9vD#wq zw1;1K0r(nR$E`M5g_48el=)I~$nQyM?##d`$lts>x9g-h-MY;tK7}~a6LOM4)6R=4 z?A%R120PQg#*cM@YeZ%Kb%kBu3Qm0K+j;ENKX9!o(J|f4Er{?ULOIU>-5yC-uGEFU zVTmifBZ`NbxH@t^bQ(pHG$#nib=$M8{n->Ql5BBgxQ93eLhkd0B>d` z7iUqYJ$)(ZE4DQyu^CQi{!zN1QP!d$_El`~^4_%FobWH#=jUGL6j2@352Al6T^J{j zI2#{InQoWaC(z(uc74|GD_0}(qzf!Em~q08+Y0SMnpTcyY;vyac}VH+!*Mv_C$z{Le7>zeZo77R_KC$L@m;+kS=2o7 zy*(DoYraBptZ`a${L%sYkHt($zS}!W`d@KPwCZn6xH378TU0nU>wjpYN!EA{i=#tt zB5*dNKJ^E*jzNwBoZ;Og=^kDhV}%E_NpLcb8j~@dw%esQ-dOD+hFjOQ;yK9jIOj#9 z3$zU_nH&`cpKId+gSO+^qi!fEZ9VokE?Z>nQ<=F(?K^W4$>XJKV4Q7J6WMn=S)gfc z_Q8+g`DTQh_wtF!FDET7LYgEGN=qG@d$kCjgz0oc;OS8EIog|-e{Z#_j)y__tudn~ z(KO1Uz1n!wT3GJSu@SJKuS1$~>Cg7D#*#I-dxJWN`GZ~93-VHdrya!~$DJ~k=XRb< zRcCs_C@_N%#P3Se1K;uH9tsY}0J`$*h^ zjX+Da1bBTnUvZOZb7#|ygcy} zAvJW?0Q2;yQZwOg!siMW6i$(xWc8~|$ZBbPNzJTjj?z{EPu9`~H`=Y2C|32)n%rBd zZKiXQMxJ=wj-P#Yid@S=ph;cA5{xV;DJN37YTRb0I=Hi*dNtNE%t_11ZCph7P^0JP zOsY+Q+rk$uDdOuqQH5jU{naf}tS&Xhc(&nrz*_5t0q0gLV||~H+blG*TyS5T%yZau?dQr)?QH;qFjv~;H-%8=BLqM_E-%ZD7P zI0`@d(@lhHTW_3jcO)M%N`oMt^3lRV(n7IFjWMw6J>Ay|6s!V5eCRh1MyOA;_0NYS zsUe~cq=WMmrX)4Q?<#&=Ovxc3gn^Cxx2GaP3Jivj=8~-7k)4lGMwOiXZdIp2LJ_{a z&^g-~1X4A8AdS6q- z)RzT8pTKqbQMFH7NB}m`(q8= zV?zI8_9Zf~yO&Llq>X)UDZs3WCK!q)P5>cfjWn(w7@TbCM0 zPq=j{2u?mDo|MVssl{*xw$!8@AKluP%!22Eg6m0TU@1x+25K{1;pYk;2@Sfmc(&)^ z@~DhFy$!tbTX8`06)q5dQ!JS71fk~|D)|g=gH1;#DOm_%)qQW!SMj39w4`yJYC5E) z)ikv0U&D;`Nvnx!TPpfWv&L`*XEhdlyQ<^Lb?4e`2yvx05|P(FbrsaP3R)h9hZ47( z2vSq!gzZzLTpaGqLP8x!aeXH%)G^Pfs9nzE#oeZ!hWzkiK(T@-%M+V!%L~sl9D=f7^m+S zS*9v1A-DU)H6*yRowNLE&2WPwO|DN9gp`!Dc$x7N=TVUR(v*VskD^L#OQlEeFdero z2x0dmHHXL_2=x?&ARZ%lZ%>%=`Tp8V_E{lOA8At%)#$I70a zY?H1*9m?Tux-fgX{;Kx|~lxh1W5RV}y^*q1H7?qw~u2wQ{doz4c-(|VQ^ z>cSGD6U@}>GZ$8FSNO4=TV>XkojZ7v4vg(V5Sm3{>RAn-(l? zC^8@x0+jCE2GoHb!u%KbguL{Y@E7tF&Fey2?rY){pMhU7@}`@K1&HzDNkhu+=sSdr z57klo;||SYG$~BLj4+%&_f;RWS7)1aw5jVu&m`}<3Dbgpbq$%a9g%MD>@H(K4P^*W zR>#k3V&`t+LrPZXcWFx1p|x$-t|{4!$#GIsm87j&xA|3$4Yj#!yACrfOX45SJe(CA zd1ke&Ms;dmc?Iae=vn8d%8RZNryW^aiuI%AQ)5m-)~NPZ7_yP~u zTo_&YKMG4uF!peHkB6NuYdxlmKe)o~AuO=wLn_-FrkXF@8$)qnlnG8ZoFN@H+?q&_ zITLj!Dk)`X$M>mcuZ#9lmRkW`6iozx750_O6v*e7Q=i6^yr~OP$#JzD)wehv`BlJNNW7{D|7a3czfsI6SIg zS|+_YvK(XC{{Wl_>rHnyW;Ww(@Z|T;h^x+*-r0TD+lsevz&gPkh{b7$)!oM%k&gK} zS;y$qaNx^D^oIwgYIeBYp?wdUb-? z64@d$8j_~_?3co|9-}^W5;B&V8ITgV=@}~Y9(8dk?EU=_Bor$lKm%GTKXIqOho+`BxBqe!0U z?~SERr9837e%f2Y3_CD&ge@@4ekU=p$Q^3)8T)HDMxKTW3nVf!4QkJnJ*v2wLTlJteSa!thx%Y&wl zna4Vs{h`IGBcv}{B_lysT_JmlkZ)7a#A6t?V@oJgZ~XN6R8Qpf#n};tb;3fC!)X47 zzJ{SYDf1naYs-supfjgnNaQn8&>d&m4K&n@wQ(*2qH%=%)ZUe8A8d|W{?FM_;!f=| zXquRTNR-3-DP24@`NX*>WFH5C^#1@Fu|Q+AUd5H6OOnFf(AxY*DOn#1zIAy;t^V9lvD0u8I6f?FvG#=} z6Pin`2(F$1PIW6AXXa_oWVP8W!$ROR%Nhbxlh4yT*A)*eOHs<7La~oQ zLNv#bB`8)~b;idN``qiD5sCpGvi**5r*0Ecc0w zr3-T^@*J^B&Uu`E6|&ZmpQvlZd_1-^+BezM^rdlIQ%Yr8l0vzPw*LUVLmpmr-MieL z?~HIu%G3CMO}4C5hXfX+E%a$asw5Qu0F@#4B1ewmQ>98^d|x_pQz+Kr^tY^ld*BvQ|C`cuTgPrKR!YPLs0UR0$ewhLv~ zF}eBGw&MaIYrCzt)5gJXZ!Wvkh|sL8!g}QD;!AtJIK1`P9$%4&tO$(;QKMR8Cvi@^ zxv%jaUbCessF0XmrXwlBw%?1bF9rRub~I)`A=Xw>5R^w_N>T?P1x$-33l})E+YPV1 zAmMo21CB>MY9uD@BHs!gRMcjp1&p7WujtGF0KlX8(Iz>^tOS4cSBam(3V)4kk;BpD zkeu}%Y4qt|qp;`8$P?w{X!9iQr2Hp8xqr5XONR$yTVk=}KPFhNOaB1FF+(9k=F4f{ z=AKkj<^KR2zi6O0&U60&2mUib*Wt)%mmA_C#j>D+lh@_@D@o3CwmF0Re{FcQnHa`C zq=f$f^;7&K;C-UfQod&bhkYF zuPeg4boDX{e3UxWH|_A+TX4HRw4yYh9)*LjK78xFA$^{C8o~$`Z9Dzujm__1p`kEC zU-MpZw>^zmP?liFa#V%KnEZ5MDo+yuK!0Yh(v;|WMXE?c5s=Tu)~sZoKS~ZZf3}0n z8hqU$mmX5Vk28m?H}_O`J-Z(38&Tmf(>ygd^Aze(fcg^&mexFxpj62UxG`;;Dq5Ok zy4lpcbV(eI68>F0GIez(k*x~Sr6V~M2a6oqQcnK>Dq0=!Xxu2)F--pe=d1mdj+O8$ z@T}&Zk8zUpW}hvR>t$H*oRD<+(N(=_ae&f7m6hXHTx#DH3KhMTyDm($rauhe>*-D+ z_R_oLxZFon;)bqUllRk&Tiy4G)KH!(5|9Th=gynsMPrYSUFnm*<3*VWOL9^?Lm;2k zTwENKN$(vC)lj17{Jq&DDG(}gJYB+sR8Goa@5-zM@%UlDR&Dq zPBR$@I@H_vR^ux5xv9P^6KRgS~lq(+Kid@qP8-(1N|* zS30x=9|n~WKQ7;p=gP6Z2T#e4zm)C1Hlv@(`{_)uRF*y$9!E8LCW2DF57bJMomliw zne#P~E=U~#Vank5_p}|sR8{Tw^roVtillKkI4%VxXvf4p%`vCN^!Jsuc(bR^@>}|j z_Kyi3wI=4S*4?4VRM(p^HK&Gzg%F&5)o+U*wD%b=xb~NLr8)|K4t1XV?s@JLP7yg- zN)Pod{{RY)eRs8QvmxcFG8j}gbfX9RK2>UEyV|Y{IW@K}EXj=@hotA0+30FXX*>sb z>QWqR@;Rcz*=^+RbankS{_$QCG-D(U!1W$zK3yx~#-aYTApXCIRy7^@d**+IA0ET> z*r0WP?<3G!vaLT4!T$i|=klbTUl)=5H~ogU^7}uI-@2{nd6u4Q*=^;urzs;yr)bzv|a8b-!Ht1Mfjwyasu|R6+_3F@z zEy-(Dyg0WXdMHj*SEyib%AQ5WQ*vO=@JeyG=pT;ME;jslhF)nrmMTezSS?GrwG6Pf zGVc@T(xWM-V_XZ*Dk$#1QPIpR)WWq;>acsBmpfhvh@LJt5Z0@NjA@S-O~g zm%~ntvXe<%M?w%*w9Ss=tt-LiD+@?a^{8QOj_w`>#Ocjkd-rsEQz|HSIupxD(wt+@ z9I3QvR~ZiH3FoUj{n)6E$pEn2gsstx?NeS=%`?*EMR!KpjCADeGq*v#9D)z1p;#F; zB4C8M?nS#>+-@sG1-N*h2q(_2w+oGmMV04!FL29J^pbWQzEx$r$b$hZxI@gT4kQ&V zLwZ%sxsjzwW?7RJv}th~Ht?yl$bx@WE&dD`uE)6WIPEo{xRLdC+K{$T7Pe#|?K$Uz znoLWiuFlxo;_Fmm^3veb%O@3Mi)^|zJ*MM`t|6E8f_KM3=R(-)1;jj+cajtV!A70D zjX@FFeWMN_ilXzOTEF8pwx5Xf^sU$EaY00s{{X#AVCo!H@0xTFk~M9C(ye-Nq&9pb zru1?8{{SW{6ntGX%DUH;ZOb*E$=5Z@9V>svgE7>5wsbnBA{E8oo%?B5lU)n z3rUwb=UY?90~*!m=TMPvZO7xaG^x%CG7?7B;|08Zy{X{Ksc`5cz^v357gh@|>DHt^ zjW`?bb5^B7l!e1ZjKJi|By8avf!%%tcYezHs6(`~?C*F_XAAw|OPc#pS||*2(T}yU-`1x+78_BUTdF96pUt?} zp!1}lolz96C@SXKzR9h(>t8AXY5=I=H6zs(Xt>!fA&a-MQ0R$noS>)NDIXS-P@nB1 zmxmvBh#YI$j%f-9!lDnQTvKzK$Bx@5ODU5efRd%-Y-7%?ti1&?mi_a(=vw!2m1i60 z#g9FzTtG1h$yy^JNAqW(`BbUi+O}BWy7E&nPG^XY@r{Z+jw!eQ0F?2rNi$xU+lg-p zb!z9Qu6}hP#y}!9t}2u>^>ik?-Lh{0g_P*inr`KBR<)`~c0#oN>Tg8n47OY=N$#3; z=aNlq4PWObZNd!OLE^@Jr&|qUs5-jTxoPcGyPtN$W&#~X4o(j$b>`Oc(y|vVMF3hs*XG< z!-?5ExzI+nwl`i9dDIPu=K0ab{_Z;^!HY=Pt}@68{`PmrjCnoO7Q4H}5jM-}$n;;jJe6Xs7!hWb?J zcT2)Nek!t5oaZFipXu3nx4`@PI>7)YUa0CEO*%a zBEI&?@)_HF?b5Xg%5xofXf-*vl>Db=6eXm#*69OQP6s}9XF{Z0GjEis5?^8?iOYES zPI+(TQl%{{SOc&aL@@{{SC&sA(Vl+JKM; z=u)otrhH-LE*vEdhW`K&AG)F1l)TKCaN9^3)ARDC<|_f{l0Ztx=zQwi%<8hnAxU0$ z)6>`X(2FHr`yTDijt}EbC9Q6}-$W$iK3SyPFCCv!;mU>|i`{N1O1Wo!k4h*`D<3cuL1;O1ArjBK-!_h(_M2rq?Cl z?}$00Whhf*f63o?g0+XxxVIKrSK^9dE38e z9*UcH$SBaIV?3%J-`2=A-J@Yrl$@zY;cR)DMb=C!o#FYi z)`wAVcTP0@uR~B;VjPGJHtKZ7l5(N7Ejaq$mOx6&Yti9YJgKSkE{b!2?|57!4twpr zNYbq#6Ljt6O-s5$OxVq>NmO}0FtB>lD~QZkn#!`?ybqy2N&ZKu4u2{^x6CTW$@h!pZNApb$C?^Q+r4?-%H-M3LRvQ*v{}Nys~C-kt7u zNpCPtTT8_{>GmB`l&I<)DFc`^rerz7azBk)Q_LT&{6#EkPOcztb>qd;f7ofOHPuED zY6B}~O8iJyfM=H?DPq*}ZZg!Lcf?_)moeo$`_hTlT*pKDy(!N=_THZsD%uv} zO1+5;E5VP6J7?4OQOb_ka49^-`f@#UN!FD->}S?CUIMe{=TPMKVmjx`k7`RYW3@UO9y}Du6M~M6k+OeF zCZKyd1ZZtZZP_jc$ydDP%-0m21Q>;-I{uz#9|-lQj7C0>5nLkTk-N@%A0b4x+el9= zA+fb(MJgUz1#(Bl)v;bCSU)yXM^re6(6F8B{TT;VIrn7Oj@cX^w9wO9*;!O)PCz`< zStkGu&1Gb_4I8WU{{YIf5*ur1cu-ZfMY_w9h)z2rL+DdUC`xtd=jYay8Z0jJ8$fZH z4(J-kn8tRflAN@MTaP6U@Y1~UIj#gaFs%!H{wBBPwucUnLUt7b-tv_wm`z1I2?%v7 z;r+cQ)|+iVnRuxsrF}&T=R^Bg$K6T6KOtG_JgPrWc#?MYqNVMrdIdq!tzSp|^r{qS zenk4wp-SgklyID6lUf@jK?=r^vYtQvGy@E+{ep1P4nAIp_3KJ?5!Sp4DS3QFkA)=juIi}-EqQTiQSrU%PfwLYml>($ab0k{ z$`ZePzKPVHX-!2Tx0%*bryGA($4>nEQCQsz@dNo}0!CDgBCW;O6qcTGOgXfI+3isgC6ZckrWBta&phjoGHtKBx>TTv^H9@(=hV`LrRy`{pAy)Q zb7-qiQ&Th)uS`IbkL^>uhtp$4ELZz$9aqGHL0L_QHFuL1VnQ(c4fRDh;F3jUN3VBqQ(c*1RveFmktn{k~ z1xza2>`$ddY2N_IQOkqYyDD5Rq_qc#+M~KsLba;i+ilq9IV?QXr(7sYfDeaIt4*a>X76(%scT%;mR2;LnvXg+t9^wx8(dkg zr0Sc&Sy!iARnpgN?8?yO1n?!KUYx=XbPjy?tCSnUf}VpPNE}T-0yABnh}wKoV3}^{ z8gsK-;BAHI&+O$>GET&pKz&{jDfv__tren!GlID@4CsX$i0FpsLI zaG^#yIwP=JaVP3RmCfRXj zFk~{@^Agy{mdNQ=d!@y8c^2!kk?tfk=Ml6M>5c2VE(eVx#8UATl43fYL&AI2TrT#d z`#Mw<_on4OvZQn0KRQ&27dQbiL&<^ge%jH)lq}%Pc z7}`Ti+1 zjGq%R)#dh={3vAjRpZp5{{Y4NlSx;zW+J1j#S`_Azpp8_f@SwwM(T`eZ z2lGcL6tb<=#035nr8bRvkHC5wWtf)NU69s>`{Ek_s3)aIk1D{X9d_P`ig|Ftiqr-V zkC>q*Yit)04QAg3YQi=21e#O#D?~l#Aqi>{#<9PPJ}y<+DE8QGNm}MMw_xcSO8l|C zDK}`b<2lFKvxMMO8I~Z+l3biwd^e?eZyjpwAxyU)Ty$j(HV`?AaGYS=7*PBw-QC=3 zU7q6&4=~Hk?Wcx56%SfzGfM3eU2k5QJGajt80GiWd!td|zWYSE9%Dfy?mWk(MILu% zzhS09IK`saKlTM~u1%-^0Gm#ohL@B^dhbyfxywa`h*5i^KF^G|0)QClze;pJ+Q%n0 z`&=#?*7+b~?&nBK18m!2EVUfP3Q2d+1HB=r>Co~Mg(!RsC-?AdhBFq(Tta6!%7=$FCSde@`LtIDzz#*MQM!c+f4?ETgXyT%nRjS*`)%RZ7sibRDaJY-s!RweyuI0o@}5+OEleRx4lHsd zUskUvi3a-;WaWG5k3eMwE^`2=EA3U>-;$(UJBvZ`wt@*7b|=>zYRNT_hosrtNO_^m zr9Tk<;mtJ59`y~Yy~Ta8;%_{`^rDB}#`vN1lymc>fhC;(0B*D1nf~={A+PV&&o%4f zqN4gX@>MMj1Hz7z;`!7vM#_9t=DB7#8LM^P3?}Xp;ymDwv3y`OZ$ZPsIpn&Yd-=5xyx zEe{nptl%jlepL-Ckg%L+K_i`Zkuh6w?Ax&0Ort70jzWNWqQh<6l!L{9mrC=G59peE z4e)a*SZw`YO4pR|)3Wk;8py!wnrAvszD_bdYt=(F8^xcZrj<;YVU-tHOHwg~AKOjbCCXHpD^ss(DFZ7hJD)Q_ z==TE8y!UYvf)(gRF)b06+U77MsQ~;7BlcE_t-JvnI^RP=5>^VQItVNCG~5>gWImVE z;X$XhCrY@SzUmm)&Y&T*NAIl`miDrNvCet(`|9Xh?oK-e88s>fbZ6z2k1>rijrwVX&PiOX1R$kITRniMmUrY%ts3rKL z^iNUgTu#Z}*_-qwCA(bwH79fHv8WcwLVPwKkXn8_!9fZEqxW+Z%CqoY8c_Xoo?Z)G zw5!l)6^wZF=)wCzda?J4RFt1|w=%4a(tM3gZ5IfZsjjIeJjsdh=>+N=j{K^{CgXRr zT{Q8P#n6=d#S8|()3EEGI=Z=UaS|EhsckzQttf3LqDP%H5XoSuYFZWXXV$!2faPEE zUYP_Xl{TI#)OJd8tk-z7N|i0SE$7{(93&so)l=WQ;#=HIE;4X%ocKtmmeMpK%L!OX z=d`RdYWvg-aVSkFJgyKGqr{*K~-AKOhZ!&2ln(k3BI zwuguS=8-G3zTbp}C_Ky7#`1!kb0k%vi&E#x5X+AyeZ=_izGLNAdkRwxn9^TVg->!a zopUOqm##mQx+Z)vZ1(a>qJd&i8jrd!^<&FvOItWH;~y6@Kc!M`Gm5 z>9wUPnFzL|@mmQ4P*)_K_NPUzQWeMdh7+!YJd^2~_rB0@ka@>Suzq#US`REL-A-`a zS`OMm;3|`ejSO=ug1QMr$Xu{;%7CNvyJ1faJc$&pBeC5`0OBU2Ts=HE>0VZT(W`j> z0KEZIf-|RrjB2Z8;oEq-LW?xXR78v`@vBOb&7RL0mwVb;7gPs`S1_+ym)Y5Nwq`Ws zR#KPa$x5&h^|dGE%DXpfW!Jr-Z%b;D%SbBFJo8Qku3n(85`x=g=mDPgGNj+{7h0nT~UW`YNMM3fB*P{yiQZPz5XA>_Fu zizi}r%K>Q8VWx= z_HrBkE2OrvX%kEI-X{LqI~&O19h^uDa%}L?98tE zv>b2HeCk(n?BuZ%fTU(s-NokvAv^NTIcK}}Mp6uKbo4de7Myh)g$r@)vVDu}({An_ z(pG;t#LldF)HqPP6*1>n0jTY@cUH(Bbw#y3+1tU4@EzIVdRGG{au2Ah=dhv2KzV@F z**?=Qd`cNOBhIbQ88KxyHPpD$<0$e66x`cWi+T!VrW=e&0mjs&a!!?g>W}=Y?9yLupm;qBQn9+2JY%?hmvh>V>r$-Jt>IpxnrJ% z#V?@@!^vO`!+AX_N*;@C{&snl%UFwoPMm{Tn z;Jkt5ONh+E^R29>4LVM0PrsVbZc9iYt$k`LB}|gi7KN!nm=Ph4&FzWSTM zbG$}Vr$W{Bg++}4(*@a%21R@13e$t;Dm1H<>5?Q!lpB!|_jM!<@wc66LPAH76rB0~>T$Ir8~8G=bd)a|lhZk< z%GRWWzLFAyja%}hsIN*w$Uan~*1iX*s`9VK^%6yB#odIS$z; z&I(pAbMmOHhO*<%1jUSbCp}f`QtnSU)Y++Tye=A&+lf$0xuIQE>N!$fwnS7+H;J-N zJ}$hr)$3d)k%WpAsucRXt@2O)?Nw^HMw2DgTv|lw2ywxyDjhS@r8gnsw4!5(2t9w! zq9rN+0Hro6dXwQI+p!-yw=Umsn;|n}B4fb1key0E&N);D9gy?RYnIfYwPiaYSj9+F zvlkUn+|z_1Jg|2;Bjr+=ZdiE@q-b>G;>Rth%i}Fl2~L8A9P7^DkCkFRhCx_Dd%_Qh z{_14`z=;H4w0!C2l7uN^Bm$na+HLmqQq#e#T{3;3WY?Qq&Zh{hdB|DlQNoWyb zT+Ec8hh9HjPH;&^w5Aif5|w;Q$0{tP#@GJqcxBFPCS^vmtV=D^G{*YRRUM$~cZKT0==2=gOhYxf`8{**I{%)v&mr zJoX@uzN1NxbiG`p#lt{*5qu&-df`|Z6?B3uLL%j|8(VJiP(aRezpX@4(uUB=nU2e7 ziC3miwce zZ4BpgiweEaNouxza3i1Yt@}fsCpX6#oDkycA1BhCB5kb_) zwjEZOM@B0PgCha(`|&}T~WmM9YDf)eQ&yu^~34XM*2_Y$KBR~8bTXD(Kkf`lUwY_db z=;FrKGpRqNO-Nzu ze0i%}fcF*U5E2Kk(Xik*^|m7r(pl=&Z8d5~04mI9tim#8JrLr9ekX=X^-T8D*W*1K_PeTb`*z(f8Q zG^+p;wt0%ZHi+s4t3{(umlMpWVE(F@rA1nm{JM?7`+Y4}p+#;*svEMnhgcWpZoK>c*IrZcH=zTdPF@bgo7BCL&fcd)rvwiC;6 z0R&dA=CtbU8l)>o9UbRakD|IX8!Ut28x>%Fx(Q52hK}EswBU17c_O1kvVE)QDBL{kvUUN;Pnnc^Y~b@~6JflX7kR%8KS0X(yQdxi#B)E?%2u zbNEIqrLRg{CnHD)*0h%0QbGb!pvX`^g&;;!nue$-Y^RBYm37#9Rj4wJ4SP|QB??c8 z7+;8$br`42Y3$tD)Rwr64QWo?s&kg6+-^^LZN#m%$~Nozsh5^Pxc6^*@^{3J~Ha-f~{={_7Lt~?c?aoS6&Vd4E^ zHvrQdKZvy2H2LjMC7AD})+U(E@X~g0bRM+BrBnDa+I7V>#ZleAh>CH12fMsj=3q;y z#&-iz^BdQ^WyMWigst5>HLv0O$gMrmK`g$gdea+P+;^Fxl_Z1f5xT%hK4-b3YU3_C zB(k;?#E9yQl!dl^MKGe&bu$fX45NeMLRE|>y+oi1Io+%OIwx?cj;SHc}X$CwuoensrQK3IsJnBQ9&XJ}fiXXyxb^Vm5 zqD67`+u6dD>?u#b0!tD3IQrAJ^%)6_@|7(dNZ}~>$C#uixyj3|7um~4#F5O`73h*# zWiBD79aBdDl;lusoD~lZV3FWYj+w?51F7-0yo_du;jO~qz)Dlfr46=9M#IvN&V@E6 z7ZV#-yx|S$mo1Uv$BhxAnF@6SBg<}QHF%SGinYEYeGX%bATjBu*UqI#n{h6>>eP#S z(BBw{MRhrvtWIZiV-FPKVB_ji#=9`~z zi3RBVCRi(6l;kVWb*NY$^j7c(%D4OLprt!-kjp63l%Z-FU!WaoZNbYkHj89@y=;!} zgD;*=tS6ty(6TuNGj0f19rk0LI6(fhBkI?AnuVD0)0rLL8q`Nb`O{8Ae~l7A)XqL(rr-$0U`8fQ1L>Gf&Wh* zOJ$>^IQV&-or1o}{l>fKI#Z~kOKaxZ$j1JZ(lLi1$WeW+pd4@VsaFdXrMdR!q+z)R zQW2?G+zit*Ze5pf)`2nhIxz&wyw>4mr}nnNq}T zyTz){A><_~Y3>3-Q?JsH{np1i6m4O(KK3vw?xdU_b}~GTH!4G-8WKTaVHr}D@~;7OQ3qDtua`?LA9iV?TE7)7+55O z^Ikeq)YoIjX@`7Q(y)93(yXZ#^w~a=@)u^?T2?-{-YR<*#K*=^?4CP>Wjm)&-$9ITHlQ;;sKmgFE7+-)P7^9RbKTcE*_CSgN>AobE^btF36nA9De&1xg{$2ycspwyPG#CaUlP{{VWO)%TV=T3xh^pDc(_U3XHPtDitO|oghVkN>yssb-n}k(#&Q)% z18)yc$R%8!N=t`Cc0RJz{3*$uuz4@WOFFG?DSqNIy+@r)vUW%&;{D4mH5y8_A*}xZ zS)a2>l(`Pic4MJ^qMiL}{$5BO1zQcZp((JnNN+MqT|)l=OCPeFeLy8nsJK#rflB$( zepT02X*8@+Y0;?)8`rb004=5e07Q_#*nMd*rksrZ7FU?^KD6Vi`hz&7Jrxb>8c_Q! z_=xH=n&Y8~8nd0o#+%|aSitv@W8kZN`(U&W9LMcwGLd^QR@tJ*IhFP@eHQATW38I#lOUGSjcJ z(iPEPDw6br`Iq~wQH_)G6q$Ehi#D{%UlEkgjPTq0ys2)t+Dk*hv})4YUHm>8V&4s3 z@JvN&v>?L)M*-ag#0XJ+W6-B&Sw5#Th#D_lkVQ$G_5- zH~S|w5w+V=$Yx5OK#d{5rLA8swRpRx-zzV0+HZ!^hLMk#r6yC+pNjJ?CDuf!NZDqBQ9;(2|~y4b$=z%ySkxgLao~MCs-hbF1bmQahw< z$%h&)ppHe8onL)8=!F;+-J80E>Eu!{Q}Q&nB{01YJmi?@h@Dsjl0g;iLduy+hY7SR zo&`5{ahE&PUehv`h^8!+_xVrjVd7$!7_m{QwM6dqqHhXw>S&X+a*6HK9CWc~D8k=c8a6`UMt zKeD5`EziDQPNlZm4pH-{ugZ>M_m2_~v%UokF935+D7%75Mv^nNFsyE;6Q{$=dLXE$ zbApps5A9;U`uSHnNE?-G0H%;Y?@x|2mHPR8)bv?RCDvX>m8Df~YSZCnrJ;J@l_c~RSCFBmNPoJG_xzkqbQrT0|BS3n1 zz!*m_7W*E&>e+OC$K-IQGTAS-l9tjHz5+lQ#W$ytkQAM%*^{0PG|=09)O>||PID-g zAq_Z-Y#9;#+7Z_T{&9b@qrkSOEz5X?TjPVTU)oXoHQydmrQKG*j%2!X!)$UweJR!* zPNv;Pg#%Jzt2%Y+X-BF$R<4|@W1Z+@%CoCE^r7;j^Q)A=?BK<*EspJLU^x4VUVQl^ zVx5NPdT}i)L2_(v67%w*ZMb-wR?(%Nzdu@4y5OxyUxGZN^@ox5rAJJkg_S#(NJ3Ho z=At>`qcXP`xJH>DR9RNXR8*${@pI|(rlv@_vu$UI-6ILDsZMcxyd&W`9O_I72?S8d_j8bypF@L${OW1$-Gz?n;OIlw z$EC>s0CfK9k)-o{@~?C_iX8%TS#hPM1pH(g@GLLG%vZeJ5O$zYlmK?XH5mpAQqb#* z@1Ql8G1Cg^P4E*jEo#e+NdOb_#UZ$sYlJfBz?6(@SKeuB0zeA96#*#w&xfrK)@fxb zJjfeV6(%qxQ@%E?x>k4lA6Ar?t%XNfFBf}A3z+prY9brEc3n0$+1G7{!eFmRNXk`_ zva7SP?h>tK$sMQf40klMsh!oOC+&>>s<}psHHVyrr8459;{+$lq~0#+hoyJN8fhzO zD{;&L%QVEc^`tuD)Y}O_2upennwa5wavSez{-9~+`zuSG#48>a)JanR018tNv{`+u zaT_%cQ7H}fm<0Uvij{G&yA9N({V&^PwXa?Va-Y%_G9#BQ5AdFRw)0q72|J-aTT&8~ zg}UmFH66Cx=B&l0rVSDU>62=2Tbg{*4_avgUu~PrrRn}{ZPSnA`zZ;KoA6e){CDEs zzlZc`VChliS~!Wv4_7MR>p8NB=qZ&pl?Rkgyz-&sO=X_?4uyX~!B^|)PNfpA-yY&M zoi3l(O~iFGZdRc@UG9ysZ6WN0sW>?JMol|1-D{SgZAW(6fb&Xlk*_#Mt^liriv8KS z6EE{;>+bwCBmk6oui-ha(%%bwJ8Z0w_<7nfpjT_abPK#o*YDK{d8j#VDlafr|}fj{X7$Gkd_-K;dQq^ zcr~R9LRI$4b3vzG;;Zd}ifsti=}U>u6`r-?-C=ua9J5y}^9#YndFGFoS~S~^4NO8$ zcvwDtD{jA&L+DG*o^80Cif(0=rkUShN80FW2WKp{*4Sd-BU7`RlA_X3oryT< zNDQ*mmja)p&r@SsiT#4TKO;934;*Fi-9Msf?g|Rh%UoA+&{IUkR+$8F)u}k&ai1@~ zml{h-ZS;Iino!Rz_pIZ5ZfF+3E;#6E8TF}-UOUT@lkX!gJ7pP5dDMjrj|tm);aRP! zQk;t0uR}tzw(>Vo$@p_!&gB`%%2IhaG5ArnT#ped+9ba}Pn|z+xGB)zvt3JbP=b6v zrHX+XIwd8fA!|vL(N4tl%@E^L)aABGk)|FzdVt3>zA?(KPd1qeYrU^hC5*hrPhT_| zI66`j(Hg=>%j+ZdRB&$AhL0b{hx;O3+w_h%6*(;4Y=jlGI5vL8uR;F+)+l&QN!7S6 zE0s!260Rl?c&H&b8S|9-3`2E$rhO45Qk^5slBpoW}I%g%S8(?c#V;29L-&P2*W za&~4(SAj|K3W7CRmgjx(H0x>(bNcG?um1pMUdx(HMjCZakCOV; zrtKfQw;tx_1=GVin&*$7E|qeO+~y6!(%6?CHitr-7zZGZM}Ab5U;97V`K+xW#Hs0P z;*hPvNX16DE3>_sw8N6$dD4XycvhX12H0I%WTdFP@)fA5S^BD{m4dL`&MMM6q$_%Z zd9~l7LysL{PG!|<8|NSoPL(!8AJNm`)Sg9E2mb)(q5lBGQ5fv>2`lb*j{doKcA4Gvk1c;v znnMojAt8;dwSD2iquspZR$cVMq&7Rs*a^;#WYw;3C zT{*>D+L0pcp&ZLVamI$Qmy@Iv@9_?QeO!g+Jh@kgq%#r=Dub=(5Zgc%ZOb^`yGJHv zoqx&oWLubW5{Vn-INO#pnp3uAv}PVM-Ala|w|0hlw6orqc9&BI5$fX9VDNsMDjeTa^*sEN3*FrBqg@ zq^o@LG+&hKji7T|rvifyTq3xHok0q4SB3b#dpaxV>EdsvQU+;aFA2R;6QrqIo>bt- zQ>6H6=ubW=%|%p|Hij~-OLyVqX)HM|+UsEt2iZUhQkGAH2NahTCej@4?1!+FY0@*S z4_ciyuYzQ=!~Vek0GOb9j8}KP%}T8C@=+m$ks;uDAzcPM>5k60-wG-k(QB3w;86Pt zKX3NZs2@2lpZ%jv#bG__<0oj)!nZ!B$s(>OwaY~BY#mc=kGaEXw?5Nka`p43;}o!| z?;b4qEh8G&`~_szYa*@@)B)ERpoNQ{=;TJ-{f%ek0sO zoOuya{g~TQTY{3x=SvCUDF>ppR}ZxDc;vpm>mj8iB|z`66ql^_D~nJiq)BbWxYvkz zjMUd;vbcA6uHodopmmecR03Lj4tyq$ykz=$pwvw#w&RB>PBdUJ+SB(Bt@5e*obB|6 z_>Cut?C9}W<@J-!q=IqpPsLN)k`h>6jcPCG-=_FADGsd(Y&{AW;WhrrI zRF`BVFMmLJR=Hd-ods_cd$ED?6!!)~OY4o{ZBo>72=deAO|DdF3XsD1sasEg56?9c zJT}~K-Y9$L0r4!-qg^D)enU(t^3yF8qhXwCJn5xJZ-C0~s}08rCv75t&izFb=~nP% zIEMS?>2}l<*x&7aYD<0f_ZsgW1g69qWbgG?&YQI`n6ePVX-Gttvf2^}I*+dPG$Ffl zO-xEqnFcWn@Qe*R>;(mO+VysJN)@FnTcflY3C0KCP_3|T^PG&sDT-rDii99Gk%5gp zYRK6seHnXXJ!NDkgxkad)=DA%FFPcD@%TjVzTj}@IK>y>iFThNt2(IQ3^ zo2rRpA8lCNQ)*xG1^)nktS!ghWDUBHDo1vAv#uwI-rb&zv?O?X>gPjalUOUr(}74* zj>j|`%8Ava6!fB$sOc)ohG`niEjkbM{OJ8%Y0%>~C3wH-w>J>9qA;x3nXQJY9t z5g5++Cp%YW7Y1i$n|>tcTUulk=t>H?sCntmD)%Dmd1e*ei>i|tvpH!cIL=TL%vWez zoVkL@6*z?YZcih^Iel&NDc@r}hZ}K_OknAAZ$%!ohtcpW&YL=ssW-FNe}GbZIOA@n z;PRbYI8T8|PW=8<@>@7OW$r$8YI(I4JfW@NO?hXfE84%&g2CTB>7eRcN;PBK0|CNz~JWp~26cF4=WaQ)wSTx-CNvkFu{NkJnM-LO`8x zks-vh8I=vZN5rooN|zyKO!vlLlCik`6!|1quR~H{8$IemA<6EtCB%qaLRI%rVexcB z`S7&mvB0eSsVWwG`>o?ES+=dPkDjVwv2MCPvl=>1VA9#eN(1%jvMI?R3n~`Q=`4-i(m8xc~elNS1-$xq^ z{c4!v;<)oJ!FDeZ3mM;R$U_N|<+s^uNnt;l#-GOnom*qB zG-rjp79+N(`PiKA_O~?qT9km-gF)K>)tVV&h+(PEh>Uo*rl7Xpc7AQLH2EoxMzsQ- ze~(&6XQUv^X{5UU0Mfu#0B=8zX^C(ZC6zI03P3BuK>1YrrptBv-V5sZvBMjFHLc~h zs5hjjJBo^q;U;PmG0qy%+Jweg$Jj~aYGUMBZcV8!wm7$r+iFkz(#&SD@Oy{pdb2d4+@1%9{Xd&eVRf$Wu={4eI~?SC-rStw9I$iH=}~M-sE_WHW;fT3Wal;ZmWJf z?d#G3Xu36V(>pr=4r;=Y3xm)qJ!&ak#GC1T8V{NJBbTk29m| z4C0$ycNFYnhccytv7bCs5H2BQv^qGcZ$2cG(-j-LTdz$z)2dXZsJp{Z=tiouH(~6= z&M}Po9lAOdPw=wONYSU!kTHBA=SAd8p2vmcCG^!S@XibtKbC+ zM2CAA@NLCuN{n{vNbG~TANOR@U$fP2-)2+*q$|bw#0`xrsZl)Y>b{~%kL#w4_<|hw zTJGM|Vc$Koj)tPSGIFKGlIlI=mpn;Mq0H}AM8vx$N?=M$lGN5*Z$MAl^T``g_GIQ( z^cl@LAiAFJNZ;*aKXo90(=k zWqzQ^z5L}wj{mGMaWqdchp0ObbQIiiSMr7BypN?)M|A@8-$I;PKh!j&fO(RQsTM9+!LJ`R&qL2$VyYD+G4g!1h7cL zMnzgxaa4$^L?<1Nsgn|+qfJ~U%$UP)Twq5-h-W!)5>CnewFk5@C9vhC$PPj;D5b2Z zubzXwTwf`1@$Dj~L(Xi!ksUEkAr|)SE~!7#7Nt7Z``v0IuSbZ8l60yg)`k&>8&~y* zJiw#|{gnQNmfKU#x40*9x#Vi=#)k?thEO#{v#C5J^7shN9yb+-5(90eeNU|^-Y{ix z)D#x2#%WG{4d*rGB3efX{xsovEVYFkHys*M52Y&k6^R!VTP)FSFL!0>(6F45zpXoZ zdP{cnhMz;tKB88i1{3K~VkRr^i57;_-#DP4=cw}(yIN!^rzBrVZLkT-17ojDnk6ZW z)}1=L<3~!f~%4>TvN>ArI?6+fevwU`PJ|qt;fZkWkwXJtj{ts;YO+pUJ! zF}TjU%Go?L_)+PKw!yhD;bN#BnZ4@~BNmr3rq>+Q3RchNA?Mc{t zg*k1xS}!+7Q$M{j<*}@W)sH%ZXBJ-4MPe9n_{}N55j@m)rRxd}qbowbu&6G_H)SyM zz5xN;zo*kXXXi~ehWl>7?+f8@Brx$&>yKJT9j?$dsZF2f>5aOsT{QBepE^i!Kqa%B zR&}FNM%=4qBrli6>rW@a_L98QWgYCOrCt~$YyEWh30Ip(jI7Oqj`K^*Eko!_(aAhR)O zXBgC#`5f~V+36QoEOzLtVZU$-z&pH)p%M z#CbzZ3FSBs0nq-R?XC9t(YPB-GciimsRfaeXsg-KNj-b2DGtrELv?5vNe$`$0O99I zTegO^ww(=>xUqrw(a~qN=wY3;L_s?bTDvgAR+gbQt{U4AP=ZG1nnv8J3K8963!0Q# zLyIGqpU#|V9yPS!)`b0FDmzysyFCy=W-^{v1514qw>){!U$PYFN`_i$anyYV-0I^Q zxNY^UfteAsTbP?W9^|%raSJfgOij zY-J6kU?gLuEi0Kh^%b5Wj?vO`85(hy7t28h33&h;}s~qAPM1j_d>G-D(;+?A4V0X9p)tk4 zTPk3dd&9}bqBh7h@KA}NEow?QxMaD3*UL2U?-0@iO+ZJQwH_~)Gs?1^OG0{)u%=l9 zTHaQKo?FwYl*@}@DN_nK+bR9^;T{xjqgiN$j$|b7O7sBNNhIqic!_etv<<<4=h~SwjX)*+!_1@ zLuZWzD|U2?YF*+V>V`=)%fa|z4_jWj4|(+)IQ&gMwMd40ya@A^rQVL50rRKZGGU(Y za%S6*?=`qHpOB}baR@NvDTO-0Jt!benv9=#Q280Fn{XyO7r=*IaY@#tc(O8m2&)Ws zB-^BS`R}?La@dUwDH|zDC*s)W@T>HQvisav7d{NA#!Io$Az?aFQW4~uyIGmA+gZLw zWzPPya+Q;gelE0@r_S$^IDZ69PH9iBssZ<8wv&xcaBxyORB)dTHPy`j z0Nz#mXdLtDQCKkeoy`ah>Q)9o!6)}rrn{2$yrq`2q<~I0&pgzKWccDgs1MOs`Zs5W?ME?Z1?i*G)(@>99#^576uRhj(7gDzBOfj55~MX6M{#tz#-wA@r}2LQg6cKi+V}NJ^A_af*IGM5hX$#bK3DNAsgfbTq8w0CBxeVr`-yXk1H;$cNsV z9_1@YQ3Eun*86-rFt$T^*O-jjcT#b&$Ihg(<&|#sblXH!qAX-(F6akdzF-b{q^VCx zX`KsOZA94^PfcECwfB3d8a3)OMrtIsBX;HX!CF$}8AvD|dQ&%^VlBO)5DkN*Ix;G~6ry-mi}f{@aZ^9yNM*PMf>R1}^Yycd!KOterF z>4Q?;Wiez&a(ll+eIC6HHNm#MZhh>DC?mp0r64l;kAvbHQm}c93bW=^w1(u7lWGfX zKO^<%sZ;K}2OCgMt13iu{humJeeZ~Ir6_VTP!HeIl7Q^J0PGhX3;pyX#kyQrVLOJA z85pH9yVb=_x{Nr39Va04t7_d}yOLEMYB53pD@g+<=T|7t>06N{sSjz65aKu4L(Z9f zcIY$RM~SJW2q!*!@~5UuSaMXAWq9a3tFufwv$Ah$nuhxD`DUHE&t28VX3RFkM7PK- zj$dA_7dsfA9@#9qltGy8qT0dnW6+vq_Y{OWgq;dfuy>{`ZA+ON;D;TO+fb2|$k&gE ze4395r`N#WgsN0U6`057nBxrFsz~+CpRMm)hwN6RirucrE_=kV;d;RF@Q^_tva)JJi&{dxe+VX|!qEQhZr86%4=K z7=r57iA*#QE4XmeGYDDstxhH!cn|Qsb?*-cW@4`BMr-$N7B@zP#ho9mEm{H(nqC8YD=nRg^VrKxu+j}cVT&dg0+I7 z-v0o7F5?RH>$CV7Z6f8X#qz0WlHps)l9HWE&xd+rJ$QNiY295B@pLtDR(J0w8*R_> z`cr9fSYl}I>-qHkf0iwx6jyGynV*`08AB-_XI%aD0Bu?<7d9-? zBgmKB+I}R37j);D9VzG&Q>mwi;CdR55pq$Hq6wQG771^bPBsd~TCO%Llz^a>y)sgX zUV-bLNj#}`$tW={tS7|M)HJ#3k1_cTF=}MxEPL0$`&EEHRRFG?DOm7edC&(u_cbW@ zWK3pEV;R<#vY!v#NlO;QK~O$5B1+Yt#;yxnkCog|6FBje??A!vdGe;+3v@c%PU43+ zr#viUPs-L8aUH?mWz56oBc;1d7gnRG@w=WD!>kRgNtfcxZ9Mp)VOLkjt<^#x48v3MxP^GwoXYHa8 zmR7l~sfML#+hTSqsg1j6lc91l!D%|vk4#c0cA3#{iqY5I3c-2bKsr=+LPHUnklc8Y z`XRAOoqKBGJ|p)L6`OoVN>RiEI@MNtm7BjaYk9X>k8Yf-mQ<6zJt)bVfZ%v5Sy}je zu~%sqX;Ia1bLg-ed9^sb$JHG^b=o)WNt_&voI~O|unAG}JjOH1o05e#hl-H#ax(s~ zInSZZIP-H|N}IkpJp)(uq;HR%N$z)E=?2#kNP0}UVYb?B?bMI=x27$tx^df8v72+w zLw6`~f#OPY_)_9Bx8As4Cp94;FRMWC{{Y^raJr|pu`Z2VmmzJ4?P(H}oG6U_QYl`_ zwO*A84shI)acv-nPffZ~8F>g#6IO>1ax$J`q~K)t!a06{sNZNO#1GDutCy8`cG6s! zN4jCKPHVhP_xA_3ZI7~jDl8RRWF^%%^zfCS@fD5po|QJ+WsdhAUF9#vf(E7O(Z4R4 zsSZwp+i+6Pd66%?4*Ze9@S3=9{d&J|{LM(tq@0XX zWyNM>al(|J-%;XO;VR>JxVgfM9V#1sk@Tc?g~+bADmgK(ElC+K`JjIqw84Ovghvy` zp4pF{>-MvnV%cksh|yS107&M1&#&Z{8Z>r{+k{pWmlhR_B&$CT=B*4|Bc?g=zS>*P zl_NpH-+C0d@*7L7I54CvREv92dy}q|t-cdQEy?MfzOeG9CopA7SWb&)0Gy%EvU=2; zZQd&2-|b0Hv?DLb@>M@E!{P%=D9^;I7df64PFkEmQoKZYDxVXPpULoFj|s=Bo{um^^dbb zP5@faIC|1bdHBBkRHsnikAzhb^`v2~@uYbggeAY(4Q>ZDH+6*Zbex~gIneoHo@KW` zNeAgXvrqD=6Y|PTQ4w(h$Q;#acGv zvWzVK^i<*y{-tVIe|*-J!-kb;4Tlhes1L@K9T6iu3CVH7t?0ZO4C)>rl6n30i0^7f zM}_YdJ2m7b4m*uWPbw{BEv(~YQr5JnYEGulOjV~$ctuNmG}PdjQm!u49Slv z4u=@IE!hcBKUil5pK-f&uqr|{Jm8Oo5_$EjMEiqjp3DgDp$%vNsIMH)ps2H9H`(Z{ zzK-^W-?=0xY8;J6jXL3MT-eVEF2jK_3R<)FbJC%$<{e;(!+@2)g#?aXAT~5p zyYEybcbAzQ@z$aa;UA`FYb48t!Uy-SJfk2}^ki|ypZFb62GcFD(xgZD?QZ%JPP($7juObH34R0^JHtBm>98ZAnw<_%X2+3*(d%7Wqq%e|H5`VRk zN^VDJu)#TuTDPObpSruP&P!9}m$;hV0V)H?icO$LjMCq0jJMla%5?4k`I=DoiS;J8 zCmwmIxO2dl#=Q03oo#E@r6ojY+NOWEKeoK9o^x6oNmo;W(vs!dfWG*ZpuClm-Z~Ck z{#7dBXX8uOR?G`)BP)CRUu7fA)3>ROw4TwtOScXpwG^?d%fvO0>ixbv^LsY`Gr zZs45gz~lhO{mJkU2CxXepUY zx|s)v7)LY%xcQ8JLTFc&ZRhz4y?-ZP%6Fhh=jls$#6q7QFt75c^Htm1J+4!1tcxSo zWI7O$wimYMp0$qGN0l&1C2%dhm_uz5j(YKtPNa@*m1?eZ5~X;2(_3=D&(5*S9S`I< z&NH<)!)t}1tR4O@T0radt0@^HmT0Uaf2^RIcT%oCV+sMW}Dm>Y&u0(dE zB(0Xl=B;s-#lS9fo-!vtY7vhMmXBTPN?At@Ix&O|>cKMLnRdw1Un)e$qu?|&lzDO{ ztc){rYGToFN}O6AO0{kA91eXbD&2O6Z?`H(0miNXDYw>ss85|l)dt$aoJzvw+LU-s z9-~h}E7`JBr^I*;9!mzDb_4Mn5gP9)W^-k2>*cz7jr!9fG%m+Td2&n{sYSQ*e9nD# z9V$ya1iQml(j$fKpCl@se?p@=w1l$Z+kZTI)2P#@2QuRS0Qr+mISs^d83opJ*UVMX z`Bu3zg|0hkZrvYHQk11`ayKAU3#51s#+50?!^x0TtvZ1?BC(;LFX+zy02)wFhgQWb zM_#3RWb&lQl9cf=?pYk0AI67LdU;d^6LpOl^r=q1nT!Q!8OOU;JSxRoOAc}Paa5l* zd`6E6C3}qRQ#j5c9oplkPo@({vZX>Y#!#8fZ>2b?(4b%Vec?rK}~4tk=q#eL$(5+j#@3 z4#vBb2OdNeWo(FCfN!{=+S~@IR?thoGYE#wCR3I zNA+#|{OUYw5?f+4bm{K@09hyWy?tvxmg64Va+a4QT@bmDTX68yM^c`(Ymqv8?oUML z157-64A&$1R`mB$+j#hXvH4VX;6EQ!?8a(*zs$x+n&ct6Oh@ph$hO|xQ;#KtHr%GN zRCXOGIsB6CAl1G*^jf{eYAx0k($O6<6wIfYT24+elvf=qb#5n0&X;mY&xjQC7^_S0 zB3tG~eF52Tyi!~aXK}e7g!*L z)~soE1VZzL#7t`;J{){oDCU{qjvB<`%bGsVk*PBzGSlcWID8ie#hSWyfpUeeF}gPr z-qPd_q-*^~xI?rk)BL3NW_aoR#+>T>Y5_OY{UKBM*nK+&e>eEoc~$AYDeT$2aQNxR z8&)*_6>-sgX=;w#0@uDq9u!8AT1v89wk5VeZrR=7q)aKv}m&hm80`zI<-~2 z8_PdSlR1_6k+5Sp{A$K~&wEwgCml#_L%^(&ij=#`8+rH)@ngYSc01!I&Z69+!HX7h zT?~#3VLHdl(!TnOVYWr%NPQv47oJGY^&Z_huF<-tBcZM&3xh?zT!6-D+tMue$8HK> zDkAQ)0f9rOr3D{%CB52x9O>k?jYVL0n8%>| zNv`n;^3sYimX(S_Pe^&zl6)w2!bLLMM?#--MzA_mVIX6@xLe@3LDP=8^`bVRM!Q0} zsC`!-W2Ku)+5-t$JCc4>p9*+|YSgTH(wCuQQu4Bw6gQw-<7!FB_v)gozv4UnA#@gw zAtUX&rBZbjg(Z5Dq%Q|G!pr3Zy zIL$}l;iakMlc^rHBmzG&zX|KLNI&+*m6c$QLlw5)lQc9s;|_c&d8J^C^R5$oK>{Z4 zDF-C{hgDNI8#LEAE9K(Di9SmdmagoS9$o2yx85i&B&J>m?5}^r@^*@9Y?7~No~GRk zZ446AnCYyIsfcgIVheWUKO?!e+5*t6v8x|H$;6i_nCTzu7fNo_(S3Y`hjvflMa!)G zHj}zbm@4#0>GP-9itNv3rQoJywp0ecpIlRft#7{SwLKxz;!&YP)KJ(RsMP43M6zAD zLJ3;Iz{2zUseRm)!%RN#my)B5ZuyL&0FvIQL8FB=JOvL{*bS5-ad=Q zb;PWBS7~MZa#snnAR$>&l3WBUA1Y-Q3wyT-O}vGy!$wF)Qhh7A&i30b7nG$os3^c! zTzI)t_bXdBX)0?3vg}j^5$FQ5PO}2taB&e&(_RdCTltf=eJRLqOS`uFM?%x=(a+Px zUHaCUu0vsAcL%4%;AzI=89UV6YbjC#NPV-&j&<3{+HK6-W%kJN^{f{(4Lv$%YK+-L zaFzD%MZH9_j)>UvZ+GB{vV_1~gQzI(W=t;$1Qc)ZR zE;e}D!)Y5WInO#_1rfA6+sP1=cp&VAZB}I@MGA7;cb2Bs*yfFUAH!;Oz?h2Rb`_bK zN=9`l(34lN1a}hE!p#p_8tAm=BAE+nDns45eiBi`Iq)NIx~%IWDs{oUO&Xd%5;bgp z3hYD4RA*N*)QKt#{ZdM~`qE~^aK@I6lKUqLq%Pq#*(*Ts@l{5mOuW8^A}ZM91BiaH z8f9g2mZ@AO^!_{5n%64x9`$mzA;ipmmrBUbAWbBnx`1BC z<7ve6Nv`8RPZTHJtnzU`#+2f9IRV4(6aKH)ng~Ce$U!H@g;C<}>uM~OdE$i-tzLUm ztJwkMAqP^i;pX6w6a^9gv9ol$EEJtaPh%sIH>a{`#@)U$NUL@#jU{)|W^PpK%sdJ%hoX`Svojn8NSM8@{ zt|aMwoipWs-H~DW}pzQ;0ggG+U^oE&IH)|SFHFV7_5#&pBBjXVp#y<)oX?u;# zhtzZVHAir^=6Q~F7JTQLObGdEMXUm)t$6slVwPG8N(%CXlhU~ztM0A5t7@GlXw?i( zireZ+bAjUCuMS$JB}|y>Y8wjiFC4iFlJRC*Q6ml>Dlxyxuu`#;%%s(pRHQy*M7gyh zM-3S`NzT=9zsDLITxIZMKIgnnb^`*hvlE!^cL?#5<%FucOE!B5Os8$lvd;=m3W}BW zL7=|pC-Y$^DM{X#nUzI}+`}$5U($a8U8Hrnh%#n&jauRbq$`D_5PnppJlc@hlATH5 zXKdB+OfAOkbKBPiD^`)q%CxDaxU49+&`v_WbdeehLGX1YMa5x0wBCoDTTDqaWlJs{ z-18L*T&o?8t7`$&o3+_X3ObKK4*91b*xlzxwOMB>d46bRXIF&R7@1`AMLyn=IF9{{X;zOSreGMjLa^s3^I$J}FCx_{d zl@=nxW4|T-9_Ju>{uDSfUVZI)7Kb3MvG|%bBH=9#zKcW!N<=u{oOO8sW9818lRaId zw71_=4ZbYbFyc4P5%qDl^eqN#lNL&w(A%=s;&iJRCpDjKH^fR7!dncTJMGIm&|8-l z-QY377=*8jop8OSrA~|zt8$bDTNbG;Ok~Gj1aL}J4z2PJts!mvaorE34!ESHsU7K% zI8Q^k4Qcs#(@#OPrqOWmDs*<-%TfpE`BP6eJT7m)F<&lJ5WfofrNPZ6j0tH4?}eo( zY~cPih>_+p(}RkJl+JNo&2PFTpZYnDHFD4Fq^divy{XdDbVkpJ44TxZ3YrO9rDhg> z9)GTbPsj``Bpw>$VB@82Ij>x^PX}BMsn@uKfr^>u-qp&aTkUSgh?vm?()`BGf}G&~ zx=y*)`2t ztSj&9LR`6!ko1&^5!rRax(*25iexPXf6W-7io43XIf-8bf0$ZPwh?tN2u_K(@Co zJMsxkx1{_nV4 zuDkW69D#xLjdiZOc~--nW9?F_YSfs|s4H-!v~gF~93`T;anMQI&bUHiu-DZ~nH8lZ zgnAmv)OR0hl&4%#2^q$&v{?&UqqqoDWm{seNk3s4f4xyzX(;1JkcN`A9Akevj}q~2 zn)~ZmWw|U!XF|#4j}0N$?UJqb^kBQ*Tx8{4J`gHTXq~#S%45IXnzxpUl(p@Q^wV4> z?7fg>xcm)L3J*_qfWI{y#$b?0@_F02c;fqFF-@DZ_QM}dBw7XzLK|^ z8B#?f+0y4aw7cSI-0-pHGuFO!F`G2OZd6De>8IR6fKbj32&j!sz57VNCkTrOxvS?= zJ4`RV-QQ@gE}4VAQK!k4 zU1iS+3U9;u_Na2w>nyfy@*I&9P}$WfB>wuC@-Ln7m)zqr@N6FmE07(A;?nOus zuZX6k7WHDHt{8(5dqzU$87Vp>u^ z`!vS?0M@7W)z42gMsY+Fy?^Tu6^-QeoBC(v}J zW4YheIz&{-?=1nsZ&xhRTziDJR-)K-mjbt^1Cdym%ey#-)4$w$QGKR6vw9MQvz+4t z6m}#eYUTM;;?CRFp~!jG-+$zUki^-DTx2_`a~V#LAe8x6Y#nhSiAuP(z8_}52CjaoPyYZFG`3WoO{_1+Oq;)HT8*O$2=2}(qwlEpw(dsm z!dgO0x)cuII`%r@&sEL&+-98AgtP$VxjuAyi||cIrq3 z{HoH#t2cdM8T4ng>r6ptlr1 zXUe(Li@Mz0wMA!0WwRB<@iWw7oSkL7s^uw_a9VHK?t(S!tSYB78XT9@+=jT^7D|da z^QPi6YM8$C%A1U^-+@W{ROW@W*8?R&qDMsNo?e=hm+=EqK7wkAZDf3*w#{%JNTMwH;->4ki?bJY%9+T_xyoY}`w0>oJ_U#0yf%-04@< z#Vkl|wx91Vhg)mm3eyj9|9#d&>FX%^>X2O`FjU5=F?WhcLVs=uO1{{X|T zzoAP10K+Q3pv?aO!zzNil(H4myDGEn`Jeb@R?sGY;mv=e<^KSIU+DQ?;aB=PSNK#S zw*kcjqlAZ59BWE^fu^GS6>(C91uI58Mvu@R{{Y#bQvvn=0BcY2q6NM2k)->?jlUYg z;JTEKsaijcEHiFen)<3+$o@1wf&T!%MSnsXe7njkDuRtYPkK!_-ZyDw3Lh%B%X)g6 zhV{NIa2irF|bBG_|%LPkF*<9&a7~lS5z#6!JyZdNWxk4%>N<1~lZ^U*v z<^kXCtHaHq3@$24khe8p9}bWYFFmLfq9IN^J~K;Z=N>DOOb0Tbi+!m}?DL_81Fdl$ zHyZ;>y*W{OZUo>nJS83U^G68)+Dzc*`FF0(PDy-Tw4zKOGt31O$i{aUM?r+4l_?lNGXNp@>0b?kB(JcppCBdSx&OQXi6t1A3xr6o$rwPj~DALN?PTF&Gj zs41X6v&lcMuF4Flv&3p=Qt(np}D5B4piJ(u-aLR?u*U*VO;eC>rTH2w;}?-Wtn>i5Van(;7e{4GWL_KZngQJO0YZH zXD*isGNbpFBgcFtrjSYV=6xyd(Drc2L_qhmoQrX6aT4j@SYK4>p~E>#Z6&RKcoYHU z)}UMaA!~A7#fYt@M3ybN!?^RuG3Qq2X4vuCB(kL3Uz=*&D|~p5I+*3jh`dR!hS}n_ zo@Za+IaCh#)C9|EF7Vn(XT`A7>HX?{90Hjoa*7!(BmjR3F>TGWI_w4{+?_~L8&{KK z_tKqY&X%0`oGz53_*Z#QoXcaHlJ2y9;)@cRV&8i|(d9HWw)(u3Ix3xr>~~`+PkTK~ zkaZpT;=A-oF2ABshWcfW8iKK%x2sB;z)~&^DIh*h`h`vkQ5t2qa3p?_qoPBj9#aqT ztOdAL*D_6ZS~~RxT7@7s;D7$q{xr7Ql_jLQL3JpWMt*dMP~!65<6HRxp((NMn^{O- zom=3_Q;2QODRV&I025p|w{Fp?F~<)|*47h>{{Tt$i6i?={{RX)Z#|$ZA5}?ap6?eM z>5i{-v<0)j;Xo;OX8x|pMK>LZ_vA9#G`62}%8t9m`JbCCs=dwH^y)G3_hue*9q3_xAI;UdQ(8e{(92Eg zM+_9UHrx&TtA?cu^hLxcbBU}cBxe= zP*sjHmoDnc^&`j(4e7~DG-OEs0Hiop03MXfvg4_y-`TZf9R5hh&{nLRW0q@U_tbw9 zvX@i$?^i^ph(TdMYTluz?K0^6g=--xNXaD8X*b(qoCzw>CL=f;>;C|>c8~mGX_>0N zTZBn27FdzqMwKXZ9<-Q|uJE3aweZl|9p-$#be1j`81bG{d{EMk`h523OKaP46t6*`Zji4!7oBLaIsOWm?$W*4d>;$1|)So?tdr7y0(D-~{^B}J& z`>Is=2EeYmA zh{h?XvEFx$A~}~7ErlnF*yd56i|(m+#j0qx!kOG8FSxmo=5dUC=~B{*sEFLb0oiM| zxDba=LV8r7TDi8&8Hs~7Tabupw-w7KuQA?c;LDacz4licHjt+L(e|o6&4}`&vnd=# zo0!&x>GQ>25^@5hYae|uTewVHEGamSx7DP9)8$u3r)f)1-rHNasBjmwYyxkUICu-H#q!e+ft9!CvmW@@i|Zsm=^~iCf@;$3u)A!iyV!9 zZL&Q0mGHOcy2S*+Q}EpG{n8w4LQ3IJ_W|`3_*LuZX~tS`vu;M2tR=I9o`0y9Nr+;q=1wH0Z3I@9`5m*Z`utkd|JLC`s#U1cz!94sHsI?Xwr4Yhoc!* z6ui@cQK5zBml1W@HqgX%DVQ(nN}Nyw-m8^=M2nyH>#TQ3*z5F#(pqJP7*0;P30W%p zsu~%{4Mce(KkU^@QdREz$Bo4rn2WomcXrjK4Fk{RQU$Ucr=o{F$>H><36PnM+m&ui zi>G@|0%Uapr>@B2dxHz`Y09zlM6*0$Mwi4_>lPx51o&!cQGxfbZsYMKN)5R_$!~i+-tE6kx zWHN~?1~edKl5@)+bwz47X6~*q%1TnU- zRlTCspfcsTJNvW3HD$6q&^D-7^vX#M{{XC58=ozz+T2DU#O%9QR-Tn6-m+w+MPFCT z#m^Nrt6K}Wc8|3&gFH8#$Zf4S)}!?s0@dSEir?Yu~ zx?9(Al7}P=_)i$)m#sju+#^8S!r=U>YuFjSg zjugfbm5-e%rX;$JEpQr2eh@#67Yc%KGNmfD!dppYE(SvM>eR2{Np~Tc2q(mox)v(? zG4!nt#kHMnplr333=#9D)q0-O6D1QSLYA)@+*bGlt~q_w+{h?gR~Q^LNJ-MQ4?U@F zH*$1wT;eN^IG?Q1({u3j%L1)7=6#nY<1wHuQyh=)T1w6br8bMBu|o_??&~(V?Vcmp z=5f}NlDUKXP=yqiWn<5{*XJeU{{S$f?xZ1w_h}LxLfzHFGLy^krKxQMC!2%3BAQD~slrp05$8lS+_a@5k`+=G?CH@QX+G&H zaQKaI9d=xnf_K%prY#EMw&SWRQ;QoV1Dy+$X-bmQDjngck>|E)Df5m^DLWC}eNc~mBE_sNn& z>Mq7{4WQv*V;IMsJmlF-!D<-@b@zwXS0S}TxH{uyxo)Ypke+xQen;{}9(b><<<7LH zBL|w!YC5uVhf}cYyx+iD6-yT8PhW4)*9oQ|G#9iH=YdIVWr^}B2GvdDthI-1C{#Npgj7c~VZ z-VwMape9wli2~Z7s60gHghwn;9#sioV~G==$kw7VlhD%&QUjrj;$AG3{*Ef>(`QQA zLVk{-l0I0esBJh~l9aLnl6L9K(ttdVl}B;+RFuJ**;5H08clYAEujr5n0!WK1fV4& zAB|rvs*R!8*QV50<`fPq!;V<^X&6GBZ7zkpg!3Ymq9f``94c}4z1N4J$6Qd82=O{E zpmce9E}ETee6;!$6rb%5icekXyPK@LadJV?CAG*N5 zY3NlgHT@noJtd}wW5*f)0KF!TBeJhv_L|-^ANaJ_^j3HO0KKNJwly+@Odrlxwz%N& z98biN^G#cA)8ZwT)cN9tIu7Z{#y;w{*^+n`xKfzoIGF({>70*BgKLW+xmL;SC6|gH z3QASJKKhXo3?4su(CSdq)A6gOD%oLWo;$Ex?-RHx7~`*UKi$|-)8KVGEv54Yp!Qk} z2*qw(Tm|=C-%5znkyj4$*=YgS%XX|{n;p7=NjRzJBbSGoS&5@OvYm^VH`A&`V;zv z52G}4KkG@XqBA)=e@Ra|g|6EVUvzA%G17O^EBzY>?~Iz>*#P{b3c0x5wjxyACmcaT zARK{Ody@Qy7An%?mgtLd=q9Bv{{X|O7_`Jm;HwG<+-*$U zBOxkV3MnX8RPrLdp3E^3w+Jt(OVmy&D(aYqoCI#DK%kDB{zFC9B&s=B@dTh|rLNto zLM5RLDaW3`1JqLz2WxF{5|olz;X1v~`?YQn3_FB|Rl-smd3Z+KtHe5*c@ksBmL(C# zj`+ybGlRF4GYVO3w$sJN@X!QpfId`|7I{uLLuJ;IT;N1Z1;OqYVTO>}A8J_qS zhzfZNB%rIss~)vI*^{(5r3@xBV?a(e#(gON0602NPjrgjd!OMZtV}?;t%O9jC70M~ zKh}bBPpPQ3`4<&RoXyEjHuJ6OC~Hr{zpZ~x{{Z`BQ36^H`N@ciNfJ<%`bI`6MN(TE za!gcjU(y-*cSO=LA&pr%J<&AOxU<@3Ln_wOsEPpwn41b)9iQz(SW~YQ1ho48(ofY@ zE=05{ORgNQS9Rj+`{}6>+C){ht{|4|P}YOzsME6-Ih*%uoElrWsl2lr)E}&>dQ0n# zCFQ8N>EQU4=e+{15>?2DLxE%Gj&zrraVdEV%Wfsth6t@**_o7`)F(fR1KZNK8UFxC zJwPh?Bx*aYcQ>(3xjI^TtoOzL0D!9gn4_O27gq@ENpVieh_)I}1zgC* zWGXNCRcnnZTA6w@CfIo;rEF3$S@y$U7LD3pKf;uT?+h(T_=c5#3V%(-wX1@llqD+X ziUl21PWAi^AcArt@4bGAh1ci#0odg^sqQmyW-1~`4F=Z6tnHdhi@CI>GpTrlMpNi> zTvXiSaP?&YP{||9twL^8sIn0;OWBr+NWjfSVW(PQa;7&FKK}r~oo5+7loHRgZVdcL zdOo4G0o?S+N^;?JVLx?3dgi8((k1Uzdx%?{39->_SMN{B^*s5IqEY} zRNB;qrKG7ULBR&Q`>)KnElOU#Ey2X0w5R3N(nMR7^tAH{e}rYM>CZ}SHy5rFns9`8 zC%Bw?`qMquRHhzr!{HYduPEq!DZVV3ueYz>G>Iz(LYFz2GT3vv>Pj5DD5)-eoi+U~ zR(#9qtxx+wsSN23@vb^@KG4rfl8Dk@EFsdC)y5}k?z7Q3Z#k&8{{Vd`N1}do(=c6i zKIAFn89MZ<%QdFy-rZqiPq=?h51k>{YmgjU`cbZ4y-4%hl{LlUt-V8t8&`;rN?po2 zr#19tI1AE~;aK{lSH!FjT2SF!OsM4|K<_93=bDG0r{l$1os7f9DAak1R9lC8YD>x` zp6;}U@s3;dsO-a2xLX`YTYbcpB>mKpaxxPX>`YKKXYa205$Q!`)TORElZB*=d zPS0Xwr4MzbPqH+qE9};g=C*j4;so!Hm3R1eIc~I4zHxDak@dW($q~|2(K&g9{{Zn1 zTC)z&7UnlSYE_{k+*iUHxv2c=;)z!UI=(KTsL+OuJu&xJak*b6z;)u5;pw-GEncUk zKz=3aRHVyN(pz@k0)~^0UR1*}?lNFPabEU8x9TaWH#mKyc3N3&sVPUZwoqRz9<(tf z#Nc>}pqge}PStTc!pm3|oMFH-gXK;&-t}!)u0(oLqDa0+wm5Jj@t`lpqH&6gAYLNPL+`FXh6=_CdDAi8pBgJpxDvSz zLvsiobtZHk*EISWfF}l-Y0wK~^xm-|=f@sSCF4y=iP~FlyeMR}BCO%kGo<>|s7^f% zOOEQAD-5rgr&f#2k~D_3D8+fD>(V)^i(Hhu884y6+ExlxS0%LM1dD7w_}~nz z>h!47VF@pKLvHId;? z4(cB|8@NaqS>Y>s(v51{Kbt(O;$G(;n=~5Y6)SIrCZ@toq(w!w*t#a`R+n`YLTixS6 z!(3^PYUitTkM7Y)8S<=cO&|NIuZw=>PBH6PQ;J&5f~`yFD1`DOsHu^-N(%O)Xj;bl zijqGHakw$s3rk?3E~h&4y$h2Mp)Ru0U0iMu!1K*pT#(*fb;X#@2RR&XR<>?DRYG0O zo-!C9E8^);xEFp803}5ZrCLta!(}W!(ue2cD?h5HxhN>1i<1)|sC|`qO(b%x_%r8< zkA>g|Q>i$qnMg_EB>^L*^u~Yc={%`waS)XgTC#;J-mLy03VaC7#L@suO}Vf2)YU~u z@157^?1`YoDZ{0|Ay0SblH^wxh9VgH{9S2EhY83{>D{HB^ZTfn z31Lc0dY&>8l9Z?KA zl>8*SIVl7uS@NUa#B4ailFFs0Er&X0kse~LE1YD5AK83^c&Nfog)zi!#p>i!R~|D~ zG}+8`80m_ydZiKJ@Y1T#<+3%Ob~N0cmUOx|-{(`@hz9{u6~o0$36F1)b4$o_IG%Cg z>w{2NrZ}I#`d@WfQbErw)Mlq4r&)C>LQ?m_SM_uE(yidf@2FY>QJ7Pn1NFJ|sQgs> zq`Wwz)2&HTQ4%yq+EUZOQ=TMg+x_g)$WnY8;Pt5SeXV6nDOoHEdnQh4Imt6oaSHeE(Pv`NS{rai|lL+*IeQM&2kg~-#y zR-dFR&X~A&M;@4jzPU4d%Kg|M3Qx|LI^QMNB09rwD}yAfQ>1Q@@~QG~5Z`u732E3d zqR(1ZPObj{4XWH>DfPP=LyRrCQq(*c7+2p=ZS#+Ih~&--@)0e6sQK0U$pJGh@vJDz zN?gLA;MI&M^XKJTxII1l)a1yFU*aX=%z17p-AF1{+(hJZ9(6e_NaD1aYfEk_TbUz2 zDs0F%6kI*$c72INwnm?W1a3_?G90;u`7jXjqPnjVPnX;}Q*FK4MOaS=`^VA`6ZAY= zel*-Tk#3Hm>AmgS)6){-URIoJ6S8)rkudpqX$xC#xR9;eS~fI=zR7K-lu6b+O8)>V zC`)BPZSK-AD3r%{Zf8Pk`ZK@bipt|UFn%r-1*NxvJB0=*mfx8%Nnyme*x+My^7+>R z*^+c9>Ln~;T=UMEUw@OSj$cQ{etv&_MYyhNyVHIa9ZOpv5zEk2vu%B~voZ5BTMn?5 zg{bo*JdH|q5;*NlVW`vLtpnk2;m45`?H66uDZm0B2?+|o#)W#|R7J0Gcgic8Fx6!60Q8VKZP`#OMlO9T@h?`$u1}c+gq9w;l>sC)w#gUnp-8#>g1_* z;H8&3_2o_6+LLVIA{r$js8-_HQWw7UAra*F12M$CrN(t?Qhbd{M`-Ry_eoFlllvoy zz7zM<`+QsMSKf2nDG}AULHfe2>YaO!BiWhI%i%aV7~Z2Rzrl>Ck`pB=hovV_uJEqW z+zXi{L)vT8_)z|JUxR&1c}hR7l*FsBd8H$Uq$|pR5|pFU6@>BEzBWmqn1H38Dm3P$ zd8zc!N*92rsY1`CG{k8(sFIs_sqbjC4y5`MPdOB}P!Njykq*97oY2><)l_9~l z)DY-tu!F3I)6CR)K?z?4dbFOj>{j9-q$xZ!66qug1D^X-cF`)@#N|auWtBlHSHt${ z@a{OAWd-TwnnK|*734Jfj+dJYU*S!;H-*P_gsY z8}y|%i)d}&=3AJx`> ziv|R|DmYGhe%hN6uFAh`P1z}UT^BD}TRGc)pDI!pa2kQZ%ciZ8BwX&%j9a`mZi#+cq8eQzQwPVNSCfkHI4q`u=1E#_u6Mz++kgP+|<;WZ(Yw-qfRQV7=e zKDK_b5u z#klxt=GvNNm7-e52uMy7y%eK{f_}rnNrk{AP%`!I$sIt?3ut!{mLyt7M!3S6N zakY1qUM%u-Y__6Y0@a^EN-6i4I8u5MNoWsn`vvwv8>f6?xevm=9Da>_Bk-*%LJLl6 z2gCBBgOx#ZV57c+{3uL?g=A&clgVP9l^q(JaAHhlZNHz+kmE}nPlcD2^}j`4w5>?O zhr4;B%_gkw`*VZsF~et5s~$ZWA3qM&5`D7gDNmb{L>NfcbvhS~dE__qsK3kGRl?$U z`c*DP^W81Zdkj~6g$zJ|s7d1_+yjAVSHppUjj5$9;VsTa>O89J84{ROmE9w)wnKwa z1JaurZMXbL;hbwYsVWJ}igAAzmTD!~l$1?ZjRU0xd5qJoDoc>iqBXqIw3-}iw6&v9 z)UNeSUEtnIr=hU4wyEJ~SIVxE3bi}mQP0a1(dFZAq+kK6QfayJ=LoH2FaTtz=K{Em z++?kwGsI1?%u=;q3Qv)Oy5S=BT~c>CAunn!3-ANWxvqdcpiZuXxh{%Z?F&Ie;!2`9 zTF+>skUIBe(2mb)+DC&z3gIh1jw@T8qKcw-D>2-r+mG6YhX|6A+b(QPcbPq?jOvy) zacsDA0Hi=$zgidCXaPrHo(q|z@Ow>dAq(+%S4nj}q<|LL)P*E3pW#Yp`CSo3!-WjE z6Q|2gD^mMIZ5wWUf5MX%H*76|DDa>-6}R7tFFD$4PPHpqfl~KBg(5ROuC+76dy0S` zP{O|o?-DytYI%A`joC!e2edX+bn!|504fE${gp}TM7k+m{>U@FrAxq~80`g(cpK@P zn!@kaL-Lzi8opT+pm}%X(pT+!GD%O1>B565yV>$T{vetv_Km1#;mq{VD!N)n{{Yse zvenMgbN>K;O=WZKWOc>CHHM|zRC?laC>6=J9Qj;Zr6Dpc#OHtK2EUCVTx)Si-+ArQ z(qu#5%3>%6oPw^o?}($7L&q(rH~eU5Ol5qgH~eU7YCd|AEx+SH2H01h(oy_rAuL7_ zbn8+C=JhZ2>?>TBBNvB-mXRsFC?AhH)FPwqkdNbDIO8Xn<0JUdX_#s!QcgI?0OEzo zHh}1ANqH%CXi^XBsTRfCBSk~$NOhF7m1+4L)1Bf2n`G)*(WPh4o@ppmsl97X&amjp zet9*=ZqX-VKwE21@vb4X%0tCz;-d-ik3XFhn`EL!>2M>Fsqp9ac4U@ZdyE~Aq!O-O zX_rrDWW|jUwIV2SXkR|0Q;dbZ4}m33HiWN9X+(Ix5-GfU?fgfIi-~PPAZ~oUF;)|0L~ii-T3$+0mE5ThG%2)8K_SDlE-tf;8P;_JO z<@qz^MO$$5p-Im4w#W-gw#5eA%HX(gh5e86qcRp2J||iSWr|$L$<~rR{7+xwNqMph zn9|FN0fPHXJ`^ah<9dvqzM>OP1js@iByrDNn=5nsY;1h?JEn2^pZNJ&BcW zksem}i18AwS?RaJYQ%7o<*KHj*xP(!^Ubc zJo1m*L>wJb#tlMoXxA1b{`D=ecTz$|)RAn0n9a2$KwE222S0U8w#O(6k`kmTIMSTg zu%p_d$hkKZ$hc0B5_Oz(#ai!?8;=1~FeOGpwCmO6Dm=NcW85xR=}oh5arLE5vK90F zwLao3@U73;UEufF?}VR2aSKm*apGdSHRil|i?< zr;!~<05IIIIp-jDN)$d24%3^q_~fNCPC(L zO}7OucL|ZqmYPztqtH`#tHcSD7bI(H9JJ}-qt>0dShQM1H4!Z2mN;l9J(N4*?a$s|9E#nsR-zM(2a)mqp}vxe)tN|AJa<--NZ0mWc0or@vo%xslLkVzVm z&YQdThE>JI+zDKG@zijX^B*b_t&^r3RGhR+sydK!%RaR#^>L9W*_z7;Nm{&WrzTln zmV*#+q(^<_D_ea?K6HzGTa)aeUj9S8Rb(~kS}j+bxSzTb;@w`A8a8nz;AJ%|wpvVw zKjRg_xZWTR)iDK4dU=iP$;01;VIcxuMpVwt+#?)QP-8A8HamaQr zlm`1}of~{>Tx(RNgsHa}lIc<#=eDj{uNso_m(5Gx6$QtX5T4?#)yv{E!oQRZ#*-m> zO9ncQlb%$YY=^Zp+}`f%i0UXxg%!waZfA8UQ`*Cc$Hhbqg1XeH6C8138FxGYLw1g)eTrMwT) zsBybNHrHWGQv2GXqATIV9#|(&l|{B&*44%$AqZhjrFm^B_;qSX%_ZoPTa4*ek`$~A z{{RY>7{A*t5z#R^?eb#}i_BwsvWILI18+?AG`WnU-UN8Hb2|`CT~p;Xb+E-+m8_%V z)AOqun{|IrZKvdC9ckn(l(?UZO7|6>=NmqJ5nk!EH~oa-qn}iM+HZbRv4CpZDTR2O zxN!?-;ZOiw$rTtOTf^I#P%ZT@FOtmc`N=K5j zQ5}95wc727DYwAlYf&fbEcw(sY`)H_^vPrCFQ*(<);SdBnzvePl5Mb5;C6J|i`j8s zqLI>-{M8oqZh1Oiw#g@NRP@a+`8i{`MU+xmZ@v_US_jJ=Dm2#8iBgbMxhQd14r=&? zE<~QnToZ7>C75eSX-gcAY3uyP+l?*P5U8<}xM>OGGuPIeX8mDxr{X6=Gc70M&z@=% zZNo7v*3wlS53epQN6x2PuJ*-U>~ekNWi~ar9bSXVpM_wyzZr|35iV@8rw#c5=Tp1H zM`y&586&zhe!50E8sgcRzk5Ix2=P^x+eWT?`BZztN?yB1l5P$Ktl>&L(_Cw%vV3X$ zFoi{q(OOCLH70bnJEH{LM$Y z#bdpoM(%SX=XD_U9<(L!?h>bGiXbJ01#vnIo&VmxK}O4Xj_bA=DlRu7I&!8~e|1V#fl9f|klLG$3J-wt$tJ9q^vCbU-m+%K`(a$^ z>HYFUTHQmQ&1sAsx5wjCZmR{iB)As_I@OZeQ_8JT?{Zv(yL%~ObVa8Gy)T>R3L$hDGJUH{wkQ+et^Q)6rSi0@^mXONk zAsJIx7~j*CNs(^43xugyOD#q_2Fo3w^eA#kQDbmrCYfUn<9-O+c85FZkutsWts zVyDkYQ&E=Khih$rWPD2d_NX?wi)PU}-yga?sUbR(jCJQxEcTa|DkOOvX|jOA6i+0N zI=S|9v|mOhO5C?gS@0(~+tAdAR_EEd%aRLmQs0Rw2dcj64_>07+g-{!VoQ=5%9_WQ zp*7z7+a18I&KogY3UDVr559+K+Q^sfH7`LF=`!o8;f*h~~cM;nadb?Y4V=}yQ< z`W3#%C6-A~#=a7=YRzkTn69@HJ4$MBk_xe-ksgMvoMkjy{!lPA7YB_YUR8aC5@c(1 zcsk~~>+BWk@#9FvCNw)`mFRyIWxWLfYHOi8^RDZ4Rle77nmDohox4cD^EvaUJ3bPH zvWu5~?;UfePAOL9K14{5s#+Qd&xqh3*eK6-N;t@E4eN2C@ae$Y&hwE zFWXVL@)4rl9EjOS!ayZk{q+&`CAQjIz2UwZ0&;WdQDy}++po*wjydoGeElhe$e(C@ zccMgVct%Ft_O0=Oy*ut3lNv&FKN;Rf{OM(g?JGVXioABR;#*cs6!% zj~5ZjciQlpjF3IqLh3Q|SQz{1oPSv}Calrla5BQBsL6jg2~W(^E!z;xwJXEknVM2Sn#ZX&p5vY)BUH)^|JF|^yukfW4J$AcXWbtOo19F^a3H7H0<_FGg5by>Qa* zb{mYzjKp_L$Wu9i=kum5a34%ooM*gCmgn_t{{R|PYhCtqi<4@X;#5!&YE)Z+DQiDw1pEFPYopcbrmk%F+oaUJVqZ+*GHWu@qfFyY=*h%+i2rodL8G?7s4R)O#4 zZ^U0DC(PHl?J{NEG?T+|fEy|t$DJ*p$YN7(cSXlZ`uwUqo8M@W3Uq`e)}b>TC?Fn+ zH1(!<1=P32VoQGui1Vaf<=t4fS)3n5SZ`X^uic7e*B(W}*DcN)b5NWVtMsXM>ss22 z8Y5g;_X!EgTOYjB(qP?VUJ}9!vLf&_D^FZh7&ex8(=HBhm}vC*{HZQI+AC77Wxgt* z@Nfb9Ij7@3*9KfQ@Lq59s9$c^TVhY}=NE#<{0p`ik3ZCcT;EU5ng1A?R~FA6oj zE_F(IoqDm)0-17ZTa|BpehIPT=+b>@7Mn^MedV{rb;bM$Pnn}Fr>%3Iir%R#M{7dJ z^!2R~87?jBfGA+|R@|wnlOvRDS>W@&N`>3 zDp>Q!l^bosGYvZC9f=|-_e;e{MY23)v|aj2|m32d&M!leI*3>5%(CfG=rx2*3Ecjtf zy%ppICj?;p!4&JZ-p%%XxM(;sq`^WGepPFQc(Pg|$Xv8=B}Ryx9r_-IyG}%D64|+f z83<)*2}<>!5znPDFgI!NMoeU-R~C`sNZYSEw%qPZiNd!t-PIl(rq-;Vk){bD71#{bbO7La+b=lKb6`VJT6`LZqEwe6v?64%i|lOeHef8!xywTCsy%cKaU@ zwj5rBE7~Ut`DD`&Z@r(74HMn&?EO5cM`Cg@S2QAHF_?!jF_`ZPJC$bx^;4H=P55M8 z)e#J8@spf>8K)k&*k(dYE{2>`q~SR{hG|I*^G-t3AnB&&n9i8WSZKOc%QZPx_)krj z4FXG&F|tm2)$G4!w!d#&l%hk4U%g6|&}XGI%6kNd?oC`9S)AJQr8!C&O|?y(z-EYtEpxrMaJosOWT6;d#!8b_u z&|R4^mPUd0P!C#e&gJGkvf~t&5W~!jEQ6kAu8|dXk3N0n&KnUTB`U{FN<9WD_RQI_ zU?y#~4We9xZjzkxH6C(qaSgf>RuH5*l@*0~4>M73mp&c3J9AfZQ*Vr?9RZ_0*LJq5 zBl=w8hji#j^UXS#&v&R6_=%3(#51Ri@7KZVI#sc&HOdfr3^ezZP6u24~Lj`^14wXb3gGjT&q#f+=4c?&?|{ z%7VP`vMtJs#cew+XZO{jJY+TAQx`7BT7Xi1@11`ql$5RclaS(5ozR4w=N&7w ztw?>Qu2Nha6uj;=Cv0Mzxk=y%z1d9`wRo4GFIu%+q@}2kmmTGB)8B^Yj&cjd75O(j`T0n|hQt zR>XeV5>XHa<2t_Z`^xYsIK@D^T~gEwX}j@?5%47AZhb0S@K?v;G#3%n@13^AJo*{o zyvr@cn6#^Pav#R64ZxDy$xJ|l!y!6S2U>w`Ipar=Tw@&vtxH;-M}C8# zXo}XTlVTY7QOVbyp0uUiRN4o!u@P@`*Xip^o5C)8@{iAR9ZB@4lQa}toxOz~BDThP z{IgM^L31q#!-L(|;Pu{}R8X|Zi3@`#*UNu8vV^Nsh-f`6rcQ7)_51T(n;BC`m3w6| z(7%fvOv39M*=V@Ih95 zfH|XeD@$A}p|`Fv8=R+AIWg!QRwRWo%9Ni8B9_u3Nkol2UJ60f1~qc|Z%<2pGw!jT z3fxZKBg=Y^9aibS_s1WIcOdPzokF+8j<%f-@Dv=|#7-(4b{>2^9S~bd_=|-%snSy4{-MCdarbX^!4gmVnseyH?~kRw0*Q zHl;bsj8rrF>em6w&AqnUx4SV4T9lkS%#8WhW@E#W>ydloiA$(TRam(&+CQ z*-6d~O^GpWEJnE{)*TF_DLPKVkj2L2`{rUeiVrUc3Qt^ClRi1y9E~lHYb{K;2BxvL zdQ@v;R-`FS48HJ@g6jH}Rq?3t(+S&z#w4{7y~f$esf|2%J>z6+`o^DAO&3t>Y27|_ z^adlWHtO}ST7@MgtZ7a@F-|l>TjC(2R}gJWQt!|1ax&nf7?46nw=-;vaTq9RsZ;xeqIZprX?Ou&NG1x1jixt}Dznxb*X-GEo&J zD#1yD-|(X%JP3|9yp4Uut?PjFSZuk>wx|t%3JkLj6Yde6DYv)$D8Buo8wd)=5q8$; z4VZSdl^g-==IKtJ&%n}wuUf5W+JY4h*Z%0j#VMuP ztjh#oj_3Y#mp_tl3P+73+YZ#=HcL6jLlpl28VgTltyYh$Y^!>MLE&eR-tvX;hFp@f&Ctu-4xn6$X*J{&f(bNJAl=VYzJhqn=N+!(DZ z?9HJ=I3vgWXeE7+gtl?v8ePQ})7hJQc<^lM=MV)kgy8VyJDM_G?87`oY^A#MyV6pw&M-WF5Q&awG!-YaCEgLpF3WV|@t}|7(o>O* zLVD;%W3$ra0pLq5&UB1YqdVEMg&^oC@5PmhO(@^-_=WY1FCHm8jem znhR>tF^3z-92=U)?4-++W}5q>ZEjo?PwS+w*tZ7UN5JD(91%!Ft7YNlTk$0hT+~HA zf0MUG>%mZJ;x_fhDYPaBX-qvn=pya6?4qSu?_J=xrwX{IEB^pGad6naMajdA`$P9r zk{y9}apnAO87V6KO*HIQ@6lG)bm)|tOqr>^NK<>EE0BUIBr$z$ZJecPkbp&Zc~16? z#(JR4PV^b>x3Gb*30riitxoL_V58yVr)qQkIHwkMxaOA0KRS20P3;3sx_>v|)A&>y z+tP1SB}8{9GIX3*YvtZvY8jIN7|uNJiCI}XK_hoB$xRam0tZIRnjkOO$ zP#?HmSsQUZ#`4+s-U#!8~q;~`E&P3fh+y@}yyFnkc zk{1Png7t|}SW=Xi99b#^{Ea}93gqR%_Gh7Om9yYVMtu46tA7^ZCJg&}oKh6bU0bN< zAk%Fw=&mwRp;F8hYgeiDtBhB#3olQ3nFY?^uZgv4-xa}j^%g@w5HoFXVxY^oU1BU+ z-c*>Vjc-~GN^y$qF?tGTIGGFp{y+&JeIJ{Rv3py&{?IZ=Oyt2z2P=2?TDh0|sT@S+5 zC2C5^3&wUnl&|LNSa>02v?ZkK8S7FYp|+OVB&`8lL!1vfgwSV-m!-DLt)#0Y6TUv` zZOBPdBRmqg@2#~GLFj#JGi31_E&H}O8VNr<(`zLuOV4o7%J)#DxPYD;i3(4Km7E_e zwkfTKID?S&s7S!w<4H=76A8jUMxrf-kf|*;kA`AADIT2-Ow@wn;DOWv>OLAje2g|-Tf#pguW(HnmTvW$d zAubNJ7BslM-7SN`QFmEZeQC4_MjF(u34CW`_fc*{ZXhKMy)iO1CvQ%atvtyX`O%3c zYcj5Fw5OPd-p;Ml$zFR?+4zdL6x+|wX`6hcDYQp&UW4-$B)Sj|gy;xXd^p>BGVSu4 zh}=?zOXEMGDjrn>NlT3>ZYVq@aWZ<3l|yD4_;R(L3z^0b&ZeQ|wIV}tgEC8V5~P8j ziym~KIrOAPoa=75pqFB{C7>%9*(7;XjwlIQq^nrz&V#J1dokT@@c5|*K4U&ql)T=M zh^b3ts1l*(aYc5#1-95**-!&ceQGFml_y-SYf{#Oq~qZj=}|;q&#CYl{?|&A-=l|R zA|s8sTT@z3>3!9YN{s=?q62GWX_Ie~gsnr=Q|u{3mgOjFbDagmi^qbeu`p>t-|wic zt(7(t7aUg`N=o(4jD?m`kUUW6U4Xwvp)_6Zd24Tk2t2XpQ4CnnrOD!ZmI>FW{JPTQ%C*OhaD9f6 z!4U!jPW@xA%Cn*&-=vBvx!GBS`wB_cw_U@HV|5Q&meh9G?&*}YvzNEiovASHHl`nK z?OJB>RfMY>1BygjbaoJd6(&ea`)lir)VQ}Z5+4>4gcqNY;12rJYqrKJTQgA@%Ho|5 zcrr-OtpzS4JZ(WCTvX#tL9uaep`{@xT--OHof+_qa?N+?64flU>Qv)y1OOMPry4-W z#Tgft8D$b=cSx^sUCCdT2GpreDElp|x}g}Ps3@c$tM*rMx4OxQ8!0PN|kP$7SdEXi~G) zRpEseGB`l+*pbE`JkY~v&!TAU`51b7;+J&Edl*93j3WaFuR4(>mf^PCspU~4qeyk6 zZ_lpukTa|68Y_n0bZDeQA(x6Q%;x)3FQjR`imdAHY$mPt9OTndRwzSgz5O|er zLK{MKD@wGFt%|rQ3OrUOwcU^vgSt*>_)biLZdY~;#qUpRQk*>Y@~Ia)wAc^brb*I= znD>FEIV;1^;)IrGB3PX2%G}}UR{P`dt1HbVl{%Z7I#VF{ej(4&pX~Q%I5Ms|4Ld26 z1?W9=RkeNUd&y}D@5_-FPKEW~%Ab=ZZOfYTt+Nfc20|M@8Tr?QojP=(zJijS`SVR7 zU-qMKzMyB~ar4%fx#x;v+rza-aziO4u`OtEdGjDs$-o*OAb@jGqA4li#ZgieIqzNJ z#H9yFY$ZJasV%BVVQ)e#HLXA-ljli?8E9vM*YC7Dy3!AR9mll_GX;+nL%Q~82PbZE%IUK0FaK1&5zCH!MBZVm)oj}Oe^p5mW*##a#f)L!D4@0T8V zVaJ)(!NBG7Rce&#R_Tm_P=ti&+u_Ar-DL@oq(L7;Qgg)KqOI96+|wwb=2(xTOPWF7 z&lM3#b*73RNe(^mr|0Qc2Fn)aZT-Q=MA%+1uRZ!^x@?-Z_vbC<@*^X;rE*j{cru!l z9>I6KtB9oX&S_)@oJX`Xg#(mfKNi&qSw{uP+M}R0P(pLmA6k;cSDImJx4Jlv@*jOD z%eX+2r{8U*vcthdB?H1mM`gTgT%>8w&ZWJ@34|Yz=e|$qbLa5+Qq(b>Uk)nR^Kwyd zhUJxJTgYgXBge2GrB@{>=3Q41YRi5eLzP}*Kn)cbEVFMq7w0At5?X!g*I{{h-KGb0i@kN^q-4&UIt;SHlmVM(R8ggvn^|Uus4G zKx8K+9J-2{@|lQ*IW22abexUryg^~PHubH>ySoY8Ij3b*VLm)zA(#k4)~~F2=8-aW zstv)UwZaXaY4sWFQCx*R=Ma~-wM%14TJ;)sHI4*K`S_|cik$EeQdy4UP7 zxr8>-maMNm2Txi>@wqz7Y$i_$XjU+-wmx-W21cK51?eFt!KD8Hm0N=D1WC9o061$Y z>(|d}lLf1S^u|gSc#&2-N+f<8QxOuet~~I=nmrUL3IO@mkU7!WTo)dG!=U0 zopLi}rWCTK+dTz7#m(T(C5Z}2Xq{;Tkf@E@JV<4oF1y0hsMDSKL8hIIwo>weAu2qL zISw04s!KdHwK29xE`6g+snPDz@=L$j&h;_K_PH*(Aqs5@abrOB1Ent;WYSrQK1&T} z^Jrew^Uf`9t!l|zha}Nur`&C~91bZ6Rx(E_`c#KxX-<@vR!PM+`wLr6pydl~STwOB zbeE9k>upY)eiffyRKrTRNGOhVGveh+QqEMPl1&zxlJjk=#8BI$3M*QawH|6&&2eKq z;|_t1Z7T+ean-LY)~#wMe?Q3U4M};nUGTWlTslbkQ2A3SR4aS(Vzt4IJ=SvKM@lX^ z;#-OJ+*0pY>sN|Zs#az)5YLvr@)hCDUhIJ9^P+N^VzdBOk zd16lxV?H02)e~F&+$k<4E|ux=X*l2KQdxT7^S6lXw)4sMhPKqDE07&_{DDiKBw?`F zOKy~m4Y>+AB;@3iUKGom;%2WgB2Nq!#s=rlG+Sx+Uev-IT3&yMq$}*_)AD@3B7v-c zO9ba`Xg-v+Hsj5$T+1H{y?%AaW<-{rAcEo>!Q5cbP?aPp3l61RJ;H}A=uCb2GUN>4 zxSpo6oat&m32RRxNvzk5YHIX-x4n7)HfQIFfr2ukY+NW*e0+9RBd!p7}_h4r^3G=88 z%D1XS%RqD4uc6!|YWqT?w%xiy)+8oGfaIM?1asm2oYbih8k`-JS|w8C>)9$KkC6tE zF@{vjFU?D#wH)Irq&700wot5kVA3|^ur{WHGE&cV1fgUd>pswLC$EZ}SAIwc=bcm) z?O5R_;5yY`=_WkCIIrPB9@1lRka&vz6cDStFyT4^7Wo_g6tAZ;ohrubKf;I8qwoI! zYyMTIzedWw5yt-jDhIu><@xH~OB3r=KlaJ5jh(hW^);R&7*^xn zrm?B73BmEEiAiB&(P^aY&V03^yiK$LI0sT|^KEVVNUzK=b?xz@zoRv%bSh1MLPq|n zp_mE#ifdPLPCjX&^dX-kiqEt5<&Xs*=IvNG*b3Awrx@}TtJ&Lm;86Z-SBsZ|jDKhQ zWS&2MOP{3BWRJHO$YKg{m!{{UpF{{Tb1{t~Nu zJKLOoX;d?3f91keEBT%5fs^jdCpKrdn>*uw^=hH~&nNsPQ3BB*pY}?i4W>>> z<-=8E+9ePDS*(jpta>)8$Fiko9Z;(ATI7%YS*`7oK3p?LO|n73-@s^;K$L=Z@M;uF zt@1OS$p*K#N#(bI(H_r{{o2p7-~R1?qvQ{oYsGJogU`WO7U@6kgFqM(e*<68Wd6Dz zL6iFHN+7Xz+ES3xxRfa-)I{#1j-P|&VGbEIK-9LUp2+Jt&<>>P$)u-m zcsDq#=WsdVm*f24$?(#3FIgOvQ%V~1;`=q7T>5FD;`q)9(^?7m74~ULD=JV|GCFxu z2p|pi2&CyK;hl?oAjuSp1{-9HZ32n6zry+#W5?f(Q{@i@azwEO)FG5hfA3Likj2iV)%X&-IGKr93zgRB5Bjy zq2z84bxkr%IC&|`zKWXD++pJ%^;FhG7=JG6nsfQS5b3mJ(9OOX@b!!-vMunwAfM>M zhHbF7PEXN=f1{yiInQ?#r5k)QO0qtVHlzI851xNBNBOoV_%)GkiVv7-yfC8bN!fe> zT=CPDY_E%-hLhstud`qO!~iA`0RRF50s;a80|5a6000000RRypF+ovbae;PWsfty@>_9^5!_=QB1u?Wf#X zSC*SPgJ_f{0>Xm<5IR*ZQW_LdB+8Ts7{3PuK(c{e%w$9969^wQN<*rh;8c-v1zKX3 z(J6hrrynOO?}%B19|N|{r4Rx~@gMlfUPV#Ke%Q07&NzS;02?mu5a|Ib5LAu#hT4K> zveNGUO&A0c~M0JD5oiK@7&D6#oE3!Yd`zV}Vvx=jK$pIXXUc=0vRlcrSl2 zjzX{XtC{}M0o)OyTX6R`>9&uXfT=HoFLx;>((e1rX-F$QS@SpHymTvb0*24*CMy2` zPy^NCTCa6We`s|LDSk67tJdvYUDUYYH?Q1H<8Sb}WU#0i@mZMGT;YD@d-Ma2yN82h zDfY~#X4eG##;U6^A$4OOYniYdyXsLPznk9WCdLYd@zlOlqOXd+zUDa0l;AE9tz4E< z@x-+GyLB=oTS#I&7KFEQ_ILx!(HA^MYk&gL4TQf}EgQBE()iA?%r*3^K3R zS*_&Vd5lDAk-&}oR3P-5=3FI+@)p#q!Pm-w1u*7m^L7QkA%T9qeaJgY~FdK=m`xP383*DaD)F+i)>gJKUxr-1d(aeTVT>OvI1OfXj+Ke}={)Be)|clmPw zoO*xunoy(b!zzq<*139x+o4`h5pESmv$#gK&R@pm%jn^V+$b80_9QVVL!_CVwATGRUwK(*?yvQ zWrOA}5F3LYErX0TIsh~cKGnIJTDqRLgJ4O?fGA1}HAPDpl(wmfIOp5~drMpTRYjP5 zfy)M=TWSr}I*^quC#d3lg><~9nwL8S7guZV5H?pi%!$rKT>KvQ2~HR*=y@QoV|YB) z1}+h@9ty_Xj#FB`X(eK17g8hg@?won7&XP;ks&&WuV)^PMsBavvz(`DmcUb_VylqV zl>3yr7y)t~qA925M>*_~)C4NPg66oy5h`(Gz$s|Xdzj^SDG@J>E@N%^3gDqdi)>X} z;VF@%JbLyW+SMpp_>{w|3%0I}H^deLj5DpdB@Th~LqKQ5?kl4t{b@h$MqBbV4CSp}akg164OOPEI6%-My5ql@ zo06MDd*W(by1Qg(|CqJn%o^BK$FM?0oJE5mgIrEiQ2{_U zy&}3JHF)$nOu0L4xbl|^i|{pQWZ@omaPnKVJ3s#bqE$L3SxzMeUG(Aq04OMB#6f27 z5yjMFgRBFHax%7AiB2%og~&Ps#Mqp7DyQZ(Pex4(*<-v;qT+O8Mxzqc;r9&u%Zv-+ zpuhsN5csbR!N|Fu0&`1CiSS;Rp!n|MbE;c~%Oc4QP1G}*Z4Zd_xj5d>WZ{Y-@_ zBZw2f45|*8y=UnunPx9!WKRgfi}SdOGg!NcE2R_!PO_F2a3M;qqxORoOqnWT{-&N? z2D0%HS7X4X@{r0YL)W^x`Hm&F0>5&!y@aB2wnamnh$lkt7>yO++1tKuCYIaA#`}T* zRmE65(~0DYU-m<$CGI&h8Oko@=(va1R$%bekgs^yk0wajJDW> zV0~B1`r%!adGn|er!s%07iY`=0E98;Z}`ECFJJSE(GNL45c0@;Kg6j3(YO3cnR?s* z08u4BC-uq~cwgwmpXxkJg|hSg6F}A7{ZEiIOnpyRB2SYOUGG@6i=AF$QN0GG_qEXUCl49 zT7?5oIE{6~KR-~q@fP25^`}aOd6d~Kim3KwO9~^V*;<(e z^F_$MC2=)yHf|3rfyr%PN~&*5J;s_ zVy&2}mio-BE>)#cVxE$*3 z9!7O)Rfgj$cZp?<^^X+{z5?$vg>Q<7XaG5CjGpE|T!3V^lBFgcue~W@+_VsHSoj84 z-wlH#Z1!XO2_q2I^)uKY>6)RJiCYRkTFyVNCy`S;jQ1<2x-X$bL)}W~7T#HwkO^xo zOOFR5xsayO_BmA)^z{Y@EQan8FQ1OhNcdvoTu6uuO@zWzv=1ihcM^}Ii$!zHQ&n!> z2MN2dglE8~8olacvm)7U?F@Gf+VH!c53*&$&Ev9~rYco-HIE#daOM(Hd+QD@xlthL zp{rNs$rqT_jCo&I2IqEk18dodSle{H#tU2|Rk4oHU0Df&4HDg~2v7GWvgmNQ^#VZ0 zCsy-CN1IdIZ6eGn*c%#Sl|?H?>T(}ZMmp3nRjXrat*t{vczA;MT?O9+7!tvJaPI4p zW5O90tQ{g@ILlNmhJee$5&&?)LvA!-vdlp0c4RSkKFR10hi8?!m7 zNaA};vxE6u^G-6{mTa=E(u(W2z_&F+DmaLpi3Oln3w^={0XroDtgK-w4(=3nUr@m| zz#YgS#};G_t%r+;fS@Jwby~h zrp9TTqPL#Al*IHdGZ+*u*2*}}BLP5Q0`PGTuT2?~XLS{{xLa43n8c*SXh+LjBcB_7 zpbIs#{{X1L!7Mk#Oi_Bot`m?^e3vOcg=x|dPCN!8t@i{#T61B`2?{Kc3qZ$cek`e5 zSxYfc1}T{?yD-Ey!dNwPmU6-T2Zk{oYb!P)XWq4+@ zITSCpXDm~~HCcmV1K)CszK}VSN67${s92|3e3ATmYijm2oI~fQ+`$P#Q-%D!t-w;b zkXBa&!SI7eOqBlsfe2xfMzD@vp*rr;?wH9be83@+mf7F~P~?z30Y!D4>k_93>IRjL z3t%o>Gkv$ob>b!;np785k6O5~OKHN(g;$w^K~J}LDx2vk=StzjoP0}o&~8y_xnpvJ z^;2@5LEJx^0vOp~CD!A1z}6y}p1@SR)y#50=og4OZX7y6D!e?(nmz^SEaJlR5kvDt z7IFpRn~A(WUedc`67q2#m%GHXBU)nzhBxgUo}x&utyr!=3k=g66M%zoWoqsL`7w*T z!4q6cu-z?R3o1rNDKB;FGJ!A{5N^7|GRaSKMQgCSfRS7U0xajsWhbX(qCFtQYZ#?k zU4eAe!=FJ~1%({<0Nve=;aroi;vP1KM2a~qf~BRHvVX)y`L~g zb$f42uG1vMwh-=I%lUdG!RXlqgYyg6>f%SVb4JsMkvgz zgOA)_Fz{i9zGlH6L>iY(qA`D&kESjipK-5i9Z@V*g>Daa(XZmU$A4|6FN=xLEDxyp zX*vypfn2O-iD^%5m8v~%9Up1-=!a8VyHQ6D55#(fTfYRqZoM*qJ1=ClEo<39yOu(T zy+wNLklgPBf!MObafrl zVaJ(`29rl4@Wx3|vc)%YpiwBeC%U)+u%f+d3d#~2;rHk#Q9wT|3*U@2fvS;;cpPHl zHzbPYE|McS)~0}Fyv8^vwDs~B9)>d^!`5pOganFH1ZD#_U)9%mvk|n9aL^w4>d)YbevqG;wS!myp&qDupIv8@49K zZ?uMp>ds^9P2GvJHD_=QNLR4t%-(3!0Hr7{yg@F37{h0*kY`f>mDGKR0;u)QzhJ~N z4(^49-_%NKL{pXDsI|(#&!bzM9}-Uz&~pBzZBR?IvX?elOjP4QD`3D8a?!+`hD-Cg zl6j`5V*%Vom$yW*qjgcCu+^hqRDD_lk%oVG!lMBEMKIY#1=PegyhXRS<}jv9 zGE2rQA(M{kS;sAbsD`^t$o^O%dvui(^w0|7i{fNJ<;*A;;1DQqb%kZTkSD_$9B^i_ zb3h_WP{qSEuNiWZ*Fokc`a02LkdZ?!uo6=udCKA)?iEX22oZ-7E8g~DD1e}f<$Z_G zP_?QKi83%;#X`-rKcH$GQRr8M`x}GywYn-h;x?d2p|zdXu3eZw2hib5JeVMI#k%z^ zjQmX(dlU65@sVmN@IMV8SD6l|S&D^(Z@BsCYq&dDreDK<%)8N^0KmjJ z>0ftVW%HSEygbtcDKWBQP99HE{+n^fVtTyF8tL|-4sU-mk*`LEW3UtIg$LysXUyFJ zGMr;Vxz2KU22y-ABaE>Nc%E_!)Y;Aho4Ji2#K1h{Ii4Vj>(H_f?O9hi_Jr z&N1ax1Xb}sC=C{TqpxXHeFKXCWy(aM!7DCCIh+;4@Ig7f;v*>g(KtuS*ERTtyR5^+ zb9GfQg3FomuQu^AZ@|rM&MO<3m?bMu*zOpJNy<0q?hi+jinVi8x}I=e?Qj{7y%nUraZ0H9qXDonmD<`p$FiT?nRML`td3$11o z);ccAL&3llvy_GlBWTW7fx3|G!~l0dh`&~ydyg#Qu$6OX7?uTPze%xqLZ~eU669JI zpsP7MekL3)xWsa_9XIExYlJYiZj&)u?7EGoQom&7BG7|KMd{@ZYL9~A8-HVLe47$j(Qt!4nw*5$=3Iw;knTA_OP#cY6iUBLFewtpBJ^V z>6t(UFj1#2pg#j3;XJM;4Y~K9`8Y>25Q~ISVz<;?n|;OE=^fcF4spn|>uRGv>vA!3 z0$=Kj01UnpGT*D7q+6Wjm&T?Ek>?kTOBCWf-dVOosG!DxG^64+EC~^8ZnR-3i&E78 z0PP9#iz9#otald`$Q!1SlT?=$KG9M&R&6!jN5C7RdmrG9z0s5NdHM`XPXu#IquwL6I9b$v@w9P8#}O4nA(WIV;( zJbtl_+w~44)$&zI$ZB9(w1lk9`H>-g(ic}V;Out%N`>7a!z`XR;g4zrcu9f*CL50g?Kw8Z!Rm?Rvh+UFtofoYngV*m@G#v z?kz(EqR=tX>J6C{U@dOJJ3kFpSZ!lqw2mAH*}(AN54fy`jSd(# zDqnW4Wa9$k1=6^N?S{~bTd1~w+3f@Qp*5uyuys@xNaDE zmW&Dmc@AEqVPwJvOD@M;OvhJp=I!$+t9lp4w!zkWmr_JP?OiyFnWNJ3T)M0(6wCF% z5urkyKonNiZ^I2{eC-0#1-C9>D5Zs2v^!lj;#GMuWR7-F7=uAZZ8$xVN@(#Gk3Rac zWM@RNygmog7+%#IkT?Z5b6zoi^RO2V{{ZAij>cJi%KaVHufU6fUq#s&RBbT6B@D=M z^>!@Jti*1&Y#S;08&MiHoOX%DHB}Jks|X&Xpm5r@ULlS|Z#FPJ1&~`j%k!R^RzmF6 z7@0$ILINCx*An#gE5AxwIvA2PXT;Rz$EX@S;w#&(2>y5VYNR7g`I~UE{ns2#ei-Eq zgJN2@M%}X+>*6mu9at%$j=9vo>Vuino@;Y(Mb<@wOTZHr0`~CiJToD%n{SAxUbWU{ zIOD;V)r09>-6M6gJ61e>!uA*(WUO&go%0-FtBUTM6*^`I0${!(DWaU7C4n;5+baH{ z5_C9Nyvs6LxZzp$Tbw8tVeP2G*Z73agZ9(%#fryn?v~K*c8gpa z)6+5(JsSoapqvmXhHm&s)4=&ye|&uyg^vYCFy`y(qa2btH1$<5{w?# z7p+RnCNa{5^#1^WYq*N2rWqD1BpVdGlERNrEatyZ>xy1?hgz8mydlaq4hizqwB)m< zWyKQIXsxZ=EstI%LVczA{34#)VW?QG>GG=7tZY=RSuydCydAM!J||?1VmAIdm)Ngs zVIxLw;$qvWxu#`@OV5O4n7*+)dw~KtFaqIY^EMWk=pdROGK!*r?`!f8m>YW9B9n(^ zBPSfVh>e-2nAi6$kaenF0<{#m&nOhq6A6+A;;bsN6a=ihvo&K>bc_+%7iGDC*8I|K zC5}{9_K!pAYqv9D3+=qXE!tjKA7H*cFq<=JDCr#+)C(nIS3fe{k%RuY3kLgtnNZT< z@v2O{u-!f;WrV`GE*BlU1$AWOA>@czwIi1Enwo$+7Vmw+TB%82 z<_B*S#qsm*A2V|4Dd^f3vc#cQGWR(Sc@u3+WQrock)G1++^;}-8*j$=LQQ)1P>^vP zPA@Gv%)Ds!roT=uR`@^E{$+r+eUrmc(QC7KOcNFjbyUj@fuJ8~)zu0LFBV6zMQ$&} zN0GJQ=3+dqa9)uy4}y_1l-P4GqJp22en~+HW|MVX4Zyn+b^$4;E4iD8*a#VR{mRHt zriVKIutto>j!fDkwB?6_jrxWL+_{&@A2DdU$WrXu*-8Lnk)XSBYFBiltlhPB95P+= z1Rf*}FS)(7!X9OaD7O64H_;m6D)KRl6=l_vH{jIZJMJ_)09Ix}(#Fw7v3X!Q$Xhrd zcgZWIqjfWF>oVRu)WeryaGWBIEUfcg$}g&IwjdIl+0~qZy;tVo4;aXPcl%O zVWk+dEvhvf9vNLlQoR&+Dlj8GW|7`9L%R%YLScGM9Bjaqh4;T2&A!oXTG=~4Q&P%# zstE2T-T*l+3=G``MTaRQo6$mMRT`C9HH$yj&laHZ33v7mNub2mx2H3u+|4W7Az)AW zKh#-c4GaA+4*e}^Ij0fY&m;p0QDfQV{u*VPp=-v!M|?I#@CSj5JVL;v8>4tq^%eO; zJqoQN`2> zTCl$aJ&_s_I zTB4Z0O@A{@qX2G>GZz*BGS(NpA_`AN-^egxp(G2%?qz|3Iu_zJ;RM2flsWM{I|xQV zm%07|O z9iTSCJ3%j^edrr|IO=0}7*m}d)r&`_V7mqfMKaJKl;JPUMx66Z^A}=gYv*)wDRtodyQ)h)K4PrL2 zDOH(JGNO+_q3TdQazXQ0#4MDd`MF59>>ugtT)Ma=$7V??q$@)sI4xMM)CsYnf`Hurw|lX z!SD^cWb-R>I%wEA##1m&+RY;vu3OcyL0Q}k^!Q{5HB8W@vAH%gfBCMh(kFOEFBzMn}v<*>cM97|C2VlBH>O9Oup)V36pYS}8LK#6k}3UvMwG#EM% zxeS~}cepKD{F&xj6u@%3Vfi}WKuZZ!qcd+*8Waj!7R;DumyWuKYNHsM{&EuJDTKi{+C#yV#3cOz8}hafOWLf~rfH=s@>( zvtt%2<=PG+(XU54DZ@I8I4A`RX0>h=u%x1^rm^NVS%I8kYaweois;j{{F0uYD*-46 zpM?=pEEyP`$M-R{ER+>n_frbSJ?-OBtW+DpCOOX%uC9}4JR3x??u+M@YMaEZk-v$h zi1u2Q167xJONncPO52#-A~u+3F>8>oMEUA78uDr?{PRe>sQkrHS6F7()N(5Qv!K1y zwVB>!t!_CPvY6Yb+le_KRaW%KQ-2=n zpgKjeDUVaD!Rrx)A)p$;{4vY^;9N`MH-i9ELbT>o^@H7#+yLRzDO+o)te5U9s>?_$ z*CV(-sICW4V4PU2PD9xJOi7T^6+@E+$p-8o$ua%L&<{bFJT=vuy)dQMop$)RV`{Ri3|1-M#`0{1-VSV zeGen~PUEK9rUPixoyU@nW?G8aI-Wyuo}teHP!T>EqrBHujv~ZlFI!I4_%k07;P~WE znSwDa6PSSo0NAw8qv~#Q8DGS}qo3fDEnR>y@K2uY52$1DxTlhL>mxSe<pv4#uFwon(wORa33vGo+ zzGa%U#ON&#xZ);aPk8h036VhylpUZzWI60#517RkX?$Z3(o-{MB>*NJ>h+Wc)+xVd z4K%TcprMp+5m{610P%#OOG94DU*Nb2(rGc7dTfrLLJB%s8)E}6jLtFTfN%&1#TY0( z#IW?sV$+?B-8r#;;CLz7;KFJ6d5X%C>-(+Ie9WSUDo98!pn` zLfZ)0lHz2gnsBUJdS>LBiwkSlsY(H)rVhqg-4$8c*idr=Bnp^VF>Q*MPM9lFm@)W? zR0fOv!^qq&V3VxPF_&QIl)_W(0s&(@%LvN+;xCDVaoG!t;$YLfpcEjY#U&yZR#0&( z2Fj+2wS2*%epp@l&q&zPs8*&~-XM!x3RKP2P+nV#j=C`P;sgCr=3dlpXm7*Qt7iCK zR}4AeI2fG%X6B;ZvR?xd-K?xRuW=zMZw`O?`k*|HCyD%Ba)BwDKN^nuqN-zU<%nCb z??$o~?HR_P2p1Sl7c)M4*rOn*4fYiiq-2~6 z<=)w$-nRC#F`XQ23*?S@hg{X-ry$1_41NSN+oTu^EsAzP{5q~?T({T@6LesTqDmoi z(a)Kha1j=}eM37F6V2EVy}*nc7|S>X_(BLd!$q62e&c936EW7nHF<(X1r0@sa|Ktm zTqfeE0d>IO{L3$RLDU{{S$EyJ6ehdyE7^C6l>z1qot3?)*b5fENmv zoBNgff>m3I$kezi9nz16cXcfnQtb*EY}*BC53GY1njFlWO}>GO97H2YmO_u=1?VEU zSr~4+W+)tNxergNZH~OWhg>v!{EBf& zLpOO2<#!2ztYx2@3KIJ7{{Wh)+lS1>4>1Zu)Wuh4Fu=IDc}F8Q^lF$rw~|9%xI1 zXenxopHcIuJZk}Uz{N97?wNOT+67egV7OLlQp*yoTE#GJI!dXmS=So+xchl#H*Q#C zw@_!)!8$a|Pl}r)h^TgvhPE(PZvfjNs=lFmqh&v%7WO)ma`VQ%;JDo#S}uStp5v+6 zU{>SAa5JPT zQ(Q)m1OUQX4r*MP=p$yAM9cG)g4sc>*O*%i)w1}0E*PdV!6^2`b`1@3?Ny~Fl$H8~ zCbAh(L2u?@l!Rx1SD`JD{v%F$jE5Vj6cKFkG)}IeR<=F(JkD=(a_CKwCp~rFnc@U& zg}{#MvzLf=o>|+I*@I2(FE=ZS;|N;VKvPe+lv<9L)xi*%ETrL8ScP0>p&?UoQowOl zG|np$yqb3r&|q0w_?E0LC>5}nm_s;?$_$I*qb4krTKA|8*?RSyTEN!Gw>5#c5s50qV$XSN!aw?RaR?D&E+HyXQ^es?a<_Zz+|?x5jw zOXE6Y6ERBG$1&`@t{~ldUg(jU`o!D+0Avy0RtcK+&R~vO$egRo9iZoAu(q(YG3P|x zT#bo`q(K%4S0Pb@&_t#OZth+sLBdBl2qIc5b9n%B4UiT2S3Rw%#QF2g{7Wfupcj_P z#49safs(_z{{U#KgwF_~!AgjggST}f{ejx)JlDDYkj(TRMIU3ASpONeVvXG-! z29jmEv2@PJ$~o-zrpWAeq~VVcR1C3Hah+VepCAi<2~60LAZOksn{!AE?CE?(fgO*) zO>4qeh&Y2+Q{rHo*9I6P@eHC9fC9mx21k?@rxk)X6^(9OqYmlp-RgRJGg8Eae4=@y|xx+z!bCoYS_cvy) zSjNz{6d%l}hzs_$eHYYZFGujL%uebY4@2~UF%E~$Z&}<|7;2@vjm9*_*iAjzJi&JavW91;;&0GJ z+>}p-UGJHy)0rZXXGCRdmqrBjORL4WZkrviXzLX-kNW^wK2=b5bMUWcrX|RjHqY2* zQ_BEVZ$?mOV-VGAX(1^I%K<&&e7Lw3Y3NL~U?&>^3@Zb8m7`3CPXjD5Y4L-ux8hQd z-Q|&<2!E+1i;*Y=R|w5yRB^j!#{xsu@TV&P>8TL0K9fjAi2{C5u(tWk!y75;iM@gN zfW`Z)emt$OxTIGzwOayip+6;<@UA`qmzZg^0cqV_$&w7SnHjG%?+Yc6YUaFA?nDorm^md|=c#9L_H`9}Iot zIvJ3C13@@9{s$P;cBPtrTn3fUt{db6Fvo0F>7@Sr&2=o{<#i?#mZDJ)N#$tju zkLDn9s?UL_;|#`y_Hm~fVvtfh&Y@*22(nxtPbxPpnDhp7v`UABb}2nr)8wc%b&-oO zS{Fb15mw(!6)Ujr2zOCh`s=oC9-1B-Nr$mN#k7kJt<2V}EGy&rA!&LrJ_u;{Vzb=z zRmi>`qnR8O;MjB2A<(7)T8Jy72rHG3qV^>nrPwU1m8)PlfZV=^H*33>h|{LuARg-N zDAWchjq}xEW2VaST+G(leI@m3w!{OTMmC&!LN&nDjjF~^=3RNVUox~FXju&hmAOpi z)UAX9jAS7b7<`hm9p|WZQ-~kB)HDLUYJjTJ?kdn?pzP)xCf8gmLsn`y6O&_+HdJ3M zu<96110EgCRLVwJ5?uqclW9V&o3v2po4Hwt?cjqvRI05B&ds;OG8fTDRXK`r4WSEw z^9sV~J(e_P;TAHKu~z;kSAsMT@{~OlV&o05g&9eK1mFZWRsnL?^75;M&t;j+2pmD= z$4T1BQt*5cCOpVJW-9NvkVe>)a`#5f{ED_(5WlpnLdHR{hDy4qfUY5$dVj+m@A6T; z3wt0Ihf5}E^sCZl3HuN-4EpgHR;)ZgT(z{{^-iQ0SK6leEi;#9CUitH#L=>HFur~) z3Ql7(F0`w-;&B8?)F2c~fTralRYG4N=u}f^B-$1)LooqrgIjI#4vr&O5|Y$#+t6>8 zbt{WFGm`5xHbjTSvfB$}0i0@xYU?lLf@$Q&o4OyCH4gR?!I3q@$S!bAvEy}MavS1_ zS0hL_0ei~vFB-Sx>)aBgC}dbK%&}|Pd3}Z-sqVybLEwnYl9l0HT4o`I63K6}QpK@e z50)ffAPGn2H%j(`-LO(s#sn}{&eLs-Vh0Glon~YG1u5d6|rahqLQ!O`c*bdR6$G!mkzyMm|lTx+t!3JHmqgw`? z$J(N;)KQnrZK7PBOcm}~ZUT?Au9quzkimCJ5BL0t0s)~caZV~`S%t?^_@6NgFe+q+ zJyjkBjms(T0^SEr{E+}!m~l@drY_2#d7yj4iLJW#6bMv>%2dNlEVV~gJMc`2GR54m zNA~ua*AAw36JWx&YG7pt!&o&1Y3B!#>_Lcph^kmy_ruv=NRy#tN73^JO60C7bb0qO z9d)dSzbcjTUJQBr#}kjeU6}`_CEU{$s{l^uzUeaoO)zCRn2ex=b!7EaZT|oSw?u_^ zVhz-_(bl&G7=))vyJLvLf?4~1MJ?^l5w!*Ig3hbt;<_uT+aJU1xvx>zNz7{vK($Ij znN(Mbcm2ztEnYybJ0g|0ybTB2#X@Okp#(!`m@K?H=ZR=yjm0J}?6hCZKveAw5uRXF z%`F7|KA{1S>kZ2I&V#IhIg$@h#?ar5Jpxsd*CJ&6^XEgIg)woN`A@BmVd! z?|^9k0N4r->TM!{w<(PidV>nC3#~p5PgDiCe_5&9}clGLWlA(yEnXm~BQL zxs1;vQJ^VktjZt6?F*3=?v@MWU0lSS;sO;&xh^iE@Nk|&Rz)+H+IWZxdc*^w$&wC2^pb*r!QIea;9-O3`I<&iy$gO) z%KZ0D?+zg{)R^D3GXSA|7_ev5X7EMfQ)Jv+JCDpxP!BK1b5X7yB*n?W46vM0^i7t) zDyK}h5{4V9neXA8yhkqu4BK9UH6{f%lIeEOqXog2;X+J4UDcqA1qiU*J6%xNDgZ@l zrmE{|xHJyqU2+|?Rg=uMtGpRws6nYm!G|l1ac65e`o@Gv4sknegAjeRJk)YjHlIr|UR4b2w zxG}XXi$?=-7I-|3H9|3dfkasp4OUT*1m7C8u~nL8F7J^l`{d(jF)(t!=^oNQ9oSz# zf?tS?ev>A1atB-)n+vjgexs90Mfk*^Mym&?mb#Ql$CxP74Y`23v$6Mc6NgB{LfB-L zlSrDp+roYZc^q%zXVF>YcbNs#h0RUl1$wI8$0>pFTFrY#@~C|{=2xuDK*~pSWN~Y| zW?11V^c9h7p*??3W(^Hg3zkP%W2B_Ui$}G6%%-cR-<{d|u#y+^DK#P{M47TEY3^J+<6Sj;YB}ku!m{Uxdu(BC z74UIVo4FW(G42{u!(YNOpdY&x2k3{EWt9hA3-cYSA<24*!i@fo3Ao51rWOF)roTf1 z=0_K>#t0fIEY(L*b}I>HMe__!fh=@CdH93kg-d+uJxbuQ_+^R@A<1j3*U-aU_I|{I zdwvMl`KN6ZTdxy;p_E6MT*nmqEHSL>nN0rxlu?4;P%;{J5tziW&k)V|jW!jfnm5Gq zN?0q~c7%x18 zW*a=vSWcc(Ckg67$mQ+Kpr>))oV3EI08;ax>JFD%g6}-Klu;LDX>7li#% zt`Pf|uBAhX(17tf3u-D2hP=`;@v1^}G(5n4yP4%q>u!*PB|kV>OHxpVxX%Yj)v=q7 zFKA%(DM(w1VGbOTS!ld@foTWSS^0&hx~RGlF)~Mmo7FbU0qP`MO0_YWhpe{ZKIU0E zsuE5r5%N;8?pBMf_b@pv&(4}9#a`6M*8Wn~RD3#JG-jUWpeXirUZrX?c58G94`8yx z8-S6?8!^Jsgks)RMmae_inNXt^i+@MU>kbvh7;eqDY>2HQs zS4anezveQGuWz(&r;{t-ng_|sl%8-t?m%;1im7C#uQwo*4w&Cf@wXnT8<`=4{cxC< zdV)o?9#f+FGO6-1IuoF-ZvaCC$mr2EnhN|vqpr7?S(eC(ZB!O=%e7Fr$%D8$m*y4X z16+KFj(MocBiJL^qUV~4w0*X{h&6u_xqgT!5{CNN%#8=DX2yIXdKn9Ai1L!EjUku| z=na##Blx{N%tKlm3c|5&%%GN+i+Xi1#tHt(l5bRFcW|eOqk;U!bffJ76mYWH7`LC0 zaE)v69WZkZEQ`#Z7(C^+FeQgE3?)$H$Xd%9QoFjA!a6c2YVU(SE>Vz|gR1RkTlBmaXNlF|7X&o}VfT&Z$0gpKLOK%yQW(F5}7XJW1m|W?7k z&85_=#a|eAFIviWadE^1OjTNZRBg(9 z)nbsrfvc&joN_kzBM;Eoh5#d^@cwQ_rU+|JYzlRl`m3jsWY-k3xCm;f_zCg^S1z^HY)3c{woGX-OCL)gd{W~e40yl4oW?p4yl z6kL{X@o2?n5B_jWt+E%`I22}IL_oqiH`Jw2+$OzPKs`E?6)dOD6yL-qZR2K&!{8i2 zdK{8)!(tV(fm$-_-e7LWh>&wzfho;0w0=;<(58Ic!NnIno7i7%kah^ zYyk{&4@$V8Mpxj>v(XPIjT%-&g?wEi3ZY5BLh#we>KiR`nB@#jn>71^eA$Qrse{{$ zMu_(IACmAAoI?mI>P5>z4jH!q$8qs#T2I+1yS$K3D1dr~wziwM?jLJYbTjt>QCgm7 z-QrVxnC7L&Q)I1d5g(^bB~aXellAY$*SEiG1nalYH4j_65TZU%BmoyyZHw( z(abJ0h46y`HOo%`gKFh5gx+-3khhVvJ2X7v&v%X_mS8` zG&o>JiO#uTZU~Vrv0hBf;Y&%&Q)lX46j)K2Gu=#O>3z2lr=;K!z#C1VuE~PB>^<#I zSh)?OZIaL~{{V2g1n0Ma{^fz9*uy-&r4Vy&7Zs?-@kj!`6$~DJ8Orr2B0EzI5Qj4| z$4iB*U)>ARnc$&Xz|T_m_xCv1Y+&3=D%xu>F#&2iGM0^KZqW4yqx=jNS|Dg)nWfhk zEw#nEtxQe-0MP#c<08LTsn#^Yw!fHM0|pWOmZ6v6j>?F~@Qn&(brw73BYIzU&#u=>LKM~?lMlq}v#wDu25AcB~ zk$mYe=r{Z1tfi|sjLog?FcD>6`jqRjzW9Bk!iU9ptR-*` zahD<$e6jxL2_hG8+Tps>!v6qp(JZgMQIPIb{$f{2(uKXSyDtLET9u{nmWGMHQB_n0 zkTr_msZ9tPB^HYa)LiVIRC37G#;2Cc;A&9jGYcMHWbTN@_Z&u-8Nw37=hqNZajU4J z+~|7Z3sk9Ux^)c;2Gl&l@pTAY<0e?I=IUH&D)C-mDcIbeoN+Gey~SMbaiM_&NTlc{LFwUI;{q5Ne%=v(#|FSjkIo1DJ`uQnx9Y0_W~312XSwnc&P4vF9Xe)6`-f zLOisT^5Sd71w06>%$pI(v*OM}aMr zFNBt=Y3ft?kC~O!L?LRak|F?BS)7=Zr?}MnPpz~0zy)RjOU5axX@Ohlgu#Dcmr04j4M9(Ss|`5mMlg zfk+akV=B{34rLJC)y7zSt?(uxeCdycK7Qjn_-5RxYT!uuz(F zxO70yOF-PWgD?zdWCU&%mnn&73D-l4?o?fC8*bs>K(gF7cQ!0#b_?S*xJA+f6#fmD z@g6w$iY=|3LEqhzO1BR-<3$F`aOasqIu~|YEOtv?hytk1`MH#P6eTHI<>uu`chbrOt}fzJO;}$Leqya5s_sAahTO~E zD&`#ZE(Dv(9(Ba3mR`&nBE>iaHDlc?iBWV8s zI4rF7DN^ndFu0^dNDZN`qf-n~ReW$XS9xohc;g!J36qz@p!}*Zte9NY7}G>MN12?8 z(MI5n06iO2C!Id9;G@685*;yY#cAw98HuQMM)B;Xx|yE+ML4m^QEaeHb(R5m0O2Q1JXE1E-IhJ6gi8`2dUfXm!&geK zQ{;@x)IYlInby%QjssuuGvc^$y z;g@4T5Zf-r^ux>U@iHRIsa6k!RMkrZk|7tCSgb2XX$L4xof55Yx$aoajj%AUEs-NJ z4Woggydc9dU&@VS1}>jtM{8=nYI3JTY*%pHd*+pe0v9*L>!4GN77fcc-RZ{FY+Ur@ zjR|>o3dwtEfb-lB!w3v7>RWMv+*fuIm#EnvqY}YRlA_`{4ft+TTS=t^TKJWaK}nY! zBHSVasqYgdZ6gu1@2~_i#AN z#D@=2mgW0?A(!E(N~N6X4=r2Q{UUCx6a2;oecwSa7|;}RQ7(r+q8d)|DcCXgo(mY{ zfekY$af@-TU=K5qe0n7LF9T0N?@-E1RV`io4N9UYob-IJ2MxmFBe%@lrg1nbSmBd7 zvSKy0#vUg4W@9cUab3{DAW8vgTZi~uV2RXT>vpydT>uxL_sl%Yc8j7aPvy~p)r&Z% z4>4kb`+ndYi@hZ}L33?D(A$u__>@H#o=N7DW@Vc(=97Sn$*5>gd*={WsQ^o7t9?BUaUWA@hp8eK>4Q*moB;5 znE}92y-K$O=8aUs*NJ4t$S#;u7V7x|9j zldCMGM(@%GQU_#3Fi*%?hb1#()CP(e{d9txMKC7|_2G?M43pY9+M3 z(USqn3}el-HnqVLwY@_Ha`GNUL;|o!h1mAte;4%~o(za>wkS)P*h7;#c2VT5{6rUH z+(MVLBsTa0DPlhOC%2f)NKl~gLMe(?^eD^WP3jOLk!s!tmIE;o zSwO;knCUsAyt`9O2Ktu{#HV`l43fZU8mpX!yCq6y%ej}a>af332t$jmFD`~X#?GuboV^ZMOu}y7*0!wtu$x6Tu}jQd6ZHw!3>P% zVbzoR$DF4aNncy6V}wS#QHZ)lrBWESR%WuH8Oertlw^TW8qfzy=ctx9##3iT$(@r5 zsi#9tK~7|Y+L-~Uib|pQ?Y%EiGBh7cX+qracwTET#d_=778nWq@3H|58 z4l5CP$CE(uS$UT4nlgYFe7l%o=I&YNcY<>)cCBvrT|uOz(Sl=lfM!gE*AO}z`Gh|s z1UW}Nrz6@`9a74O?bTd2x=xc}MFeom>JSqe0^HPL#^FOAVKq^?lyQz+-NJ$4GkXSO zPNRQ)16W~Vz_~>Sm_dB3B*b2j;M|Ttg#!2(!sIqjeo~EyilSW1MvY`87HG+|N zBo4~Emk=P8!h{&oP`5JSSTs4F!jb}zGlz7$4&c^FXOP4fSk0esV1X}Lmcx^RI1Jpp zoiJ!{Q=0*OCgm-^vu&d}CCAHrOLFd5)*qdx#0x86RQIt2b%;A`5mS{#W}%h(aGaiB zHd*7`RhLa7`ZmUl?CM_|KQh8X=@Rh;8Kn{RgT#!9fR{5qdrQdbH-=5j1YOw2F=q@) zaaQI=20||wB~uKq4P1y{fR&|UILf!gOD-#N?pm7L#ji8YP(^If_Z!a7&S6Xf?bNUl zP)!r!tPY{DnBmWDFr61_B?XTXlcp+@VhlW(kebBWwC~g`2bapDp5~+2$N=Ivr%@s^ zpqOUZSa30kX{#EFd^Hg=kvO5hhq!v~_S-C_@5HWhRA&L{p5TG7i(J5T^)I>-!cvEC z!Eow5wo!@D*pg2LjK+j!eunfNTD%KFgH@4lprAx zGzJdzf~@21h@k37U=4)j3spXVooVSYOWU$F>E(BX33a=4XOE8lS{ec`v8q6T-P$&j&Dy7E^*t=4$ zDh#-`wqEivIdmb4=Hb? zHq>yqk~0cM$7rU20c=2HP=hmEu??W2wwq$Cy$!SUw*o2P`$;cHZ(CG6XWf-%yGH zb4>B~SXuY_%Zs^CQrLZFZ1Y#a^2T?~+S#WTFhI8<<{h)Vy-MCfU}rBLd`DLV!Dg>( zXEztXe4&UW3s$9g{)s?}hF(px8W{{+6FKgtAX>7ksDlv~d zUPy{!D8RdsBA^~foEtQ~q~1}jTC{Q&_=8o44K%wo%+%M!qG$=XBIo4^UfmRTGiFq6 zVyJY&uwg>#8O}e_HMHBE%WCDsP3{g@7BrI5{KI@~?7pKDu%4Q~;w7t9g;%)It!sw_ zqzVTP*h`aR{H3)$$71eP-I9-8N{Gc~^AqXB3(Z8B&St)4weXL^d1&&cY0SAibFr)5 zeI@ojs<Qf@<1C%jr$4#>`P)SIt z&L~+hC4PG#ETY;sWk0C8{l2 z%(RxmhA0~}h->P&Za{gp&9IC{`UB`rWOpjK| z=&J^Y5hF#KJB76jZq(Usaoi{n`76a#}n{UXxxV0garmxgLslv}mj z#f>wG&LF$Rugz0v9^mM0-V{S9sf`z(ECH6<12DL5%F2to%}d{Fc)N!gSJrO2H}F0En3K|hvEd>z((ygh%YFUt9`;AXQ&!M?dgEO#JSoH zp`N1TKsm$;DbLqh;%sc`%`M&U0{nPwX2G9s9(msOXIS6363 zY0S>Q;X7!VplvdBFRcwvU*_Q_MitR)Th42g{{Rw+*9p`H2*Iia@=wKgBUjvJ0CQk8 zbzrisH1)Jp3p**kaDjQu6gUVfc+6fn4$68RmP=|Q6Qx^V&+*iB4mm_TJbZw zj9Ds{gnpb7U`Jg?{GQJ3G5%IylwJ_e^ zCq^&K%0|~E)-y6MjN@IjdOC${vrikRv7l~<=zF|_%k;}m^h)Di|S+FDawmhlsSp) zX9^nx^s0+AQn`M9b5KSYTTsJPVmUp}ebkATfotxxHHH=wQ#X{cTK3p6m_Iv~iPZ!1 z1e1q+6PCl$ccvkIJJ=}t=I*8d7Zk{`xXvtQwF(j*v2Ql5VZE!nFxM8i+t{kLkkd0J z!1B%?QdGL*Ze&IoLv@KfSS1#A?ILQ7)R=~FK?za-19AT3L zpi=dQF4_aoLLZu@l2LJHjodzUnA)dMP(cOQB`T{t;)!fncS`w*DNTpmbuA3dIQ1ca zFq<~5x58TxcOkLFH+l5%MSr4K!E?d*lt%C2t!J^6{pV1`HaNuZOiq7 zpm3GOAJ=q1kfx#>D$VffUyHeo^60K6gMJvoQZdfl0o1k=oMhnki$kKW<@bZbn2#9H z{{SEbn#3Ir^NvOvR(o9a-jUv52i7Ncc^wVKk0>mb27DPlk;Zh>{)H>tG)B|$n#saJ zutA10>w2l6yu~b%PCiIlcLuIC3@dW7UO9{%EM;}w{iZo_3*BJm zt%`_lSa(l}U<(JfZoFb$IoWXC`haokEdVTTDw)c{#s2_a4nH*;@Pe6fgj_Ea(INJc z)k9m15CX>2>M5-pO!byA$c6zqwf#z4%UAN5N*EYOvfHxMUSZaNd`s7iJ>qJF|tDOxpPYuO>c>7V^b|$|&mXlGqCSv(MHl5q1uGg`GXh78hCY7duE7RNY54@TyjT z8gfG-EZ|Y4LCwzYBCP-*uy&dym@TX?xU!7X0eiAsP0Y|hH|euJX2dC}(=HE*w}H^K zxQUWsuD-AaID;EdYYlpIEsT6y7y&F@ApT$)%{PA<^)0Y(dL71^17j2oe8k>4c=CXj z9761zSX8QxJEw`8)J=)ZvLLaj9!>`&;LK9j(Ji6-1l3-@XxCLcmJ8uj^#-9ueR7zk z8)IuW`8Z#nB3g<9m>LF3Fic~2NKC<|>heWZhfD48Et0dasf_Of>~6;m!7X5JPD;lI z3WFn_v^OM9!6F?g;p0Z18I+$XwZcgm%tIO<4_4g zoC3u7jpmkL*-#nA7~5_1rmUw(j~z?(^f4Z}p9y%*X92$s9QQJzgatCZp5>*l$^QVX zGQf}UxIrF(!>~HUx#pE^nc?1WbJnuY@`%{nJi?hvHXKPx+IWr%BO^7M`H2=-63CY% zeKQmTmcv)h`@#zPY}rcecGF(y)#84Dzw1t@Urg^x1!iG8i2 z2s+qqeq})i+jFfJYvD8o@0XZGNn7p%AH=jUT{h+5cN#GEIzEbSiPIjR)u4!-{KP@> z1hn(CmLI(?6*#k`maYLtG_KTct?ie>I0XLyGS|ettRa%YFYreGn57D)r$FLbqHC>J zqm8#*#v_s8ZT8m?XHJeePF?A@Qx9E8Nr#hqDhrrw%rExwF=Z>{{$dV$J1 zXrGx%5x}SJ4$y9q{lR@3{{V3+(Ek8=mRuBDXBfC7Q+8W6NEtiq}n26=HLIA4OhgB4~2vF{X4}HP9b?#Wxwc=#Pgn7>8sYyP3 zD*CaTqC_`nYpE{!o>iGW5|dR_Ozq`W0VHj}`wFvAtibD2<3e0i8y zuZYM%&2;J;LD2_Xofay z`I%~OD#}z|U_yP^TVWkHBJRGYaT3jR~XbsnggrYmuO)~~qS=jN4 zj2A#)Du*I@1fYm~SLOh@v^fx^Y(M(*GIWOp1I96O69_MAjBz2cl( z3iX~E>fsQ5Y~8yTJBtMe2fO${lqC^;oPpl z1#+cgreQ|m(FTIuGaNMQl_axLZ4ohT!f)c}8J|pb%I#vcST)|O?)ysv{G1+lA%_nm0w|FIF0FX6JE=!nM zaWJ-p-CyDk_^sx=VOhCa^y@o`HtsZ54cY?|0uTW>5N!ghbVDuFdR$t9Zzqyi zRk~h&<8y&uiPUtf%9h~9J$Afyuy_up9K>>ma-E;K`U#}W2L|C+@S^HL=@U05>XG5} zGRuR}4;hV13_%fvtH?j*VZwU;$=MB`?SNV4f8GlJ055YYMB(4exQeDf_JD8+OvdY3 zpA0Q!8c{IpBQT*QG=reBakt`TK9JPf1jYG1yaX=n`G}3{q$+@8=4ar`+6oxTYLZ|i zHt`%jc#1OYNIwaKiBnxRt`8)~P;cl41~qWf7s5-J@QH~-5B?DdK;tvP1s4hp@Bd7&K{M4aP09LI4o3v;a=#Ub@SHMFs9HChv{GAa)5a&EQq?4gCWJ}7miNqJ7t@a_ z-NOuX2t5j@8l6C8Rg96RM90%DS3brIOa(zPOY=lR?jlCVVnfo}B46_^1y0VtsfSS1 zUx@uG7_I?$OTxF!tO|LDZcX7_8qDL|)qfBdbOd9%*`z4s@`No?VvF#mz1q7+Ha50|fgzZpgTb za1PBc#I9zOvALx>oTU`#3+XY6Fwel0WI2PRO;4B!M)tRg1{cdKysl&g_Zpc4fJR0r ze_l~`>OG}C9m9K!B4r9yuWz|Xr2ha9Gdi{IRJY7=G7(*C<2NmxU^0dK9^ulhjozKq z&4P`|6D!~*Eh3D|pcK?s-@+~k_9FQ*gw^%)WAMgPxZ*`l z8`&!Bc_9SZ;*)<(Y+c!B54ijZnPgI%JhLD$DQlz5L>DqWNH(qwO2X(z?Uap*%%^K- z%ZZ!anl8zIiF-?qkZ)YHLOnBuXcE-R3(uj57J>I3$l*%b7u-jD0*+K_SN7B_6f^O1 z=up-^nb{%}P_i>y3KXujh>npgjA+Lx$Bs(#$q;hVg6IZ0l|q!!n@!pVk64fKG%>&9 zQzpbKjh#f9PrCpb}*rAidxT<~1=oga+ihy2uniCkl;}Mde z(iOv#a^}4pOv6yFIe%$)1Rj7xnP_e;FX92sorRelKBL_TYNFic%|q}}Gk_`Nxadq$ zSwOvl=T4$i^($G zh^S~O3`)6Pzn3e@DHz7abK0Pm=&5m&PU*qKUKtU37@Z+ipEpm^3gnsWqoWq@0MNkM zE20|Sn_QNzS+6r7src7iIuhkI%uTVt#7x7qjBK^3pZr^MbvE54fgMX@cEDfE9>){? zSzJ`*Z*%ILMU$omt5@O_E$Uq}pwzi^x3??8wjQHo+mg%9O`={lbykwLvfias49gue zm8ng3=UT4TX)`g5Ru(>^IJ?IcrhCIfEVxoFX=;$4l^ko^oQjxeS@igrAOdFdHcc|w znCYZmn}%UTsJH=UU4LnM8Cm!!Rj|h$LPNXn26>plV4vL^*DX0ex-nywiU>L>{elaM zeHRO8(K(CgMWjkB7r9L9F&Rp6HzDX_F`lgy>@&2&g6j3sv^T#HlgcW=MeG_CUV;qpK{vA z139T#v|N{>;G`6}q(mUL#*lFfR+B8i>0(|%&PyD17~NTy^5#~EE!14_ChKnSzG6@w zLk;7hs%E=L&{;qROxMhEc=#gGaq4CSJ>bQi&d=jb(m^fY#3&vEi0p}1JB1Kv0(*Hc za_u%$5i-lDX@QIUK~p>kIyhzl;@Y5Hn6T;u{{V;sU5Iwtg3h+^dX9S*>g>0OYn=H3 zx|Fp|?B585l}**6y`TColaUueDg=B%2irj4mB=)u#>DkUd;!XA4dqh)Qq`N6|U*%R5tj_B(rJ)gYQL!6>Md@fuXo zR{Z*wFsym%)MJ^ip=X)7SunD_1Ixkw@nC|EJM$|=sej6){{W>n5@jV;L5m?sGJHT3&rSu#_59Wy zzcSke-aG17o+Qo8gv%RHzX^N)0GN`Oi>_$-D&<%u8g?SXJ9`z|_P}u~1es~r$mm!6 z%LOsTM(*PH#j(Z~;IoELC1}LEM-K6aS6EWNQs-XCr`k?9asL2>q_r7D{gVQ?M1U2j zdHi~uPAz4Wf4ovv5nIIu&Ui>BES!>5bnMlW%D0gg|_!aXp+r|vQd zc@G~f14@zvTXLVwKXVIX4h}{bR>_C-5DRkK{vR(WGL;s_IvYGiBaO5W?&pOlM8w!w zv3#FOt2V?dTNeT{D#?j+(9Aajjid24*(&R)tp6d|VxB zL*f;~Y?0)et4CY_(`Ay4jAKk;G^*){Rny5FlzeV7WLr3mCdw^eOeGjyky+*z3ZlYt zPK<3S{{ZF(h?hiduq@#jGox}=&7n>i&S91iNQQ;Eys|NgU{?sBrudw)Q`sIp;yBB! zf;OfP%&Jq{4{qE#V1V{=Jl$k^tLVXZ?lx{x@O3M^8IqW*;d*hAHL@^=?i{A6UB>qc z*s0jyZp}EnOX3(9qrY_@TG3v{f#HLl(iO7fj7)b^_qADdH6Mt$gXGJ7N{4{!k4HIy zCr;IxDPPsfc!fm>ptZ{8zfIo+PJE2ka(i)2ExL*t0wJXfe&X(GGI$?+LSI?nat{C@ zV+ICVy7Mn$^?rLL@?s}N>G;D_4(0Ug>n%6^lHxaF@>~`+ToT>?aYxXS?EisEpsm2+TdMpMi@<_?4E7AiTdxF{Et!b$%CHmY@R?i1*y;bEUF z(DAepywfhFig!7#iER9a!mS=N7ILe92TRG~4e2cioHI?q++1BEX>%8YClO?N)^;vb zzNOT1>THE=tFc;d1Xa>7z!}ebiGM6ZzQA`5c6YQX%P7H*FBwX*^X^%`C0_$ABQPTw zQ-gr#C|f%Nc|#3M&8jL_N2_iGsm%5o&0-u>-GmkAfhDqvY~%}e$#V)QQZ@Gk9041|$Exb^y0w^6?dJsU zj8vuZaWVAHgaREy5z-8ArZ$}j`VaI+>Ncv#5`=n<%mq_^YHg9XGf#R%Rug!n#FD<4 zP|S7lXK}hw5r-=WSE*jWGV5l<62rHcq5pO$!yqdu(zY4ZIVrQGO z*vK!V{UT7LGD&D!vB=HG<~?2kxgsq1*iXqXK!}qGd}6YA3XLnrL>6=gkp6S`1t^vrJ5dzN{81v2;! zNRZTDiexJJWttv}DDQwOD=izeIFUM+Pm{A}p`8#bn#k@w1~a*UWHexe^KpDP>i+-- zd;66b&{WZct^7mY4;kTp=AzJ^nmVXevzZ<*mWB5yVCONatrf%OTFUGS#0#sV#0)~3 zEWBF|*>cz7Rk+ol{KUXWtWFDCXdp%2%+o@gFlI-0Z;bF9MPvvJN&{{2h`TQQl&Wyh zL)QxU0!#qa*)tmq{2nWJ5%qm=LihxC+@*P($1Gy9#NMGRW9WcoR?d&cggIj4xnSHc zFy}gyL0)@Nmb6y9M*NDyLgMNkAbXJzxajdOq$^8cRqhk`-8a!S-%(i4+d=5HV>_y@ zmwOoTH!E6-yd6Z+6g0hHw$GwojPZc+wWakJYVK)U1dv8`iVVu^U<6D?lL08&r zwBWE{UCrkFuNP9~U=?m*C~ose*omO?8Vh(VBVGl&mI{BVP;*&9vaRIp8#vD2v&=bn zl}2;GEN3@yaVbdNt{GX=E#X6wp;(o?#^r}PI4uRTvaAJs8cPmr&gqW# zkZFrt2Bpk77==LNdVLyf6AOUXML zosCdBJj&L(?+*rqFE_cKiKwa$OX*F-Kqh*4Sp3;aAS0gUgPE>?;hY(C zA(J$4MQZUbjCp}>i8|UgbqW$}RNAqa1I#&k1Ae9&POiYSc_NB`_%94M9|Xflzr<~I z1&i02Sm$K3M~WS*2-v~v2n06=!7#<%kj*(Cn4DUULj-%23dew}6=|2a>UOwz+FHcV zM(EP+1;n+TT@ES6rc7tm&Cb~GEZQ!vPbovmEj0WQZmL1rYqX|ps~+mn=Tkq}ZWF4; zV#@Mim>elA8B}?P=rUV2m~mw&8?}?Ec$@rC5?k6^#cs^PA>WL{JS=6!STt^&!V`;~kkp^|ME^!xq z`cZS+*5|WK3`>N>u)qYX-JnHF5k@AGis!g5R1AAT`$y!fmng2muHt2m+*moR&4^j$ z9=oVT8{2VZ4r!U+QZ!h6m3T8KZLKUTxy`{M8g&;-y6xPk$JTKKYq-^?a5ObaW2JF@ zEPc`_zdBZ6NL9=z<+#}<<%=xwQjC}Ft1{x!!2bZ!7br%#=emj?D$k0K^aYBv zN?_)V#b7Ybvk>T9R)B4je8C8#@+!ZTFp%VP0+<@DN(9>jKzxxIHBc81Wm}1ctTL5N zEULIUqmHp*zVJYS5&Oh}SB5q^JI00h?plzxGW#{Eq$LhrRxS$8Al?E6IRe161?|lt zHy~TNy(LIHHL|z`aMjt)O#6)L7>_c7)Fxm-NwZ{XiwsFai8x+yH{Iw-OE!}fUcfbV z@u_M_xP==i+T6sQI8%S31l4&>pyjO*qhsBDOXY(>`g)}YP}4ZthBKRnuL@_P=x=FQ z1JK+HZm=u}bwdk#vMdA3Yxxq?BN9^sp}?Z$oaPK~JP1Z8((p;%uzsN+fIb);x`CKo zjQH(qh`$Lol^{B}Rsye~9&EM2F|5a9&RbJ#arSem+lkqI_xmuS;io@x6GOV8h zw76qPgBM|=++G8tCT(6+nLyY;$mFDk7lkjm4rs=F!8L&Qk5x8Xq*OM3SRyw?G(wVQt7S;=KkAYg z`9yf?C)v1)P&dlO+VEN!+WL8m9Z$}IfmhDuyl16izvg1TH!8MHI@1Z#C=%C8;!ype zrxYdcnU(a)Kq$^zxIfv9DJ#X*pECsuQ?hecprF&#EeG{FS-ztaH8t{$TblC#fg#KF110LO$qlkZ@%M7kza*;n zqA9^8ml!Kf;*br7L15~6N5#((M=Olk8Wx}m3?z3C%T%d77bZ^;YsQzx)KzL}t7mak~9ykVU1_b)rB z2j;}1mI^pkN*0e9onaF*d`dMP z5#tKVz9qsAc3Z+`>}O>H!OnA2JkG$xV4jE+_SrS%=2~6vs?0Lz`QW?62W0KiLi^18o zt8*EAyQ_U$#G$L)Ty&cKAu8QU8FsKPj8s71w)M!!wjE67ggqt7t&*y{xI(`->{r;^ z8Q>RN5G`=TECU870lYv+Mp4WSOLR=)rY>y5VL&?frSTlb*xRQ8%Kw${nb!1hs`Gv6@?c6;4(VL%M1+vLS{03}=k zWos{}rB!knS48zRd;nT|$57@n>{(NR6P*`jvIrg*BSTlz!~kwO*VGYY4-QGMm@@Ad zWov#XqtZwRvlFY`uN3Ebh18%^=?-(!k?1LvL2aool2mF0?ffmubtl2gJerF$$2MU; za~S}q-Kr44>S%72^(*v=4azaW&GiW@xQDsPGb>y(ZxI@`lY?wa0Cgwi`9t#T@BM|W zT6Yslu)U=wDgOX?BFq^}o{mQ>ZZ5nKW!W=hf?k?1xQKOmh7`+ix8{-3nez$bMP?LN zaLr1we!;VKiPRFD(InWyswLS~@G@<(GUeq!s-9w@o@h4;L3stS;xTh)O>ZEQPL!WE$v3gCW3)JLe=zP56y%Q5-0+>8OT4 z0s{Ra$Miq~@3xwhoRqRkY2xCfY5L=+#}j-QYkNXa$hxbx)#aQ(8CJ3i1O?wW9>5qB z#Hxckiy3%t&G;Pk6SeVNO)%79)CgmRqjbzCrqBWnH*Y5d47o6>3Bg6gV-uPwYNv5b zD;qrD6&DU!){AjQ<|PJX+!a#TFoO$O>sV91GLa6=z!*KTnwm%Yq0{vsZ)?+69t0xUohX^)Xp*r^>M>t zkQD0O!>UIS3h9Zsb5K#Z*&UAHB7ABAo;w9q^d%4`d~99#mHFPuv@jV ztx8u2{F|9m6AfjPL4;of2QaJ!&nth4-GsK*?>NGFjIdO z&mI&AgNS*z`GS-z5ev2WDlQfwJVvT3Z8mg)jN#5Dq%G`#C2SCz&l8pIkSFjybs$bo z4M(Uum;eL`@ca!=QoI6P+o&i z#8Jd`HHp-mMV+s0VejHy+#{-BxQrkTzQqW2iF?8HEqwNr0+?(naAC~3UqUG3W_8VI&zi;+#eLt8GYt8YmIF5?u-eE1PT;#L)nbjZ7 z0vMlwD9>a{!DlKdtvc#ogTAHyxGHjaMct#RWD}%^#7YEKjK0>$GiLn~F9{K3s2aQ{?Xhcz z#O>zAFrqH*k(J)0;qhLhe@lw1aLdC)YhSRlUY!iSSRc8Td`Q1M?0A~h2!R>tXo1IH7q%O-=47X$f$q)2Q<|Jw|^SH9Lsc&8cTx#{1OoVRvwPrI1{+LYg3@&1MX0KWTWzUh0s`S7!vs%kvbN*Oaug}lf(ke^^A$w5S0m@ z;>B7D+#C_K`m*wz_*j`<%3dZ;0j-t}$+!f$D>Rpgsc{&zsHOY3mIkh5rZ8kvFu3_g zwH?OavS&RU+@GnGJ6z+l8i>PFfNxw&cIMG-(_s)}vY1wk!DYdwSE#UB^R?U?+?|w* z3;VTWXT&MFNE<6%PD8H0(E*iOC*+om*e33UtW(V7M5ut6Yq#?=sV0RabOQF;fU+A7pP#;lwUpK2R$iKmMvXyrEKutLC86;PYP-yG zna(1igQF}LK;q`Zf_zw6keORGB^rsb(PrY|?5Wn{)#q4wXKhT1#I-rQrHDBCMC@m2bqL0ZXjh$GT$EyST%;Ik*MKn-0tNAaG|!2rX#VU!OhHw^sb&gMh*jY0z~A6(A=eD*|@Va zA$TRH%Q>?!6LcDN5QsLu5Mx~(8S>8u6{6>u6-_HLpCQ=XWdM+2{K~)r!nOR)ee;jz zWThfoPimeK{{{Xo_018C0%pjvuof_G@JkUpb zJVDtdiViu2L|Mq4p-#zzySSFNZlg+I$`z!n9|Ggk#883f!SgU6ZNeP*wGZMA7b)V2 zs_4LPvNS^mIbaAFB4a71vM1G$}=3AgJc?32>$@pIJ;R>t<1HGgN5<9w=pZH=3oM; z?E98Ob4$5HS8_PVh<}GLyaGS}+5ij#0RRF30{{R35b_8ntoc<$1AS8Rt!e2MU&#^z zAH@{N#*JSmbm$C=jNPE|q)22^c8&O9PiW0`;X`+QqFKs>0&1<1Io_ZZ1Q2QuV zQF+0~q$z30lBnYyK^Fze^paIIfY~$Vy^qp(DhPyc@*2?dfPUpc#xxLUS=F-p<~PMEp*(8%#VvG9agbU9v1}IK z(Q!4F&AOv@$)JX86);9+HD4cKgm~m0b7GeaxO6Z%J*~&MuRr(nqs`LnCHKIW;qQ4L z@~1=^Z-iiML|j4%;74wiCxYw~nBpY(`%3P4`8ny|&KddBBg2R}L4eAqgbq0T!VBejlr~+dwdFM-~>X{lToXV?R;+z1YgDlyp3*j=TkYt2tfcniN4ni zSl+JK%)DATxZFOc_)*wsZm-d?^!c&QJ7AfJe_L_a=FFs-q}V9d3i64)85mGLLX)7v zVeHXd%6mN+X>(tYzs+S`&UPh~q24Wk5y%;s1m7pf_v9`h-kvkEnY=Lj@%2Q3E{R;U zO%%^eCt>9*(gvb-4uDSI)2$t-y{Tu*Hj6S!8H4?wt?s{DRBo{Ms^RvbX~SQ2Atow+ zzQPmp_?y9Ho1z26!vury0lTJ*bvFK1tf&`f!cU0*0A9AOHGD3?cIkxa6?)(3uCLl7 za10{0kV-NEOiOgnZ$D8l_y#31D?~&00@n2DdbbP$r#IImaH^}HzcuoyOMNWCX9sLe z1KZlkRe=&@=>6$7{(WSV>nsQHEa<$U9(DL(IXXV@iQTx`V^ita-B&=(0)nGoFNN)AL_U0=``?1Z+3cDUo1QlQJe2q0@{{YJ3 zihT2EDpC^f*E30=J}8H{s+OKtpO*0^c>e%m$m!3`+9_V{PvnY`ogXtpl%xWy;9`{i z@4WyT72I>H&D#CK@NNgRx3PG3Iu@=3t{05^wAb zs~PNK5A}~mF=-zM@9}vR!qOVy_#ol-pCJ)GL@GL|rn3s=1W?A7m~Ys5^Hr$4ehyqm z&pXKUC=Tr9`iIGXy8Nz9SU_W>a_vD8>6WtCp+83(D%vNfLj5uDnk?9r5`X11!p+c$ z(CWJ15H*XBjOj%{*Bph!M-Pk2;6r<*OipuvNwcPErk9j&3EUv2fy+7I_pzLte&UB% znoAx-C3^2K%?BAJnJ4^UR8h73B;JE=WWEG4LQ=#rr(%8o!~iA{0RaF40R#d90|5a6 z000000TBQpF+ovbaegB;a{Bz@>vZG4^Mp~e{p*}5`S|mZf06y+t>Es&0Q=Y-H}}Dh$nki} z0eAlZMgb{**Gz7i{{S7aObbf3CUy_`Yz>zxCfKzwx}`tZn}QT;zH`*L*q&{yXF{_ro`7@WRL1KfG?I z?8AgU%pfE7Tpj@X&Jbf~3-2L0Il*&jmI0b@M8U@(2?0f_)_vrV>HxE2LybJCg93yd zF%^`Xy6K$ZLNNyDN&1*zUAU+%=;$Nw9zg>|5TKL7J)UtAjDl`Ele#a59;S-{4SfYW z%-k+$1*M@pPi}0&!IV(en>yoTG7uHS9b{#NMX-=9x98!lM6xXSFV1eCfNHklce0suYLqO+;dOh7{ zy}!%-;7FUze|WNskMVGA7y9py4gUaL@s?>mZu`ZD`P^>@O8)@YTvbVxkTxp~H=7#C zcruv-YS7q$oJr3b^P8Ows*xUAp7Hi&mK$-kAG%;FHj z^p(FDlh@V|4DC>C?%?eMY*eg1sUs(G*-a=QjA>%Eu7G4A3T4LsRQK%W{ zr_a3I5Dofhpf5Vcb>peJ+n@-2;?oT}wnx+|JrHq#5fr0hd5f24JrKmWUU)I145++| z;;RQpV%OC@)S=ZVcULAX)pusz&%YQoHxp@b?C9-Wb10KjVG-PCt{7nsTZ0gFsrq1I za>+n|a_mFUTme$36mvtElJsE{2sE*K@J;KLXcVTXyLC7GbBD#~eK=@7F~})|AVURI z;}jO?K5||`9FI)}z&X0igT1VRulBH0x<5zv#De6+Ykmvmb0~zz4HVAU_H9s!K}NK; zVjPG;AS#C@=NUW3R0@gVjh#;SEnp;|1q;tA?JgpMb4n{6X%BLttN_j1AVT&XmOSB8 zV%7v5j*`t3;|pp5aw}YDJ3Bt}T6h&j?KvVRUYRL#jCv)_SPgCCA+YB#ZK)AA!~PktVv1t{k!8% z09sHZ&ymv>vT&1TJMX8~aix@iwHbf&0Lp~Xy@|vG^_wP8O@yny6P$OT0o4<)R{i3O zk)R!c<;7iLcIVeamg?(^?^5E?ynQEZ$;6El9MIHE$Z&;iv9k7DImyQ6h z9gIy*%xgfCk={-qX%V8x@8=%Y`Kc3iH=_dri6kX2UO%i%jDw|roE{GZ;JbL<{bM5L zu8Y6TxgIE^xF`L}$|I;qud$h50UfJn@&5qJM@T$lpv1pK0Dz8KC=0$WT;Q58XxptP z?<<5gP*#St7@EaMOtcgMbaB6VCTnVlu@g0a7^Jb14jHa>K5$if8xSbGf@4gA5u}8> zA zylBs6ZZuPpfexJ$#tFi>jE4_X7tR=NGQ6TV=UWMwunM$=0q`Pw>z6F}Ezl!RW$a;x znzqGPUQs<@9aRoNdcn)i457v4Fgr`Tjr#^BteEps7-<^9?Tycv`|x5C8WIW1oz??8 zgMtrAkEbNT6R5OTw0p!H64!i>tQxC(=?ZqQ>mRW9p|O5kAWK2U#l5sHAEnEpG5~w3 zX4Y+hP&yXsN++di;!He}p)x~K2HaS9XEZ|6>R4qW7)_OQ7m!SBHT0GUE8 z4Hn*TsZAfF7XbjjLGoNu4*1?xzX}+x>^H3tFBF5zmf$;ny0B`FX-A#7GCq6~ttUgN zgE$B(L<7t|@s%FLLcZ9)ST^QGO@KGuGnm}Rh7rC|JLc&46)=e1OY?(;O19Ab&x``Z zSpW$;BY!z3wbz)QPC3APC7N%M*>d^ERsbof5amc70|ekfQFWgZv(9QZr$}2bb{|t2 zumhk7x73JYg*mWzCuft(AAteMNlj>_J`)8<_h2tzB4SVlT|kgNTkVUnKy;WsRYb(@ zVh;Yr=mG@eC_bXP3AaTR_TyL2C{m}$zZpQHqn^i)7~kHwgeIp&Ti+Z3;yT6T?_BoE zA@W_d7jB#5c!2la(bapL_QPVjSfvIN&NAwDf~X_Wp^Y?fA}DFjh4ACYIjD{3@_!oR z6voUzh&QaChB|@Z`vK^@;|?MqLdEIM1SfAJ)*Ud!4%GPg#E~)D#c%1sc8?v-D4}6o zpap(#N|6moy{6f3?yIGA*GPfeE<}bf4z9KO7}b@d?%09y!!Z8<(qSSC?tq^g>xqck ziBX~9M~v4J4yXtolo{E^SXxs|K@Ignp3F^3$j3=%+oQb4tO5qE#eEb$aA^R7a=HhN zy69lEH987GI&Fu}15jaF4I5s)ad3@^n%YO!r@RYpc{D}#7#-AmAPm4aHZ5>Udj$1~ zYY#hU1NW4qYT3qz=6z*t+uPs^Uam1!DeZwf@pGm{e;?Z^bC=j|z7rEEORnudBNZN8 z?r`{{_l{6c$NZ4M+7iDbUs!z>PhV#^Z#3iSvh>2dj+eU`_m`b1J32q4$ij+@y;r$# z;(|T}{9(EsfP!rgX7#Ky!br;Hoo_w>!9YI0@FN<|fqT3K2i?R1Ne6a7@#7-<0tm4p z_wecKH>NSz#`NwvD(cgP7|)Xs?GSt29>~hl3`$t9;}(t<9ig^-Zv{@({b1oUkDQh` zXYp`_cz6-d7^=Xh*IaKnQkp17h$8gJ7Ci#FY1f=s&XY)}o_Z&iV-|LTBuZFam|XsP z8g(@i(;Bs&0v@k;j#l*s>PbHeL* zS~eZ!a3^bhIB_-=1sIpA%4ZIXvQSl9&xY|ptp)0!Zs;fA#PR?x3KBgzA2$`hqf*U* zHw$;6FoelAr3s_cj&+omTh2$dv#hvT0*vcdxgX~UVNw&Lvi1J}nXHQsWW3Vcg$g?a zdZTpUv23W&I+3LJhyFrI6(~oPM|fLAPECXKn)8nc(1CNw(5I|$8wfT81Fp%(Oz-Z7 z0u5*dd=6ZHj~mWx{eeXxRnQCT6YV}=bSt)Nsn!ZYgP=D<*u7slH!3U0nn}$ldw}N% zP$slAQG1A_$ymxDB`v+F^Ts_9A$jGe*IX$14jPL6?~ZVf7PV+9b~zmbhj^3#2ns%S zNz}Mhx6ha={Fyw%0?H{{xW~o>G6C3w2e)UbFf|#r9Bv}swED)4B!DTZ@a>0PNN|{!%8Uyo2W#d6~?N#iU9+Y zM*N0r5Hv_=uBfFtV)DoW8>D$@PRWK`VFTAd_agJrF*XOCXvef~)>))06GAq1Abe$W z03A@XUn2bFvP*C!Z6_%Yj8bW4jk_+ia(LHV17va9uH8;|>~Y(3hJo3xt>wJ{!m62i zBOfKjX#;%dlftC%Tw)<1Hb6fie)7CTy*0zyUl%TAYhXcnvtzsC5^)+O)%LB%1O-*G zE8QXc!T^}7x}A26wj+5$nhDr?cg6EvS|JI=WPRWtu{lm9uEgvwFopwTC~BjtV75Es8j-SivIx2c&Cb~8~Ve-;uO;pj|%U&Weve!Yg`n1mtz9`TnZU=cDm(( zv;zVvcUp1h20AdE>U*2ckHNL5K1jLB5LHw%ri326$0R#2T<~f3zTt=ps6n7A^VIi| zMRsWrMmPvPum#ZaJ@2;oyg5_}UqD}#A9w??Eofr*bbOBZ!Z zupaQ1#Oq>5U@qt3kyP6-6brhWmQ#!&FBr#3)hOC|T!>MAtPu7lx}?n^who4y5_ab& z$OsT=k>R$SVNr^=8ypDG= zUShS73Vr3F{?Oa6BWw!+_)~JYAm;9voa~6Xk3B&C|E{gSdz1co|e0 zO$XPWNj&45N2h@gyqS8<0EDa9(}Tf^1g*)f1if+DBz&R$-<)l#+6Pfrn;H&%F=A^V z8GrJG$&C<12+tYZQ_pM?iYk0d;V6%MJf#h#0dICy?~0^>8%g;CT{C1p@-9QSNb{`f zkFv17`fbe(RWo>t!*aVyFeLvpb#uh|*vF=0DUl*=Y0T1Buhbo5~UHfz150L_x@``sI^KkJNoAQ5IFlm#i z;|8*7al;&?S54Hwaj{)&Gf}yG#q05jEGQ(4ybkZT88iSaWQS1KrYm>`kyLsyye~dA z`hRD`i%?ON_55K`(9YBxio5>#N#lhQ&;7x0pj$Slw3}Vf$R($&P)F1Eh4`@SXscd& z)1+k*6<4GPKcvS9m1vIxzXf^bWmY+KB(*xQelm_#$w4O>(xCpl1hhZaRjywBwUE+k ze40NzzHoch<(IS5j1XbXeHe!S0P|Q6fFbV4d@sw}Cp*?`)Sr1K4d@|Lq3UfsEgEn% z#a~eW0Js85Z4XLy)yceF2bWrQn3J8r*zbdUWAB2Z4IPh3FgV~RrfT=(do_p+ruf{` z%8y??I%fwp+qVE~)4z>xdhFq;q$At=b?@YnN(w=tfjb3BXtBZq%elO<$CzVRd<4+FrVM6D)d~-3{;5H+RT@T9z2LAw^U>dp$ zyOW;SQ^=wc@Z9g`wo8Oi1&F%mMrZ|rT#heuw93T+I*rNrd&@mC5_x4f<2;-pb>EJ^ zcu@R&YbfPT4wH|4@*Sva*EweJ4q26Z_500*ZI4$__20nbS;`&fSMxot3Q9U(=O2i5 zZ>NK$R37nR;FEf>JB~*p0F-YG{bPAT7d`Z1n9=m?#X(I`EHv(MXJzk+4_38D>6g6A zC|8sQDDHh%F{{V8ENxqy+8FY+1sBh~VYE7lp5$P7Mji19UZ>|KZs!eG4vgpWU??32pR52R z=PIs;_k&j#__@Sx zN?36PhUT)Z3svO)7Y_gv3T}UAyynQ4(B&Pdog(zSf^uWk8qlV&2a~Uy-%%RP)l1Jq zJ{wND!j2lz{JD$skT{51I)Lyq7gRbmXjl}nu&rWzm!02#KC~zr*N=NmS zp~?Bf2jt(zC~Di+_G5X=ae5z$_#6TUFm%^1=U;dbH~eI2>HT5*u8i9HQKMR>ZENru z%cOmf0_yXRk1W7ZizQ*j9Q-`pQkBaH1ZKul{2bU-=*Nwsa(UO9YSAr(tmHgrI^qci&H(5{FJr zIq6WRagS+9Ixwl!9ScVRxij9@CI+^8`?>Hs=jbw z^#d2sk}p$pxJYlM$tdl%1S996^Ni{{S*3f1F^6 zA^!lFOJ(it;|e4S4cA{nJ~iEpWJDc@A3go!CBNtKh2dQz#-IDgj3m-R{gdqb%M20? z-h^~;_uC73F;;?arCH+WV*TPY z10qrYI(2Vcn`9r6fMWjuGYY4mI{}WlDs}DPK>5I!k7;8aUW)E>k(oe~fH$C*o^yqQ z{#d`xZE9bwVv(&}6!prl=fRyVFp75cgD1KP?fl7bZ4G}8;0L()dU-^~zVXYJPN!$aC2aoy81D_heG?Q6IeA`RcSo-5jw2ywe?5nOa*c%| zF+tuBy=66%W4kUTG)0};aGIs%+eR2euTQ*Q$O(p$0-TjPW|>9=A;3FCkmCRd2o8r7 z#Og3Tc(?4sOi)*m9#4{vXbdgG!~B?=fTJPcZ`wXM!U`*TvlaJavb$r#l5Ult^jBRm zCt44{!d)qUAJ##%n*12kaxbE*))Y*l$EFRedZ-V%mExjXN)KPe!O9Z$e-7P}wDu`4CgO#pYb3ccKL@Br(Db#yeZvKJrB(A^h+)74gG+2)=7-h8 zGsYgY{kTaV@?j4HA~sJ?8h$mrLlBC(fptaJ{{R_xK;VfYj+}M7xkqwocOQgDdAy^} zuKxfequ|6mQBI0L>B)HSo1{6*r#W%f>V3!T@0Ry+ZJS;!Pg%JNM=CJs4qqo2mk0Gf z)>-F;y=}|JkcVe4bPDeBeq2cjn)K;@2R7hstN;UW(VSv8L^!$@?45Z780I333m(DE z>obbM2mlkpH|6b%9)DnCXc6O1*>B`}#+dk8*ZSubC29LVjG%c?h*0{j!_G?qb2gg~ zhT@Xrqkp+L%JxY*f^+28?-IpR%Btjw<2t`3GSPMMgHrFW*@*1O4B zd~}#o(y??9KF9CR=up^5w>D4z4cz^nE=t{jUe>{yff^AxshRgWZY2WQTlfzaP2#Q0;#X03nJe%tWMDjE*Rlj=TkLaV>;)@TcjL`Dys4T* zhpc3Zl6N#V?uH!mV20ov)9vE{#haSl9!T)@#DqSOa1)I=JH7HfAh7j1-$fH#m?qg< zj?TvJ+^#_yhXZNAE{{0Hq@5aQ`G0J6&>H}X-$yvS%Z|sR%)kdIemp29j|)%W`I)YQ z=5Pn;9M&C+2k3D1Fo4CX3=lYkz@5Py9{Rs!h6BESOcNcq_`yzy$7~nc1d>p47>o_th>aB0(#L7^ra-D!FG~@a&9MTWfm%3!5?X=Jf%wxd4vz-B7 zl)@#q{lG;Z)B`_Zh{ga%l(#lg73&&0`}oF$+ekOL*7b)xAi?C@pm9@%Og%Qy5gp#X z5$}gpNH~B@@HggSl_EmY1@F}ycROQZ9k~AhJX{5p+?~(ipE&;4Pm{~txmBWH!}TT` zn!R1@ea&Xe1|EO6vDW}F8aAk1b6=NiP39>gHQx@-4ltwLK~6wa+MPI;8uOcg07lb6 z9^Kptj*`G8E5HE4tG-5?I_nhmFfPEylXMdKPTV-ZMinelHS>dpAOxXd@O6>jif9T; z(VRJ5CDI79cgoZ0j))Z)Kmi@=9~f=V04PGbcnCXJrYOAK=EssA$e*c=NH&{nBj6X4 zAP^cgKd?S9iV`K}U^kB0(sVx;_`_nA{!L*#j#uj!{{T@12}A6Ddt<2>-MiQt9p(-I zKr{h~9^6Q16VBayWk<$cB zHgo~s+{O7L`FKGp8v1Z>%e(n9Mch9V`TXXY0zMf+=*r6j@}H(@@j5oj)5gGd3>f6R z3$ed=^OPO8O1kmN9WYu7W~p6zG9*aDg$VN=dLw}B0%&5@@$rKA zLXaefUPjLcT#cT%>$mG(_tC+y*pg{co_BoT{t2&mPC`O-dgD{t{1`=F=L%YA+N$j0 z^?Su>VBLxMtR0sW8fd=n{$qRzM1Ub4zsocOR1oXa2;efQ7e`1Y{o+0qK~|B*(75!e z6NCVs&0HKjKz;#zZ1mtx5c5NWd(7jg&fU5i!OG>B{{WnS%%{u!{NVe4&3{HVdJ+DA zZ{BkpG)e{hn3c-{3h`cnSH@Z}BR{(nT2_!V;V7Q&2veR@2h}FIGlny8U|YvK)lQkw zCrBXBby49l>~*r6lz~wB_mzRS{d>bJ)BOJcTy&TJ0Dra|+{EG)3*%GkA^YqlMm5hb zIN`J~4>8)mSXf$fG}(U;%AV!W{ul=3X!nR{sFpYJCUn{_)+46WERq`(7ch znmX`e;Wv%~yDmULPNN%maECo2dgJEw#xFBT+;#k+?rZ3g>t=Z!fc3nA0SDie&S zSpa&!-^N0QGJ{tWdwx82%R+<>X+JWbc`6%05D)#pVX}Aw2ci#05^(@KrvCul@lFst ztAcV%RE3s1nox4Rq4KI5a)q$o~Ks86Wab=N(;W z>$S;CqP@%)f&Ty-nGCiG+E?+H zN3ZO?$!OA$-8EmbhvC;ubzi`Wb6E&x1$f^M>z=zKiRpyo0VU z#JMhpGo%4}??mX%*uvN!b_e2X6Bcg0%j{s%_#b?KIAvzKUcc#yy9(DkB(|NhI_{eCm*9K5BE$Vh9x_fr8<6ai?q1{ z6~s5k2S$LA3vc6$5{!p&HXgkWoMK35{LjW9H(%ZLl6XJQ>neu{hC9fEhxRxrHShZ{ z^im%q7vRCcf+6T1N)O0y*^LFSv`c;`=Kw5?IwTHU-3Pm%537l`i+Ha*c))-du!F(X z{Q|h0MB0wa)bTtbbDeOV9Psx0!G+{e;6{$BtJt0K6!8Zm4|_Uz+{QJP&S(cdS>W%S zz67K?u82d*IM{+?r*>DP5xo}<+?+ZlyySQ5jDh3)V4C9p0L(4z;~4p50{;NG#s?r$ z#kWzv&-0FeM4JH)WFB3sh9&?S_aFB1(9S$R>zve?1HcLo6MpfbNC;0Owl{ui1f{)! zzYIUn9Eq;oUoIUU^YxIH;KeYr3%-x9IeP^Yl~6_TrSplU^x}vk;GuWl2n19Tw(-&4 zKm0ZuoFDTO@+n%AUyL0na--ff1Lni9*dY-~+rx{!xYRaOA!-S+J%bg(=* z1019dvzgS7E&PTau35j$8K{=Sx)JM}@qpcTKHdH>O2ATTvzk9jaV8@~OC4-j2LXkg z$XyD%_18B%mhebRH0x$3_1c01djU?+G4$Bo=tHYqiOJ_TF6#sZ31h~oNr&y#an?Qr zPh{h?wH*+jl$R>;C|7ns5oRzQlYa@0#HV z2BN$V8}FYnmTVW*#;?a;7_N$oZi(q-@rD6e)2Mv*6?@`GAH#YBUAX%^<36Y8!l+_$BNG})K%%2>N)CGB$P$TKm$wC%1rXOmXgyxt zvJoU_zL+)0fn!F4uQS-^a@&2p{{YOBJR+MxJ-@s|$Dkq#pBYTZDXI>lZe^RKosHBx z1{>>%`V|P?!Q+O!0N`u66;>7BoHlU5>;UHI`4A9D`SpTHBYKX#Tv zsp)#5{5h_?VJ{%rhLMXQW3ThZFr2!5{{YjrYX?*Tjb9Je=A#@OW#_7i&T&YOLopQD zk7O108~ilC7}mS72GQLJdPXYc%1}_d_kPT84I2G^aZ_d^cX(EzzNN-9y#PS#xuEY{ zrhA1%p*Z4n%E!Hq)Dh*+?qY-py$*UbC+7$k831rf1vVPqxS66gNeDi_IaODarrP^3 z$D~u^0AMO5)MlHiJ;dvPYq&wm^t%MTvXxI7;X>{7>4HHT+>z34*BG!MS+LYLG8(|5 zvS{~DG;1|{W73nj?)APkh*+f*=c3K?(T^@-rua`pVfBzXuyx>zuO~P`3<9WtCsEbM zlZ?n!TI=L_XCDYD!-J0%54YY9tcH?5DhI0B7aT<2F1*jC65^ ztjy~GeG~JQ&j31d zr)ux-9v1qwV3j%24~z_nP%k%c!}!FxDrdls=2DKk+wTz9kLSnge~h3duI?h2apUg_ z0YLQx@73o5Lp?FE+Vd_BfJdJ`@p;(*I=lzo8rq=wyFZDO=hXP>`kyy7qjz{x8B>&z zk434R+D5jEF@#;Z)H=^aUMIM4o$KZwd<~ z5V+?Trt3|kuS6;LfmMwfd^)G(!(qZIjz8uj!?#cOoF}R2kWU?85Y`e#_n+m-2u4UJ zY7RrKc*4V^wtF~k)4s6uNC>0^M;vq82Qo1P5ErdmyjjA?VzGzYUs&sQ*7iFb5c&*K z#nlU-@7pzSBJ8Hs^iPali~ga47XJXH#4X-?KNx|ifH8p&JS*m4B&Y$7K=yNhz*lib zHgtz>*oe4tg!Sb7;|Bi#9N3zO>{to-$Qn~nj@ta+#u||{aP_h6_5Cnhn!(YwHxlJ& z6<~zqKPAYHJ$Gda%>%b>x3?hgdtrDr_WuA0hxt@Ft|ywgMq3YgH}YWCID8KA$4>q5 zs>{Mq08TjH)-I$nZ2~>Gf&nBk1s^u+J3CMXhMrd38m}F zinj?5ckzb!`TlSPJ^m;3Wp@Oxg#2j2ln;$b>+aWqfYc!aX;qJPikr^_{{Rv`@Hmkz zIUuiUkBmrMKdb!AjAEsP&7%ID6z@09So8r2>atkv%LIIFZ{hSV8(i-=}yG z2Uvg@jdcjmAw}umt~x$#c@q2|&S{|T8kcLw2C#zQeFFYD&HxxbD+kw4lLK^eETQZO zA0@#_=q=elyf;8f^az~!xT~|2L?+Ky2mB z3|t_bEYmifv37Q%D|Fo8zl;Gvfm6}KbIF3Y{X zyy0w`S~fMkcKqWEdk}?ZwX3zjlOvLl@+!=ZLkE}XEHkRjdlnn?1j6FO9G5pXa_9Ah zB8x_-PCIR8Y^al|(Lp$;&K*>SmhI!STwKWwE~16rk?RX@hkpBi7~^?0(9q$akuk6Y z9^x;1nowZ!egb%liM$IDK(n39NP!U}hfYu`bz_X==9Rn&KRLzhCj!~4;}(KY-qU72 zm!Cp6uJx%Y(in}zP=;(iqo%K=e{koZjSvwIYa<^qfb}w7mLJ(8Xji;E-=;5 zT~#0rjtc8$FvlGL`FHc1Ch?aRVAh^+=if{O!VN5MM<0`xNdjWgJk6KitdIk>bft)R zaBWw}05Q~}d}9fF%&Q&2Hjj4_98sVoPh-ck2}Z;a5Yyr(mgej2H>pnilcs3!VOuCy z?et(9rby}eCGqLTS>3LpoAW;yiRdR3zTF2sb6?emqJ9APa*-t)po7A*YzI8ttvV)LaxXbrgSr;#I!5tC&vz9qMp$Y62 z`oLNW`a7@50^`8KHo?!Ry}NnCP8h1fc_J^BW9P;csT8^c=mfoJVF!?-k}um&Kn`<8 zanF2C=xcu;&Nc!_d&EFcn21wvUrCp@zCT!jA(YoWemTj02yEgA8+L}x;HEwd*1P02 zh|;)&vlE61Z)0x1=NO2!@>Mg4qkR@<2kbbFL))&qVkT;@Cq(QBuXuyc?a(iVf!jc* z85G&5ARA6cN_TQjzR|}!D3RQ7*VID8(A)q%4LafIX4gQz7&FRa?M!yIYvl9T;%HFB zNnfNeYf036~?tM?Uf zBLo`nn+}k%^PM@0Z<63Ch{V(Nzr5}T^@y6x+5y$wU^`oyo+z44Pmvd`_mi2nyRReW z^MS*iAe}h?*OR5e0l0Dqqo4w>;{e4Voe=X+xXt*oO{nVUdZ&2w%3P>I`uz3Icrbzl zI}U~tX;5Z?+2Jyc@&esD)@n|TGq%T2o9saAkVi}r0_}OjT|5}8iBv8^n?OO{rVLSv za*aC%y=2TP4V4;vCRan(Xz z_3@e~{{Vo=Og)3!V;mpm{QO? zlKcQ=>f(5%I{-C0BSYM{BZ49~oP5Wq-l{wKb%&HSKm)qxQ|A%nhu|Gl&ii+&%omjI z@l4`4j2nTSJeV8d>f+~h5q@9Agv9DFr^qJqQIFeiXCbu&8woFK*A^`RywRs5Pv?G5+D zI&H6m@o4&RyM0c8Tj>YRIXdEX9Vf-YTms3u2faCTOj2}btbYIm^@RqSco0M2a;XcN z+XZ3QT{4no^eR2X#j{nbKoQg$c9#wtHI3{qbAug-tEfPKP8bS@>;C}2fJRJp2HCOt z&nRR((rqG#MS3^JI0(Y&N>5k>1JLw2x<47D4ZR}=e>kei2-u8}=VQ(qo^9r9=Ldo2 zH?|#Ac&pz6y2Zqa<(JMnR3Yf3{&>Oub53A2^PQo}-O&q*qHW@cvwfAnmmhGIX1Yz{ z$|)wU-}8AVM=obUeT+fn)=Hpy?gZ|y*PIEsBv)_^=yJsiuy=DG&+UdJ6JqqL?Z&(O zU~uf>y|3%+b9<0)K@s((dO1lUCfF6(Nw+FodYHB7dR`AXZM`)j&ef~d8NCup0q$N( z=&wLA(J@j^cq6BF^?}n7^(Az)BdMW!DT2tpLJ)j`I!q;b9+Cn+6IrPB!R#T{#6!kh z%7C^BIpz<%H0sT%Sf6({?o!t(WJzxh;E$eW6%(-0uAx0|-U@&!sz)i;m##pAIB*F7 z;WnOJCCA!W179bM0Rc2du=+4KpGUc&&vBCFg+E!O$NZ)dCy(nmN1PC>gz!beEj`Y@ z{9y*hGf)RU6PyET7gdT`^}VSU9<-JN46TJpqqo_ zH9KULqo?ZoO8LF&x6>SSI60^^`%s?;$|H#&P%%7|J%X>!$UQ8r3X~pbclYTH> zRe=H)&tj*XTO~uYyzQ<&Klv+jj3&RA5^xgTclsO>G&V9<^MWWq^sCA4Vrgs7AvXEd zJz3iuigXI#&m#;C-PiCRQxh{m2;n*LQSjxUn<9-kPT?jZ<&Wk6G`0D^`r~zK4<}p2Z9W@ zrYuM-qQl>F3e|}hs8765QSjo*IfqhDruH-hRm&I-H zBl!GaP|*6}_tPnXHk8rz(mAmVEIJ4L$7?pMU_a*-B`=ms6Fq<28jU;(esBP)?a3waU zmsjWi0Et9%hv)X=#3B(Muf&7zCD%|Xym({fSe(-o_1m`?-8)x3j|a34uKQ%%Y?}@l z#8v6SfAKA%{kj35jpJSMNdb0&X{iAZMe&HJ6gNiD>qNasG9kR|)4td7j?%rJBCB}l z_kytOj<-g;PtF@?s2riLQftNnVuwyJbl>kH^bZA4HTivFV^9TQ(K03=XaTG>&M?yr zTU688ahrrbwMo94%7$~6S*Fs!zO{?9756y$YR+ddbHiVU7nB0*V6seTrC%pY?;lF| zZjv9n>&^g#AbKGQ@sO`?(;PRO9@F=q+GVH=B$%&UIlxphEO1fDX^7kq-U^H)31+w~ z!vw+6#Gl=qVhs0&)3f`_m1&9yBT2@MnG1%}OGdoKchtv6fl2^9O!eO8$h>vjP}@z< zK;w)%oNNR@hZU*Rd*>J;7%V=-@iN`V*J_(EY9-f}k>>IF!|Os&PC<{T(YkM+nTRfd z0|EhOY-{4{g7JgZB8N?Lag1B({bYl}$t9n;olR_h&*u}nWkyt+!ZtYqK_xx*d(Jul zDB9Z+%?GRvTCHgbZK7=UxXMh2G!#srxtQ)V8Hvg9rI8?DsjI_{mxbxupRTx#(c$mG zg{9xFoaB2@e4dk>TslL^!`plK$r5shCv=|Tdox>4lb+uH0J9Dh+%)q=eI`GEA>+)z zYAI(G58g<2#PO5*$k4P(^l^;2)So+F8gh)I{Jc7RqQ93F6eRQ-zc(OyGgF8UMa$Ut zfY41VAfA=W(bfQ1)#3jDI28v#qUb&OZ+w#HtZKLXFY#!%fgQrc48GlYLsa~ZKhv_<8bq~lYpA;@G@v1RY6?AvX zH)~xlC({Rq2M)nH3cmagZdGZU_jB^iEAvPg&Q$6_%`p?JgO98f81ab+9Vi)x^>xX% z&2`!Hlv)Joz!qza7^pP?Q*(g;d&^!@ya?Zye_gQK26~ZeUoI7)3cT`D(0%5C0tyC8 z#@aj+47l>W0hRU68JaaT+~XcR64L$P2R;Yq2au$J?TQ6av1oxkxaLgflaW3Wp793P z{cx11rr#Ru0=^7>;C9^>KV+n)=*~#a;sC&qUaNHI)Hyu?Yq0$=d#^*W8 z;zy1>etqM$w>>!<+rAhjG(1-R^LZ49gq!!qE3Lsg6?Hv^0DK|(GK-D=m}JePO*-C> z)*~xGRH)m%sP{YPO&EIcFLGDt?}>|txd&c>A>lmZ7(~}$9P7Fmku|Ze8^H_Pbh=>i zCg}RiQ`O+Eh&Q?|?O{07amv-2MK{D>j3rC+;|- z>HZ&h-|%;fKs8DtPsCgg;W$q{Fo{zaOR4p&96asiF~5<|l7H4jnLmyR$jF{>Qq)b6 z!PqqNVMN$6ufnzo=M6}vkO!APmMU?&0ynkm!Hjtk2Pj8@wZWsL1sDeONrBTq3YIsP zHRl9m=@8ta(`Zi^b7D;B;kqbw(ZGSQxn_d#_?f?gT1CA1(H~|;#54i#D5ESHzuYOuP;;0x!o}vLN6<4Yl+33bl8uu|8S`^?zjeFeXqq(vuj-mk-oCyD$1aiPSSxx^~b{bEe3fbNPC)j66A zmaf}Uu+x|?s>F7f1whui0XO4VZr%kU7n_lt%Pec>u|wl4r9|lPJYD!>asiCncgO@E zBZ{9%2;~EY0Zy(Mc-<}1@Tgo-9<(Bq*MydM#qiR30P+>S+RAx%DR4gSwbvm*zJWR2 z8)tV}784wObI{Wqs3L8{FKbUG5TZ_4h4@Fr!i{tOK>l}nwcYG1Uv<|}Bw@)yj zddAWm>3>)@Fw*y%{b56=q6DXBZu{Upcp$oT_Ja3J5Ze?fb$ksU`<&qq(SNhi!t7B6 zz2G~r_%h<#D5WZ+!c8Y89K5~=C4r&^d*?5iOQ02cr{Toh5lV){al})|FiSPuKb(GK zghywu8LT{>+|R*>Gy-x>jvWS$aRSxU0u-EgK73)n(Nqh_b!<-=2H1);1Rd9&mR&kN zg!zt$P04&AG4%u`+H80ZpE%%!K)NODo5T)--fOOiZ0>l+*BJE$PkKw&B{l?QFW?VG z41m(=3xlB&ddphMD6m~srXp-BH05u3{AE~5k%~Kx48Fj-HE2BAJPvU?5c3Cw2D=-; z+MqcQ5z^J~h`0jKszT1%K{k>@0AI@MUIo5NK>#KPl9>FoFUq3QZ%* z#|#`I?*?JU~6?6jO53$qubjGmp5Q{A14o-bp&PCO^4Y>*?}n!9JtL#_+g}q z_}chJ9DQ(nC~wax;O~|9&n-22>{RzKLe&XX+eg;lelq+X2yCDy&LEv|L~Z(yq{Gcs z{9NZ6Y~)zwCr)|ZO`$X*E6CIme3%9Rg$Mw*uC;S^DFjdO zbMJTz<)J8Fd~X4`VABq*c*M#k%{p`2t^q_?Z_>C}Si0}y9BnZAPrx2*k)#o{gALbX|13_t=N!#sNIcg$^2n#h-I#Iiw=)o-Yk2bTDaDO;CL^ z?DvSR5!tYluTgyr-%$#n=s@%xUM>Oz_c9>Y`uq<#`Zv49x?UJ8}( zelmiaoq(sT8{-&NQLfZt_%7>88hnl%2H}S!E7^pgG{%HnFf{~&q6Yj1B9&vUV?Q}& z#CANI?WOT@Oe*282yt3pyaA9NDI<+iC#)EfNveY5o<}GT8%+YM&h}=^Ro$eB-+2zn zsaLW+CL`%mt4O@^^YetoD-MRA87D_V>j>SH#ShGoS$MrfxHQmJE1~DE{&2RDRTu(% z;L;MUa|geCEb&K5llENog>#Zgqx3Xo0Wv0N>eqj~K_On$e{b&?ds7N3o@7kgZtb)u z@A6_+_25Z%U#OVJbhm&MdqrGiDU4k^XzdB!J@A|M9R)4dsrY7%TtwtugTiK#1D-1^ z)xXXMBvT{b>JFQOtN>=5hl~;+AFl;paZTua;Rdnj&;hMrZ%j*0ZMXtny0hMKs@Ek) zFHSF~H@-l(Pre`S z$C(yzsZ-1+vjsZb6M9C@$~abIp%bfpPZ&W#IP^RJ0J>$R&+FwWojgCh7iz6^EY|mC z2JNskIiHNSO}Wf(J}zohyyu?8jkrD15RD{066>ZS<^n;ukH5xn$~AQh1%7i<0V$~B z`*H}fUfQptjMTA*xUbL!#^!c=2X=T#igz<`k1u3$pdft6QQV?nS_(VRp*tnT4lI|D z6WOC3`P6!n{qN&0j6kQ)(qSRAhA!X3^yPwWRsod3t%ZuA7k*4_0+D(Eb}lhl0;*`y zdSJyZOQ%|VJYo$m4x@^8T{sAEw^~BJpk+}Vgi}Y@-Ykw8r*QTa9&pb%w#8}jI%k$c z0LS1d?-~h8tJ;) zYoGD5hh7?&a+Ro-^f7BjuW*t2#DwNQhu#v++!5;C&@mT@+6OqZ>hvOBqQ*bF~P$s+K&=qeI1?;ibAq3&6O}(~< z&I4q?JloFXE%k&D97ffcde!63Y$OVrg>PhukFe2cK~M8N!KYr(Nj&FbhJz z3H$5g4g*8txAcB+L6ish9bAIMa`?7no9f=WSIG3ounB!8kJcw=d}#wo*fB0+RbGe2 zj9Gn&)C29-8fSh^FY?wW07ZBzJL$md8H5nw4je|c6SIas_P|XN&PJl27p@Z0FHl7L zB+A%;KnntVI1v*d>@7NZaXySg#FkBg`NF<1jS?9pPP!~$yLHjN^4g%G$k+Ax!j1sa z>WTNp2tD57a`sT_7aHZrw9|*3`NH5$%p$tH1{^Qqflj3@m>3NJG(la5TzWuJ6jW*F zSh5N?y#(`Lc}Gk|v!ibIIJdoI=nmJ`bFo8hp|GB@7^D_45PCovhTfW! zBS7P(01%3+R>pyS<9e!sp2U{+J7jU~pqN0Vk4)=&@FY$sc;gwij!hR`(Cd!Cj=mZz z!}o%iN(~{Ydqcihm((!jHq5^{p3MR--MwAM5CtWL^#RmCqp*fp%CSjU+~{dN$m`eFG3GQVzpAJyGW;H*NorN z#-02aoFjV?c1v=#kJY4>BxJ{uV#s-T>%Hx!P0gpKvtY-QSM+Tq~?HJ&P6?k2N0_p z1Q(Z6`?T$G$pVEmIUKQ{7io5BXA=}BK_gb zP@Gj+uA@v>V-Tn!*L{z9euN6xjr5d!n5!!kBM3VIK5{>_K1E`wA zA9~5Lg*%f30xVK*y>)cR$!r7=K}c&~)fpUs*pPstP9uE~DkkfS^d*`vGsp5xj|L1f2^* z&ON&9$qF~UwBZ5VR>Y!fP(<((2-Bxch!22{`?op3(1;rsVIJ@nfa!s-I=5~MERQBf ziBa(}@ig>_spR3^OmGmjX$j~>aqpup(g)yQrXV%Sk}leG?Z%|>FxY$nxgPC9pio}B zanfM5R_R`-U2DgjA`@fg9)d5n@J15txdFZM{DuN!wCX8-%qa<=;S_k;eHh2m;sqp~ zm!EsWeY(2~$opO3gg!B5n)QHB4jUenoGg7Hd%#p=TLS~+hY5JtOUHN5895jm3Pelt zV4MjnT|wJ)KAhe}YLKBg;Qlae61LKG@<_xKL))+!o?F+9V%|6T3uS^*O!qkf&8%Z|%dZFs+3YFx?#a06suZypt3i7oT$OadJ{yrD(G2 zjsE}`xz>d)gL4v!5~W<8oRfks7~|Nh&wSk<>#<6_emKTJK-Z~gs|(WwFld*8XyDN? zacC29M$ad9bijvZDg*xjxLc(r(|v37{J7jpP6cw=&Y96Gk>ilrIj@5fk42u%T$j)q z`0txnEF2iXW-WNp$IGM60GlRB?zqgWVT~mFgX<%#f^4jeEWDG zpgi%}Nb~XI4blGqtHuLO&-KO#LH@g9fCu{NfTQ0(#KF+tkb%=Qn~2)E z3Fu}JDB=V2fP>00(*fUa>jW199dAGX!~iA{0RRF40|WvC z0RaI3000000RRypF+ovbaeR`u7I)rD2I_105IF?J3-w#8TMYNaO5JXl?fR7H z6~_Mn-%;*IFAM_3Y3RxgJpgz>hrc*sT2|vnPx4zA;LFYjv(uyyKL_;?>Ya7zE;aG$ z4uBs$B04sd3T)y0Zxxt(h`Jc-5@TZWbh&J!?q9L<+EZzK#CSgAz;)g!N%!g!SBJzj zhys$APxs7y)T*@91ImP`Mb<1{qq>xHEAT)z+z9rj7P5X?)_KkkH+>HOKJ{_*%uz3uv!iU_@cntGy_!!x#n1j3JC?`IKT5T9tiX zF#)8}eTvT+gGCV%lP!IWEoRzBO8vwPDO8J+%a?}&w7qLi^IKthUNyu^>}KfeiCyY# zx;2S_-K_>S&BroZRdv@}s;t9LY6HHRxC%jL`}cw%Y5o~m+G+2T+@b@WU-zq-_ZO1( z1@tfx#9nLMvIC)fP0E0~TA~!R>kP^0l+mtLh%iv~5u-X>`b+O*6c(2%dbrBQifG?CU6kz89|BA-)S=O}nt(Nh(;5|$EEu-1;SMJj;{ zfPB})Fosx-EDo1J@dR+NwMkfSp~lR2m=`9cKsDID9Kw@UE{j9 z7MiJpdei12MJrnf50=>2LRNGMe#(~TTz545iixG`X}fxMb2azeL#2mpCL)Fxu*Q4W z%m$Kz6|TGR!;0Rf^)2e*&0%x_N2sEMN^8*R?lhv%JK74aG|U`H5WJa39m~G3G!3^} zlx2uuU6rqOj=pX8&3tOIp0v#e+;X#g63ViSj1Nm*`p#X-okmQ(bXJ*NhVM2?bGkBuQ?Uq4sN4M77S$yHS774zB~5kxa%g|@4=%oQqGy%{rHr9L9fLs;P4d8>OuHfbBNtEM!U zos~;gd1nTg9*zg|E~;L!hCvrUH(XOK4ymY^jNp9xe-83RF1Qzr8+7EA4kL!$@L6(ig6 z20f_uqKVal^jk+&Hn&)vZ5m*Y7P@#8GHhvT`UcDG2&5JP*a^-c(0gsR)Bal z&Rh^jF1fS!0k8~RmY6r$UvQSw%FDnS&q7o+wVXN+&SnwCW}Rui+UOm^^lLfNU-6HLZ5M#-pVbPR+}Pu5KnXg3%+zXNjzJ$-?ME*co)|QMk##?M)vN z*!0D=y&!n4MdDnLF8FI-BoeB|YY1GXmxb-0!M{%FyoU3me#@)?B4%FE;w4>w*>Z`EwlmzJq$N9eHa!fxnh5tntwAsv`O zpqAZ;`9W?L9s%r+sQd#{qKC;(68V(Viq1RyqWWzIEy>pn#qptU5od*f+mT(xo~~0y z%`P#=R$d@zD(aXZsJu29N9GhbTTlUx#rVu+!LMxTPt2w_@R~p)I6DaZu>QrXyZ-P{kUaF0~EFKw-04FzWyanG< z1&tBhDizKgR)EVIYAxV2JFS(>A(e)3AYJ5+A~8)BYNFmJ|#YBx?5d`wfT;6grrj7+x3%A(9!iys-YL6v8V=I7CI+U63dFeB51-Dv5S3SB`OK;K(ViO-^EJV0K!8JDoOjfMx2Wq1}&aM7IgCJL8vTBhSQ zfch9SWhMoyy9gd*fh<}nxGohCsk?y2e2&G(43u|5&3zD$SOB=St)d>P{Y6bt3yUp} zC0AH)OI&a$UqUaafa+6~QgS*5y2`AeWY-GQi)#@hH4V}7sK6=189-lC1hVwtYL%k) zjY-k#OSKI->G;y5{kyx!^uyXhV z%s?~^mtkyO$;21@Cra}or1sKL$s z_LeI=fj|Rok4Wxql4!a+){j}VgDV(7Pj=IYn$#$8yLi$&+JIQHIXbfZ2qu*&(~20g z!x56~xX{GOv}BP?GafFWW>EtX^1k~^vCWNz%NQ6Q5`Rc#VxUV!P+|m zOh29AfLBw^ALO&qvv}IJ)?%$u_>?#+uKPf@!at6zw3S9`$>)#%MZ?<_gyby_22ggfa8^#0evX4V&oH5g_OF*-T92`mdhvs zleks#Ll6koTeNib?38d4vt5OGzjF2DjzZ~*>soRVBvdCrvlrZJ)UT$kMLRjreK86I z!d}Y%0DtUFr;&H1r{9@L{g~GEs1~SH%dc+vA*E8$T>k)mpuUk}wJl6(2t|Q;1sqGo zik%ei)ng)Ph7Glz`ar#PD_T~!#Hl*(EZ)B^Wr>P1ZgF+XEW>JFTw|l8Nu_J$D%9(t zqV6Eel|e<}yZk`V16=n$p%E^xJ9d0&2XGNLjAP~{OfBPVn1l=|e&M9wZ5xDkidGuu z{2&S@j2M5mnHPmRXK#3hDKE$Ihn)-~wD9^OV^J-Nj;HUrlz?7`OQQK&DhN?CF1Mco z_p%*{uPR(7`sINwrC{oo$`&)E-L?xap!<#9U|F;fdkcW(iw)fug1CvrV6RsuI2-au z?wYQ3O4W9&oqYxD1S^$T_0|AvqkfRBvT-he6;_vFuH`XthVo*HnXYpZ9SJ(x0QB3$ zqsAT$(~gVg7H0_7@K(KQz8l1Cz)`DW)AE0?OlU`ArVdy^sD|BJX)j8v?^hLY#d}j} z=Fd=#U_LP zgpuD*L>_iR@D%`pTyHjRCu=@6X7=1G(6YiX{FLHKxpi1q0BbmtoMam$aIVN0^y}`K*Uu*XSEMosMyBM z*v(gc;Mkd0;>$dJGKBF#aZ<5_gO_U`TpeswtY&gxs?YhJ?MJIBYrT8!`@jaTND`q+R7j8t{sxVZE5*jD1hp83eo1G z^r$VhRJQrVYOX^9yfou3fc7uT2`gY|x-Slzc!VSo)B*_g#q9yZO{vHIU|L`-x@Nq` z2*}?zm)>iwM9?niL7*7yg#?Sr{X(d7`}_WBF;bLoW7U3p?-ksCo+cpsUza`j^DO&K z`Tp=kGTS~ef2VG-lXzWSxAV7h4VD4cjJZ57q&iBSb?w9hc07}Klc}V&syZ7k{{V4h za|%N9U$`o&@EBUpYw-}&2PSJzPuvPRF*v8{8Lk7WfTMg?kPLMn$KnHMsrC^g%%m96 zekVmq(%05z{Alb9o|#Mmhcx$pv}1!qvBo3Is54b`U%%8LCQXmIOx`~D>PgUj&s-ZpU&FNanI5yyWO(! z*B>3`j~M~J1+L*v(*zCcyP1Xqa$i&CyUKuXBiCQac#Q}ECW9gcCYSZ|w#^`Yd>U>Fl7dT9Qo4j2$t z_f=`{?gv{kzH{h=jcszO{{SP3wJF^KdLM~GP|B6;`i84d`3TqkLQw{(1>NFdbkU9g zF}Ind+2R(k$7P-au=X+MgsWZ$ZhNkL!L*haeEmX&V9U@9zpk)fx2nE?$>4xoB9u@C zd=YBgEHRii)n|#2EgW)-@h#UkXH=uMvYQH|+k-kp_h*@F;vLMVsWjYj~_Gc%gUR5%5(c+_B*ZqL?-ToovP80jF2xxX=jXOdiHqq^m^z@2) zXGcGxQ((ta`$%_4tE zQ{1wVb@c>_b#3=6uk3-60S;&#fkD*FM!8MqkHmP2(U7h#htxJ(1$ZJTFS8$UMJlSc z#yywuD8~YxhfO*c(gs_-af2mh88?U0R7_vs=fqWBe^V+hr_4|iEI6pjkQsX6^RI~K zvRHavJZl1hvClNW6C2$nb2kAob6g7$63z#_o5}-Yb-$M}PGqZRC0s<&@Q335U{y0i zeq#=R6%a9%FSOVKB@r%wb+A6>6BAh1QOwC!V~W9;*W^~6pgWmeHU9u*ZD=MI>nX$< zdC~ZlQ0Wo2W~*^L4?d>s(w$oibhhDFl&ET*_kP37H+G~QLs*7bw0#8K>z=Et?+0#D z-US*s9{fJ#DA;XFW8OP3H~_DLana$>_pCr|6nN4IF0Q*KpO`QZMQYl(<2TwRwE+{O zophGTaBGgNce#jwY0{slp>tm5-ZYFDKjz9&ZJ$gn@yWvKK!_DTZ>y(O#LRImW|wO5 z(iCbk*BB3$E_S!(ZgF($p=aDDsc615^@Q3fdH!YShrkbILjz$R;s@lK%Gugig2zZ3 zS3M!kD(9CFn;Ss1Ra(c^AXu}DcPxK!+h{4TSQO14dqOEn_>H-Y4&%^tMM^OkKbu6l zWo19meoxo)89v>rS|^=(B@UGUEWonu!?ds@N70?rUkvxDXxKB_wE7P%qC5?3?h=qX z3(n)m#HgigWJ)f$YW(z=%r|w-A4H&+v*?Jnnhy`m61TdvugeUEHCeEh}lTE+0 zYdT~**-Npk1x9-cN;c74;m^!;K~TNwo+xGr!0pa{Vm@1EI-gqmjolY5%ewHzwT_H+ zSVaPiXmrgTS07r;hj5c&~*ZZU)b`QB^UnC-i?)vY{ z$TKXx)K;875ORX6)V><=FT$q{DMtJLpntEf z!qeSD(UkE_OoZd{iAGh~>1PZM%~8-oCp!Ex!nL)2L+?JgmsVCDrT#4Q6D+JCH1u`Q z*SuF18gxFRrR(H1Z}%&3uo^D1e&uumxFvpWBcWMSQ8FGWg1QBlz_s=B50b3O#s2`P zZqd+OX_z3$dB5~WD2-G#GR_`n719AFvjB>Q`!N&; zZL|Kvbr3wbL=otxLj`_gd#0U?f0BS|(*h+?eq)ShcSTT&@+;yHtx%$hYv$?z&Eg}- zi7CN#@teb(ky5C&g4%n{Bye&TJeWCgHT(el6@$Ie=R#bW zCDmKjw+A=}&sjtmx9$PC2Dzkv@4ce^LI+Cp0`7Xu0LVu(hvMG_>t5iR_ zQ9lyo1OtpuqNRWd3SO4u`-qnt1>W!V>JYp@0<6PMYM%2JLaWy&-!jn*^>V=|k7;1` zc|X=Bk$FM0aO=WiB{T%SGB3i%oo^=qak`$7IMHUgs8$M=9TJaAeN-7>VDY1#xQ_ax z3(6xux=gquJX1bpa1>7e0OnMPqO~7{uecu2Zr*er^k0a#Tr?S~3at577nS^YnwT95 z%TApA#OWyty?XgRMgq@N9%>xG%f(#LsAbdmmx-wM59IeKVMab8B>^7BhPL_qXWXKQ zgUN**vH`wwbw?00z`ZoQg6Dc|0*W(6>My~`(wV7pz%Ei<(?WR?Sx0y71*xvw^dMZ5 z)=P5jyr3+v9<2kZh3F$?#)AD2JDT8efvz;PO;UlJbDZWOS0elxZl1c| z+%-f^Jy+BbRyA9%65356%Yf`<_bCAy*()O>cp4rLYZKc%oYzQZ3J$myqkf@etPJ#* zLox=G((hJwfyt(V%M2&AODzLV>>wA6f5_~OUyF#SU*$k}3aOnCd<*GwD@EDG0G|F! zh{@Fsm%w`+Kk#%ansVg$N4!eX0JYf)sq;h1aU1(;YhV`dPxMOZX(M-HS3nr&Gf^PW zKeUKdBV-K(9+~^L@f(3K`K-OM=2vPkhPAWd$D7(wTHVf0K2!8cl%N8r0<><{9+kuz z3f^S7a0M90*oL{v9;Z~a##)8mj8`|S%mRKOcSQ4)^C+02!=pf&>whyI#cqHtd-#l| zL;d$2+N>k9_K0n8H@#y0KM+yOGkjATZLCFfmgQmr~eRQZ=gysQF&?X>~?ybL#WzfuwpjS53JQ&BoKNl|; z85;(BZ?eZrpcK}ZMf7a#C~AwuN%NM);SDW`-OMD@) zUe|8CmO`*%=!=0+=2kk5_^421rkdd~*p0M=znBF+4tLf7AiiVMGpIdW0%WBR35jiK%s9*lU3%Br7e%LDT(7^!+}FYkyDw=<$2AYYHvVyn-q zhQW*a->^#x#ygWkl_~6N*AkepDZ(TCn`_L&o!e?3aq}FlRdU(juVjoF7a;UU$~_%s zi-|KM(lkBJ&l*!)e^S1fkX;)S<1gp2d zxXCwd^x7CO08|x0gIjaH{kDU8@St~1BaZQ=>~^TbL>0YglIrRKdfk86U2O%LMz(Wu z_?JK;t|$X|wE?I6V%f}L0@19v)>p6>_XS$eXtvg4#uyNeI|W;>UW}-so@K(NJ)S|) z&-g)BxI=#HOuJJ8WSHD zdnBPXR1BzS`OG~v4wABmI+xm7R1AfIPqZ*2YP}A=qQ1(j>N@;Epu|osZy!pEz7XyH z>h*&F6r$HOk&R?Rv!J&0p_uy=&gy9O8wp#c>Duwvc%`%lmLGQXBC4Tc_iToU;dO6+ z?0W3Ddwj!|lqGL;$;}aYSnywXmOvvJHe>S=jpt9YQipfyQp2@NiZOcs0G=2)JdK{Q zvm~r|M(^_F<}O26p{q~O#9?)!YgT=0G};H!H9-aXwf5mDWeyHwzY5KQ?d4!3Ol&IaT{(5tv|S$gIjkv>}wlDS$j28j^O*1DHCg;pjPWg zrA!@&Y^IJ5{K`YuU@k<%(X__H6_3-du@oE*eM_F8E8{kMqufhyE7;dwkG>`iO`~wN zs*c=CFj#Uq-?dkq!d~8wX)mUXd!qhhg}}zU=AT%DePZW|#1iz2cX0W{^?Mr7`PLcj zP!N@+uWTK2DONO^b<+=Brac7*y{pB-*9S~M&=hnsXVpWv?P;u4c!?lUOKvLiXj}oB zIuP(hDur)f>_%~>xOLyB5Xg9pni%&w`h96DBK~#r?{ufcb`aC@a;z z;~FpdOH+ASIgt{Vu2p$nkpsFTS7kNh{DQ6;uh9Sv>6k9oxbE-Z%M}`^a>K8C2fHc? zv$KHGeol!kmM}gb(CR4n!|x=a5V~|}U2Vi333c+f+Q?0k*dN_zIpk7PR3%rOx3x-%O^&H~?218e0h$30G=5{|ap$7rC z-(4X(Vk%r%M0zB0EBx?r2(7BR)d+US%*1wz&fsIp)&O1AVf8CB>U1z17EFF75rBH3 z6)Px#wSxUh&Vn2{2hkm=l^rZUFa`(vKZsXU>soa`agYw0nT&GfMQM&tABdW9n$u6t zu(;;R7c}&#X|dJNtj#;(I@Bu}jLlhGd98C&_JUB|RATh6Hxxq(IT{a}U?jB1-YlB- zS9A9KMGOGW>z`P7fEGt8)xq9e=$2czW!Au6$a0*0m~7KehtaP@OGN{-`fPYcJlqJb zusvJ+5YU3Eo7f+uXRM3WhtxU@GW7)dvirtCEPaZ7qr)*EeN)${`*uqEdx^XvTFS@pbNZvpn~It+MsgYwWalqdXuj^`h;Q}2=9%jGnCHn{4b3l zqM9YIW4BYZHWIB}I^&74=q;MlUQCv80$DD+)C=V+8t)K#Ui>JpFg%cI8zHxBL5B5H zc%dDi>VcN{_xs9}Gmp>e6`9xP_JG@*c&IBVtGyq;f@y{rHQ#APL4fI~^@zs+F0pnY z;Y)*2Y3?uGN~}nQhzM5q#IMMfcu?mi66j!nQCFbvDZHi#pw?nuFxTRblwda5F&r*U z!mQ^};wVKBry>KTx#(11_f|H_-mc)gm%bafgnl6C7P~k69%3BDBTNqzLj%qK022Z* zCnA1OTI5!(Kz-3M6W%n-Z`!@s1lf3Tq_Dt6?uotk*Su^NF^npz`Q_+CgwWQO!qc(O zxI8!60j&YoUNfDe7^X9?=Y|5=-K=KQJ~;6zMw(smRbQE?!*P`ZYrp1RVZ{b&xi23R zAJa=~ZTY>br8I0ln03FzG-|bZptIhvm2ir=F{<3C5$hNB+hKz!$)U`5rGC9szTL<1!}kSCY~?s2#8+MPNfN58;6zwbYXvM?Jt_Op|l>YrPl*! ztAF@BMq5;a_~@`MQwQN^Wh+iD{W*(``zGG;5_OMsA7baMC|$m8Tn2GarLF$}hU(GF zguZR&rXB~Z-A8*Aw{^~&>RZVyN*K})#vE=!Hk%gdzj%=fR>z1^g`K@hDk=W}kyKcX zg&fyTU(Mm3T5qZ~UgfRWRaP;TzY);3BiL8YvD_0@hT89txkx61qJDKWO+Kd=(khvf zYHbFy1){2h*>BgV=Z%r)!}Ak4fyOZP((IMB)HR9=dbM>3=*dT8@FCs|>ifg@66gZa zgD37HLfq-e27p?-TL)@_@fONE>nW@s>;UsM7-bI<>EqZ^TpFC~rz> z{gEF{XyMedw5r}FQ=#>Tx>?+hGcpk~p1Oaq8#bP3^F`M&K-e95eCrIt1`SHi;dJS2lZpUlCg?gH^$@kUPfx&11wKxMYVH&+UZi7*$11`Skj4D8x@V*X`P4S zFAd@3L##N|g^r*u?#UZ&`9tlsU=1g8u*$EYP*6vs``0 z1uZwo)hBSzh{OtC#i!Bn6C$L&1N782>ssmo@R7qVS9`6~*~2K{g*eWW8h=B-hdq`q zv{X&DxKnVad}&-{^D*X;ViIo2|)9LkLgS4MH) zL)^)j3SDVc;Q@#?Wr5i6A zwyC7kcV5|)lr?r#R~s3aK?fK#KPi8qr+i?4H3_SYbJheZ^RCqY03TCu{{S!ta8X^) z*Xno-J8qZ9Nb>N9l#iBDwv#!#J|;zgtJ;s{JWA)qy+*$$)UTlh;=)ozEj|dJz?gnw zbaS!$GwuzRb#*sAO5he@S^V8y_=DF-UoJi7;04j>j#jOGnnYR6M>UlAi2a3Kg$K4H z*3}qht-m)fn$~ArSME?8L)p?RTkY-wnuJtnf8@$yD!Nj{uMGH(y3P2_h?xfVKT8%1(5-1JCz#36^NxBpRBE&+uP0ub%>e-MS_2!Xco5X2P4S0M zBl82R@1y%4Qp2}`&59Lx!{;!%I9|OM`{EEdhilRLf7nXJS5;O0!o8(wda61ZeKr8s zobMcF6J7VWOzSRF-MUeaGv={FRP=FIH>jgJwqfp4c#0lX{{VL;Bu1K2_2i_2-qzC_ ziodcu0P~HiBz0T1^vrI_(C9(&=F2;2(j&|Qvfi2Z4NB=&)?*zQc7;d}T7oSKClt~C z#umkE5jC?K8VSZ$(->bqRn@Xnka_FnoN2eQ7?k z(rb=#-doci50Mao0l$GoD(<8P(n~iTJ8^@h}|=AI%r0&z8M4sP|!X90LU;;A2EQz zg?iy0RKcz+8kZ%tffFWl9fb=7sU$Fj;olV*qwS!SEW2s+y z^p=9K4vCi@pbkJWt_8mg3&yRtck?Kimpfo|jAbvENBn~opJ=;mU@5A7>FS~?%3k?4 zc@27vJ*9X+=eSH<54#D1fI5sR=AxiOXG{IcUsAW$8`i>A`eKc0X1qdb8bd>B;BjMB z*l`pkN$^^8QhuU%c%+wTNF6H)2C)tTeVyU$ljDXsx7Ew5Zl6C~VLjt39QhVzXkCGlKOtUum~kMbz2% z71s$+t`S>#RBQvxSmhxhOXTOE<{{XSFvcu2pvFp_A+C`cks6g&w7G|?UXL>ikX2gZzUoncXj6PM#nCm0cd4iB^&G$fi`dSk81AgJ z*72o7ci0%nW6UVTMHDEjS)ziCvdc!A^=14;H5P1}4}{Y~N4g6GyqVRF(eDs=D70~_ z=1?}2{Xorx8@Sn6^{oDO?Je4h7pghmq{!e4o7*gz*G5Jh5atb;fLQ8HkG5Xw1Rk!R zGWafC1y2b_afXIS&44S|`I;6s9Z`8y?xV_bzXy5N#d(7T*{`$at|T_Qzydmvk9bWm zO!4s0J=nl6QMG+GuYw=sVy_B$iAAT}m5+ptOcHOL_9J|&F(#kwtg!HW8Fpl8ka zj5bb9^d9JWjI|+LXo0WVqL$+|F>;w43$CaA><4 z(gd<(hMYbiqLzZ5)zz7#iJ)gJ8PRmLs3QqkapK|nt{`33U}(CpTE(wC+n+E}wcuiP zZtlY@(g*?0A{o0=)XDIEVYFx+SSZ9*nb_+wC6P9u6~4q^GK23k774P|^!#?!KSUTbvdob9X z8nU{sni}&Lvlm8|0P^HuI+m^+X5U0L?xvb86UJ^bVxcQaPsG8YU3$7oQnZwpQ0SIH3Mvjv%DGu z0gj*cVrLKB#n%{%#s2_!WMGXYwwh-3Kr|6mkX2Dzq6oH;MR1RiGC=_H4L#5HTa__b z=uC}?u8_Jp>WKG3?T!Bcuql>jCccb5k9|?dtutvuq0OlQ>|?9@m)&6G;NNf5|~A zoL^pLMWe&VNZ}Pk2+}t$7m?4|HIY*A7TqZ*=@+Pp+_X{EKB27|8vWWruI_%Z-i`tf}Zji&SwH>vuJ#hIci4qq|=|j%Vk7;pQ z1Xm4V<8F)qr%-vay`M~>Ua+=`W9J^AMWE!aY0%I9PcHSH#q? zPo;f6J%Z_o*n(yxp=@?j&!_swj;91r&B|_ zv>9euRI9AQ#EGs-(_LWHOvDcl<}pMJQ+>QuOE3T^vzm<~9r{l&Jy zxyE|_BMi{@br!(x!T{X*X;&m-RKurwZP;T2<_KihZ>}>yTqaRsykzwjUJx~MVz&YS z_H46d;Ok?opxM$gtgi2HxF;DirIfzgz=+}2wS7Bc1SK>#IOClC%xy8Fs+Jtwcz_fw z=B9+<(KRo!u8^;hMm#KXs>nyiC5#9lwUHA%)xoE9a_*SVdY49rmG7U4ern>cK&?KA z97=>!cx%Iq^A!3tIu&at1jLw@)1TmJ7a^G!)(K zf7pc-CztgRMZ?bU2e}yS)?Dtf#cf#Z@eJS`n$0C13kcv1wZi@%gqt*j9aO^(6cFch#hETP{dpd=b@yB~JTC{d$&e@IM7 zlxUY@=Gj=L-a`X^GYoiG+}%DYzKH^oQBIBHyd_(-#nlMQ?Rtc`f2Ng;|Ux zx}8aXC|qlRFY_E=5iy!eeAHmiBI>Ndc{3O#k)vAVb8`3Q8)=;9$IQ5EX;p^|-pMbC zXcJ^H{njC&VdYGEzSRV=RP1jl)oqDsCf?v*%U$4zl*U68_umhhb(bmFH2OfO1!(BV z?j}g~pq3fzS7}*|(@|La&r$@opjlYm&mWjpmE3;e2w^tlEPltl2}3a*x)=2nHn%~> zewf!Qb9FZN@(63l>$7^iW?S8Xz}E0DY|PmMi>un=NfZ~{t(2E;l&8!)ieSqSC{4x1 zLI(h7Dl*o`SSsK{q5h#NdR>2nL(67rk#mT?_+1?r9b&mJH=OC1LqJ`YSZZ}IXoxYY zcN656*NUBQF#u#CdAuedf(oL`@#jb=WHi`bmb|ksG)$F%9|X{8aM@KK7`(PoR7BS) z!b2#C^)G3H%Yoir%2wOP==;hVqxBSRkh^-zk+(>}Rc8*Vm%)R~42n)7MNw^MeIF6N z>^W#@=T9WLn&`>3J9U{XorglJ_2L*>08%9?=R*X$w-{rhO56|^rifIxO0!+I>U61h3PU!D1FsVQ z0BLQ}!Q%~ANY0Cx>rnP#?WbY_Jm7klFMoCFt@J)-EgG?mATMfLmwbzA)EAd2QBEv3 zSM;%cBQrzl^}zHMomra7LCq{Z9|?MnqMZcEM@p|TQU%?GKOxP;sX-+)(67E>UJ41l zGsNDkf9#tGz(a;u;tVL{s6|w;xDc^~0J%QYg zv}eph>OnJY)sCgv8i?4v`gjA(*Fd3Lu&=iFWEUpbWyBG6t$h9Y|iU zBXa8R+#U@C9ZSS!GQ>M+p5z?CU5pw0!02sfZ5(oA#6W_YP{r+VnW(`GjnaDhh6ke# zoLw({=Fq?}$jZ+0`GXa{5^7sc#CMhzb>xMhrCJM7=~(kE?{xuMo|IQ;1aFkX&5nYv zatV7#C}_xb0`!MCP8~l;Q?vnm&^1rG{vmqka)9kigouc`79RtP?@+8Mo=l$gm#!gY z2Mx|q>cdNIlWXU(EQ}YpXr+HDTaYK-^J{wxI*5R{?cj zFH)*+t&?oM9`Se=pu4Iz`*tEjBVct2^nA<)a~1iuT5tKw*aq{K9&z zZZZuvqE(!gmE7mF9V)-!e&C0FSAXn68xwW(rekg}#XOQJI4wdfGlP zEJbwkjbIq+Yup&b0mu{+I~gTP0BkhUV~y%#L3Ql|Q&Ua%K&cx!~i z&6$)jj9(AoaRmjS^3kVjzPW?|Mn$HLW2>KtBnr~%DzfyFtgC@*Pz&Hy_?7a33(=+r zhQxZzvK0Zcs>FK_s2tJ4X6+$;63+}Pa008bbSrJ^v_+=S&EQ!I<7d3K3s**{*{%6w zSXPv)V7~-UNK#WvOV^>SZ9u(+Lc5fCiXv-wswsXcA;O7@>TG<{cbbKWXs{aX2l;C@ z*47Q`5f-3RMoLec5<6Pdswe=GBB&Hx8dKEmx{EO%UA^OueoTIox)n5 z6;RV$ZoosuqbExPrK256_>Joz4$BWqzfn+@tAxI>?sqes6vu&lO819-jqhl8V{*w( z)PEGcDmuk)3NP}g>llF0y0lJ+e9TnJY8m7WPUPE%Mzvt}GDTJt^N@Y!Vw9Zq7uiH_ zrULqk&q;~ifa$}Y`Guefd|OSQF+D9x9W=}>VhYhXZ!RNYjw%I7v;i9js##Duj(y`d zQS?fAm0XSU(xOl#n_&ieTFmeuZR(#_8q`}_!K>;mTM6wOF*+SW`9=0^gCR*?VgSkLu*w=q?Im=rc`-U)Tt+A4zaSY{eYpaVILalLpe-iq###L8F z+{DXci&odSxxOF<4;w?E)tc%$b2YACQ=2Pqh-ACn6^$Tzu8o+0B3T?6#8Bi0Yi%vw zQick=U2%H+#z{-14PTi2BrFFYQ?C-i7E)+S!&g|-q)kOBG$t^bRH<3jVfPeB87i5? zTUz%Pd&}Z0D_se{Vm?amfxFrN0A=R~&P%`N^aD8p0jS(KJfVnoWTeb449xEwEIRiB zB_);)bVPzirL`i%4<-`hxnk|Ua(1%F+^J4NP+fD6ae*ro^0p#sTZr$Nc8G{WM^ioK zvlI(wF6~tIBc$14io!bYgloKg*J3og3hJasxhu?v1*S^AmObKz%YnT$rS3HNg}6EC zwrsA~3RQiqX=hQ=c5(F*rntP zJwE1r1k1Ctj=yk?XcMK=>t7QcKo$m7R!mfYFqN&B)}cWG-O$6uHbYPf7PEWf(+zUk zYgFE%yCk$PN&wgwCb}HMK()mI!G8YWl$8~H8+?64Q(&7K3hT((Qh{nwu|{gEU7%#Y z#4d{qeaV3`61ZGGwd)sPIR)a#rF3%%RVj5|;t*^HKhmMsSS;rS7twQ3B?wv@=R>?} zkSw)(a9kp_OMK(vA3+X=D5c*A+$rILP;(WsUv@ZET~_*TEYEoB9Pf-|mvWM2h0P3z z*6NG2b7});EM5xj8kC^AT88^Cxk*>T=+ONTO({yMGGiP!8RIJ}&9B94DA=)F-3>fs zxMB<6Im?GO9?)rGBYFmg`5=XAS<_Ju6wQA-9?z(A78P9MM)J)Dh3TRdFG_2S>%<{CF0^f3vX%oOZEY!SY#Yh87e z)y&WLXg10gQFT}S)&wKa$d+fd3|4x|WLc}8ogjwfXcG4S0D3`8Qsbr5P43I*FlA6~ z+VXoUSCTE~Co0_LC@3zrX?Nc9EsLQ1!#HY0k+;N6P#W%U9C3Jw+@)Uc_9h$zR`?o$ z;h=b5u-rnLwR+v9x7IjTl=l>iVO0>*zf8)stw#&6v_}GTmK_~yZ&5l2AhexgY81d1 zU|dbiml(wmRcMs;LGv1>s5Awjdt2%*L3~*X{{W4XU}VsVtbEN7mz*H5h^8al2O*hwVeSmni|-K_Td&EEHcQJ5ms>zm zyq^h)a4XN>;A#^PyI%Hwpn-$?5p4E-BpG558r5G;lEAG$$S}~0k+_sH+ z>qvt1W8F$1KZ=IXMxM)u`}ZtCHHy*N-~ml)I#qZ0(peR*J+~~VVg@Y7^DHtTx>x$8 zD{hW))z+J04&&&H3Bnq7e=t0c8g_}P)O7P5VIIUSp^Dh)EwK9S70cBE{X`H`x8fGm z1quGCTTk%@sIxlGC2DV0^#mv<|HJ?#5CH)I0|5a600IL60RaI4009vIAu&NwVR3K*G9_sM^Vrvj7`Cbf5>@}C}&BqWhc$;p|_nM7!6hG+1 zQ)fw3yw_JKtkukJuhua20Iy@e!OSuG9`Z%lF}0(5uYZr@1S}zle&Lf&x*wP8+#M5~ zho|wmk_i@}hf@SG=``$Wuj3C3I797hV2Idp2=%WsYLp}U6{q{irSL=3gse*G^b@R_ zgqai+;(68pY(qA9)@(Ovi8i}zeY*iF+_ zDF@sGjr<13Nq75-N$_$g*r}v{oCbx|GC#8fY`4k7{5X6aAL&0T&htgzydl zgZEj`;)`w9<^;Bxp%fHd^8_s`v8OE9I?aFZ2t5eiMFdsY2Sa1`0_|hX5ICH`9*Xh< z;QUP3M$*On^)Qwp0KeNc$H#bV6xwt52QJG$t-$ATpakSUkeBx!)T=X2hxlWHqqG;C z*Yk(^s~k3$YPb21M$}7hc_z7!5ri5aPfwV3W`__ko+-JVYR(br5n>hQLKR$!z5KtN zBZM>ujXHC>N+kIO8BFSpuEW_sF|5{jhf%X}nxfeurf6k@TVS}FC;sKsE%H}eJd^xm z38AQ%` zUCJ5j@%IkL%OZSSJvjscPqoHTQxwG{e*1{XKZ=FBXWVeubOT5R>F#MjsV~8civXwC zY1|KGa{wCNKy!kM-_-vASuB`YvK^=K{;{-a1zo$uDER6jL=>w>xH(`RsGT4q@y5?F zB%lG=;k2VN;}r3H0oKd}itdtPQVmW_GlH0UNw1@X3oMjqpt?b~8nA!n zp>~hB8{)H zH;uAIMMQVvV1-~`x(FgvE*6&n&;(Ku8aUI;e5H!2{+JKe8o4NhW_b=E} zyA`Tx;_7Bv1;&67&-vu2RYdHdCWx+~@}YlplG9*+HuyN=kjt?#n1N|0D`K(F@fv5c^VJ6B`mFR{mdaNd|(aHH*xv8 zB>GV_j*}Aw11MTWDNo!fiFgVq3{GX0HG5@^BT0 zqDMd;sI+kq6b}CY7eEhg4wfl$8Va8^h-i935DTpjxEm<>%`+HVw_226umU(i~oyecf=IOB_Sc16U3m(C$H; zjevWL3Xf~qf=jUk$6as|C1QZ9ns?l7$`uXo$%uPvDyb_6NqxZ?nm>&(9kvMLbF&Be z*1HpvJz>=+PD&6u+1a2~@-nJ_WT>6rS_9T|dELeV;A^C8Skz~s#bZU@If_@R-Z zv&LOq>6qiIaWDwXImp89>WH|7#O7RBN(5f%$`&;*gJ{x4m{pJpk6Vf`4wsw%0P`+s z^YL-H0w8UnJBDDhh1kAn5!sl$(te?oR9H~#hV&s+xxs|7MKN9$OTRiZWuf*bOR=o z2~ck~OZs^Ef&7U2D*FCiV;dS}25Y{p%Q6`#*Y8}v@$Q=xaYlv#0JnPS_Dmfp?O~%q zL*?E8Ikemj71KWOeZ>@r;Y%6mg#_Az;1D<8@|~!RQg+RgUAzDxy!LbYJO>a`OQU_vl5W3%6UqLLRgmAT0^{;(1Vbgqtq-W~zAQFjPYuG-)yv4KfRU zOd+W`<$!MJ)~*n}0KL=r<#Hu4_UuGO(i83)BvMRtkdbxw6{2Khkm+m!HyA>*rFf0n zs4+0B`O!KXDcW*eqW*xeeMtR-=0Bo~ghqdPJlDwtHX$JUibH%CNL}t^!c3OZKDLVr z9Klmu=(hJtW*{{VVN=lQ*z>sKj{v&+G>8eTBkDGk`z>OAm{I(_WM}{aHFb;tu-P>V zOf)+CiY;jp>jdf9<}wm=Kpw04l5_st6bMRECLJP^eJS*w3Q_ZJDKvcwwb zJZFEeKMVR1{_^osc_0Ve==rSMV>FH;K$l^=miO2S0%&*546vnr*v7(hq{1gT#V!a3 z#@)Tc-~#TY0J4#eFPVh^Mnt6sJaF3T6Lg>F3<{K8+=({U_*96o>wV$mS_ zK*ks+vIu-9Pc?)(ImxP2pfH1tP|#@QPoaOj5x@;l+x-D@M|nrH$F3gm35aI=E4;5r zl9VM-DMHL2>^Y=12t6AR#jBxA(L_X7vBj9|{XdzPZ+CM9bi|-t&C8Se!I&d*Xyw_9$*@!HiS}=*woezTn1CBC(Yp4 zjn+~!uE}1^2tzw1U?6V*(YEGxPCkrzhR5N6E|IWpdxv8t>iQFFG$y-LI%)v^v27_O zw@#qn>kwjv#Y8P1dw{^(Aw9!Ns(eecpSl8z}GPGKYIszgfiJjAIZW<_^cw&20D+Wc_8tI6@APZffC=c@D zqaq#NwEln0mcT^|yhwxxjHx}{-mv$V4GHYURt5Rb<2V93J97zN=tg@e{{UGF42#O> zqYSHDgF%~ln?>`3qP2mN?SQDC)22P}0Rg9DxF}{_vlCFF&4KBgO$|yG1JYlHG6FHZhD6EZm`RlFKpI<@zjCkAdIJg`qY%n&wG`u};*F;Mn9@7MBKtGdX?k3RpQwF+qVqjvj3eMkMxt9cR zj*CG$gkRh4NyaRGqFJ?R6E6+6%)@hVe_215$cdyyIUGMueai*FyQG-!0(uG0LhSb= zmMgs%!l)Y&nWlRN3cCTX=Lnry!OaY+5_dL*g}wO*LVdYO_qpK!J<$edUi4_Qgl3Y2?~ea;Bf z!0tNa!Jnl=DG=akG3(NePYonp{K6syPl$mOQp#szBPduKc%!3$!EfNC7jfClGgl!| zV8sFYV$|E)&~%cB_X>h^6&>hA9ckuW9hb2}TP=L1J>z7`0d*BVKbRe%A>~q#5B$c| zV~iK=U<=m~3rPE3$8MkAS3Yo9CKPa-$cuz8uXV*b0fv_*A^ZY`09hN24n%Dn1vTF? z+vx@b2{irtoiwcH3_LzaV_ytC{&YN1k_!WDCr zl{0h9-lf3e`=n3IDB03RhLz~$n)`qaO0?*v50B$I`&1%0y)HuJLAO@~k{+Oy4w`cb z;pzq-H~#?fjF8_=K##9Ak$)y2p+!KA!HYH8Fato*d@$9B0K;bX8h7q!9V!yGnyRaY zbgba3w`;%W1nqUBNvJ2myhvIF#=sY9e_1ZV1cRvUA%Gh10Jj(nyPc(#059BD`b3FD zePO&NkY@nfeT&j_1hf(0C;%Wq-tjFqxNi_8JjTyN=-Ge)quf#FEbD`z`a8HNY!_wv z_Y%!gf;xXNLAFH>M_Gb=5oenZSdnUn#_xAKb7!94@0`&+OrLDv9h6Qjw8H3(02g6Z zRheS{0Ic%B2fa1YmPk73nfGh|RYye>+kGs== z0}<*$A*>$#CqtoEk3h?|&(vtN+|-`9bYFNy{nO9u0kKa!HB~^hd4m7*jPnpWuhX4z)QOFVV4&tfE zf!l@1w;NgG9r_f8!>cmIK*nHJ$n39}FdPNK=FC#?#d$RFV8DS52aRHJ?B(mfWm+rw zjmbz!2t*A#02wNBq`kMK1zTB_kFGSL??}i+mla{?>Lnp=WcEVGS|c9FmbReeBR{K36Nr9xmP*j7S9c0c=+xIG;N zUO)GSDk|t#-|+4~X6v8@bC30bo&i=>y?mZx*F`#!sIz7TCfc#PJa+B{Rsc{4Gwrtv zkp|TqV5P8^rrmJAm;$DRt(#Sc}B}3j2bh@S%oHkVRc%Z4Cp-T3}J5a>G0gK%OMu%ax#nwU7ii!Q4QNp3Ji8wO{4H zHhN+*jS_+1%Y^zt2&mTRg)Vnv$|OLq6??pD#FbPANC>0T%t{FujS?z$iQ}v-CQ<7n zxlr5g49tdwq{?WXIB;>r^r36uTqTKy z(vm_{S8gdrcyDYrlWAJVrtAu5H~9ouOjDxdRGHxxOeh>lCxcrhfXtI9Ey*);N}R^Y zK16=m+~oB$VeKgCJ9=mBu>qnp)VAKIPhg!@IZ#j)|MQ z9+Mi7$d1^_1f(Dzwg`O1rXu8^n=Fz4067HWmJ2tYB922r6-r6~y97yyiDtH&s@2+; z0msr{qev7Mvqi;tQSGFO)A;i>nR#pN6TQ?o<~6V;shWsxXP7n-prRm+S`gpgZKBq;}S*SM{uUk!SLlo^N#;WdcNqr&~fOR##JMOJ@|Ci4uEXbBltAU7a4h7GO8jIj9r69dVD`WGU^vOks)@(50@ zkhQ|=x$NzE`lWEzgI5cYZp5Hx*A)J+X-LhMbq!Nv443wcumsxlj=yntb_Y=u0;hK_ z#t0p#gPA(=X1FJ0j*XBgO<+XS4vch-39jJncv8TiC$U^lHIpq_g>IVs#8^q+QJWe; zS%oX44koL8$A7t2pPK<-)z{8o)^~pT1S$n{&0z*EF_~x9PSHS^6Dx-)i)4A(geqMe zE5;-bHE<3?zyoH$8+PMyE6*#bWT$RkeH17ZFt9%PoI%5-BwMw?fXe)bkpBRNbO9i< zk|qA}*j;k11%JaYz>A2gtk_H-k_+fAPv-@~Pz4#FdN?$@vQxDUyfeBFe02$P<7nP_0 zcy1t>ny#jGL_}rY8+QKy-i9bxrjM8uDu`aZ(jXgS9youXIdmi?64w<8)Lb>N(x5xY zrDx0&qAPdYaEUH&m_ZPzirIo-lUj#kVvUQw;L!L+nL6Ko8FD{VfefYK9RlDgGgHFJ z!$%W)j1g|7C?!}A^225&X@g-HN!J}4rcy~@#M3y!@a=Me9JK07k7CYGT}lZ)9_uJP z8>IOFABl@yY{pSk19zOBz@rse(C%#cfh3}|bc@*?F8hvzt}8Z>HYGM<{GmYTFf5N| z6|$vD5=0hyIbNa=l)s!*gPW&B$OX4eP_mg8-jpGzgwwycu~K19@PSsrvv^QV;L$WS zFh86coG@o#L1E~#CNr7_7(~1(1As;=+ju{`IApeX5Ai$saC>Sh+gAr8c&lz5loDc^ zd7h#%hwMbQ_2yFyvlb);3cg8;@NGB?EtEVc{KtY93}p@8L1yM8G!E)oMH`^H<^n;} zruhLQV#l}-ys17bm})w9AlDzPSM;Znc-CJB+eI z-_enRZ7{M7Dp%a2Sk2r4@vy`bE+7KI8!#Y4fdRAT{{Yq|ylfW!w}E5SVtZE!EO%b^ zQf1Nd5XOA|FdRVCHWQQN!y#yCYhGky3fx~#^*yb|aQkr2J@#7#^IUq(;>6kW$=@%I3MHY>B=v~6@u(1KBCn{1zp853>j!ktM2)TKfj9@ykt#x1cD4k&)lUW(ZwY4 zk*Ge@D#T?eC>WQ$9l%DirPZY_qG{ty^AH&O!y&|4CDsnf^YLv(tsdMpyfmq6K;jd5 zL59mAs)w~hF=xwE#xBplR~vCy`1o-JA2HL;%UUH!N+u{BEJ4-gz#B03ZtDn91!D#* zMA}7klviWSBV8MfvUroMITKou3rfwQ97AEUCYcCoZv?=URG~E+FK#b8280EU#W&;Il4rB=Fz4yZdc}ibJjSX zU^`FufKZ7L_?S!uYIziZy%UXYVN#R*7;5;6>Nnl3B0O2$=8+K`*(7E3qt7YSmb$kk5@tOVdrxH0E*HyQvr0nnD629P57V{ z7&vy8*r0CEoqQ9yx)4+k@rpErmdL7sO?!r(eh<=7A-6UP??`wtZSw&)x-|rrZ0{j} zLimmIW~vpu9kM+kF}?jq2x(ym+*b~5B9ool!{0Pt@Rt^DWT{JI#scbiF6NqUC&Th) z%6vXz`cHa#HAg z&5!1J14Wd5U}hb*l!Xr3R~**Z z3qDe@%kL6w0GAy^^E8l1%rpa*oLVZ8Z>(A61AyfhCLvB?kF5Tp)$II+9~ch3&bFmG23NtHtl9GD3CPJjvC?* zBwt!P3L5t)n-Fa?jk-C2ELO&)R{;{2xm!lT(6Drl$e!W^lq*M8W+Z2hKm1^k0KoqMjQNXK zx}W3CVm(^v>cr!5!_Sh6J6W{Q@x)0PVp(`-2KRbq(=T2&tHOZ3-0EzX8Gr^A6EY6T zmeBPwH?m{tYD;i|HVMppD~5nn0VFi2;kKp@K}1Nr_ZBJ&j|NVoTQ=?yUQlMMYeY3m zh=H<|Dk1e>^OnFMk$;RERgsF>B$2c|W6~y)2979AHwQNqcvfngayQS$1PMhajAvF8 z`vM3NU*i)OvPD4A28dHAzKEjL2FQljMXUZD;(*4$Wrm#; z-FH}uk0rtx5Tg0!3v#n41R{prG0hlax=rLIjo1DipSeSNf%^#ferEIl6#zJXVuDZ5 zNCa!t(|HFa!0;m9-Df?bK_zHGE&j3=ENhv*kONzW^dLW@=db266hTEmnD0=+T+(wT z!!!Ch4B7KLaIGo6CQ8wsy8;$7jc?2m0Bi+%#i+WuLmv#C1p+|`J;oi=!y`6r5Z*zf zCTMQ}KF(wm3Q2n~%mbgHxB)Ngjwp$g96BU=SRz>QU-6I_-$E@6l>i9M!gtzWkB35U03M`(%r4ieXK|$X z&{V${739Y_pGiELtqnBdQHErzwO~HrDxL1tg><)}b&)DAA+#XyjKevF{Ro2& zStlbDi4-c_y~H3=kt7r-AD9pfvqCvFIU8J1q(K=cH3NJvTt>JI;N;Xafa@KciX0li zP#LT!AnH{T2mm(evmiC#lSCST(o1)j-dfZsQb?Uw95pvrm7^9$!eDX{h&)58UHt*4 z9&cy?0R=cmT*9Rst8EiO55!D&G!}hpp$(KV@*xm#+9?+pEw6&+>tuny^Mbeukh(C7 zXne?0-u-BFV)1SbxU;$yHk17f*wL;F?*$d|WTueuIT+~Jhb*cDhZO^|@_o(o>=}nX zr(%K3E2%Vo1rX4hb~5tdZZL|WLTs=!!E*xY5em}}5VnRuiINWRf2oAQ0E8~p!T^x; zKS%L_B)+OYeUJ5;&<6cOJH=Jbl;Cpjcp_l@UbrMn1*e!ILjqX(c7K=(u_ysw+Hl5` zad##E0G4>VR#VH<`Tqc!*ipS|eOJuAt@B;-JJ*ao?gR&L_m+Rz)cnDLrUG0bD;E9t z1LEA|4rxkR{&C%-a)%Q!B8@Q03y$Yy^D&#dZydJ~wh;Y>S1<1|HA|qu_+fx71-#%& z0zDF#sJe!?2AYMl`N7ruK0!mIfp^H*nw7*gRwH}E(*Dv)ASyPdPQ0>OX*vyhiG(!F z)}|z*>t}HhVBSD!!v@ISIcsweixhrq6@?1MQ1m_g{lN_q4g9Hr5=x~x3EToSKU4x= z<$c8VpwISwceu90NMG>(0NeysL?3}c_~sh9wxupJ0zemc&0{qZlbr4guwUXfHqb_$ z8^veje?e)7-sF_C^1&#U=tOXeWd$Kpjc&Io-`ayA^&|Y?lm06akS9>!UZE=;8cs|_ z3J3Ddq*21JL>UM)WNAQmk?dv&T?u%Q=*S~>f}m7r(k3R#ril?fjVl$%@Lse<6eymV zh6tc+PdbQs<|fpx&+zY%`Ra320J%z$Bc8x10wR&o$e8OiI zsYMHF+3oDd5YN;~hz{37ym|5Uu-i>{UvprQwVV0IH=^=|er4#o(9;5qc#3xJ0Lia@ zH1$J0AC_nbNrDOfANGSbOB-#rD4MmYtS}UYM8!d&3Mc5fdBSKPNvQ|BrfF$PyQ$!g z8evHlgM#oVuUu(g*SKNoK)u9>_RbTrfYjzK?MY{CQEyWVK}xzI$F3geC`rCu@?~4r z06OQmpa^R{5cnI8%m}uKTd3?HVWM`u1F|h5p2>?Qvol>Ufuv?k+PadJ8am_V8_}5) z5L6IH;`xIF*%9BQndpu@^P54l%>b0{3c)!XT|pcf6Ez7+kFmTju0QV_rHziv+eodq z2zatJSFKvWnRe+E#YzB04%cgD@iGcLYq3Ah1(=IiRHsjuBx?Nv-i0xOflzsfFcR=) zqdCZ2OImmRa~TtWQsLvxWu&DEc}@Q7CoaKE1Q8%CkK74m5Sy@`V7qb>xxyO3GZi*r zu+r#tDnK9$TaN`7qQVPMcM;xs`RXmxST}sbNZ15K;^RF_8WIW(mHjE3JL4;27UFjk z0H=}Aec-1iuzI^A6vb?Th7HNJYbLz|H2!15!XgZx!fOG8K*kR-sd^%Pj#YyA@D_WE zVCA4_i`|v^gOQymC@11z8e7odu8OI)j3t4zhjTU+5Y={N8?r`10KWeKc~{_QPf+F{ z{ZNg?TOpv=`ODx83xohW^yUEvjR}wG>5Z?Rs=i5)qbO=}VmEw8*6RXnimiEdIE@>5 zjeco3ecC^ZgO4lJfdjh~!bPXCB9Un9Oib1Xq$?@c>49SabOUtexreu$xC^&Tz^aIz zmJgpfk6LjDQ0#P%$%FL1hZ91^y56;i*aSPwCA13|9bdA4v%w;`$pVpH=wo?bwYKsI zue!yBXocc8?*9N8zUoeyS4-eYmax(SaN~c3Dip^IN~%3Kv-CwI#DT~JgAos*%xUHn zstDKjddN1EU;7zcrZT7sZWLusQt8;4b}u90kmK5iy+_FU+|h<1HoCt}zh~u}$4mm0 z7NfVl$LZC6(~K?IZF?=!NqXA!H_UxP5j`Nc}D66nSS8&3{O_+KH_kr1T7!S_XVNr2mJo= znHV&N>;B@5PIer)Ho=c_Yn0glALthiue`i`?jH(60pm=82Ce6_| zycF#U_b4?Zb(@ToN`4>F?j)tCgy0&3c2DOOn`Ww!4hBOtS3ix#eqd%?tVP)TS6jm1 z00e+aKb^z|bn73s`2m$D%dW2YDhvFx?AUZhJ6} z60EU`aGDSY4{K;G?#CH3(!OFbI%U6slv$&t2O~jUHy?@sz{nIaEG5&tH&bbbtms}H zKI2+TfDrJU5f+9_8RB|(%i1o7H01Zd1}ZP$#i_~eMM?BDTdrjcisOK);ulO82;l;h z!v-ZD;t-@UU%c49Pnb$>zyNlu-^`&}8lw64cpWkZ%{^8+z=pPtzTYt;QCkR9`bWRc zaC}e*i4JoUF4|Z(-Qp^WvSUW0g@n~eT7KtPjSKl7&)f(hN;~by)2IXg0PBCo4uHgk zzi>=N*~hrGZVRF*6Z?J2X0%0g4Y&6S(*FR;g87YDSe>sqsAh|%d zRxw1y=MoWb)k8p-f~i`7JpoBIybZK4;C}*U?cp7a5j(RKE1F|4HFvjJ1>r13*`h?( z+1$QjHMZn@G9VbHa)^F#KY9MUO!MSb#@<2ISG~fRKOb5WD2PsXT`J1XwQ=$OHPy2)8 zZCs|J8MF&GiAh&fgCUq&0Or)7k48wMoI5bJ`)sS%d+XtXH6)!${NMyha39<2W*-#- zE8rfi;f$Dwj%h*5omO8G9{&I;Cz&FMYJO-KA+P5QL!DFF=!(*)gN!WfR)MpM5CS&f zPBJG)7r;*@ZK@_5nN>~qIZPgI56OtYBS-`$6A1OX6Yoy2i9DehQTiAJ17S!oeiml4 zEAZLKLQ5Ltf=0J$x1+R*DRnsZ*+giY#|uF%)9A zTpi*paONvYIx`S;2*68&V92TIh{Opo14l4Ze^!?h(@L{^!%7GA;bOJf*{3*2Wjq3& zH*4GyagtsTdjMKM!+H$SmNym8W@z4PXdadoj?5Qx&~~AbW}S4$)y!0|6TvC`da%#yS9`z*e*l6-m>{n{&mrET^92 z%o}-+{{S&k=;(eixh_gLYZ8SI+!M6u^AO)Iqg5`}P+BM?EexvNDf);xuC zuDm+A3Lc~$jGD;cr%b5cllj67uc4T6^fVk;_-pLzLEztT%mUyNA?`6k42g$As3Y?n z;4K;uWEvab5eo8 zAX3MoM^D@NS<5VV%9E4cJWy**DS-F=dw%2uLWWVeIxr>Gn0L`~ipNm}?AA{yF*?4B z5O%@9Q?B{DJO@o68NAn#Y!jb@`7Tx(3cLUjZ+*x7c?5v95-@sX*3pIs2tfR3#xm>P z&_MG-^Ay1~6GR|Xzq>K5YJR((!=K|7+m()BK#m&V{{V+#@vE?J3?8S47$WeaSi z<~8n=Q<|+1RQ~3(UEpFGc5%aJAR#%hF-*EZns)Rz{p5h6K7;*XWCT4N&=teF%BHc1 z*j&=YD}qX;6%`&}_p#wQZAn1$86G7XK|yHK?h_UiGzZL3_W*}Vj@TvraZo0tvnB*M zgQw-ioWhX-3c@}V*@tu_wHk7TRES}T7QNx3jfw`ZaUT!+dw`Z`>U7{>$aoF`;;_zH z{i=_o9B-cDm?rQ^B@|E!8o`nAh#gdFjY9)nWjX}@{{S%r>RoFD)d#Ifjcq|duPg2c z^}jv*=DuM5jJhA#clRO=3b|@l;h)wWt5@!z#H;H{;-*Gk54h>qURF1l02!l(3X}oi zJjHEeuMf=FRVWKx`>YVaI3~;>1%P)50BLkd_w#@25P)n@1FF7fs-Oo#DWLvghzCSq zrH<5W-cl0Ew}+SwDcOi|@*`Y>Uh8e*peQUFjxcYdqY#3OCNp>orU;Qn+}!D?BI@vm zI&r=+Mv)O(so|Ubr>S%eJvD*^BWQKNVvECqK}Uk*W<4}XY3BEV+?=gI>cIsZ%@`=C z04Ft+&vgI*B%)m>vjlD>^kkJ@X4|*GuV5WLG4Kw^`Y3U?`pGWOGdihlIt#461!5&z=td5j{xOn8il+`>%r%i6|S$qQ8 zt}&ZhJHinG!cnkEMk#Uoy!S8-f{=J&8TnNDla&EPCYy`|lCivjLqqT}5B0nesPKKj zQS#=+K)_Y?hGLD{?L}DokMTgD>gqI0t<0@sDs3P7#C02bokqhYuCULF05T=e-|Lvc zm?YeQdA0s-a>Y+BO8YR{mrTOVFGp=;B%(bmym~6-wNOLQo2n$BY2{0Ar zI9Gba%+NS(tDB=Yj{x-w@{0gOJ=SfGxanA8CA%m2DO_lzh)@1!o^q&nZ>#{&vA)Bt z3bEsn^_OQM$?#Cu<^miHZ|c~^B{Am9PlqwjgA8k~K225brStx?m3g!Pv~2yz-Qo?P z0<0j`BPNTT1%Q){Z2B26_SWeDr(D4K#E7P$Evb7PfpsKpd92ZgY#Tr0L`K(6(#>8K^qd0JJ zpaLh{I+U)eJi&Y#&^w37xK)McNooH84jBOsk>|!mZF$ zwAjM`0C@iZhqWTe2555)DJh|_X&V-`+!z_4%0NYr=-iQgRs@n`zg32F99TXLmw(AK zZi%9y_v;+j8I6E$28N>!;$e_Ns>-69aGqje!mFqO=zf^=gK>2Q#vq3UoLzS1P#}Pn zRQ~`|7nXjR4FGz~(u!M`i6H{dQsA5Bz~vBVP}7#zC?$XmyJ~+K!m8w2&WqIZHqsV> zIN2?iPBXS07D(_P9W{lPvW&M^U)&uhF~HI^G-LA|aCs41`f=qdTJMK}p^U7D(a>~6 z8=IJ-%hdI&ujd}~d$xa*2S!wyuqMcuOwMa!>p#3@(xhrS39C{VhoL~#ZP+N=mJ z748=VSAqF-{ZfLa6dDRiU3nXt-i0pnr8##VRlY>2qdGIM2*Z$;%ZkLT~ zjGb?0J(Hg@id;u`1>vSx5hJjxwmEhPN?ldB+;ULZhbeoUD7pn(9_N@A8W7_>ffUzyzdM&Hx!Bft3n0MK%lo%AaFruBiE$f56!^zQITm@G9i zLk;jbKt>^cWl#xM@BaWX{biX<6&)O&;7V8lPlNXagDDLH>kg?1jmI{ANQ+{BoW2-L z9LIo^e=>kqd2~jGThu>h`00PdJVPaTDn zN*?UMP~vr>3Y(|i)3gk7UN6Ktv?LRbNg8N}Uixrq9ENuU?A`l^(@p&CU722nMIliM&NRnIrAdRdxEp zNDh5Am|GzyLj?H!^CFB9#?enWVCV?|3Aabj>kPJ7a@~wUWnLK7rq3a5>JeHykYelM zI&_MCGv~3?ASf=SMR6u_LfEMw!K6UV6y)@b>dSVV%k}YU0RH5ybFfs$*D%i_r_En9 z%x6F)2q?T+?y#f4;K+}ylL>i+VQRm*G4;U7C5F+kC1$d69K-E%2sNgzSJZw!O#n27 zF7k}keF*J=usS@(POCJ*&`3|GIq*W(*$YSO00K-3pf)7WZw$Tpggw&NQl8-!(kw$X z1LhH$>2Uq|mDJ$2j^dyNAQ{XEJcCxh{L3oV0|U+P6_f~qo*0ahIl5{6>+QljUajAl zThx`{mmZNQFR)!L-i967JwDn&A|8>BQzHE+lBFyZ)x%3nfW=m>E6w553uPe$iTz*} zYPG5q=^|o*p1~{vu0CYqb3H4V2J!rcGbG_z_!<$dYgnEQG(uf}+!(_2D0sNy)j~$Z zTW|!Ccp5Qh^MYb)(ONakFUZypw>m-~7yQ5TBW+MMfe0)`E)_y0ola< zhVhcCR5We}Z%Ch9jhWhCl0g=LG3hRQ097R8^8h}|DmRp`Wd4rF~-S6!^@1DU=xNQI~`zh zK+m~Taa;fzm}>y^Z<(t4S}K{00$C8TxQG?s?Wrgh8!(hpL_rv)ikn;vzE`FdVaOGD z9^x^UF<@m{fK6AqCkuETS?fWeGVYfm0uiJEJFVM|EFeY@8v(E`ml0bpNfs3>Jbc9k zK!FlOugiaOrYbcC<&DHNa{!9(WrA!rm+!d!O-bi)5IxS~s5BLN-_ws7Vzy&=DPJjp z%w5vDe-D#;jRcP?o7w_riM-SWInddKN1$SP!R$Mc#an1wg+Nm5L<*Xp+?N0-)QG(c z{o_-r0@ty4T#;KzR;NI&{KO`upiPM=Bo6ltp^m#^PkT93i!c5w%bz zU3nOe=zNc6zGT(*@{ga^9f;f_FpSo1JlIsCJ5VmK8T@+Y9)d7DdxcsNQRN{r8s-M% zpzu5^0UUKR?si%ss{{VoeGZ&a)KX6H%5B(!N@}d#^gB(je5Bs~ zO_APKY1pR6kKSTU2V6V<0Qtm|x2!(|ElRfv6NA=;R0o?DOs=QS(1Mr&X7g$&_eeE$ zb^F}c6K$4A95qnOa+GtFSt=S)W<69?(11x4(XCwVXYBBA&>6Vl(jGKM3Y0Y@U|zwt zMJaV!r7kr$)5Ye67=bSEX|^Si_H_pl#h6dy6c(Gnr7j||^@L57q`f9=c3qxAv!tjv zn>VH!D)4oD#B}1YVFOhJ*SI~;Fl_J|Ygf$B*fxNY6!H9JlE{-30KgUexSC#9$}B=5 zcXf-Gu8^JF4}HQQND2$}uP}wPodm7?f1E2KR*mXV`;Gys6OaDkprYB1jyM3095}+o zwcDVIz7+Ef0nA#JP$*PT#TzeIQ(A(cU^2yS)`-l~B)1sD4PH9X2Y2{uFAtsI{uswR z^lxJ;(4I2o<-DcmCQa1Z>mcP|Ne(sq?8p8k4FDIL#9$j{fyDl^LIR}9FoJGhpW_x> z$7ufm=0zadPn+%_VD(;RgX)6%!Pn{V3<{tU76d>hw8X-#Mbl2PGE5W>vxxK8H4*$_ z^Jyp)R~PW~9bBai$IRF@jsjY88w%Vz7Gj_UkspF!fS~O2Mz%_&aW=)7@OCZWWByiR zZR`S`N|4Wm1CjNaAQVgnyK``(u|FDn!85OZCPumgrIwk(@&&I;D!nO;Y}z$)E0=Z4AOpK3l9e7qUp*7Y0V8M zudnL~kU?Pq1}sn`ac4_~*moj4lyEu3eV~J2Q^W3Glv$lAT(sDXV1Y@321ib=Apuw> zBTbN(Ukd<3U&c30H`W0v9vjGanH~U$pa7eQU_Cj_1PKPmF?KNNm zy#v)buS8VT!2lYL<^aAJR22$Dw{&7qq_q~X^m>bV8P>D)Qd#=6rPxQhEjI`gg|Z7N;}?9O9JCbSpi4{F~dVxCXj0JTqBew!7;EZ zB_P4fI-}k@*ryoS-N3}o+FBLk&?XJ9mkSC@x8ydMON|4jQE?PUJu-qHTt1vwe;Luf z?J5EoS%{UTxD!iX&10yR3Y+y`JSdZ4}M7 zMKabzc228;ff_EA{&J?kL_HAi!}-FHASkFXc41@^1aJJ{VFBX=f_%puHF%8&q9OT> z*uDU>Kuo{D7-8W}V1x(yW2h|%pIH1GM=b+f$SGv?ouOT{8XJYY0>CJLiA_fK4|8Fpp$ZRH_7mSE%CZ@Ii9xBEbxK9w-5Y zU@I${aR)@-YyNRBG?XF)Q2lAGm=3e{b)nIM+&?)2saq!`0@;B>)dk@8I#OVn**S?- zbVu&-&=*O2Fr{grK;k}$e+hsn4p?m-{{VR~%Len_z-R@g^GHvk>am|8;6);@mX zcvfdas(}d=od{$n7ln499j>Y4JOjQf~GhQi6Tr5pJO64Xc&MuPoPjJhi zu=+GcAb+e(rsoUWQUa1(W+a<$*Bhh92YpTc4DaL90poGJ7wby&pqs*HkkR!if^|ER zJN0^r+udf5nA0iL_~0(Se8GiWR^Sb^_PWDB+K76j01o!xW~d^Srhs9r2B>?ALC`?g za&=C6GOJKP+UiUxLMb|nx`hMoXrX3<`ydXm>o_Xs1Vlf}icACwIYvbY2gk8=xAPdo z6&Ap$wt@jMLVXYH0EKlO<5ML%5rEitaYFs80Am&?Zgysh7y#s}4ko4ZD5D=#H``2; z%wVKR1*i?(zPMfJcBWP?`aHQfr~#|4LW0xz#$EK@G7Z~z-TRB+Lbi+~M1obAF;S}s z$u<#!6~R^@taLD{m=5=Z$+=a4tWE1*-X`XTL+8NRKH~HkS;paD%|X1&VKn}Ve-sfh z1=%ykZI!8F^6w$f7~q^bfTTII6X7A9?pTR7X@HBuf$!Zo{_OTeGQ6F zb90p0gCGHKZOxvx)F8%?D)|2Z7)$j|4#vqKqtK+sn#R~sR?-$?4%ov41MnC6!oAl6 zgrq7JbbZR|6@$VJfK6$*RjPv{6;DgJDPWgS3$J zEq`uPWNep~27z2FikMpjY^IT$fFOlZYhsNNQ>bER*Co^uU!tc;$Ud+*a0k2HHC-tFe1(k(@y8Ah#9mC#WTr>o50jv5S6o6 z0^$M2p4Y|GmrslhBoGzb_a-|q2PFa!M;7JH;|7NLRn>mwg<#})P)*uV^9>xpR7H3# z;aJvy92EW$9~!)c%2J+1@(lB9FgKrhCeeC9<4Bi4s$O|nwiMz`Vh6c+;77V5kiX{ zf2jcn1}5OCrb=X?$fR3foHGim#8DnK=xI70^ziBZeQKaXSJ5h!LIP?t?0R zrlBZ9nyo%BmdAgJ4acy{2;wD;^tMG>RMyP13L+M3}ApTJcZ;7#Hlv40%vkR3AS> z&1CKPw!=UGtKct~6kM&U6(Hd*vH9zYs67&it>cM&3b7jjwFFS!Cgv+r0F6sZ0cESi`g??=SFI*nx4_t(W)Rb3mM~8`IyBuoU%`oDdk;u-Bnw}dhNL8f zwo6i$F{T*?y(v@zl(KgWMff(3Y;Xt%R^rHnkS%8=ZvJE@8uA93f~2oZDhM2a14L*8 zH>m^9h=0Qb{{XI(fc6DE11l^fcF$Ng(`3TYF^-2FQ@)~Gn3JNYQoirN2rbSM#_5o% zF##Ge!CZM(s0M&uU?Dxlf>;T*bf5PkN~-Atq-L&M0VDUI(Ez zDXB1@6(IKk-{@s(!qV090~Jf)%uOvFSKGFGkR$6yGevl(EV zD&HYAsy7Md0Vt0`s6TQA+yJRq6>DZT=~Eer$|X&Q^Bn_V1ql&0_aBPl&RPl-L}>jFn7T8YQ1h$W{{T28S##mQhLH|e%)J1FNrhGugM_;S zMc*B&I@%cuAR+tcq!G~K;=!RJnWE6_Y{HW|E97Nx^LQ!{Tft4RfY;nUo}p*JI|okw z-ffFF!SI~pDS@W*KetpI(m z`@yU)He*kqC)|NJRLno^0@h+BzyefT#}M=h4eN3Voo{~t2h3!h7InM^i4P~ScrS( zFd*Ou2VZ9W^dgUja}y+TvSN5eMDo76#HEk-LvB>3T+} z6tGWI7tfYJqoLLP9^s_Os3)TV;#(skz<%k@dfKRu$iL@UnxT4SA_1jG_{MWO%EKGv za;-t&oSiSX3UxzL43Y=Io5ej1;OLMzuerR#a!DJl?rQ)K;3rc7lCE*G;hq<^6$^~hNBv*SzU1Asp zW-wRse5s97SZ}z02inc{(1Kx%dXDSS4tLo zV?yR|VG}G<3S!Ady|2qlbG9@T&;gKTNb%h7zXV z$ZzH)BS;{imMZ*khF%d$G;DpR=LZf25F*Mq{ox1+CC$hdOa}?oeSr~encpNSl@Gdh z;H?`0AZYU#il~)M%- zO9Ie2X)%bA?BbIN5gLh9RZ8(H5-^dWF7XB!EkPO}9pDE?U7`lhr0sc(hpS^xO=J0( zORdm&+ueg=H#-bRY)QmDTrMPRqW8uWT^@jS$w&ZbeZ)3IPp(1A4^^}I{{UQ`UTHU& z{syB)fjrvaP_fRVz%o~X=K z+8v#aAP50UL9k*E>mYerFZvvv%y6b}S}^ED>| zs)&0-tPY*VumQMgOghaeuLos~NE$RkX8K9f`M)Ty>o;hilqif$Quv+burFy9w8Rzn zVgk^*_$*R7aX~>6n=(i3$7!)1oz!I>Ig#k*&J7?wdrvX#mL<3o{IB(r<&Gp(pyR_8 zY9yXOMu_<2DO#_{9}R!U1XwbY3ZukAer3scPk2#N{P0DfUS*^q^Y^%k%0qhRh&0^O zlu&mCQce*TwoF4ai?Rhmx¥G^yl5LxT|><3dJ038a&2*K%uhw9xWE?9J}N?Ad9Y z1mE1$m~fP9z24970ElYqWO)nxWW0L%61{cqQJko~3-`FhKpGzk<A*GxVE{Ax!5eW)A+aU+#v6k8y=#V76dE_gg+mk!r$e>`71>X z#sx8QkKq6Y6BodOu9W@;)%C(UayokCKv9p((1_?{Yo!%5U}yn^LJe>AlWxcU%F1%u zHfE-v%BIvvZ~)PRA&hKTq#u_RhCYHHbiZZRHQ%IqRTc^yHJ%*8CmIGTY5o|-jY+`} z1rN;kSVt~Mta`pbxWyz+qNc9rZi`QDIIP$E})-kgMGwKl)%4Vuj`GtP!F?r@rxzzCwm*AU7q1P z&))!r$3O^g1lDp88=|21SOKB%MJZ5zwY;Fz($BfQ85LBs5Zg>vktKSRdM~)bsZ7Aj z0wb(u4K{+6!VeV_N^F7D&1)3^BGlXe02v^LPO{qjulba0nF{baJ|19b&2#Xy4U^(v zMiDz$@db$<(>+m=e(IX75yK<4IsW;_3HzJgjnW;}hxLpTrO+y4{>Aq;4q)7iM1Z0TiENlfg(~Pja-iO72@sThNrTXM zAcQoa&3wS{9l-`Un1;m8IJKQ<0EDiF`*1+NqT-nKbkJ4Bh~?J+fVqI|tz57GFgSjn zxxA+9^VO)DZ+yp0-k>JPQwVPq)4U~!tnKDCAb{{84GOe?JIfuWye*wyOk=d)9D$-B z-^{WiAc&-f>T4)GBK5M-y@3-bD$Ot+&_3hb9EBSe--8oMHrNp552e9_q~b8NC*Z!| zMO)?p-Km(GWVNFBqtZg(_V8^C>%cle5sP z{o=kK6rKnJ)2^^WC+()7{{VQcq)-e&ANFHELZM`B6wcgh>J|pQCvca zj20aqxYc&STiCjD#DB4QIG=7z;;(ZV%S+DLEoEEE##H zapdVzGSN#F7GfzwTV|Wg?Q?9P7R~7X z@)798fiBqF0ru%Ja^sFHR3oBmO zHGd9kEEG{kSHQVuXarWIm0cM}3^#5uONvrf*E!OEDKO0Sv|o_-m9A`!xFr6}5N@H=^vAeT#Vu`UT1Y#xZ4%8$uz+F#>QPw4eiVZ#gaD-4%Xgx;9gNtfW5olq3qQO9I0vU< zD>%#Cx3N~?5Za*+Q!A!AUQa31)WcqwS~;HDHLpw##g1jLvuzq)=R`vNF}lP39%FBe zl?^He=DC%I|_71|V>Z*;>%Xu>Of>lnLFgbV)waQEWyw19qT_uLiQ@Sdlj^W}*aCEJXQ zh(BEzLBPD2bAhg5rF;%xjxrR8;-UUSBMJZkPgfkgLP5=o*yy`(;3W(rvk;nut+{uv z?H-4!PE4V%ZVqo#T`jnl+Dz~Tf%ws3!((l(6>gT!C9W6HJngKJc8^vVlibq12IsXk_I${G_bsVGSHD|~#5!dWQSzvau0^umn~?#n2=>gSL(_he z0u5b;WgspQx)Ddxyv8Y=11jA^ar2p78=~SUUi@=;rH^|hbOHWl)(EsEd8R!Ch@HeD z!3_Pd65g1kMx*e$JehoIg<_N1uJ9m;kd-j~Lg)|kiZeu^>6ISP4qe<}U=;0&pU3Vg zRa%zsl%#G1JBp^gcB?bshAFC&a8Nu%vZk&zc3vMMj;9gk%=DXi6`)^nSt}L)xLZxIU-(Z=zcRyZtxs%3S>NjS?m zDkqE5U^Fhow(CbnZnBC5{cf=VMA6)5gw0wd*z^xIg7Mkz%3x&BKKAOgO9fB#C=u8Y z&7EWPDFF2cV(p&ea$VBa2Bp^=48lZy)J$G}jx&$mbd9*Lm?pb;S zVJint1RnE0uU#MoM;O-ZF!(qC5C}u}B#{c!Q*&Dfo0wPTY>*VnzCO2j$!$p?B^{x% zrOr%pwRtr|SK*E?@ycx$-l3^TOzLFA9aS=cFIpiyJq);>%GDeO& zFb)AF2S2U5mqh{tNlx5hcSmUGUysaMlKu;EQLK-?n6|W|NZMvYXp}C~B-Ox{3ES9(SY$Jlr7A2AA2Xcuw3b>< z!-69f(1t$M;~>3K4RaqTE!WFnlz`haPuy4KadaN^!Z`YBn#KXNV)ZP6jrS4eqIKky?6ZNe6tZ$v<0JePcSrCHt|3**mg3(4JyA!wg;h`ju|uM&~mtvp#YVF zY5AJzwA=#2v`^en@5;tE1#h90*;dNhiV-?*E&fc1M3K09dxH=(lJz@gZwt%x0W>6^ ziHV`^h_?`}I}o^xo=7@~1077|jJ*nD1H-=3$=qIpcF-FFr_69>PYOP zr+C53mL>s;-qYTNjjhvLalU7zi6kL^m!y1m^n`w_rI z&D>mRIN%UQstT!>Y(Wf&}#tarEn+FYnBVG^d5C#!P(Nnse$7IvG z73h;*Zz>3$oofz^XM?PiqJdtIOqsMXMSqY67>A>xI9?C=#GsP;9%Cb0g~O__XAxNW zND>LG)J@1S6elKuY?fl$o3&vO!vHh^g}5I%SmE3N{#Yp-@-N53+c$|5^j$uNPW0gZ z*;6#fkp=qJ0My@1ovm6Or#PN6z&S^3BcT3aWWXGbAwx%oLf-rVtbZr>f(-|IZ~e&8 z#Y&my_k!U`6W@2dA>=93%dh_caOZ(FtvdtmJ^1qI==T8~u17plOCQK)>5@qsfdOsW z7)&qc6RW}An|^-hN*@~#V{2I78tl82Cv>~+EyzC9=_7%?qw^C1=UC2z0ExxT4qR5M zgZ|-x?wX0*EV088!@yc~ChVy^-UK88ZjTE$Yxk_&Q(h1&jXvW*^z~58 z4UHzuuQy(T7@`++%4iU{PRjwaceradF+e7V>T5f;TuRsok6?9jK{HgN=tOtHFmOb0 z(K~#nTjn1W2Pc+PdpKO3C;=9}m(RG7EY&=G^CK&TA}Xz~8*VeOEX>z!62cJaZi7Mw z+AM!lbZ96;BcO57#`fno71HkUJX5gF*>t@Bua~#*?au^H4C8zTlTkY(Cx%x-2=e3EMz3 zswx=R!P>M^k)22~;P;R8`q0RGc4FnqsB1w2K6{-iG6>PsBwk#vliey3eRuO1>P!K< zZ>DGniMIp4=N{D18Zd#)Ei#Hha#LR&tRG_E@lXS5NCV7x#J}h95FR?hB(*lN9Vq*S zOc2om>D}Q-cN{_=!xCFgzduZX%RB>3i4pmYvTcM9n1l=qk6!JDj0CYf7UW+<19W^f z+|o}&xY;d_u-+;{Ak=^Su|r4!ra08P)@VrCF_Jk;TA+N6EgRt?LO&M%jyeV#qsp{C z;CkTd)0>wsC-B%wRbqk?5=9X%GGL?`&ccq;uiSx0Yz$qR$tmGTI%{}#N!>{mtzaa$ zj>9xDW{6>*qr`STXRfmI5K1FaAZ^3D2J_;Gb1V{T8^Ci1s4tBQTtGJv5QIIB-@_i@ zM;BE23`jFsx^Y=5J7b;32#j5T-Eh=MRvmmlat_BPj5yYu-BEUbIZv^rF>6G?)e6Ji zW97pj8|~g$S(VP`m`n!31+7!_Sq|++k$^)eMEu752n2>`D6$Pbz^*!oB1%V&rVILi zI4O%n;KxO?iKuRS%yN#yMT{rne?H;i!A`^_1us71 z8Gv+Y)ORu;o5nt@B-5fu16|vUg|h*5fX2>_CO6o&-w=1c;A~|Li3QsNZ)SdCl-77w z+e3zkPgEMU1RTP>8SuIQi$S@GeZ`|ur$9m}xq%r4;99Y`gq_EX?@|UN84Z6+jMnHv zp{%YL#%ehbgjj|@^hUCyCpiuGABb9$1gSj=+GVz;4$uLguTvo<1t20s48L7DZ^o+} zwX83|xI{o5vx1Nt@3RE*^ZVGG;(&KCeLhoO8wH|l)(hFNGk#~OmDgb)54QLIGJXt3 zxvAYR?kWJ}%Fr}Jc%+!X^h5?G(Qyb@04$sc7{22s_7Tt-SAE%uX~$P)F^itJ&#~Le2ck(AOj2I~FnCOCm^>TnG>og3Lq#+VlSam`*8O9Mdw@ zdPcW5aGPdSfXNK23?NOm0_CBEX4a7NqZ>PY67oz~5RfE&UX#UJwSVCz*K^(h5JxtO4f%UGEY) z3q$F=L1Ti=Pqz)S6J|fd_qbt{L2wVZAmWJ{;j6E>C8CfzIO>~A=Xg%zaf$up#03RI z`1oTTF-Aa7`+#gZ3q#WzD8;Q7y_7g4W!W|9;1p14f$(ygZrPXW=CC5w+XaCC0G3b^ z>sQb37C;`I6gGYC0&XY5DE|OB0Ya=S{l9WRh@)aRr_N;&pe;W)$1DaNK#E`stsgQb zt_YiT6KOL^qr#Wk^X4N6o|v0_`IX*>@Gw0803Nu@H7+jMQT~Px_fzMRRi8+3J^ak< zL{bK}`-TB(h*rSCHFVxjD&r~Q3#?MOrePMsMPQv5oxuyEwSiy=LFxy%Py*cZc@!27 z&v4V2O$vjXEVpa!8`wu3BWR0vho zRQDQkIz9s+H8woNdS7yyz=CWw3y}1R)LZ#NNL~v4y$`J2`a{a&;{{S(NzHcE6fISb37Pc7-cEKR(6DXcHlobRv z_XHKBbgY;Xh_zS<3fg`cTrUNX4FpuML3xhZ(Ix?F8ro~~7^Z3lC=7johjF}`>>rd= zuj3sH00MYZ>xN*EI4eN#WNDC#Sq|Kyu~&7mwWXBw)YG zIv(EO#A>;VTOxo(Tpln=*&{)NgG^?tbvB>9;x5zS;t1OfzTt~mI}5PDEZS_q{_?u$ z!D?SIfs6YCadeL5`mXN7Oqd?t;dQ3ixwhdT3y0nL3XdHGD~iKiW>^F0B|jJhut2hC zk^^`UqpC_`fK+FgqiNAs8>!@dNj*9y@fihR0j>mM4XQ`TTm~9 z_cW+}XN-3JylI6WU?M{RU}7)k5ma8N;DUptrL3T608UEhr$z23lYMs>MZ~&vD8gxG$6r z_ILLh?!3vN7yvHv#2Us%I2hk>toyv}Tp=_B$fSXQE}Pvpe8wx&6p4T!+M+P8UT$7e z6z~L0(Ht)*DIf}}`-sLeTtF@V0Ds;|g@H9Bc-(Fz5ki!;9f5cS%l(0&#ZJXX+|#F3 zJ5+_YpL0+^xr(0)%ZuaqU;^Mv$}lR_yC%M3Hbtuc0NhiKkmvFJ;5LQA(uF)jYMH5l z^L@0Pw>JuunF?31`-YR#a&3AB|wZ`W|o3VEcf-f zeE~gT0I$as=H?wxd3AFA2JAWnomt!>S}tNkn4*0R7w#7E1FgtU&846G5;=5|>;y>l<0+ z3`wkG(Iar!l)s5BVQBtR@ zCP=s86sPcK6?zGG$?q2t=#Qxi=+V$SZW(H={6Snkrc{zU!ae|K44`Nq;fM=FU$r%i zU67idf0Gde5g;S?cnBFf5?Nm2l7LMOIwwut;{O1rRXiIYCmiDl9p%MhwFpaGD}BQLt*m;B&?K)sSR)+xIG)t%uN**wXB70(eS-DaDj4W;GT3S9+7&t5OAIb z?>ipbB3uf9Lpn0HdU0s(C%KSIp@PDw9n7pFfCqJ3kn7RJ3G72&1~A4Q$hT>rz>|I# zPOP@*)QJ%5aH8ob;WZ{e;7y(AHcRb>^TeZjFa`>e?_obEW~lz9|_ z8wy@#+7!`&7Antjgp(8x)p_X?SyZY~7!jCsf;5h4BtxRI7qCt>F6UFu42eC`*esa40}XZp+L9phSoo@7(S` zS@!Nmez81NprxOfwG(un2P&^N1ui$^=B+{I5EHw}&;k`uC?fnfk20Ic7Yfr?Ls&+X z283g~&xzc;A3k8a)7-9tvXn1A^AWt*NS%KZAe5Q(O^K_Moi{c7EleqiYB?*6WeT;R zY3ScfN}^IPTHu7Z6)nxTF2y><8a5g?11zU+@jr}r80Ebh{_Qh*sBGvhKmjR9fE321 z91qWZaVR5l{u8o)S!%8i&zE`gC^W$YJQQd5f;b9fm7B8hFs#1wg_L$P18xDVh6(}$ zQR}&Ys?=Sv4NIGCAcO9VKAeXHA!3TCX}O_JO~SMZTK>2moI+JX-GUirVF*=kkKrgIgo&|kIBCah#ySVmy%Ekjv0B{YJgRhvB5anu>K}!x;>nU z4icvFx@k%tU}S8rIRj8hqi%vfm{F+EJviiKf%}4YlviU5X>50q7SWeYBUvfCF@NBr zp%j~PRW2a?d25hK>PI9xrhu9vON)g;PKHc$z2V^9;1^J8H{imTHOq)PLH_`OgHyxj z(yhWMPjK$M0hwVmfR=9~jSZkp0i8F6g0gfEaidY`lH%`!pwNd=d>Y)}`GVAqfFIY) z9XW$F>1f0Yg2zC0A*CNa^toDT1_svS#NCuIJe6uZtFPONR#MV?jkH+Z*SMP;VoOq+ z_!e&^ZGie`xWYcgaNu$aG%!~dsS(4zxhyh3bF_+6WH1w%aoFxw=?PP_%uhe2Qj1`b zt>VOXMcWA;YWtUe(BP`jI6TI>wX(H`QGCUs-6R;YG=(D(J5~PxK!aso!PYn`2-Pb& z!hcu+$6et60PZSO%mL5kO?!%F<2)odf>YN9MW!m3@+U>+GZ!&PtP1E`&0upIVw1eI ze8+J3euIbEHAe$4*vg`|P;h`$&|mw^fE!Nk*7Z!?Na=tk@0iM-fTT&VMxN^&BeC!x zkTED}O!t`#SB2*BU*wnpU_h;4z7E8@a5VzN*|O)6M?wY-#jTci2Nu5S&^7(`mw>JU9W%$K?NTRk7T3ZRVYn^i^FaTj~nh7*$Uqu+yoUIL*{NR0|q1=1Iz}b zc&m$`4Zf}wn}ND)W-WthtCN|FfB*g}bYQwvnY9OwaBbOElX(sTia$;1)>fyQzoPQy`Rm&q zY=6dUM)aVD(fnl=3E+l>60Wh~g?}Ux5gT7uD8XJtv+_SfZamW?%}8vUQNuz9)^x9{ zH{2q2EJ|f|+kxD2GCL>|o{f}p;VIbCA3rK1d2PRA;Xdj87_#DeEyjQ;KUt`ZE!~zf z4L5m^1F?45)x+q-GNR?sIUW#jJXa{64;k9p_?*YgDqUVMIyM`LR)U_R;k=(zjT?cg ze|@lp6bOyMvUfKyT5j@ciqV zyO!H})!$!SVdAZoZVE^wFn*4TRsaz-S+`iIFOp3P2L=WT=8@(hp?61dN*1%Htsw(& z9KQLG*kMNRHG=QMB)~$7{fr}$9M^#qA_R@Y^JxD#d3aUnN)T@x5=)}7AS_|cF=P|} z0Py$#!@EqHez~@h><`S+heUUPHkvE;jE0V<%o@==BL(WutOUKWp(%NA3mQ<>J_cV7 zDMX^%VXzp}$$|77;zX7Q%y!&on_@~S=jK@2Odi=^@%Co_0RHXaHW4J49q@)=S){GP zo0S@J7MkDe!-+xm!>u6&5bGb@F0|Y9jc+Gzs8mgD+F*u~#8>z`o4Z7T2?5}8D=XUl zFs;sMFp$bXRDYM;kZo8S&KAl=bYk=}+53z^y$k(6jDYqGGjJmU;PH@D_5@b(^Ea0B z+eE#GpKMttkC*b+w#T~5O#^!*t^;4g$Tv5$cdb2WNx_fC2b=;J!Js|rkCZUo*K{4Ute z7&H(k_k^;+KMw(JL>yxV00nK>g_1Ri24Nz{%K{AqJC=X$Fo_LS*eJsj;0EFl6jvwB z&KD9$gfi)@HF?Fg7!d*kRCk61!1#iANFcfIh+J*Z8cFc^i4JuI3ZO!WlbB@?PKdgm$C;0+kF@`DHP zV&;tzgZ<*6W4j;v;-op{Dg5szqYI#i<Ij|lE=kOuu*+@SSDY%<~V zpo|w@54bi8(2{I)UmV^H{{W}E3}A@b;iNRi&;dXA$AtKUtM$$={ly#l4DCYg4>Efq zLJBCI*yOGGtp1(anj++BBq=bOZ}Sq+A{sY>pdVkEvjQChE{xj!&7uxkwYi!NvrG31 z(hE^DcnOgTqgFv3yMyF-n$0kwBEst{nAo0%hVXm!d`5-@U7N;>i0OWfp{+l1x+9cn z>=CwWSUvs>=^`(L67MdXKvgd7K)W@}4IwgEZ&6Y^o8CsZe*q|3el;79qy!!hSd9$} zS|8uJp!4d)RVqP-&oMC;g%)~8u+rhtxG<(BEK~w}hCAkGg=Qe22CxHL4d2oxwF!P^ ztRhfBtVlz0=HMw~b|%5IcoS+8e%K^2?+CFlw4QIw-IgGS7f`i~h<4n5=F3TS{H%m;WSYT6 z8OK5;Vuj|*gW55=goFj!dgo(KH%{;Cd!Fy(Okz76Q^l%wpXOxWxS>$^wm-v;5I7G5 zL{)!rr2r_YQaX+x2IgZ%!CG7x#%Nam0E~dF6}ES7%%gzRU;hBMq`=M8C`u3b!i8nI zb-VM^7En5UM}T&ZFiCQ54nd2oH0e6}Gp(`XGDht|^qp7q!cH(w=pHL5w3!j=A457_h$G~_hHAH#dsU+7k0 zQY11B=e%hve|}?)d(z%aZ9L<_JHU5SSM&b><_ZcinXcOxlbn3I$e?4^tC943<9ID5 zYy;HDzBr6PZyG1~$KgJ$DJWq?Lhk{1%<_P`fa_tyv>9Xqx-WBYmBPqKYF4}y^<~CJ z^GJ2N>Zb=?A>91b|9rhZ>n&dim7dWt!Xydtb0{bvGf%17?#~$4}ZpF z%^2!hX?D7ZR!!#c!A#4*Ku(GGHJ2h8HHie87%q_!5ZTtbhYcoaNh8<#!x8|MW(W(Y zOlJyJgFqKR6Bb?~K{a$&@yr$H7-U!;5c1`aW%E%9Ej#_ec?FT0j>8!F6R^sBZmTBS#~N}HUN(yH>N%}#g#g~sqwn*LD_l_6kAJLGr!MeR`}ZOGElay_ z{Ksb=o0>o84V_*806dD43#G~)AxZHB98(za94K9w0g7Tp^c~X?bUw^F!y1mDU7byn z6m9|2Cc>NzW-tIQyl){9sK)?l3h!ISEW2;>#;Otq&)Mnx;^q&Sj!)+cU_0Y~vkxNr z>a0#9K4L``&HKmr#3Q&J!-Db!DyyIk*A%37#HO@S9FA+styS1TZ8wWk{aypu#1Pql z>>B5INa%0o4Z;_aEd=}z+#@AeLXIHx&hJ=9rb)pCfRuw7lV_Z%3JwD{_0zMk!MSUuhtU zQBl!Kwsh4b}`S=0H@JBZ1?=-%NFPs^3ukgZj`0bkRI zq0Bg;@gw+P(KBG%8IWuyhdczSDH4auUF!>!^L}YpS%}Gn0i(wqvIm9T;DDJ(Y#dxk zf{$D#ok@Sj8m=v)0F?)@Q{3X7GIT~;Vf%rkK7T+6UgAUOrx)sGgs+Z3q9U8y5CAON z%xwXGII6=Z2C9z59^<{ROu_`p)e$kJGh!_abot<5CK3)l{H`OLaYR*$615AoYQ;I| zB7lp;ytsQi1by@m7xxe(>KY)4i$APA*@J~6#-za06W1824ef3&U7bD@1TB<5uCS)W zVkYZILGJR^`xKy;)!tq*-@J?ZxC<#+M2r~seqsLNKN)AgxPr5)upkr{PH(#2wI;d& z$2d-q5YTsRP&{2SAWWQxF|NzjeP23P;dY{{`0Y>XtVv}MjjBGt_bpUb4xtv z>rpgHZdyDP;`{{@d{zpgkRPthL(V z2sPoxtM?l_)2YUG(5ZO|l}Gj6q!)?KixYQT55 zGDC?%JwXW36quD(K_F^RF0c%Dvdz;+@%w=cjZkTzk(7mMU$TP1i1EZ!LdR4>Ka8H6 zADn4N`|cxRk?3K?n0~dmZnmpLi=4$G{m4vm$8W>s#MDy+#R--M~_`*_=qRvwZBJ9=1Gg54$SU^K_9vxrI zs0|mS1;T1bK;o2w-8czu)f~#o1pAVj8n|h|G$?H`)2`CNUQH2Ogt)HekPu}Pm5O%) zmNj%iIFGq(GjJ=ti$hM}8*&HJ?Ukh}t~fO)sU>D?%J(%^MB^bdju(B(&?hPpOR6Xi4mYvHo;wp1EIxoqlEnfg=Vhj>yaYc zfe|xGB1Ij{8`suq>t!kI-uOg*0o_ z5RD2V^L}8&s_Yjx{oyi0HmB+C5CCO?O-qAZc*GCkL%6XSAPV1~=OMj&IsX8-8J=;s{l?LkWPkgW zObV>O?ivk<@#>B>MCE+=2qm&B@3^=dHy8f#;2+Vx5bFlSjip@#bi)+b95cVN@isMh zGUZbegfjd5Vim{|=(dt6_qZM<#Z3i8SnpF8a#Rqvp`}PE99*qxuRqpHy;Xn|iO6&F zl|7t_P=Oj8dSP4IyA>VUhABjsbe7NbX0p`)tH1DOmTkp2pZwStj@=S>cpafWduNu@ zy)H53p!)<11;EDw^P8t&ZmX8%e>K(kbHIw%{4P!!F=liclwukiw;Dmd2T|s0AuSkx zddfz!VLQ`~3KtF|^Ra$25JF#=NUDnN;7m;q>*qdV@+L^SMw$)(05~VGN~d5=G4T|0 zQ*%;wm#&Bvea{drPRnM2waN-Y^?;XArt^ObfiA$2ABH~{{i#W4%OBh)#wf!`h~Tz? znngWh2Pi~*`-o-&Z~?U)oL`kx5W;ZcUgbRvsi0$Ylf0G57jN^5Qg@w@n41T9?G~NO$J=S08qEg>}phh zkYQ{2nJlo-lf=XU{{TJ<`yS3Y~Q< z@d5sG?9u&s4`#p4LMqUCeq|^G^-csiCM2T68XCz^FrE#9fRTgWO?MHDheWap2}YcS zzaR#M;~Mi75X6N&g4w+|wQvDC3aukQad??`)#zPozcS(PKYBbUx+|-km^q>+nc0Q_ zTK>G5KI^LPjbI|l9IK@>_vT=jerG+gPA&)wB|*8D+`B5_5*cY647kDWa{v&)hh9 zXPF?3RCh60Z0pEYijwG%A}(~1lGK8h~OHe5NPdii-AQbuVlMZF6SG9WFmC5_X)?lA&e1Dw{XCT zVBn)m7Eg-porAcR^CT8r{$IoE(^!LWwyga=tbYS zUwJ03$P(47fa4TB>taBC8A#~J`;sk0j<+yV#x8*OxxR=L!<3?WFg*q;cV`z)de{^5 z?K44x!hZsLgh~shm+$>#xF}GVH{{VK1Ft|s;%rJE20xjOv#J3G&~9-IQ#{b`Vh{n$JA$U73Q;rAeaY$<0^wEg;~ZBE4A?yr`NJz0 z3M8HJ$5GD2kaz-jSYW{$@21`3q7Y&`mEt!WNJ|AW$^QWRkh4)wLbJo}c}1Y%Cz6QS zfrzERfJ_nf^I++DR(S_34pUU{=acQ7V zCR!ajg}~@`k^V9Zs7C>G1BYY_v(L;+y(sM_C|gM}Q!zA`D%toriZduuQ~3t-7C&TA z>5}XXWmxCv*aB2)^7kE;m}{XZ$bgzK7`Mc$2HjBn$ei6n1W>778B_IVT3k!psK_W_ zX)R_72c=WcJBlg%Z za=;$GlHFh?lM#k%@(_B1XUu49G-?V$lSnu^OM0rY2FL^ngb*yu{m}maW+qp!K&pxr z154bp2TKqT)|t1>bm!#!yja#F4mLh09X9tn<<}gbfix9|6uV z_p+?bB7i}>P9j0ERSIoQZy)kJMBoZ^4KiysH!g=VD85>)nP0dQ4?-q^$Hn8GY!J{V8t?z;4xtxt`oU=IDE#bDS(#1QD>QW zu*+N1^->DjkW*X0VqmV&fdHoG?kAZ7K+~`CAKckeaC3U1mVOTLi$xoS;!w$_Ai{tn zte}`+34^Jj-4Tfebro@e7P`U*Y*1tZhhRT3Y$47#ah-3kxB_kJ$Rxap<;4##dB9C_ zKhE-EP3uO*(ir@~YzPEs3~WFEAI1ff2-@wgoxgFCIGxCV1|TAAK`@haM$_{dK!P&0 z8rCPbPZ2eLyaA=cSTI@3?gA;k>S$7;_+qhrWK@e3h7xz!>@W@4u4UsKBM)O%{$wLZ zwgr{20ua_cZNx$%Q)ZWE=0SzykXoVGjT*=}Ci{2y4{YOP0fhd6W;9F~FF??EF>_~J zy4Q!=a1_JINNE2${ zS!#0>VUC8XTqWsf6?8&2YgEcxbxfcxlJ55k4nteS!4R7;C6ofFdq8)#K{Vj?YEKv3TyH}@^_`A{erW9wSUHXNX_8^C^Q6!6H0OUGELR=7Ux6Qu-Myk{QMHI6p9e zM7AI>yJkYQCSCtU<+MY6oy(B1kW#7fbv&Zax6wkS;8%h6779bwaD7<`cEo zK(V~|E_+jeqII9}(|}6j6DSb}t~|V_!Y~s1JRlXw%@K)@m( z=j6poqo(U^f6T;`19~s@mu3&-8hThq%Y=#ykqJiY>&!~S>P=`gPd?!`Q+iqtcjWEL z%xa)E7eU1CQ1u(uX;V?y@ig}o!s=0QJbl7^V6y>g!bQeikvO3_X-=BX;9t^fAY#jb zBBDTnhK%u1npcJkz0BXNg6Yx=sA~?kxG{x>!3X1Tyi{IPZue=L;wrlJ7m4lynJ79Y zzt&ikMRiVK@yGZHl1QyJ>2*m0E+(!w|7r2|j(t%|Uf&)M%-OPbq8T z)WJvfkLy5OUZDvz{{Sy?643^0wJ=Cx{{X*?z5{eJ`{M@?O(g;RcbiDG%CL?;WLg?} zM`qiKF#;@(&S~Z%5+m=u^~7~H?;6woFbU3pFYY17OO^S}HE=y2N*~;IN%;InOM6#b z$*PF0z;$(Ba`ZSkPt0wA;W#{T!Olp?txaF!C7(+r4VTb8#GzK++A%@Vqh4j1lmcMD zPyqtuO~G;VR9o4%2coe)(m@2j(~E@8#sw!tPjkk;it>t0e~gHEcpU&Qh}m+Nst5}z zDkL#m5H$|R$?Ff8VF{}XNTa&tA`1tA_*LE&d)kBzx1zmTV1A{X1V{jY`+dZ*PdE^p z9`&~3kdgbg>JklrcMkO?NfAR4&@hF>H``*0Ad~xb%d`z%y z`{4n=C4zLroF+ZwJ|wt>?ApD!`qcjL%7VoW?cHJF+ff9GQ)W=5MxFu#-4_7=04#CM zEba{V$glEe?UD@vauPB+T_02K3v%Yl2VJ2bF~Qsz>Uts&+5F<-v*zP!0-HON!)*45 z6lf9@xlMRkYnY`(ePfiAZ2;W0C(Hvh3mX9@5|IGj27y#hmeccf1>*hJ<^M zGPS$@AsW3LJLBs?!dkay%!<+NSe>vOOUX+O#+~HiV^Dg|~jl zah+OtS!0lk^@Ejz7YL#KM2V-k({(D1GMf*|;a2K`lnqQ!L%1TFFl+9_x=H3-5Y7-l zt0qZG&bc$@UXO?0WU5)2vqdiYNy<@JC?+O4Ku!O>dNr2zh0(`=dm2BCML3Od#@YMP=6QG6EHE;+QApo7- zC>_3H$cR7!k`$Bn10lKxu$@Fzd`+l4t;(E9$VGX0>A$ z!;J+%@-jUOlq%F32>!gojTMkbK!Q;_tSs5uSTtBY2o1^pHE5yi_N}LTiEOM5&|nJv zE)9bw6`qBEjB!k%0Gwz;$9RZ-O@+ndd!FKLe%28v!2uMxry!nctwB1sIqgzhD6)=HrIZ1ctlW{d8ecavrda3M~}CXel*BqO_U-`-Vwh ztkd#hIMT9s`^aXGc<6Jomz)Jbphey=F-yKzN8=Bq*tD`o#ya1J`Hr<#o9X5azY=?AH zS1nPo6J!2a<;ptO58^a5iu}m%T#Bk-uq;13!~C{+&6I%Xr%XsDtedxNO6^mbdc#v* z-~ke%#~tcAvj7sNL8WFW7P+ZRbmhKeb3odN1azW>hFi5NdzH~IznIVaNq|!a9TSc^ zTn(j*X5A)$-fOa#8&QK?c-?SPNMUp4$*l7XL5;#Jl!dB_V~dzd%~goHe++Gtc|9F= zQy$D<5Y2^0IssP?%7#w8(Kt15!d(&4VL5gtlM#-fZDKZkimQMfjppW{XnI3=2A5Hv zh$JZ%RsDiE)tV z3r$?%#=4}>0ccpOp=ja4ysyGSVIyW3YEjaq$tAAtv5M&=Wp0FufrY0|l1lXtV%2rH znS_&I5hkn$-eF3(nH3P7prmC%#k05s6QSkSU&e2H7E$fPEDh^`(uG617=z?nP_X@G z7zdN+dz^!K;h^Q~WrF!R;3zqyCV;->@7oHZd;-AuW8^SvrY3pmJB!=~oaRiZ5Mkax z6!(ItDOU+HKRn2yN=gpDEyoa{AyC4TeVfhxY>B7<1J2hZWMuBpw?zU&^Abu{jtDK_ zEUTn}+Z+BfbyXk~`WWPU4GFM%9M14u${0n_ZWP2a9>2kZ9tlH*>VIYc>4vef1Te*)c_gIsycpw850aLFA)f!mb{ax|iV_X_uJ;S=0q-)ocF%xENMr z5C;lCv;1KyLBv9BhE4s%&d#>6M098a9yMl%U_)MpS~mkij^;qb;IQ-q2kH+qqTn49 zW)K@R3>HwT%@zJJNBFx)QUGo&!r)0@S~LnF<-?bM!)bz`p?+j11cc4QuBqk5UICu} z07#G%k8@HvmSS237?Whc71K80H#WW)H7uY=c9pCgHHMDt6y~=9b?b_h&|~AeQ3kxT zCaUKW2)gVK?o^x=B}TFk1ii*v;{cQu@Y&`@Sl^aeK}}mxh9RVN>j|J7ZR2N0gINL5jkZ!1@!Aqzrs?W#3-waRaH%@$^T}R_CcAJDci1>3t zHZ;W}snY-h!+k0H$o!bqc&2YaD!99notbV?R@H2{zbMD@*${On(=1lku>e%4c*0Un z_Mp>6O6KPG$23wKLFug1#kyG^%CK#zIQ81QL+`u7Tn^V zBjRj&Wbg3Pcr2I^F7fY`U@<5%?e0vG1g~AeZ$d)MxA%A`8VRT_&@K@dqLDhlil&zZ zr-Fp+4W$pWHA)_e1Ezp0WXA5p839wWU#6}W*p;VZ5LF{kG9dn|vPcd54g$@Ew@I-M zmrFFvlG7Rz2z6Wv(lTjHWUuASPW+VT+fdiV-Wh6EM2HatiP4$89Xi$_5~$-J(niwJ zC0_J3fj-p+iA0iL8pOH)_zr+IKS99KBvjdARYd!nnQO6xFl=PHz_7YPHrEPm1q^%s z&BINMp(&SSZ*iK@AVD<1Y*6YMr^i@3o-7ko_On<OdHexPuF_!SxxxzhwIJkFf8a1W4$-i(@V%wWutqZ`M4qdX&$ za!abrsL|ojDq!@}^B{pRyf(W975+1Kv%~TEpi+Zw*4R-?0A>59HSnZ6(dcCxiMKKzf z)w3ATV`OaDQ@MNDUV~*-!L@OnSxCPb1F2IQEtiftKnI#Dg>y=gQMFpTW{e4jpWx$I z0?UK?_(ot+>69E!nJQ_tA)wyJSWvNxVomfx{{ZG1SMB@0GH{y0D`1{%r5N9aFwOEv zFQ&t?SGdCm;S-b=uckbAQGss_OemVxG1x2IQ+83@Oz-9t9o4tn54a_}iWKpILA~G- zn$d6+e1~<2Sl1)7I|4_W#W}EP0z>*gH;jOoO|w&3x4z?=RapEE`;tEQ)k*>jj*E-OOe%%e5*NC25GpEwh6B@U+)mBu%CU zF5Ui8tqK>WDfFwS*F3!hhFB?r5-bTN9{SFuPgv6c$R2a-XubA?9g7I&LgVbc!%ODtm z2B|GF0Qxw{9}ae!YXWm7pr-pFJ~^xN;x*|yqmW~uE_>$%2%~G8`79*Ew9|Lfix_RS zAQPx=oXX%=u_t9deaHG+T4D%=vrOFkRhk3=>0M88RAp@v(sIL{U`;I00v1=a&1G={ znU&Q^ComY;_3SHMyC@pwA(Q~Xh=PMb@t16BtAGu|0QrSnl8|x!5@PpY2}#1>TQoeN z55JhH&`rEX`dWV(y0o7we8U>Dk|xFon#mc@so)0Idy1eziaV96{61pbE4EMx{B(vP z9Z(*EcI&UX{Q3MAX>CEfgF;GNb~gV2&hfdid(;DM>zLw25l;*jB^OtxzBSA&zk(F$ zKi>?u9R>g%mGka3OH?FLQ&8R}i(dZ#Hw?aa0BEPClMEOaK(Rdk0QVa5frX?ETn08L zT~A4^;;<`n6JQBRjHSfIU6Lik>_RTJ8MVS40|^QZ@)tGC+N5bmkIW@=7^FgzU(Otc zOr`cE?>})O`o?aOoqfY>7IJ+_4}tD(7v#XSVQ0rCAA0W zgkYf(h>lanv8x}65CDUleqs)$o+i?^+;IA;PMSC#VGZAw;vD{SQoFUtwWSAfsnP*2 z!5`LG1eOP09`^ojXOtdSDhMDkvgSWsuU*tFR^?gax8`jBe0B8q9GaT~N+MzB9|95RswzYBNRvJ;uBv39@_Q0)9Y!oa(Z1FGukvr&D+4up(HP5asA6I^#T&?>WesetcMuFoJ-BEdCLu~}Q_kdqVCf#sPTR3w=3 z$T*m)iWPohE>Uthsb1(r$HSPVcLG&f9c9poAfJ{nAg&_!en@4d9v6*W2WE&>)7z8g zCW6bprC=Mx_mv22ON9?T!U>GON=QB%3^u*w2T1885! z%v_P=`UEtt^31p1sh)>R`(pHD)?R_HFkr}G0O6x9kdr%Z__;GdQSX;tVZj{z+rPwS1UchhM zB9~5g!-1FU52Cvo=NH~NvNq}=O6ctg7q5Ux_T`TAgl@+8KqBwyTYQ2g$f{#fmNuOYV(9fP@m zX+Uqk?-`mbD(7f4b-aq=-1^Fdqq7ansByf9W97v@my|#vez6GEYXy#pa_Mt%20ZP^ z3hvy+yiJr|wym1Nf*RMh^Z}|iV`J$nVHs9sHLRr3^_lt1wy0o!;HB9D30}5bqy3+T z%j)zDvj+D$y=d0U-*G+>sqf2ZEN<%!2sLxymY`*Ia&+yX-_|cDnGSLw@5qO6c;OXN z-Yj71kCzcZS_E4I`z=gUp-CX%2@V4Nq?*}tt*Jmr=!Oasai1N5Zg}5WwXqju=H1b39L~M zMvuf=4MNOA&X8-{lcQYtG}iwf`fv+kbEmL>HR8E8v!MD;NA~1 zoHZ}K!9asqV!JFhy>JZ<0g~smeK54Z)36QU(rbFcW8PH~y=mL5wqC7CIRuiM#o~h} zvrqp3-*C@>PZL!Q1x{iRpqP%5={>+7l)l9Q8KCny#SPwj_&^%S&gz*$$^@loe8p*4 z$u+A-!R7%(k6S?wnQrjURtlMhY@=?t29em6u+3KplYWSTULmKMPyLw+UzWj67kev0 zR7F*p8T7AOpAvJ!1HdRf347c5k44NQ5$R`bj!@bX_7KXx*ri;R)+>w# zM2Kwwp&Y$9_ya_C-CuB>8A=9319J*Q+DL&~lME*zItZt9J^aO8FTv64R+O5`f>;Z% zGXDS$C23^e0g@ApQ!DH0fVc5%#amvPCo*8(xD7RMfkNb~@IBU&H;*aNf|Tg6-rDN>baF8hyw zYJ+sp<%&&VyrgQ2t0)bI+)Twu1tK##oeVbu$2o>3a{X+Ti!+3ohB8}vRW!rJ9 zj)$=bcUK_!U_%!fDZ4M+>Jt18%mb}sGzaTzr$#huVZlj@Roe{ga-^Ix`$DtsAkaBbqgEhU@rH=lvudi5VeMfo8KD|I5HFV-577Ny z1XGm6PoXDFCYjUZfM~kkafUBM4OM!o-~qMR6F{MrLliavR;|^3`<5qzsJ94oxWE}# zbFTX1fCaIQRS`OVAIwAjI!69)Op(e@cUu4r^SC(pNPwrNe>ie6hkDk9E8I>&?er%? z1^br^R3Jsv7CvhUi0P;L!1X!--lO4ni;lbL(O+5p45Ajgig4c^+%$yvNBYGF1*f?K zpi235hyf!`S%Qqw_ZFo9m%0)nZl+#UwTJ#>HnRBuME$w515^a}Hxnp^z(3u@Y7LfA zA40cz8;6G`4X~z6eUL?|>cit$<><2&nD5in!ry}QDOD;sa{;n`k}kpr##Xg1F9-C8 z_ZN1APyojC$`y+fQU{;7_z}RYk1{1xCCG!@M-&(er=tG9_X>^d4PmL@zvCBHs#=6U zZV0c9V*B_oYV%Zsd+^DWQnp$V#wa-!ct(P^)l8eu$djJy29?x~CFgk2V zPX7SBjZs&W+cz>K1QRQ&7B~E28>F-}(4P)$ncFXej7fhHs5_qVNPq+(^8T=G3oY36 zeSbMu*EP%W73K{nv}peTv#hwmwq03;)W|FZDmqPN_`ndp&v^1j(3I(1{@9%=l%BPW znYTvZlJ1bFy2cqD)C0{J8z8j}eeOu4XvNog{_=V}6<7MWvP3|n{{XnpZA=qi6XnK@ z{8I93{<0k9(|Lxw`HV^dK>7HXb|f&-^%F)6kgx#$NPnz%&@WARe<==IzNtb-#0ZkB(*Xx1}C^ysDGF-N7{{Tyy?2SbK0K8vF)0;DSxZtPyz?-0K+2$aJ z0JfL<#)#gwzs5VnhKt2rar}HgD~d(ON5Iu(JL`Q;jOGYq(wZ6X<^fTn8Vxsj^vRZ_kUy1z zz(B1JcB{;GV3^P&se-0Vi(#N>?<@el4-=Dp!Qoi(Ngsc4Wu+U=qwL;3<~+lc?EJ~3 zXC)ME)IrDtWe~9x@lN0dPW?vZ`o)+CYDGo&+;y2*&j{_Tzar5hLXI}~>5d$<$OA>g zPx;HZ7WM~RFC-}xZFl>W6cJj$BX)Tnea9*f{5VGHVJ_amx|NT0guy-KYk%7pyTI)C zDN*B=gHU3on|*!92DBCQ&5^vB)Reo`Y$<%?)?K>&5fK@7)owvau8X&R<3vIdRGNPn z2(17)1HBJ&f+~;R{;*9TU>8O1K!O~@;A^Z;*Tzs9d#Cio4-^eaqR0KR_|W9KFzqtX z-mFr^(G!#0BB0JaooOaeCrE|yP3^4z`o)`NrqXmtK|G_ZL&C(hWqM zm?r{jHGhYh-%O@0HTO395%lLeuMBzMP3=2>Rq}anj z$fX*za$RBw)@c4y2!Y%3^&A=STk;38pK)wQ4-gF-))Ns_1ndL^L`1}d|1Z}6wST*N1pfjh zr}&E_Apidd=dIeyKNubiQXo7{g2P_XMD@x)fXNoU`)DW6C(1KzVW0kOW(W$z{MeM$ zNhIz5YC`iz2a|%1qP71>?Y8{2){knBYgaRx{ zi2+(wn}d&5ybBi0$zQyDR%nzR2lh*+<5mCp`SVAarzlKLvH9v6NXCpBtaI0Sds;p+OD1m z<}qZv+R0PSV1G8ryU8t7=;awouip*5W(t>9ii0+9k~Os zkDOj>>huZa#jqqNXL?<9Z>+M*8aQ3k^EE^w%l9YH`&fqaV1UIYCA~pDKjg z0gUS(Oli5y9XN1F>C)45aj_X0a1%rTL&Io!uiPwFp!pGOk;Yeq%~`Pd^+k>tvg*=i zkEbcV$E-0!bJop+ljTuu$vxg|PC|E>=^p4*+r7Oj_a%4VYn_sTzE2|`W25wliVI01 zeK+Nk@<8KCeJYY7?~GuJk5nZ(KiHjp8#-8SnyitslGJzC&5Ry3`~bR6dQtmg@kG!? z<(`)rTXmIip{e{>?{5n-xfmYs196_TDgRrPKfiZS4j-=n?iE&SaxTp28=5Lzf!7Smm|iVxMsXx05jL)=CA z3-$nXQ>B{8u}L!P@7b2-CxW<=-#Zc@iP+51?4`?AcZ2wU2R9j zXKbLlA?HJcZ!%>rBLs_Kuy^zIt%Xnozg9PydlmA6&RS)0*geM&nPpwNQ{idhz;00G z$+LUqb9*qb&Nrp8Oe2qG-d6{Ku5Sy4P=O?vW{_WZk?%U#&xAqnrw7wCdDMLp-CTEd>Il4SP`F^orC=>8sE?~>`B*_SDH~4EtCx5cCHpK z)8cmqb4P>*nRCGd)Fag29>h4UkM8IH?13BlqY=n^h~7*$D;J@lPLzfMLyXsfbhC}Q zpiwPFy`$J8Lsm-HmkJQvbwrVxif=p)PPJ4*kBV38gV-wx zALpRg={%9LdE(aCdFnb`lZk^DCjF;ZFL~}<+|$NrTwo`4PUE8=zTdgrJ4wJ+z}6;> zXEQ7giM(@N`IqDd&D|-lH`MmlHyMgqRD0e>>J4W0#OVhomqPRwj~#f`C4ij7_qnK#pVf?T-xE_UWr}B! zlLmCUjSWHPkh6uc$H~R-h7sk&-!Q_Xm72pUT-gpKdh%Oo=1-$v?91`C1~f`jRWnL6 zm^ew&1u#cTOWFNqsAbs4)~3JppyZuUqcqc;7@A6Gsj(j5X+!^znPpW-%n&9|vQVGH zmerIn!M=J>{se`Zjul>X>w--3e1}zdK4vG}uaI_kEra6o_YJLLZg`BnWdJQ%72m{us?sa z;BQ(}^_|nbHwTkw1QgeM8rP}jTNP$dQacM`OfC410o_614RjI~F_K`(G-l#^Dg&3x zO@T`2IxxU81Q5E5&;WykQdK8pPV&tnb;~^Q7jLlU7g1g1+y3j`EBhYyneJhm@hi?g zoFWHH3sM?H=VCer)zikySL#P`(URG6Q5I=n@o%c;7LvpSa@pC;cC8PbQLV@?rbA!g z(!0x8MgLlqbdPzo${0_>!52~c6c7cUd^O=(FQPcQx$0|?tC2S3jfb{zS#NT}Ta5Fl zm)1n71?vLq=&R()y!0=#lJ=x9T8beS`>xsbS-9_`y2&+P28{6cxte~>*?VmKxV~CW zOggyOqUOZ|Qm@iOm6y5YJ!Epq%QB`8w12BQu)1pR$KkyU z*tk?O+8%Aj%(w~=V&w@;X*0JT(9BYuw%H zw%YpOiWk!2d*F3mf&*QRp^K{_?W)lR;@Vbs8t1W-c40r<*Cr~bag2U2cQ-VeS$ zTu9uUZ)+9vN001>l`mG3(Yg<}b93JpCuu=E8ZDEZbMU-X!;bG3JJ_VZ3xIfPhT6oU z*)j%e1S?EulXE&GGR?vCoK4N7f~++iOC;b3iMiNJe3{Zl@NeR6_2{O~{$&Q2vKy(9l}-? zYm~;4_Rah$8Koi_O)H{u3lxE98@f4ERB@52kRC0Z-Ge!YZoHmpvXMzY?cec_t65&^ z^J^{r!o-{@+?<%ccoppW@+uk*SUGIe!v!vA%XdH*JrE7B9jQh|`r5D#T6$1!oZU#$ ztyiDJy)AC)QX-e!t!Xi)EA3-SCmP61b56P709JmJm4+@hKoA?%@F3=%HJ=dUfTo0X ztwAe~2`();)OB7fD?2WcqVpbI%Zwne8MhCebRAQ+O*b7d`J>Z(HB1obfH!I~rLAiD zr8(*^XZrNrYa&=tvQ&y=rMu5+H=Dx;E=(=<^X1+89Y{EOcj2C=67Xi1QI{PxP zRdHh7ufODNA;%{t9>dKe_*jNTLu2NKAlb=Fsw3m{ zI9^t%G}k#-f;HxT%^l$HO76MTCyr8SIg5z5qY`g~orJWUNCABQ(Va8^^d!D!9{UlY zc!e9$)AHB_*YT_~&myeZ^nr2E0g@(FOV5X4{J>70AzfLfg~}FF zypfefJj0WkpPBrJ?#`-n&6U>sS%UX0PzH=pF=r{Hr@MMZwTD@0;6pS1%I{`~$v8`T zyLDr!Egm9&(KEC-`Ub(SoE4%`cpzc-sZX0*O#2>{*sErnFl^Btiqtv6CHIrqJO(>g zdv7z_gxBa~neFvX7BtPV0(9Fit5(oUT z{et@Nx<8keTBhL7k`PQdK&iG}wl|H|X{1l9?KE%iff-9Fr_R1r&bQrs6E+CjFpt zpTGsYMZp@;UH4o=YA|_oMr5}(Dp=49J?}mGU!HQQ7ylXs#aiouI2TWXY$QkK~5SaqiNwNH`@=<}1C>38eB zyif&iz5QZJc9xJ8mLJX0RIe9bnFB_O<8#bX_1b0R7mYtVy`n$b(yND+1Pun)mWL7x z#%bNO(|5nGP%`G@>=(|MJ9X}%bq?9CxmvdXU)>MMB^H8CI&up(`*5xe#%f!TR(^fq z+}j%utzBj1x$c;4{k*5CQrDz*8uzBrp{nH+h!ZgZMQI`P`k|n3;rK1Va_lkS{!g#_ zRL?fWY9uAZem?UFzI#tsvU?p*65*F{62c_qw#Zn8X=EMinw<8UcG*}QtDk6unzDl0 zxL{(qb!KmKhhsh(1-SKwwqIK{|0;^(?#xm_+qpUq&B&YQ84#$ru`@W(k3HE30n8L# zicXian~A@wJutsVwvj7XP)d_k7?5n`+xw-x+kj0(1>lT??;U}Wy&5Q--H@tv z#x6T|qZm-;hIK%r_D}#vc5Uv6Bslw1^1!!GLLHNS6fo%Sb#Tu~gx_jT^7n5`HoLna zTa{u2ROX0PDp zLk!Ieu-UD^ZLCwhq)--HY04AEF-SXpUP2-mEXVzDMe6sQ<#*dXRAa2R<>dSyP#P&B zja114_=2GZW?gsX*2-CL1#U_9|DLF&dtcZ5eezr&&wFa8Vxe-q6OZbSA0Kp0=5U`AN5iGL1~W&zW1Z+6{G*BC`;8f8^ZrIm1xh%|h7q@xR!N;x)v>*veQgZ8RpZ*`nDflfeF z9@eaE!RwQ|-@nAL=0y-!KsZH0;1lf1Ies&(3n2BZl2cWNbfc|2)44e^Vqv1LsCPN} zaP?Cf;o(g9yW=6$lh-FtlvG*{OG3r-^fNtO4D(m8o@1}aZmw!&l^jb%bo&n~}t)Iw<8HQ@uAa#M{JO%{66FR;$iX~0o}IIgF&-Rw!umc4>m zzl&XYHEf|L`r_4pMxy0QuP~ex*ym75#z~gy3ms~V>i?bVkf75A)qeUveA3t$$NVvhB93Ew0KHh-@k(94fNeGt6qF z{UN|v#y#l%v~^Eh90=*!Y9%QH&}e|UZTDgxjwR5Jxhvr-}K+CL0Ta9T9 zgjt-7Pc4+Z%$ADNPyFN8oR*X)8GmLyN)m%v%|9~=$BS6fqM|0urBh9wTQh&u%u8ea z@0UU9YxC#H&)9u)*#e9`QmWl3G;0LYIzGR9VCC^hkj~jcwpM0o@2+IqSJp?#;6$m3 zwr%V*M5fknAr)1H{J@e;3=Sp@mZPW7CZUu3)|C9QqeEX|i^HmGqS46G_cYz; zB{q9zSL7;EQ&0{F}9oinQse5d&$k6nebeVXxk31Ju+8Q8TSPxXauJzbwe# zbJ2B&o_ivJbeaV;W6%UDc3ba-r8E|a4wmTT#_r3E06bIOVhErsJ-O~WB*LUwpLLdq zr##%sB9Ss+f<&+;kgn3tSN1jii{{lJ$b|k+MqH=_2j&gcb3JDhBo!&jT z^Rep_xEWt3cat$`887no$zuY{;lP6fp9e>}a-H<_$Twe8=-5^AaoL%SUM~G5czm)r z>mfCFQ|3O^_G7zwjA^8KH0?^+g3#GG%yEDYIaleE;sMtE^qujAmD$X#Hfi_nF-K^J zc0dDyP5-ANUGGrvWCdf0vd7B6rXx+OvOwaZu1I~up;vab&QC506=B~tGkpPFbbD}X zjeY#q!pw0di#h(qu1NWOEsYO?N}d`2zVTk3-O1+FO1?Z|7#P7ABc%q-yoe zyG)Lj5i2e;6_qgiLJko?gnRHV+2SjEWg_ymo+kVWL~Bq_8&=K77No`tEMgXC!lW5> zRg5TU>Nq)!Sw*>u6zs8|NN{mWZjL{~s*Nre%qeQ zyjRzubM~bUasOqXF4&M6ocdnVX%DTjqu@mMG3S1Utlh&olXI_m`Q_=V-D(b}e-pRY zuV2tgl5+WR_KT7FI6ix3@Z%%_aG9VtYQ(}Az}nW2KZx7PkA~9RJNR*mBS;dYps>E)+yG0EV^0gZifr&N^(3bdIwgKLSHgid+S>q@g%b1jhB>3c%4j$;$k3=coj z>HshRXFmI4k1BJixBNkk87XNFjOs%oUgX;9mZi>`>at970=QuW9o2yK`jW4+pXJkC zUV5I2jEZ4mp7ctGqoUU$#zK@_&TnJ2g%+yJJ(I}cTKgt-T~}1tC6+|k3Ey}5Ox-Jv z?!}qHg|j8k`s{(hf74f>>L(|sdNH4xdw$%K5{#_(C1$XH^1KE`0gm-mOSg2hlV-h;cCyIt?*$f7T|);J=_~Y33C;sO*<}JobqMi=1sedVH{UpLR~0(DgI?*G326c z6eq*!H1OXt&GW71F<8Z^?iwYRmJ8QglB>T2ESrK7UVB$}$eV8RCATlbVfuC6qQutM zv3};P`v#Ct1rBvqfdDgIZ35wDI&VTyN_?vcK!fe~fTkv^1-RLqje=BPn>lecm#{(G zQE2+z^VN^Kg7@9rV+@|b1T)P!30{n9s|hyjyys`)2~fF5cw$4OW>P8D6I(l0??kXg zMNy!3rPiGn7#9TiSLF+68AO1^Xy8(yMIfaE&_R;Rf-Z*HmnWM}R*Wt?JB>*GzHkXP zEN|4m(zuRZCFsoJw*hj+doMIW%P`jM&gUfbvc6VAH)`-5B1ZaPXD^(1x?|-1jaqK6 zA!gI!?28}Y`Q72R5Qg5bcxQ?HPvH!0P5! z2Qwg=mp{AD?|z{LO|!#K^Vj)jIl8)<-AV)v2}kR|k2ZqR1?|3D3sJHvv#o07Wgy)< zW53x&Pgw{CXM}8Ln@X~f0HNQ+vnf61<|rREeSWC2h-b)&G7#XIXCv2+>f#V|NP0Bg zrN?j2>-=E4-dUIVr9JlR?k&AD>_m&z$wVOsh5JhYILnj%*@C}H{% zPY39vIwJJp11V`R{P}Fi{eckO-n~vv3}l&^G&W3NS$gC0DJ4~*LF;0F< zpnrcQTIHc@@c1vm*V&Do_O1VR z51m=!qIqpZ`!H`0km35CVd}ag%>~lIypYLTZ^sXlrpUfB>(N+Krb_0o@VbguU+WPB z6yn?uK85repDr`?ENInETZ<#usE`s#65obhu^E9vSw_k6z& zzwdo`mO;n$A*1AQb9zwW?Jb+QtqHNT2M0L*}@|U25 zY4jYBVCFxhL0-@0Vm59zf1op%En5|V2p!FQO;n^oCLy;X*iw+izx?C6UhcEA)Nb_M zS{3?G@m^tkip*F(Ai)V4aP&Kfj4b1$!u{e9@~_leH^p+PVKw|;O0V}LKJ@cd_AjD# z?tc20a`P@H4fDearafDfHFk5kB?h}5eob~s{gXj>t(f|kU;++*HWw%wESlnr`{H#F zkmz>MW!l#g7vS(!2U8(3$|_bk0pY+~m1GGTZIYJma)w_SQO`dIigKhN z>BMX1-bq(wfOZ1<+Mv!$d<|LJI1n2bxGM)??-N1tE0h+#3pm;0lhi+EQr2I%#q1U) zI1=%3${h-Rq4{-aP23hu@E(iB8w5tqDs0nS8u^VSaG&TidX0ob7&VKu44s1yY`T*= zsJFs$3Y3e@sf`ZrVoX@WmYc`ISKgj^CXcH3n=(NW zG)L39vc~yCwTG6D1xOxzam1JjgfBQ=yaFX~Y)IC)B%}U2tMD_gidYjKlb>s>v1?7|t ztZm8POyi&!b9z;8l$O+MzfsI%k_9eyl^cIhYLqD6{Z68J@N4CFZ#SuZhSASuj67IS zdG7;eRmDbesrh?#B-W4TW;0$Eu-@#)x-kg}r}E zYcA89_?p;0!*=Cb*%`ak?I^s{W^!^Rb-Znh+q+BN!v%{yJ{*-FdffF-RS$?X1<8u> zqD8;m>_A&~(#btNq7(zb(u!n&snD>au+zEvdGEB|Abkk=a~EbuUZj^|`h38YWlj~y zD{yG%2>NKU$3IeIWqr*=-DWuNu*S;?NNa1>|DuNV=XS{0?R;Waw_H>%gu`>gUo-1m zL0^5z$=)%$A&};nk5vhRhbSb7$$F6{R*Cn&F+8%yGs-YA{Q1-`MB*kibS77jhgYEC zy_aPYQv3U%Pkl}4F1WD!lbzi88B~6nU?ZtYb5)eb!*CyHq4k;5ZvL5W8Q;T2@lM&! zt|++Ix3U0li}r+H?PpFN({^EsuER)(B@$ z_vX}=*H3I?1L}1;?z9u&{E@8iL_Z(Y#~1S%^r1_=(KO|Y%JNm}Ng~Fv?ldHRD8FnV zEGC7B~`Q(KWw|ndM8Bz2pjz}iAv?R^iS<=JXac?SXb3%w;gES z+a@jazTR2|K3a3R$65Wy=5;Y>A@Dput(E0*AIeTyK5TswkH(!)M2l-~1)`R9SCwTVT5ohyvvIYizzJV*6OL z){txXE#s+7M8<*-4syEJHr;aQuzmdD18Y0arooI-%LU-9q_Sop)scZLFzHCwO4zbJ z616)<#5&kscC@0j3S!eQI&bE4+vhjtdoShDZe`{VoJiqct!J=o(*iD*b|HG9t1oQ{ ztQ{HZh;;ClAEiFWHNH>f($q_9Maf_NHv$UOp+VJ~$Ob>96mR@w6vuf^Cr#-?q7~|v zvpK=5CH~&ZYTC_Jt`DeZvQ&NEm-N~YA@#~qZ6Q=RN#;dE2#zr6bXp-G6FBR|F z8HV1-$Z?d!T%8XF@i~(pb1+YXO9Spo=Dp7ug}d3xPR6O$&uvP8Phcm6wE`q~#7tml z>hQ#WqZyk7`{xVQT}1>$r4UUh^Zb4w)1LU=@tyj-iq-wa;KKrFbumToY3W*4q15mF z;c(j;e?Q5Xd*l4Sw=Wn4V9whz$J~6hV&-Cj!O9P((yKk-puA2IKWg~?*%OKXycD(% zQa4f3U`Na0&mdfO)9$pyK3$)%6SG@s4uok4G_xD5Ovpk)7^TV58O=r+($|yO!kFv# zl+U5JUj;ujnYrC2Zo-Q?s?C7#;@?0R1Z^^@GK-#Su0Eu->?IVpBa9j^L>q02sDTc3 z`gIQ@V(KWZnPQI1f0XJ%uG#+*v;~?99^24$lxB99g>h@X@mk(SKbd@4zqz01MB~#w z@2Mu2Ij@p2;fRp}?6F;i;Y^@GbMrQv&6dkM+fdkW(3OXDQd$*eVtGH5b|HCTfA8rM z>4huGvd;HC=Ys_P4M~+ggL*HX604eDH?6zdA1j^|T~!CZDX|hXz1FwcE+wU<98dpa zd<`+q@XE^hZDEnqt@DvEQqVfiQ*c+q)Tm0 zW8chkg_Wu+hIj4q?b$aU3nxuxw`XG~kfU49y|;9AYPxz5?M|bwED8S*^~GN!C+N@* zI%D`|_Tf_g!ItcoBEff!kt4xf&kFtdjTXGGBHx{8K|Du);y?h>9!VkxF)Z;VW%xE;`H@qKy!B)wV!#$kXn>opU-X)FZP zQlL;WdD_ry>=tNsI~61%6v+NW8!;yM@%6rfV2H_Spn%^?FF2*;O#>9#q?Pqm)|F1y zb(nCC5#wpJ`aRI~8-v!>o7N5J=wpNxskq-a)ARgW+<#0Ydcq;?Q+wTyqb^T+K}Bly zW;1Qg;ucL+WFLdR9(bSRfSgvXDsQo_c!jJt|4=7bIcT}0xH4&);-Oyi79LlE{d*Ql@M<8SX_Z|1bM001_5$L7^1qHii=G>9bL!lQ=q;nn@gbxiQ|ZX*9|1`Ved(^*IE>S9%v*j~LSU*P+ z8?MwF&KGqW0iUf4!*^vbU)kI(v_iIorH7}``zuPgr&>K%@jzQlX5Uil2R@wRXZm!_%o`e<#k}$TN(ENT_6=$Pt^Kh?O~EF;@iVn8!+z;BMq%$e)Q*JCJ*Lqx_ma6S6Ih9cxx#IJcg}Qa z{oyk7pJ;R_#mqd9H&Fu!5u>2C=&j7>jotrkc zj(X*Q9zAy@Ix99rxmtc}4m5zcv3KZ>ZP7)xXRf<@gp5UF>U;mAi4cHhMafN` zu?e16?+3CGP??5PG71lhKzF@w0M(V)Ni#8;_uJJF@e))cyFWpI4m$*R=n)L1>NBHufJ4#G#4Ov_US^+u-BiUP~dnp=3X;*cOx;EUTYbqAei}JNF7s$KOgn54ukU zn5LL|mol0Z8dUzi3OF|IYw^^e%awt_oeK77glrNm!1KMvbmuduSw&@`4cmR^yk6Ua;J%Mr z`Uh6~L!7t~Du*&4wQB9XJ2x7)K~fcCHB*w;Dmllg{VOt&GMNR_xApNyR=16z>x!b4 zm&!TTr?lA4Fj2p~h>PAM+c&PQPa^SDmj!->8{tVyLE{j)L$j+l@r`AFI1(_I5iwid zyjOu!t<{Zbw{*DOivL!`&qVKI=e7b`6AHrV)=+xaJ&IY$m+8Ap@V@%fmEa?<`k61# z^GH5yXnVo_dAq=6UMWwi*;Kgg03LUJH;qPTG*w4#;b=hArd|E@w-o*+8pC%^WrlrK z6mPC<)#eUnVH*u?5z8^*rCajCxMn8*or$uFp_hFZu9aK#Sbu=x#qsw`8z(E&iaBO4 zB4gKjR5aG777P+JJ7q7(F@}6WSWXUQKT;AM*Nmue|T)Xy4MYr(RG0QrYz!{=5;th1o!ToA^CeZa=! zgqrqI*$_76;`2)o9ehCyb3dsev%>E+=JG+UVWHd{NEe)zsAik<$Lo5BO8Eg6U3cX; z7j)-d*4k-u}f{Z)K2TjIp0TKbI2H&^4{l`)>^(LoZr8}c3eI; zHI(s?vXncsISh=HY4Q%@+WOOyh7RzdxO6e$vuWV?o{@gaXUsRZH{jO}kaN))WAbjwP>$>&Xi#e&P7hQu23P)ujkP$yDaP@SM~= zJx08J9S*cu5WUxy<1!lIYT7;nA#)JvT-5b}cdaPXk8NEL7s}W^5U0r360H800Dcq+TFuyPDo{R-=*ESJNOpqmU(hygT1KGo z5G9qZL)G(m>G zlLJ&bF)Uxsfilpda0osW^8-#TXq-EWMGqHTTP^g&m-8O_8b+V*n zxwgzN;=>6K7IrTw-Fr5zlteX3<`?Rx-{%^^D^ntBQA^=fjweM3def2TWG=f3g4flD zqe_-vs*ryPq=Nf$5@r;x1(2f=V(;)%NEH>4Nmf_}xT5M&X+E+WYGQ2k>joC)RWuFC zIb+d7lOX9|fQz#1&CwQ8yH=FmZr~nDGkcWqzAmt}Uz(wI^vAUzU6MdKUi~+8gw&y) z^*wYdni2^yn3HHiCB}yfPlv@vIp(Q#pyX_Xh@`d4oWJ~#XarQJluhUJtjVvDZv2od z1;kxFcBdSrLW$3sAZqnc@HzLOeky_mi`SAaj+45&E>rn&8qU2p*Rb}N*vS=xu#2II zt+1<{RI4awiF?n1#MVDe8HK@wv6KN)2?t6Q$LHBE1V}kVq{ER5j)Co`R>93PmuC+w z?HJ0pwlPcd3OJWHFcaO!kp^(RL67 z8a!18FYM`mMes~d{(!RwO;xE@6I7J1X73rE{3UoYj}Qh6AlSL-5p%-KmJq-Jz2SNNu78PdJ%6vGe}QFYR5`lYh6Tcr>?;y2vkI( zt?K`vJ>Fr7CB#H8u7}SR+I3n(z1+tHiXX+gBbXUP(>8?4vQ-VyShceJh>>|K@%`?s za}LO)`NH0v#_>Xdq0Vzt<<2Vj+hbcJ>)W1Nxuj!`dNMOGip*~%O1n1Ky zv9l9M4D_`y%M#Qlk z61bnBL63~1&QKl?G0dY9AAp;yo|b-v67{(HVzkZPviRTD=c7ooi#z^bHwaPZGtbso z%`|hKjSyspCQ?hq);vovKABm|ZL<=Ty^$*%rDEM(%-kUFJ^L8KWL4>@6~G=bwBu%I z?%;FqW!Ael9ldT1=y%Vm^7qv1dc`O1rQp88Po9FNluBmv-nL1yqDTC?=~gSrqrkOl z4$Hzmp-(g5Y5Hs*Jh2gJpSbG$DzZFIY%@J(%K+6&w+bguh(3NDwutn(r0=RI))5nT z`EaYgS3a@bZBbQOlIk>9+uE)Ra531RLIofIpO>#0UiO18FXUh)#+vEE^=X1OI+ewv z+N8ZeP0Uk&wfIx^t#ThFQ41Q2ce%s)X>IM^h%@ccIiGwMTk$j3ysURWZEZs~IgGTD z`CBL#&DdZg4uB5_!^LNM(PPu4n|GGS%9Ut^p_jzpzv!PUW&@=KC58>6OXPb4A7Q6j z#U4`h)UMXczhW(*Uz2`YeN98+aR%D4sC5Au@!jUXgiRJqcU8IUfv-B>TN^YjUVFbET}N=C%C-wX$J04&)VgXK)wA&&BDvjfxDcueB!M!E=8t=!{M`EVK8d8DeP>L zhV09{DLNAQULFg9LAP|<^rMJ~PE&WGCVKN3-x|2elZlXV`1#olY`vqqXHYt%ntI|ihLSZ_0`fV6y#F+5kwMl}XDp5{T|NBJCt zQfdOLWj`>xd#4I-nJHh!o>G+QyG+S}?23s+7Uq_>!;L~l^B1x&*~Z#3&&O=H^cWlM z53S_r0KY07dW)B+?JX>&w6zP*zHbTFS3eqY4UXi%SUben)`iwmB^e97ac@Un*lNsq zHATjS6d0a)8!d&Eop`$Sv3OUXQ;xJAVLe0JZ6gQn2m*F#hfrO09tLh2plv!lhwt!Q z?V;7dUxLv#-RK}fE(RWRYhsTzivUjCI+LqmBN9psaL@wpO=5u#D zXLCA4zX(;@=OS~`SYXHj**Pqb-83bC?oe5-soOE&=g``Lcj|X^WRm*zkOQAjI%pYxh5DbhdZ$m# zSHCa%qd5OgjTTI?jfQyTmC5}=DjGo%E@Q1yKB8*3@JSA(_nXr$pv6<0tW^$6A?I9v zVDqVc)E#Oe%%}idcTmuJG4Y?V;fmVg2NxIjt*ZpL1D>D<`~H@uR`cPnz1OLh_YYD= zz%<^&xeraVEE`WX;15*a9z7A9ESn1#U$q9t(K$ZE(+V+2HPlY>nRc^$MFqv_4tvb) zAcoP{T@Z<33j>6A^l76 z?(B*D-dTipb&<}@Y->o};+6VT_jS8@8$LJdvp^-{wSIh!d<$CNh?0*K^*v-euDb8| zqfgU&63DrO@imRIIG!Cl{C!7c-1^QNy5x&Fw7jsonc2HiA|3?2(AI8Z z(E6C%Q}1$Sboa03OZLs>xSt=165i{x*omlF=}0AYZ!=#btGzPXY8TSptxXf^9x!4p znXM6ph6+hP_-Y7Y(}SUo^JT9@e_XCeuMsM)6dkwuL-+~66|Vkg!>m3J1nf4QoK!by zA3a)+QT?D!T?;9->ZiQDAGl==74c{Y8aLRXMy*&j4KKQkw47(abbpRN&6%8Q6hiLj z@urQ9S_LqYwpia+IcE!x!=qMA7AFf%i6PBgn#a5ftGUB=cJbdt^`cr2O)U{t+UhMfbsiKOoA zPU5bfOhyoH-2Vh-{75wL^h>(O15EWc zcbgh@Xfh9X-fCy$IkTA9uUEIC3;|fds{aza4nUh8Ag+X!M11A}nVx4$w1XS7SNS_A z1<+0Z*7xv0#AU&VEB-Ej`|w3Fsi*40%s}W1CLM&7kH8Wgs{=XJgMCF2`thZhrtOwg zZ2RQz!&4>afnyGkxk}CcKcQgugBxtty`gtw@rk?3^na-=3X#EP&*8KaXOKhpl_N*T zmdz%;q>*W}xuAR!)VOb60JbY^+!PeBT^tIY4=45;Z+_piaCkf2ys9LC;aWggL_m+x zSU5h!mLIiK@Q!PMQzI(uBDj!I{!2wm*vMnnCwx8_G~c#p?#&j*i=iIOLz4`Og?Lio zkKeNc93Jj2^*0>L+e%I;%X56GI$mGVpz`z4zK|rhCQ+pJzDM`1z=zcOVW{y(&wcyc;ZRiz#BgwmDm@Mv->o^5MLfcIIP|2^9 zUs@uCwgRd+<~=+`{%4*D-Z|g--E`JJ7m!P zkyR<>d~cVtejMDO;`W<{u5zLPhbH--w<2Eeg;^m5pPhzsAGrN_9RGo}JP;nRJUMhD zTv}LHZzB%%5AhCM593|<|!S=72UzPsmSM9(vHH0LaHXHWLPi8Di@ zwZ8r!gf=cS02PDV4?7twcQ?cJOTcDs7bY#jqL>pqPBo*Pq{-)2ma)j|f9m>t@?HiWhIhH1U+DQsWPxXJu9x`FDKNIEy?y64MZ9|T26>#F6RSSVO zX{&Mvi)5MDhM`lG-d}>58#weNRb1f#AkyXHcD%EtIo+y;iJr{tP0OY!+_GL8wD~^( zoIqp0*2L2W)>YmA0MtHn;f3hl^Rd{vRnL6c!%}RP6E^<6?-HYQd zpB&&_wyQuiI{CMquAWp+?yNZ{O6!7i-}(H zYI@(Pw$MECtE5Gk+>?5%mpLYJHCk=Z=LJ)u!|4K2iWw_N#1lB z=l=jXP|5TUq5gT`tF9_K<*2PK3dH#{Xd_giOVhg^+&vAbi}v$yX$yH)s4 zBoTxYnK!);s@mVU$L@B%Bj=LWI=yt;*;DjCXTHo~K)A{ZxL+B{5L%N2E-cMaU7FN} z$W@``T5X53?YvV}u;joH7j`x+RINoc1gf>F3=ty;BsROU35AMdZPppZG&5wSIP}(& z57}d_I;dK!YDG|{5C&D70Ks510j6@e)f`*sKB?yZRItbqsNrQz?z+{dRrB_(lbz>M{?l*4 z`8PFUeOICR(Tl&TVfEgRXJT2j+I;Qj;3bxQlYgP=`_1)FlsKUre_zmB>HP<7Tju`& zIGkHx1;KmKyA7t)<73lto+Qn#k=yRV_V zAA;8;-ua~&VyR|q(RZ;&B%)gKTY*z1pL6W|mnUydP3*c*@_spfpV^0>@bJ&8Jx@{R zyiG42=Ik_cYwz6!i|O<1zpdVR&zf@RD?JyYZu(w-f9JkW@BWCTFTiisuqb0cfZ{Qm&2F^SxJA4kgX{Tk+jz&z@)i+gUfW7PVu zWyAc5$fqW8a@c30_nYSndVul0QkU!3EbDx?kwu-KOYV0z%4O+YW-l$MPKxXkCt7P$ zN{mgV%WG=rSw}zRG;Mnx({ZueYPG3W!8-+tbpHT1AQqa(epI@wd+Z|2E`n2prZT;o zPc^NXNmhW)EJ@XV&tH3Cq_}l08s12n#N`lIa7Ci0nT8-#XEA*8`Q^w&nJO|XA;D_?*SPu{S@Rx?6i!ltz@dTYUa#fcE}vL; zx?gASytl?SpF`^H@cwAi`nS-u+}?+r`TLJygZ6%zzu0U~pTE)VzKGHIKg-InpP>EY zeW3CRz8%1NN1-~fc`rF8dyi)9bsqa4H2DHk>V2Qhx|Gh=DQ|sadez&#^Zx)TSVjxA z_BZHV=vF!Jo4M4%-Rrx{`;SK1XnuL~s@M!%VYPmN==}sMb zXJxVa9_HOowKeh3X(6mP9Z~J%O;vU>HLZ$RbaPmwQS$9}*VB7GoakVEL^b; z7?mL5gsEL1xb0hPbpHTbdH(>*OlZ?}r6yici5Dg;4g!=}Czd;JrG9qv`sN21E!Ojq zld!SV_rCsrDQQPve$_cQ*ApDJ8rpjHSm?bG97?Wx2bX%Uobp)TO8Q@$uUnMz{{Se| zERBoC-nZ(Ve`~h0?A~ec)doA!KC0F1xeq_PbwO`6tImU+5l_ z^Om-{Uuk<^TIwl|-;eO1#YSe!&^z?g^qYF`0`rKI-F3a5;@4fU*7(npQzKI242!+= zt(liy$oN>>Z$;{z#bo^V%GA5GXVQE0SOv1xb7jida^?yZIvWd1?KQO360@i@>QV7p zeJ`W;`#2nyVBr*WJg3F8OswjN(-${gy^mtvdGzeNUU!J)`Q9-zxNv1Ng&B;o)rOt3 zHSFm_YTP*EO`zwo7W2xx{3;#h#;`)Wy$S&ot-SXG!%PkpA|U zLCh+znNVIB#uM*)HU%ATtn;2+0}JEO7ZY|iJ|{!8##|cfCSq zPoB48-5*ip^+}CgChcqeSHH^@U5sRjS+aD?GO(VF$0$^guCpdob6-p0mAem7?&An0 zrZw35{VflP)23SIC^j$k9_7z>cf8^Ie&a#Y_1y2pls9^7XA3rDKtob^CuLO}Np1ic zh7Jo6V;rV#bp|6CIf0RZidD;M4!7#>Gx@=IB(VlGLdusBjF=;r;Y!foNBUFe&n_4i z?E?Wca0P^{B#t&LS=3FZ9T*O`rDwNz=b3N$f2TVhU&J_81kGj%DWPJvu5=Z^tbndg z*3B*zJy*8zuGMWRZgq82Kr#n1W?8t{F)p-`IqyB=j zLHcLOes)?{mX;W8iHwFZZA+S0SybSy9c3!#y& z2-;HAUDQ@t;9F%ai*{}$OuU9YPujjk&_d?rYT4^r4jUbS^oow(WzqLq{>x!^!aSmo zGCRL*-t5NN`B#I`41ll<6xasiuk43ctg_CFs@H8boc5Lb`kwdFZJeEjN!Qt%*AUul zOWf)dg;R?X1vRyjmumIA_m=E?Z(8ZnXA5kq4$gznynE)g;Z>}*B2RzpuJTSEq(inH zFGHcyy2iEQ1SdF&Vh-hLVG>F)ZC(Ts3e9IMgkQa?b&OQPQ-Hw}TQV}=arEDX`2M)c z320=?5=|1XC7B0OY^;XQp#2BnK6P`V_J2s;9Ue!*LRowoOFXUH^3^iNK; z&v?ylgWr*<<2?E-_8yV%oX^RcAWF$aU3JaYYio7~O$%O1&eh__O30OnT6RZGQ8i6- zO}qUn_8&kn6J|X2j2r@Hl?&!ysgGlR{&A7S(@rr~=f4F@`%$IM!-eAvES zMOO?4aHr>mfdx&c9N4;%MY_wTsvtv zdeY2Uf(^v0)n;Z;O3ZT6U?gy+3~!}xDT>4c4ORfG<~9{N&!{|$#nc!pTAD_uK#-P3 zD59O|n8x>CNBsH2ZvDg8?EpJ8e>wR=Fyd5ZUXko}sQm4srRsjWwxjcpKX=iOpX0T} zZ*O=UTm%R}3Bs%mx+uSCij0^CAj&}lUkOTl>g--t!3BA$sy!A8@!3|>I%}TA$^6kl zD`Kwe*FQ$P=U8#3=2EX4KS1sA9*gunkC8roMJ!*S{R^qPVvSf!B+lMhQL9S9tQIiWUIn8@q8ZBgw(r*c zKW4JY6POIOi z8pgw^w)6revZRYf4iytN?R`Pe{IST$K8QGC%{Cq`%nXo%)ah#5ThV@t@LnUk`b&Et zw#ab5Cuy=2)~K%ZU4>1Lndwuv>Gm5A2U?>IT`_&@O|S(IY#uqjs9HEcCO z1hPWqYB*U=)#?{6>78Sw_l<>E8~Y`qUkp;VmweCW{aV3-i^#5i_t$*BiNsp6jeK48 zzf0V575!^{^G}%S7PfZZQrpn+-$nEsC&`{nGI5Z_96h(sQ?$KZb62GFE}!UXo^A4d z3)Z^NaE($HelQw?#xF@IzPhokNDYt{L7T3to~B`1P6$HVSw>u@lrvvAW};PjoLk%gSHRVj-EOi$E-{q@ z2tv!d-)&cmXsm4X30Pt*A>9QXcJ)yWMJhV^jD2rV`ZMS5U+7;)Impb-C&~N}(+if- zBaV)PSwmjOJ8FOd1kC@S{{p{Z6)YuHz-p7-cqEK?Q*fvrua=>Gslx6O1{zJ%bu zVds$e?Y_OO!TD!F>7MoRcZ*jOQ3L}TZDSP|Qo}Bn+H>f7KO*^EP0KpJa^Br?sot?l z{dP>{LpNeHg9&Cd!8Kx>w9%#EI4zH9?Hibq$atn4e=hKs92t8OW7R0P-)?7iD_ zO8!zoS;d6TTn|k#{ zAZV^*a9EZ<7cUePbnLU;XxG#a65pY+knYgAUh)XOM=jdvGKXUy#Vtu=> z;t^x1pABX8(l#cD`^T$q~?^QSdJqpDSyJWF6BB%r1lE6UqDc}m53oLdS8#IG*U zsdBzS0&Pmd09}bVY#!^Ve?hDB1%N*GUS{&H*mN$Ghk}D+R6^CbG+kG$8 z=1ecozGCKbvjviqJR*S`3ydVT-Flg@T}E80c5C&YK>X><)MoFeN3ZvOd9JhQyPp{G zj%MPUG{-US&1rjgyZmRaBf*47Y#;R2%I`s2xADK0snVUk%Qqbz60jIX$gKM z44i8fm-6mw_5rW5oZC<;3fSJg^sdWlp-d*xwuyuhD^Ox34pxmNzrwJmq1(nu=+j^gA;9Aj_Kr$90{32a>9BBd_j9P%P z43iR`i_$to_|BEBs#TVwen|{Mkku5HNVwtw^`VG7xOk;QJwxB={N2V>X2aIKlZNtd zYxPUH5gM-x`QeyYqbDn&_g<>cgn6Pn?{L{S=k6R-rVuDhyWgaHmquhpzveF|6RS31 z1Cp?nuvsH0dEcs*Y*SwR!fW;3`}3zcTdMj4QNeWG?*OLyAEET$82J5y%V~lO4??;> zcIv)4N#KT6xmODI-_p7oUUki)k_#twy3km-+WOR`_G@24upJ@^vi-%hatgC5d^)P% zb)QJ|FN&4+y8BDHPT;t+B-_il))>gek)aEkx^FPhbw01?Y{<-E$YbjBUDwK7kl{@Qtu$V%manoK@ctX{mN$S1U*UdaK z&0TKBw!dK_W-vGjZl2Y#GRqIBsXFplyeHxzF2Csh&r8603@cuj?Aosp_J2v*wzi|l zd=p#-a11F+y|1+0{Qm&S-RV1S>#O;9kSePeoaR~dPp5XQoQR4)I(V?cL08F8=))G) zu{o8~jlDxF>>Wb0<@=AN{%q%|bzfR&yrY6nmfL&Jp#7ufPn0g+^shy)k`}B3xBDNS z{9kE-fe4%m*!#Cq8Di>1+T60AYU=#QfLr!^a6XlMg;d_{XzKZmIp1EV9kkVkT)2&8 zt&sgN$KIi0+O8$|)e-~SvHLmP#_%#vY=xjCaVf8&f3sfP75b(>u3VIU>+0g`Lv4|*A@h%5pbW>uk ztsp72Ut6#&aAqt->$q;v3&g~t#Y~CFEXZ52a^?44^nMY+gk1DLb=7!Fy_2-_FDv7y zSShT?f`HolcW>*zH+V(9-_yI_Yxs-F2ox%uwoKc5N4RV=BL`9Q511O^Vyk8ik|=8w zQHn~ASlUQ-HmMg!^!LuZGVE`AjR!f*YTakE_gyC!z~1#=ZsZf7qf?;2UgmK=N33RG zgHo2P7W>bO`xS!PxC3X>9oLokO73aTrrvftzX;}ozxo<{pUe4XWIm3_WNNTDpieDFCNY3s_yqN1jXdN+Zz1V$K#e$1gbXP zr`9vR;5BXUBg^`Ir;G9LsgLV@zNgOXONmZfmNsl9u~xa8khPp1NCjr4k|br-cHW)6 z@ZTyRCgKWf7z;pHsLP4fn@;UIOtQH#nZ+%uY*uqD^`Ajq`J0)ncYBX|SBB^;a;Yqk zB(XB_9>3@ZdiT%YJYM%MwZ84~Pn7^>3C<~_w)(TsY-U0@FOv1O&dUa4)2 ztm@WDOshzV+pnv956yE6f9O^z)zT%?#~BQXB2%ZD%Xvx;hv2VYOAQeS4ElvYmxGoBd@&VzcaC?%i*d zIYw5@&5zU@_ncPN{P)Lp-o3m_bK2gYW)?I2SC| zY`L|u)sE3t4J%rztFVgIjz+a0KP*004dYN+*a?>?0G9{UKR9y#k2YCL{gTTiI!lBvSDY8#LeAYy-Lhfsib+nZtXH9{e( zXS#KLPgu?xkIcGD{f3s3Aw=$j*?lMC%IdR=7Pi*PRZ5EN-#Mhe1Q$(9DT zYub%wNF#l+Xk!Eo^r@{)UN!w%*oj zKFKnAUYd^t@y6E3BW;w~rgd2$#it&z$0%R2Mr3~OgB?% zn~*kHUe%lRDiih^ddW>zV~|A6@6bIrjQM`P>$`tl-12@2u2{GxFtOf?>V7B6`d5GA z_kBOFso<17PR4WG7M74O<1wPpUP+;0n6qja(3!!^899a!J1Z@BW%!!gAFe!O$*=pr zZ{a>>nzjwf*6l4)4eq|4$8oGhbULkTH}&=HU8$RGZCkqNe)nt6*tMgwG?x(kKU?}# zJ~-4-M#_`b6+z>)y+u^v=xIgf=RlhEbhC45+54Ea7GZ@b+SXjE%v4#?!a3Bs(&Vd) z*;bz)eq$ITdKJ<;fN3dtO{lJH%GeEX)8_&oM==7Utb|vdAj3mTM1b?d)fR(lVj`p+8RA#el?=XCcy{{Yy0Kg2$n^#1?`>pRN( zk2~`pc-vHt6X(q}QjEYO9FhzSfJ?1nZv*Hgg8~Lm4Z%sWsk#88UEi$y!^Ii($DQ~_ zuv2KaAya=xK#h*U8taU?sa2w&yOt_fvlu%{m5?smRc_~;r<>5$rtqO%ztT58SgX&* z;*CO>3|7wVbQWOT-&w-+E}Eu`%K7Qp+UdT6dfG-amM_kx!qsHSoV8+xsugT&!lN5g zg7W3`RP>g*EstObmuD5LUbetxWly3F^iK#nNDIX zDqN6a23uH-P=*nJSnR!P(W&@nnpeXNT7lBQ90s^nk!50;Q+9rzdv(+JCm%kA^sl6O z@6Ntki**NL+Hb$^UH<@=c#1)N@3-$#X}2}YJZH%EKSKJ~jCfbl-ml?)hp_Uk;=qP z+Sq1>i8gjNb6aBCbynt`ZG&}|Ez+;FuIfr|-7QTe!vk%sm4sQ?zTTfvwcBedR}+Q0 z(cam=DyW>AcD*~K-aE+r_MO{1PolQ2V@Ap<*nN0IGQ{i4NoKNcO?52RqBhi_!uh3z zP|l;L>pg=@tX|7|!Sj5t7g#GXOTd`7YebGShZs7jjf#kjy6%*9#BNueDw!?6YHKE;~z-+htHe8MGjZY74$vL2Jesgds8cn znF)*%USVcr6r>!;B)v8miul=DtgS$9^*>bD=sW{O^{+4Uh3~C+FP?!@6MdUacA$zF z^xw0l+1b^vYMn*Pb*gUYYj3r)t2bdTHu@~GMNDyaD&M($-&;VGNrGO@ymk9aROs>vq0`+&$J1)h5Qe_QJLe3fI}K#6K4phRsl>`gA3b{?^(@cTmsxKTNokZF}XURtp_Mbd&wi^#f)%h2;zl!U0-!XH5CYY^c z1`MRDV&4TYRgH!jIfr_hmgJ`B1g?D()bA_j?Qhjuf0xhfPDAItYGf~8J5>c@#idtH{V#<}-wOX&Ulx~yBxV9lHA%GH&$v8;e{A{1l`*U(~h-dE07rL^pO z986OCZAF=Hj3#qYW0-Nm08_1oMpWwG%80A+8NdM*C|nYc=#(XQCTQ4|~~ z@nXZQLh}R!3kb1ws=g%52fOq;)x3{S^#y;K$Ljqb%(+#gwkM*(#vq00f`z(fCRWuE z%ui6hS5WYEefl+QVGm}W*E19V}H+R z;8j${Ckj>%B#R7Ol*kp#sL>TW25yCY|?!3$t)PL zX`!c)u=+F7U99sz4)>o*ZQg&zeV=_Nr{18NG8BC3=U!yj0wXC#G$OlO*G_- z)hq6OCuykhy;syF^UlBO4S&qEi)XIbSvYtk;F=PQS+Zmrur?@8Pca%x%4K_-NNo*# z_FWf2&-wnA?&Ghyw)3tvK_n)3zNPll#aLu~^Wwa&uKxh3`kv1|eda6P4|D7{48fUY zs}+z(3I^pBrc$)Ql3+kpsPWB6T-J|M8!j77S}zm2JW6T=IZayQ810HuOs;2I#oZ&X zdJn4kN1KG$mWn3Y;vTw|6%w2va;ypu0K{>Vmb&jy?QHz#$PT+CR0vu`_#Yw-r?=AG)2a2<%`YYIT#y(-6YxO=`!npJl39_lWMDO}PRmJcWc0H!~ ze1?a&*sWaGB)DKmo)AQ;X90x*N-;8+f@)C`cA~guN@jcCZ`pIc6|eeH-#=@9i06KI zMtc{S*nCV9rV1r)uM%u(7mO2J;pRBGt5`V0+f~-V`&+w9{!`-iAJzJu`yV>#BQLJ5 zZN9?voWo%soP5c_>AN1g+IGAD0H3_iTYf!T(f5y&Og75!RQO=mTB{~VEDj5GXcucK zcy((v!j0yRuDb!GEVpx3U=xrF!q`}(*M(-W7h_uMsj;@#R8}yQ!IQR&30Wy;DqJ%G zfhQH1Y^>$9`%C1GGQQ_gTro{xPGk%+%0a4fNec@=_ECJn;Ig2)cV6MJ;`g3!!4B`} zU!ZyoKj+@3J%iMP!TkQBz=$0p$FZ!g3pXni@FT7zQR-$Xm;(ngGPWtixyS-pv~h!6 zA=YkGqb3<&v-Lf`zs2qQ+kRm`s`<|fpgxP{8GcMH0+bNY24NJC!p(Lta7fv;(T1`^ zrrT_JzGOFFPWcY`++UcG?>!r1vhb=ays4V|FRpz>k&MaYepvJUM_A66vDx{T9F2|t z08jM&w7f=f%ZxR^G>@Nbij8rCy49p>FThhPoCeoA`E0-yYOFxl2Y?F>>X~2>W|g5j zZogVR7ufud%)>1%a%63Ztu@J#+RF*Y5a4BJj21N zW+m>6Iawg-D+o>{`3v>^-<@fz>esXBJ%8Dm@pn5J>HgB+7V?Tj7%j2~zwWHIhYtS$ zLDKNK)b$l}m*;CeT?a{_<>#s1=sB*VVa)XwS(*j(i(foF7NeTC(Q|jU8l7dG$3LvM z==QwRReZJO+1GBLSYH1CvH6)FQ>($@`dwX%&C3@{S6&rjG9wBbD9kgjY(fiZ(CIW< z(?-kLc1htl3zqC^Wa#UIcV%zXR&||q*VZq3`bOzw%OyuOh*nQ3r&M%nuO$W@E zzJTpOJlE$kvv1$M51IMauh3o3BjBFP-g&QG&@_2#9W8YE?JrZ+cy8O+yy+gX#C-Yb z-nHXCGtm7-w($=lMBeDV7nmInJ|5yfIy>L@pECMis%$=b=Iq#u7cFXQ2o&TglQP8Q zJVm)x;eXV4Up0Hhp55Cnr_Bxxw!One6#A98>uWYRt-5t}dLOF&Hqk-S@PC@AT=l-b z_tAS_lY9!b_jaE_TBBB_>#hrRqPp!AI<+U#jLL0JF|~vd&UkgTrZM4#Udg6W7M7HX zYQ^SlB9*Xf0)>!-T6H&?cO6$Ft7$0ZC6i>a$Xdvh1rQD#5>zh2U>Z;APTxJGZ78`> z>vBQj#GE0dRhcF&3_$NXzT*D?it0zweumq5Kbd)wYg)_)Cka>1z$6}rcV6|h@n0V` z<+ofWpuMMU^F63f&ze0S$PR$c2oOumKSK2hhg{?C8!m(&n8QH(1!j>t7(r&6ZEDuF93 z*ViLo&v0X1cuX;q8LZWv%GH#Jrt7-`sd530st!eGFfJyx*VcP~opn!LcVPmoL7lp@ zM$QSPHmgHQ5eE?qxVg36dLN)`{&43inM$N^8aPmpxTW z`cE$T6GJ$Lu+^Co`HV@*-Q9cJxcZeLy3Zy@v-_=mHuszIzjo%nQg_mRlgR2k*U4l( zE9fz?#P}z>dV;y?eJ{^mQ}k!0{PpJl08@6HZl}*Sp5;!L0qPtgeKT9C;XPx|{43hK zy4mxmFIhG2*2p z``I{s6I7K+#?de}25lX%uvy|R00scI=-NX44!a&r0+ zb}riH+ma&&`sWtH3o8j2!eYz}rg4IsHC*7??x(7w#vv6!jKEYLV;5nS$OWO9iOB5z zV!ol?Q>-sQ`Y+PAT5o}LP?#wURN7f2O?iOJJ$I&U9ORy##I=6a?$x<&r$OdE-_88J zPw7udmcj35j_^ftf^AwRo( zSa!DC0XC~0iq)-F+;~bZ?_r6iVb6n(hUqtoX_Qd=rv-B?x=zU{8Z}MNEJ!imt>*-$8$oW1u)jezG zt?#|;{MVEB4=m<=z5Y$6_Zs?*#})IAmQv`&F`n(*e95ZzF8=`K-&gDd%J|!7D{H>Fvv<9hw2Tgtgl}I99`Aa1kSw0lWv4y#}&~6G@gV z*J49njiv2s>?#VyTW+SS0~Ynh)HiqCXOm-9YRz-MvT~-T#iWV?x z$(vra?zX91<$R;uHu~>Bf2Ag$oqYCQpYOCe3w^g6s`Nh(;=YsK{Q2abAcL9@T}x1SpD)IlWx! zHg{g9<8iW}UBU#(vH&250%U@AI^8l@+J2Ynmu!6Zrhn04=oS7&QS_bW)6hH%h1bVX z?^ZYpp4RPAJJS1aB>B6_;2N`l&6OqIyYyD?Wnf?{T)$DXUX91Y`0GnD6tcO9KvGAX z`PI=`KomVmF*>iX>Wm9CV(n100G*bw-Wba4v9Gu#o8D>$Z{DF@7Awg@oM~i2M#ez0 zQUqa*!D8<2HT{1*qlH5;aVL=w@GM?Iq^BbSy3FxTn1o9f#y6qrx}MX{ynZ^LqCFRk z^4||gB=F=4yJ1+^e*XZtzh7I$&!B9T%vSBHvykL-pzU-VW&Z#`ug-P6Pe0%9yyH{Y zdKZ-Q{=d=E4Hq5g+!r0wcl^GOzw_-ES=w{k3m$!|>AR0N=2p=>izxM9Rr%kZ^gmts z_r2ZH^WJjQG+fDQ#GQ^pC99KL7PZBR7|qYIeCwvS75fiH?zrD6zoPmpm*E}6D=lp| zG0D_s`+e-Yk1F%+*7e7z`Xk-+-za!RCeGH=Q-Q9_&-Z?xVC1T5Z7ih&HUS8+&ocDF z)C^%ELNt7bjkbu8U6k0x$W+-p(Awy7ZlGOPEU5)zu|AENr?FLT!`*rR0G*30GSI1Y z%!CtVB{?}>$S_Q+Oav3Ka&C2<&#LkM1Ev|plh-)6D+WnY;03Mt55>u@Sdxs42#%lA zw{g;WpN!b)exmnL^RI?ABMk_VVu(S)QsXnq&cv26xY1=&eKCtEh1e9zn01T|q{fH} z(_OeBEq%P#*EZ}~AvnxobY9J8!LpuDTi^WXW?E8Oj={^L0x2Lb5LYTN?W?8(aTVv}yUkzHlqj$XfubBMbMR~dGHhz(| z=Xm}yC~am@UV&eGAp|p8)7$WROgSn(z&yZ>ZWaJf(h% zag_C19<#LSwLVI|jP;cVd^Crj*NVzwP(^bTnQe-wiGc?buOnNNXss-mEDoREH@;cq zRee*{JV%_NrPf^7F>w1eXEECY3J80}i&9=FmH`>s?D+0B!4GNK^=I>3?Jo1_!GII8 zt9z=N`nk3ZZm^~=Y&uP5oo(55Ua9GQg{r*wo_WU|s`cMat&O=$V#TuJwcv~8npPx^ zklkFN^e$^P{x6}sSRCr&Vz=Yir({%WfMw`T-mF?xXR9nz8!=k`SoE77FjE3KlVMSi zY(zm6F-C)jDDWi*CmFKu`+b*)aU~`|PgpR4YGy1=xRWs)857GBR!<#O4*=P88=fYR z!CLJ-M{UD+u3%tf=0N5FxxK%=ZzvZl;~vr6RHedjUfI!D4-|K;4Q@M4==Ybc@b5cO zE!Hx#_6i87BW<-4kQjCLCe>6_Imsgu-g}2fM;PgQ(lNG;Y51 zSE{DCGb-`zf>$l-rf4I zx^#@3jZc<&2QBCxr)t1Dw$cy}Bu1v~$kan*s02C=Qd*iGIikHqnA+>Kb!{B3uF|9& zRJ37I!|9vrowT9RUckfBzfS0Qo+)@BSV(GQ$!1H#HZ4t2tTC{c17L)DUrh8rPU1c- z9K**F(&UREWRo+5KsDem`)BvNm=i{ zjc1s4Js+9%eP@~S-2VVu>>S5G+WD3L09E8X`$MPoKTWCRUSrCz_Mx%38(Nyi*rONe z2J1kDWoD)0XJonsTIF<-dLL%r_{W>4d+(cH2<3i<^nNSJe9q01R$6oEEu`A+TM4AP zhEf$+r?OG^S8wo!i9ETs^&;A9Y;Tkh+f!N3rJxEY4BIDfYL780W1wHs{XOXpoXjl` zfcc+8?NfS_(Pe95b2yH318>o9L4YcKOd!oVu#39v! z{aJkju|{v+(pI@56NE5J7K@h+Qv|{e5b4NV;Z8-9*dHI)*3gKMTL2cWmR*s9QDl&V znxTvRp4Yd3fNSph&%N}VAB%X@8U?Ga<1;K6!j*$YvrZ6lSbhHh(rNjR+kGd_cU@-% zqU77`kK}b;QR@D$&2c=>m-pX7>ONi5sJ(a5Udya?YS)g6_LNt%)M|_lR1$ZeTANr% z7VPuNeB}CnU$y02hC{U6oAzSqU6RA@r*D2EpYNe^0eLtc3W}`t;&sV0^ z+yp;ieO0q`hMa{~0lNPHN6xhQjT(*!a@Sk+pH_33G9EkOmOb|>Y!_V@vej}n7C~s% zvLVP);uMrI^Ftliq9uk^FYIkTXliDAv@H)pu;%7;NH^=e*JNp50@|eB!kYw|hgE z=dH4G(^SQdU7W-!-N@C~ZZexk9uaS?4>zw5=__f&Z&ec?Pb15EuD^J}6ETM=m$lWZ zeCNutVA5B5ude!YoXnEoetYLWi)Zn*ovuytDEs`TPCedkXyA- zvR7?ruVAs2hRCr@Y7@1ikJnB8S=v^!TQzQ4*VdcoR>e8ncb7cDKI@xDc%<2@JX${#9d z^bkF`~Lu+eLK9_2$bePjFYzAPsSc;Rz##N zbw5^jW>8s~%6#9<`( zU|EtlRhYBN?ZJ1TeGI=d^{q#Rdxv4Ir^9G{gB7&$ir&J#M=$fwS@cSNTIYurLgg_+ z7sUl_H6?I4j4IZ!A?#i(!rs9&eQVgfo6iAkb2r+4I+otd23aMtBGzCm%pZxY*u)LB zL0Rej56(2BTSj}0uPr?;j?dQn@0xoLO0@)dsGJqG``+i{KOUu-hP{_d^zWe9$eGON z{%_zt^K)VaPS>kqi%H88sL|}u`oSy%Q)Fs#o*cm|0uHb=7-cI4&Q{pIg+P2*u}bYC zxD{PBvgWH+hpcf9UbfHD{fEk5VEI3o{XyeBr&;n3(Y=?+pHX?wo&6K@hgInR062U0 z@8`dN;I%(Pal9u-^D?Yu{iTeYz-+54n<4fI3Cv|yF>{zuRxwdT@tF*G;o8DgP$uLO z+ZZr8kh}6CuTS0J@_t|G-L;-?$M?RYZoZeso9v75x{787PCC9; zbBkc@HE_wnlZd$i6Sy=AtnVo3?RyWUeB;W~GnaJ@(P?hj7<4&f4$Q!dI1*`z%I}Gc zn>&zZk=$9l(l@-;v|Edl1qZUZdb*e;42u_fD1$d$<&RS9j>A**xIRKXxh<5 zrt5B7(CV61!Paj3wD<<6?!Qj__xnT0cwf1GJ?Xxp{L}kmyZrO}ugHAU?T>@~Z|T3y zZ?$@_g}&hNe>(d^(^rTQk>^W{^HlBy+e>id#a$V~_@mqlK>J|;F7N{>uUsBcTE21JKhZv;^Mwy`vlgUQ5_k~J$D(|V;k8i7$#&!D?`3voW@fkN z-zoKu{FeHq2eMswX;#SB>yuu@8!aVZI@;cS!E6yHgi)2A#MiLe=GoG|u-Llb7z^lC zv8j0VRjXm4FK=J;*2CpJ57V8u#(wGJJs;Qq02}+C(LbEuOm2Qn`lrY>pJ4n;?0-W3 zdwrq2__OZcpM2NsPmeu!=s(RpQK0ThuJkjmp%|gJVG2C2Wd(~Oa_#n=*IUrsW-J<+ zg|J9Ywq#_%tXk#c1Vpv`{{Sz+amggtZIX8W$?3Jyn>(Fmzo5NhwPCrh*e6fAsC8-W zRh6h;ex^44Hitn>pIAX5fMin3d;O-jz4Z+it$PjEoAjJFJK6eg&wMG_-`eQ9YCeC< zUunJ#a4m-jL}pxAv?)moMdHKV`L~L3>UAMXhTDC|_m?;>k6*3mxc%o_ z!uM1;F6NIr>>gkp&z5=y`^e#XjJC+x@0YHoRgAD?WMeZGIWFlvqtd#Y@50FlnaLOe zAdFd97&XRB;ASm#>2v;Z;#xE=!-LTMqWu-{9z?%k^j;6i{o|!E(>G#S$!a|ffyZ(_ zpM5&sD$i@edB3rD9#i9#m12sIC1Hls27MW0jkoAJZE$RNd;xjBo6!BYz<2=P;_7V^ zPgAgy!&??|EzH0v*w+OVD-6OVhiY_`p^Le`)@tfw_BOpY%y-{M?eTA%FeMOt!10G>ML1d4YzxLIInC`aVAyE0>Gfp3`px!GjUjJ zDgX1o8O>4dwAKv%q+=_Aqp%1ZD<{$-Z{htg+s;?uq03 z0q|}!=>FrC`PlktngjB{2R<5Emu}d)`>PS zZv7G6ab9z~sjPT!EMlwQVQ4)s=$+sR5H>JQBGtc>c&HdTJlg$x+_rNvWqv{68^2g97OON{ zNSKmzTWtg|Ln}(50U=MzIZ{K=$0hS#!PSE_rn4^?l}N;iCgx4Y^QZ^dSrsKtV6$m% z-m0oDuoq_Uqj?gIm94B;4Jxl#T3KY{FcoJC?Iqx1nk;2{c7^DJTPJbqUqf|fQvi4~ zlbMl&GdL%LSva|?{C!n)$HDj#@){PV+m zzjEHh!Hj0H9jLp*2DDdAxxB^v$ zU&r~lruwFFdZ6u*6o^j}Hx0F*GbJ^y14u%jx#$uqE5Ahj1dq*Css`A9jpJ6?v^U9Znq;&UYV0`)#5um?;q{j0ub)h{>3o%z_b# zn23T2r{SMGYwBB{X!k4Hn|$}r-o@JU+uncYKVOA+n?ff5vMs4~E+Hg%P zS6aD@i6|(B7}!o`0b@W>%_3ta)#5>9kBDxA?8)9NV?9+`r2PadmqQTW}O?A>szl{?HRFIp^O*- zu!{L+@c}wbDYU@XVM^56_OGc)L>#}W`d`!;GdYq&6FC?;nIoAcIg5jg z^G}tZq?+nVwac)LuJ2=E%=14Eri1BO&-H$%cgMNgK_b(tW0O^{ zBV1vSPG)ZPRfvys?p`~_CaSHoASUEo`u9=u&%Ab!wP8p^G>dEA`OnEbaY0BX&ujI^ zLU}Wh93ReHuhA!DtQHy&o(0S`Ex|2C1LBO@7+IRlw7894|er%qgNUu#un_m}Fuhs^$Px9=XgMDQ`rVF1V3guU z(9Fca946&_E6J1#-S(F&@}rd*tKQ(pU$G@xq(v^ubH=X{MW_eArwx6`*xGb`V$V*a!esG*Ga*8v9hWo@GJGUPp&8(CvGD9P`@Svl}WHTd%D9PnvvI*=wrN z6mCAJ?#QDvGo1O?&3*T4Vl;}*iD=^m+XYs2_txs@nwt!bzQo{?WibYCLXwz|6oRdua>t9szrRMj%gdsUZu zxMxT1{R!~?53%)bgIlHGIlIk8K)%}QHdlkjA-<#Zr&Plnj8$uF7?~lAjEOnI%z!)) zk|>PH%r0N%FE^r#Q@^oxzKPrZURl1@>olKy~;309^H-AMstUPn_Q?%VU0`^mEC~ zoYQ>c=Ki_1-CYH#_L!3;h_gi%)D~<~YqjPm(RhWXOh25lF;9Oo#>hQ<6gxT<6Sw zV_6m}q2|KN1h|wTW>FK1kjNV=1`{I!RwpKwVh0j+7wR zD=cy^Y}U7)n*PH{JAGOmz z^nF)7exUP?MSD%hdD#5X?p~X+!Rd#|hXpDKHI zWAf)|>RuKYazq-EXUT9Zzu&0Q3)~yp!j|Ui2-e)xF`YWE0_j2hY(>>tVNM zx3<)Al_h}tRgU9Y&r$kAa&Zge{&wKKKk040Ow4AF<}L%%y&M&{b$Au*=nK=E9)6H2 z_P)j)LwrY`d1aKOA1ZJtrzZ;aAg`BUkm93G(iwya_YX+BbLLJRy>F-Oty%CrE~72C zuKK5i`KdM)PiR`ri)jYeTcioGvcF25b4zZ=L+jfehcokD$bt6kQLS#;wd-q;hmxw< zvc>xc(S1b84?pD^O3T*yuR+*wG`$C+Un9bLe^L#V)beY7oyd7<6|bf}cVjstIXYjP zylhonkkAr#R6?C@V=_S}f-K79>@W+JP1Q`GRPtDYMVA=fr`cvx9 zGW_?A{{T*}qmSSHZQe3xF@7=g9;Y5rBE&rGUF}~$bmUW%HQ1GMKR)_jqxr|4d+%Oe zec|6ib_;u{^L{O7)wCWHQ#Bt(`##SyXEJnuIQY`gFMV~UY>pBd<;-RsawG)VuZM*2 znN_H);03WI0S-{*kZuz!Bm%4gkvi1F_&`fuF61oeNV zdu%fgm+K#*d{5!(`^~4Z{ZPSxj1c)3%9&Afz4~*e z`L}?ZmEEtaHtp)99qivr?C{Gncu$u3j*IBN?`qtq#r*f@@9zDE-8+f9O~Cqgb7il8 zHiMRsRhffMyUlo^DXyzAXJ3Zpt*tyxIr|;{hnBT~%6AZ9UvJn3ual{>^gm0tasCO% zX`O3f)%{K6zdtskmYa5|^_{KHIO2lS>>s4-Gf<^1PL+JozuNidJ{@lKTUT9wlc#5! zXS)4AWQ#ImlKEGHyR-DqSnIX^YvbHIZgpPsVIyS8P{ia-cvucDyQ+v&b1o-V1#ztJrG1&y9H_XX$+xUti_SO^b$%AXtPpS1fBSRjro2m*{?uN&}hj z&q>|+nfm5~!~H9L;oZNra=tU^+Yc@2J3a@2`Yz{;_uhl8;k;MSecNG~IVYN*oqTGg z9GntcL#6jl$E*3^(67|z3?mi*K$Sy)9?bjxTpKa_N-nO`HXXlM>bK4I4=pRA1Ihh`J@}D+AXLZu+d1_N|w*LS} z!RuX?%%UN_xEvKQuDm6$^2C3aCx<7_NUVnflrR?j!{`6wQOIVtqNY~y_M z&xXIL_cm|W`IeYv_IF=R_&<#Zop&tvp5fIq&HjJ2Wh%YszOjCMv&WQnw_X1LMeKd| z=LAEpu7lQ*Q)Vz=Y_-Z%TlRmU_sqzRUmeWzJ-=7bYk3|k&iNm3_Hg4ku7A<$`M(L$ z{Ug(8uU_DM1CaNRbJ^hu6qc{&e-fgVwStH%JulI{#j>SLomy_Z1$n6X`-S&kQMzub z6_awtIHIKw9`O%A_pY6*k*bP-cHI+K$~;3|D>%w^yPr+kAC&VlFOx>9rbDhC0A1G; zT~tN~itnHGYjWVtqg5pta>-f7L7X=Ra`@Kw;;*kaI3 z`j2^YlJM8XTeY4g8M*3ooXtV8>z;)Bn!lKi&!GY>zYM zz%;FOy&qtPc z9a{Lv8WlY=*m^ngA2=%lb%v${5tX2+Z7Um9k0({%eTT{(8AiUHv!Li4}*o-6oh4UUbuEXhTUyw z)4oo-r%i#)j=!3Uno@J{Zv)ug$Va0sSq}kv0PekCnpXe>Wfb~81 z594~TYWEGfW@Jk>pFDUSWkJ}87(TD)pFwi?ubi#*I{sp>xB8Xp!>I7jIrm>sy6Ex0 z8R%>dtJ6Jia=OU!PX+D0`+H+o#rc0bw%TUDTXWueK=Xf_D8Pejf_ooh=&t!co4CYO z3W>(Rw-QAJ(wq9!xLugr3Ra5UqpwoWOXwW}Io~+2tZLY!v)TL4n|c2LHP|<4UrqH_ zr1)pZn*1iVw{f{&8>2(6s#5C7u6qmht$VLWWm@yN+i7K(%aysDv=u?Aub1jCTj4bB zgJ{}VvaOv}eTa>=g?O_?dtTp#dg?M%7NB;T)FElw_j~JG{jbh?=vBD&&wlxj&H?zB zE+OsMTjbk%lof*5cG_+Z#Nb^~rPqdm+T7LWjd>u<%qg=7BERmQ=eaV#*K+&4j}ggk z`JW5+KDVChd;TZp&t2}UZgzfc<6lNv@E$k4?k)KbTkbo_oXo~*zJKs4Fvw;srq=%e z=+6Adiuu>B^~+rMi*)|ozONd81mJzg)o-*sgUr2?pgYV zw)Z}Y)J~5@+3#b`zHQWiz_T`eZ&QD(@~$W|GO2`tnXT1IU<%H{ty{Kt#ma?u>^32+ z%ehNZvSmAqNW;i0yDi!8xvewnDVt7%=nkXg?pYQwt4dD0GR>itBvTF=yQ+JCW$bN6 zxz|R(<(ysGdI2n%QejrB*3x*&omBCPVt}=**{M=XdMz%?`(HaOgjs~Y6=`)V!uDMr z-*?t}AI&^k^3{)9_kWUj*~>MfGhy58HWWN=-HNpA^xX#2Z|3~lClKI<+%T(iVC_{3 z_`?>$W=-oNE8gqwdxAL{+P#AO%7;c^!`8R{{VgTW2vCvd4Fr4p7>7V z(sn*Q)cc0JzleGF5!G2<; zkRUM_!kX4-Ij34)yl&gjx7{a@@>TZW+eu4@Q?6ReGXZeqWRl9$^(s`D9^1U-^=i78 zvJj&tt;=JeDV`l|m^#O?_jzu$K)jSP;8Lwb~5PlfO$0Jf~yxpli#>n3ZHF|h(;79~>qp7HKmd}aoR%{!i>RGps_^9I|s^ghpN z!2I|0522SQhDVh6m#}YZ`R%`H-dJipv*xa^=&qNT`NyjFJ?g?sFobv? zoir6#w>sZh>m1i(?w>pOIs*-1DkedshFb&?kl^*NMc;D15bu4`c>eR3msz1wmR2>3 zoTMc1vW<KROQKZQ`PhqmR_~#k&Deux;6GEvMRPUiDGCB zGhUdmUk`FtGeplt>LzvUoYnVP2c<9LRmQsoo9@E#vu?` zGQ-^cALup%k@?@5-)$2^+Ix+G%^wf)k7V|Jl;@ay?Y+vBy;s+FcMTq4^M_mXCritG z+w5MUWl&QSnLJO>+PV`yIE9*K+Vyz+13_So-9*(Q^&7dp}OuXndBZpzPP# za~@;O+Ss}&8pjxQ7#4Jswx)I}TwY+gn&!qWjR*`g!aBIc9jMBSw{pIEM|W!7nmKLw z>};g@Ckqh6u2!pyc;6U1Ahm3D4rIRdQE3miF86e<pCT#q+YtiAF9~_JKDE5^!TP zv-$(s_C|0OHFw_q4~pCDcurI3PO52gepBPk^#1@{=$_1>Yj3RLyjOYeodL|mnQHt$ z!BtMM64t}ckLYhhX>wmV*Y&=PxZXdz^nJN&d|${tuh=$F-!1TOR_Q{g7u0wCLuvVU z&fRa(y+1kgzo2@Kz+|HfCV4NOII8WtNL0|Y>MCo=5}TP^m}x^=pmW!a8ycorg9q2# z`>#`H$vlf&8{2hqwSkpIs-pI`KEPU`;vH)>=VrC03MNQ9;zU6x&I zuoQ)qFueI9RJkWVpknv~KdS=;Tb^G4OobjJ6 z_0M_eizhIShlc#{pZ9U)7UV`}Ld;;IN^z7w4M^*0KiEI3S#l4f+wk$t0@o#qO zEicM^FX%r^eNQd z=i>J-cjy_Jg(a`>@185*15kr>be}-_C8*@SdcW7L9aP?A3uR24u}$JNok*dLuLCP1Q=nk;A);6+ zV$Up%infxzr`Zb8Uzl22cCbrMHEW$0$!XtbQNr;D7pmi4t$mtRuVFF`G8Q^M`;z0` ziK^8;5nYZf^j~M)c~_6uFieo5O2sBEh+Whr zpRjtrRb|W6@n1c2N?K0EzoLDoVtEm((A1~M?fnOq`ElK4zeDs(9G91PgDP!3v(a|2 zJfD~I9Qu8m-ue>ElVt0BQ|9XHQ*j|Lj)UlbM7A8Sf$MwC`#CNX)jcP7mAs3^J&V_N zt#6-rCvm!UKQ!pQd$T&8b@OLY^iN<~o)^jV{m)Z+pF7NU{;#s9)L=g|loFY2$0D&~U5U7tKOlYbs(`N+;Ac zGy@>Ttc;1SFCZMT7!TX~i!RHUwcB1=t2Q``g0v#Yph?K{J}&A93RqRxn%Ci05Zc5E zeVW%(jHO~iX01cpUixFV`Pp!}x(luF>fYm^`By?}^uJfyRM1s#H|5@i z==U6&88p1dy7w-aN@iP{{{R*Fo~gpuC5JnoqWu!wbKXIsChRQreRj>$SIYbw*nOLB z#_--eI-6VluW!?BGN+vQ4(s0f_GJ!R&-ra5Zrb$5sA!1z{`sy(}wgg zs>s=`hEZW6uAk8F(c%%rQ~F1+x2XBsOx=q{RO%@C1OYj@Dy;%1RDUV#p`q*3~ zY|T%4YwX>|#dkdnTPxw}e3PENUT}iF*ocu!Sxu(Y#d|gBI&C%8UZKcSJ3p*Ecfl*T z))1&`n@-YXMhK8f#}YsbI$}Yry|x7n&sFzso^uZ)G@n2D z8b=$j_x}KD*co8y`7TRK(RO>DJLaxmqxU;LDcAbXVE+I%<9iNc!)Tj#UW4r$?O32P7?H?JfPg?2b1X4-$-0QxRHUmcTNx9dLvfWZ`A)3t^gYL*Kgsz@ z`gIUQ!NOZ-WoJ~odm*oxkWh!9Zc(rX3(Pv^@D@JlyInP6L2dV~z_Ql1%0~5zFwE4h zODp6evfrojjS;mJb^xri3nbXHO=dO{b(unz%Eh|3Xjv6DRF6aYugU&ys^=)@6f9!w z)_q7UEEJis7rSq0yIaCIaw#5%(>qG2*@Vr{{SN9eq!#+VYfkU3I@dp1+OxlTEhu4u@yl?fi>D?mbBq#$zh@ z@67ty$OtB~#on`~dn;=tdpiL+sTbGeIPR0tLHiiOp>En7r%u0(;T_-gYpYG*=DG&R z)3KxBb>UU`rp68^@hS{+tmW*~j^3?B1*R#XWvK1BU2jdv(=S=*x{snczl}8!LMzT! zJZecdb~&!AV{?hc31Z4qYN^477>e1I_HT4*j$XJ)*T3w2eW+wEoLFsq%bL@y52I*8 zE5#2OrCM224$2&;41Qwlsi7%7bv>P|P3o)$jsm;ys(cT|YbMSdt;w)KmFy&NG5Dj2 zE1Q0cY@TD01?7$BN6>Efo>k}d1{_bRhmG3%_psi~$;ENMG;#5m=lucgn<6=mOTst} z&%bSacVX$4-M@}|i{U+kwA9wM!2Hs$ME0JhyD51tm)|;86vUQS@z0qlkYr@di-}c& zO+c?+`;{SH(oR)nz(X*^*ffdN7GhZ-7!x*}c8!&_C{4@4xRo3Z1W76@wTAtHYQpYT zQqykLe6UJgC02A@f4A4)eI?*t4NRp8frkJbRzYnaQmw1CLkYB)bxB0hMVjQ;C9!*4 zt*NZbc3n&y!u1~N@{Ko*!D^|Y{I!@!y26(^nlB7Oir`iY87HnQ`I3?>8un(BEsiSs zhhMc@E342xnEAiWBox-IfM%-K*7}Y~PCZLgt)UR!uV=~X4$7_sUiKanfmSG1b}R~- z{{Thzp4G*YJnxM7Ik_VHp7ZUSbC``EpKGfEysy_idsn6F8^d!ue$#EtaR@tX-}ROF zUVB~Voo~GK&N#_DujAh@)(8`1I?hmI*RNo&E-hFRLoj$5V-{d1DlAH@IbtD`7%jDN zZ5?fOoyTJ`A{Jn2JX`gtxo2x@OdC32p-vT{HyT!@nulRif0p}yDr~k84UlbSi|ZA2 z?B<Pv#Zn0OIc{77!quJ(4tm#dw8ItwGYP}(a>Hh#ne5JtIti|1lLr%UhNi9u;FI5eJz~BT8*hCY%39*tb!`lvQJ_e39>e6z@M#s z6Y{s7FB_26!seylMJ!EQ7YHncd1Xp;8)c8WFrqwZfMn%5*ETd3 zor@;DXV#T2{Y#9@YD(3eC0(8RAxmwPEVdV&SG2WM(D1a^Vc88mW*tj4ibORW=as%P zN>`8|;UwCXyaO;sYuTA#1lO>a6TN5Nem&6F478RUD%xNmhaDSJG`3)D)5Bcw# z^-b>o0Iu1_E1cAIfyr&QzFEV2_e@MFlgi#*@?B#VEzlWey+%2Wu7zwux)^H-v6+!L z2B27$FvAL5Rq!?J*p;>7(B@6>q%yWKQWA2fFw<DOB$;lgXldYyf@69HSg`cQ?2OV^OqzjI<+>$Ig-T8sxZN`4IxYHd;b8r zZObre^L!@@>-_+6{v*bE2hcko2-x@f9%s|K#@b>A)Kd)?mh;~4)0;A8Wqw8Sy*vij zX$-=_?l4j>x|LFT7-+I9aAsjA0WSvNH5hIe(y3zMlY}apthZY)$iXxOJVmx8?$wR_ zqejpq2v|?CQI-ZrU2|=UeOjn8)}7k+Y^|9JXyZWZzL{3FdZH1vl>^69M|R_^*pg~= z*Y}h)kG2bC`B*huk9&)?PF-muQC1H`rLD5z}ybou7NTufqJ>q3(W!-SPazYtPrY-H%^qB2~-z zr|*bg3K@znOr;$QpU-#VHn_I zj$%ZBme^4-*XL4J;=M(;7bQ5WXGX<_rec$s7Hr~Fe4f*0j593BHL^ua8A|JI6$r5K zWI%()aSE|D>Rj1Z*WB5hN-ox2VphZ7*7nw`xKt{(W9+FJQbtf2Pm%M2jAATCCl^~| zRmBT!OEDoVI=Lnl*DkP-AE3QYc$vtS09My-wn15fr#IaF>vC8D&UnV}(0UshZX3gS-oNO6tC-=u zMp2@roFO zVzEGFg9N;|D#*(iwi3$>4)DGgMN@gsPktZm~8i%rBl!1}3a0NY1 z%J3|q!zC$dn=4=g=$qK3yABC~Dp{y5Hl=%OscU7lx3F6Dl!d3Ox9(Q~MG>(JH!I*O zYAtk=a4Jn7JL7h3w^MhT8Vt;>Y4W057PCQ^+odphlwVXWUN52hPmpUJMY_D>lIK8X z6YDawav|qg-96E>=NBdwn_i7{+suki#6w7woQRop*FvuBO-oB`XSw^H_HqYb$#RPH zi+*84eZ_J=LvB3AhfSp9JraCx*F7_vrT|GAit@jR{v6Bpx`M=4UvBMI`Rt=!0 zc5w@vBRKGYJRFAz8W6_HN@D=BVoaEFh$vax~kE%9pJn69T<`eBof5}YP8 z5mrs-YFI0(a>=aMSygazM=PATG1zq$b}O)yyLCv~w$U>ckyT^yb{p5++uLrFkk^4~ zuX}%2PQ-$3$+nP9AYQmeSg|@DS_6N+ zvwB!V6!~6nx3}iIj;osF{VLZNf2rsBzS}s?i>lCRx|VX6_x##AeamtpNUQm;$h8e* zLl__}%ws79h-MtIWdRw0=>iJ&3ldWCT&P)6PHvKNVa5w}W0P#SvOz8)TmFk- zwcG3ioZz)!kH5k+WeKU^D#@7NkC7B4} zwbj=vH`(?70C4J0CPpe&znaI~ty5%l;`Msha*ORHR`RpS17*y}0)j`C{FhyL_%|gA zHV#-_J4}O#aRQo{oFQ}|NXrH>!nnb%g&Qni#n*c*Cg(GHldN#e$~Ku?0-b9%89Ps>Dg41f$uY{ioU3-TkmSfJ#8+yi>ruJsG0egQ z$m4L1#EwDNmrgG%0t+sh>x|?TpGWuZ+=^xdr!q1KW=L})A~I%UGb18dnVB$Dd|&0r zzoemeyEs%!WoNUP9C?NQ|HY3T-=z8;^!5D5m+@(O z)mE_uo%gl&*K6qb?sJZ#RU(H#`quZn+dEOnd3Q7^bwILu?$f&Ldgrg0^G`jc0D^+O zySM3{r_is8^Pef9Me^#)!8wh6yjd^RcTsb1v$p8KAXx=tA((cz4%#}KviQ}#YK&K$*soWzqE)RVpR49f z$5=!J2Dob7mu-6ws`L)Qr_XR6RjAae5Xk6$kM3{euCFEF{CZGg=-quo(%dN;95;va zv@vrlKX~=)_Q+G9@vj-LFxflTz30+)WeKC^J{hUE6R%0Fi?vJ|iLdHiuHucIrpAwq z@mPyqgXs5yiR_hD8?>7I)rg>&kfCDtDdn|YRlPpfTh&>qt>pD5GX;bU1(q(|If*4} z&gI%|+I>5~_+4x|x}BBuT2@zX6I7=qrkD|A!Yvab6nF((EV?X7tX!;#nK`a_V{Zns z?Sk-MwD<2<-5kiqVH9AVPC&$n=0QZ^WMIr;%*IZ?&c0*iZO%XoYgxpZ3;|ip-EF$Q zn|E^Ystlto%8IIAcoQ(>o<>uxUapgY=4#gwmaGMZvg!Vc>sgpsu21LQ61_o}XVE^x zvxs1ho5Fl>mYXJ9zpQ!^jLfg)J|UqJN^ze_`s;FY2buZr&r~qQ-1Lv5wy<%7PsF@E zl=SIN-(oo3dA6J{qV)cpk7csu{9}}`@$CI$VmfO!-YdtqGzqG^IGtmndA}=R)B@>m z_9@eHn!zDrf-GhLV6ocQVP-A|V^Y?~>OUv(x^{9kuf7OlkuI#uG>+PrafZ6Ti7A-U_#ch610%Bf>#lIgj92p?0MG)OZ}h*Twt`cW8gH5Ss_?ig{{UO{ zQ<7Pc;@>_l4Hm2Y8|*KF%$er=55(zWpR&Hu8jduA!>;c-GuYSjpD{(}E2#C&wUs3E z6qeZySR}w|wA5U$k=sBS4^SqTN{@*A5nQXf!VoI6=j1$ zY=QT^qqcg#EUoD_dOCh(#unq!I=UL}H;oOiU)XJ``QM#yJu7XS4>i;ET}ydSJa=K( zx0dso`(D?p*;3&-4ewi#XG6iKW$ONw)oq+iERe#PAt4bEfm)darbuAsW&$bcc~2|z zcqbA7Oa{~?!iUCmUXkB)n^xe&e8f9&5!uny}W}KS28B z@Hw2yo+IHPp+W9GyXt2!q9c#_+lWNL&$au__&LeM_z#R$s!a{g*c-!%nZn?HO2|64 z3+bOzEQ6WI^6!~+jpufIn(dd@t#L6aeeM3z&pXWNFHXTbe|upoFrGmrXHa5+se=d} zQ_7n9ZP&72cLy=CuM|w$w3@>8u;`9gorAFtecz`oe8d{!W~=5c^`M)QLEjoBE%A>o3vy z1z%jhQu=(G%q$mA+;2Cw?U)2$*tu^92QVsXXb>t4@L_2dE{$DREyruCv5XKPD2o7x zLhMHW0N1*hqy+kMYsQdyZ>#5@c# zQx2`g{@fI~+r|lY_pLL`ls&*Ed1G)GA0A$lx`#&;a2 zl>2p`KGyV|c7w~kYUdfub=}Ul#yx`XlB=2Y??UFe9oI3d^y+-3^INL*3tSy;vwNWP z-&NLmf1&oAkImI`8E-G`YAuIa=I6ifZGprZ`L8D7-s)+qakPzftvabVrzEO;&E~aM;&^+{wA-A+iSiGYEL$K-y(8(3 z^v-1FgXRAKKB;6ciZeD9tFmy{8BU?&HV2U#KXtP=r4>nGvYJ}@)lXwf7>u4L$?n^% z^PhZw%b8J(dL4VxJx0Ht;pIMWugaRwFt_w~sdHX2>b6y=^ml6<2wB(&c5C0Jh#kLTQ#mJ5>W$|bJ+cNr80tw9(VKhibfch9wG}Fl$If5 zAR^4Ja~w6=Xkqmp$K34XrKFrya7j#)ULa^o>`H9~XJj#FmSVOZDFvtV4=>h)mRa_X zSLq&ElsMlS@>=$=O`Si`{kF-HAdd|2G)Xb6k7V|3?Sq1=<-P}`P&Qb7ht<0YERoRs zyXTg$Eu?Mz59*s17DXQv`OPb_GTOGSV=IBB$#H`q7zY9yFKF9My6QV4crJ$?O>KF2 zBDH@#^V<)ZSb?=!TMk7zb=h5-#O)_yHQTJacxX1_35`#p`hny&uPfTjQShxl(LR!z zRw#Mrt-F-mzl?up;vOi^Qum1S??Ce(o5P^&s_*@}_L`@a>bh>nd_G&{{Xe1hjpOWk z50-e2dQY<3FC?T%&Eorx0Bonvan%=P{$P4APjU@ zI}(;EZ7ohlTfOYwqq7Jy$=7Q%I*nRz&fyWQHIuVyvcV%SR+Qdm-)(lulB+e^Pc-p# zC67(7X!M?u^QquGr;gRubL2|rJ^UodS(w0Hu zIzOfDZ+1kUYsP#g#pUK<9@pJ{52mx6@11<#sMvx;9O%23^|Ws;TR3R}kQTPC-`2O` zVxd0Utm|zvonnD4aq1PM;`tf1TFxY(9D-3|me;x^lqqg+D;BzLxIhJ#nM8Z8;-Na$ z(l~uuDsnddi1d9+zN4ou78u^IX-758*2S@-KM4AcC{(X zpxY=QYd2l5N7V1^z!Aqa;@)DDw6$k_*X)tZdH#lM0J*Nhv9{|st<>_mZDlQe`MB0D z8`Jl`wW0D}4QW7Fs>-bCcRFsvti7P%cq4NOV7qEX-$wUdmWP3P7QbhP<*OReZR#|( z`s%x7iKvi}Q!b}v>Rmj!_1<<#Cz=qgPOjRKSElbc<_#MGC73H&b6^!oN^37vCMYhs zL3J607%Q~H*5CF{woW`K=#a*Yh2|hvz-IUr<{XBn_!IrZI|(0PDl$(2quyAJ!)x|@SBHN4}&G?8TSb>}2-kZzPd;~kR;1`<-r zb7|nU%Gn{BniAQqyoGDfAy?=e%FpI}alB&fC;_Dw_Tm$#cE* zE}^RA{EvC+_sXA;+3van=e(g_m%lwXmh#@6+i#hz(fN->=(>JGwMUinc+A6D3h`pPU^@Wd((skVze)C9qQVqw=6r9@LaKtkY%&e$*V$<( zS5mIl%pAmtA>$iP_tm=VIT0MUf$-R=YXoIwhY+|FS-)*-$$%1?(7SDMr~$1III&zq zGaa+kA=wBuwW(!pZ*6kxEnL#qv~?8b*25Sx%LxdwTAR+22~45-J&TUdf9QKu)ys8j zTTOdhMBZ{S@R_US8c?mVYAhzqP;muGN@VOa@%T--A5iDw5-1b~n1n>P{*Ehd7{I^o2g0-@$tX;|*;nr5CYWY#DhHY}f ztZdhShUs|N% zTp5V6Yoy~-3QBs(rmy8e1KRPnHy_3r?1&sqa9zaZB|XKdJ0<{ z{bO6RUSUq3kO~^b8mv^ysfzZOHxdj=E)mUPX|4*h37L?Bt7V#0Y;{-tFW$F*Eb`&4 z*!Mn>X`Hv4Xu3X^zu)P3A1<%#J*OMutmr*!Z|83BHu24Q29v4vTizwh-tK#=)%^2^ z>b)lX@&0R7cn!cs%9v;vm$q?WNfa{0xr3ohaX0KpNg9TMKE;HDW=U4CP|~B1SX~H+ z^nY~f=PQn%#eAL{6Vm#2@^$`C$r{?Cbf&aS6_90(=cI1eqVvvu80_wS$ErD8==>wg zkWO4%V~iiJriC8gxb+W0Jy(Kp#B|1I+Fjk}^}Wsg4x-Dj^C80uiE=Ei!DUI88j9csGO%<$(`9VFGP6Uj@KtX{ zD_v&YN**^})K@WNgQ8Z$G+eEQ=}ldTCYHp|!>07ZS0S$3Ca$YrYL?4!-D&yww?2pM zH)`C!&;0Z0KAW+vUEC**dq=2hzEG{|{>0xn*mvqfc`u$lXVkCxm(Kl%y6-imukl|~ z>?pMUd*)GwhOJf?UUMRBv=YE1j!7KZ&0-Taoe_p>F-{YWKxZb}!l`=IjKg?fKh^!8 zqL4~CUjXBzkD>NIK-uQIhVg9zhaLp5isI9YJtwaE74g?_o*AVkPV3wKBGe93@!uNO zEx>GKn^R6IRll$8e^_pV z#J+X0iz?DK6_%5+w?~|}y1%8;zSv{1F@qS3SF4`Rf|LrIEyv)%VyapCGWB|!O7U5= z?jD^%HR@Gh(jP3S_!cg`(PdZR$)(s5x0V$(RoLc1R&o}0uj#HStkvK}os1ZjKC|C< z-do0We!0E#4uhoOIEH#=^TqogQ4a?5zjpMcc{bC~Ii6S0Q{-L8Z#?JA9lyG6xUNCm z{{W+Po^__$dFKTpVmJ<|3Nc~Wm6(JsPDJuWDyp5^=w>_w%w}1Y$PIR;kzyud;6&qP znd_d>ya-h;E9XsN<=;v6o~IVBZ^`_fQ&GnfvCNW*_HS6}fEmwt=Z!-S&$Rl!$a5pg zJU)U{M6+a-X^IHi><7^O3)KBT$38``40UV%*VcQ5uY|c0WG~Tu2iAQP!hT?`lV#to z`Xcf#n?Q*$qGU=fZFTx*?XT!{`vWixD2&8dv9^B4(|XQ7%`{rF7tYGlk5H^iZF|A% z9_pJ4V=ppobQt9s*XewWWsMjDf-+T>*Dz#5GA~!w)*CC3VHQAHv#+;ZwkbJzboU;Q zvR9J!{`sl-OH;G;?#Vsqe8k;JJZqv^x`aAsFvfJyc{O+G( z?^DY8-zVulj5-br%qu7iB_is=(AK|d@c=Z+%%nt=oM2|awXDn;lW0gaASY;|q$<1< zpCnR3#``a@ZXy-0;CvUE%lltr_070~_5N$&n#CRwm@>O24E})hov0+k%D#Hn1NOe5 z?VBtN&o%k!5UeAWnpK3BbPcJy-S%GJ^Y@VhniZ^e+kb6;$Tgv)uD5;LdvD9WYI5UG z(Onq%Pm#Gq2A8xUy9$~>-)!{yJ1w*z=v3jI2JQC!*Qs^asB*r4<$6b1+4bGV;53|< z3#+Yj)tcwA8+!S&Ra(bfEP@+SrjNyP&4yQUFk1LyvZ+Q1J;>;Hes+Z_>Y~(h=Ys_|?hLY2@ zT<>~%TRuC&dcQ-u+w;B;()Am?+Pp7<0u}&)iq4fM?CpwRMgtlzTLEcnUNweTA%u8y zh)^C`B}7wFtUbh7$;h8(_Fc$Isc=6!Y1@9^t$O!Jp;oudd_P|pGHwx!H>%IMdPedS zG30+g(60TLs(XISLl4dVd#FPNDT!hb*4jHOP_tpFkWHKrxVw7u%o1`Rv1YMrD+Nggr0LkM2rckoWGPd9TK)oMn7lWXtdPrhM{qCeQTuo`BfpIjjF?{`vWX# zoX2zBqg?KD%)MGQU0Hd~($^Q~9N1Y(1`^Q5iy*~Io9x%Y z4p+uY#ef)`U6YJduC+~*h@r9)>Gf}B=>r#2jrsSPW9;@G$J<-Pavn$KAptFBK;%n6 z{Xf%tYsyb00~U3hJG&agzukJRpDyzx4qx`aPOnDR-<|pY0M)zZRZWgF%l(_9@?S;V@mtOB zmGzwui*h=?*V#8b?LEbBI`m$Kxlr>yo2jjod~?o1Op3S`NsbX70IwuKpzs-zHd2>@ z!kVv};q_E9wOO(RDOiTd$Q+ih9?sX?`z#S2XUII|6E?jE(05ML`Tqc!QCf93He@g$ zZe)hTyYz05^qzUZ7_TjbW9WPRzPHZw=t&ei)H%@O~e>@4_b>1*LOqWA#+p7@aRO!sfR9{7aVBcH3R&squXH?)rZZ z9*>04Z7=fYUcn|%Lfn1Eax32TNUX0x_8pg!_?uAm4^QcRjimFQZO8S!-)nnO$N9ZK zOWb+SU$o=93%sVoW96DS?R&`KYxXJSI_}qVq~yHEuJ1Pb?mNrS4P>oqY9Az~G+2lL zVo0V4SuI!)N?01??Ce1b@>06)xImxs{OIn@<&H$6saIi#!*&d=St<}Mkb`Tqccvx-^FP_Gu;h_hn2`iHdiBj>&c zqF!ci{WY-LfO8)zlY;oIb;n(e)qd4 znC!VdR&@5ffLq8q&2`Qg<#{~JbjM--y%5X%p`4)UJJaJH6e#Z#h}E zMHensvNX0yrlpq6G#*FI^}QQ36l&PmY$@qMi?AyKj7B#G%9;>L98pS|<+5V{>kBe# zlZs0gxdEcfj;y!^o!N#AlBC?K4z|?we23f zebtYVe8PpRKBx4Kz*XTM9YlqyY>8&;PpN&u6a&=jiIk@GiY6Oxr@O<*BEjRlBi{PA zMowl&hItnI(v5cN9ky3y!;Yht<#3DCeA|jB#wEyYzNg=Bc(#j~MC_Z-Il5bRt1lAV z#ZDT@_w91qe_7m++U@oqJ$dj}BF0~J?EtN=+b)XhnFh_lSrcaQSl4D$s5@*;%@A)l zfv%xUoo{+{dDmIlD@dCg3mU+XkKE876Bc3(j=(#n(xeQ>|7xmJSzV(S4_WGEiI} zh-j3hWGEG{i+5lkE;G=56Km)iAD;MX#&OYl_I2Kq;=eyoa~bGfgMZU){Ef!|>^m=| zI&81=zmQWpc5*OS)FO5Oxk)gEmIEQ_#JZ=w`$p1oN>Sr}FYdmpYcn%2e1pR_{*Amw z%8|xXr;5N7+||hIcwR;@Nr0zX+4`RAmGgcj1r~OjI)c+(Y*^tCHv}y0Df6}ZzKd(1 z*7|?9*ZIeZfCiS&)Vt3p^A_&=-sb%dGs`RNJ1*~QoX?w5?>!r2zH@-|O)roq&^w!H zp}_Z@oYJG>`Yxx?dkYnLpDQm{+j+N}-}Fw`N9UdY08Djxz25P~Lr~3{_1q^z*UoA@ z=ZmC3!hymP!Vtw}fILzK>L<#`p-N(b{dcc=R?c%Kk16FG1$nOnfbxdMWy(6r=JWuPQo;r-ipi}=w1>2soQr?k-)}Q$~{wM41PYn8r5xDXgG0^m6m2b=dpL2BZW*>t652@mDf2kYqZr= zo6b#V9a=%L*uKuz%2L#%tNGWC^J3Y7gd8NU4KaQ$>SiEiEdnQ@X12tly~_-S!o(Yf zN?Y(G;#P22OC_=;#jPuLD>hS>p_;vFwzfiRR=$dsb~Jro=^eQV#%6LKJMx~tx49^? znwC*xLj0`y*0OcpAFDgmEyx3|cAmq*bQ`ZMb^gDc@}9Fv!`z8Yg{jC>C5mc#`u=-n z{=l;KexvtqxqM=yWkgSbEmS?*9YkGM(7+mMi9((Ma36YVc zYS*T0Fs?w3d&%m<>fKswj>oa~&Nqt=6s>M`wYzRtj<%>OaMdnZWeuZ$sMT?sJ(EGl zr?Y0wo0RMqv->JF+rC@N{eHKGa$U!!)${LN*m#$i`Zu6#`98O!@?B1o(fuo1va6rXJmOfQ@V+eHTHc?5U~QT*JT&B7$~ z2CTKYWxDQH%cQZAN_nqi=r8krLsNxv*Fm|_)UulTsw+H6JXuF?4&jGP zu5@1e+Ub?Fuj3sLeXrNs*>YYL>Rz8;$UQ4}&TxLC&~vo;!1Yqq@=NXYS}k8M>zBOy zrQG=UJ?hzz(3OX~^a`+EGw{*M9an;jmv&v-v*^le9g`cI)whgr$?-6t=5r{z9< z(=6i%qGABBMAp+&CX(xmEVzbp!l9L@vh}fVXX%}G<6>b0WEY77A)K6RgxDz?2Z>NZ zj>)VuLBjdR4jG2kRBk4N@a-8>fT^i0iu(juVuAiRsF5kQ8Sx1TU z9>cnhOQoJ;r`K~`mqA{S5y^I)=9|j8zShdMoNni*r zDN_M=7I5$o6h8SVXQ=Lap1XN`A;2<);pR!LmTc3Zg&)?GZBo0 zHgQ-vGFTFI&48w}64r`bX|fn#B@9Ev)YZ#U257c`l{PG>&Lwh3n<{#Z!gT7w#@0so zsj!_ZjBRN*DV7&_|txz^OOV|*F4r>#O}Ny>u3 zan;CErDr)40;sV~H%yXI1V+&r5a|0qLg=sBxKW85DU6wWmn;+owj>u`x~Q^r+>Z;Q z(nA+8#H`#YZeoa2jRu5c8WE|Dk-iKSGA^8$940Kzx#TfY^pSx;tj(zg1F{ZEojt5j ztC=F&uDqpKuxA1cJKs$D6SFf2m*E~u+Vx>bY)KiWwR&1$U6(6=t`-DDMBO|uY#oBIPC($2}c8#gu1t!6bX-E?%h zp(?MHiknsI$Fv}zF@zZm92{XrV#h2lGFcd~b_5dYwU%=%Q*CTu7BMjd)~Qj3P6W;Z zgz)MWmM0w}k|l%~nvd?ft=;X*o(Wi33}9ods}3ujhF7E*oo6eVq~>YYCpx(SD;tS4 zt-PtjyGkOk<+yOzI_nEL5|te0OPn=}I}c&nuE#0ly~ls%`mal?;hT?H+<12H)Vc=w zZJu@PS8E~*_%U8=WzRnD0_$WX!(PA17$ zK*T!0Tre#T}jl!ma# zk0IHXW8Xc3)L|ZAc_)SKd)GFN@tA)gHUR#&z02$bSP3Rk2n{HFVFI>(X2I{r#hRK)w3(vAzVC=DkW3H*rXh?A{JIKu*?L* zDPkIO@rNu-F~JrIE(MebV=*iP0wyw(1%^#lC6J?&nZ+_BnEF-yqkrK#NwDGs!{S<( zu_~4e+=%yoY`d8!5I$$%$Li%z0H2R;uDOE~T&sD%BFRycB<*xvw#we)lJP-qi1w?j z?T0{(Por%4LJrHmwiMn@jkz`=c)D{XUzLe&PU6Aq24Lz zH~8x|e7k^kYc&e2LxLK&93Bx?k`Qn-W&wi)kbY$2uo5O>(ywqUoE{Q|k%NUQFm^yM z2w|2YtSDIFV#%^%rmP$i+R1^idlJ-FlAChqCF5lzZ9>y{mLwpc7LA#&F9ytvn&tyB z6)#oCkYhj?(2OR&u>sb6tV$TNbvDGCBuP$K8x%mcu+}adD+jOF*!RmG4XtcjB_!b2 zV+Rgu<-9Yi?LPOhoGcm7Kl$5P?Kc)vmR50~lvqeov_5ylMS*Q=4J$jo-sZ<==Uzvb z!y_uo`maaTb=Q3LW!rl;(@((s_0LL?mL3;%7N)9IUWZTYKK-5_)c3k7eSebceUDu3 zSE_fWtJ@L^0EKN+Lujihr!!|s%FDwhBF_CRnJKJ8+kc}kY0b`e22DNuH319vj)1VI_)cP^i<%<-AP*7KbEM^=2u6)&sLK zQvqG1P;CNg1_B*{GYM?5Fj%IM02~Yvv{_FX6N3D~%mJGbja5;nR_4-Sz<600p=Mw> z0#t}P?kiPA)&x!si$0fsYdrT{kPsD<@pcJ>k$l-wRhfWRV-sQPRLQnhw#HU+*85fJ zvj~l5;U;TmVXsNKRso=*QB)_{w^=O9wcQyz&!qcT4ju{lUxag>#}u}~uCVf@zd)ts zUo~D|&ckHEug3O0eeH$cAM%}{F*6oKx*w$ZZ$4)wtyf9u9<|i@&y#sODC#!`{2G*Y zQgv!r-TOba9~O-_nENk%~-EV*U;ttOK;*HZ`*VwDDa7FE)hD-W= z*AL^gtF~o`#z-hmWTGsrMDQy=F&7lqm0*-(uv|kZV=7n_*&r60ss=vh!+*Hda@4>X zEyYA&jJk5dDXyejxhT2-QDX&o{8FXM1!So$kg;I!4n>Sj-)Zf(n%^Ds1damK`mQFh z#4^o}mfn{}%2_3X6K5pFsK$mK0uLml7I`pIa%HHoc9m;QmMrorL-UuN`yRT^uwqca zx9tA8zBoBnyTZJpJw@Yby34!tRf^`B%pE_HQ-FHZ=G&gzu-WW){te}J>Rer_4B8lX zkLI}QRP3JTq3Q$8-D_1l0F7~BR&9!S8{cO3)O_ntK||=TLGwLNrTD&w*?SFFka}N5 zu7vn^3r^KV6HHCxn$3w;lWTgvM&EHhGO5NDa%c+ilNp5huNW}1m94C4N=63>u{jtP z;SB}JuwJ?d7FgN(Pp9lP{CAGjMoUhyfSAFB-0X6Sess<%%<&v z#|(t#be`$kUDkNdkWnO<4Q*w;ft$^1v+Z4#o@32;p_m%aJbiYuAgjwD#sVRx3Lv#x z3DIITs@!U7U%0u60xI#3=Zke@AVN+TbrYMfZ|`~skm>y6!u1wCQ?40(ayxU*nN}8< zYrIarVWM%i-PUgI?s|_W@>VM?J6)>j_Ig%bcR5k4togfTQs$&)=Ajj`@y%ufJtx^I zcqJ-s^$$$)&0nPXXC>&p;(YhE?rn4YFO;gHsV`#lS(ykh6ygguI`2x~`2PTy=3K-X zSBO&|3In$*C5qtYV2oLn&Q!|fX%88G_T^4<0||`Hbue|1@4b({v0t3>KQ6`N2mwxo zMVxlIsa;viR?c2HEFn~wBM$8};{gv|IL6%9G6x9X`UlW9G<=869D1Z|M@w$Q4=^#xxD|nKz9NOh z*~ZgT%{%W#?Dtpn{8yOsCwtTS>BGZSiPzC8EpqBq@(L2j71?k;*3!=R(>0$o+BtCQ z(YU`w5o)D$ItZ?*;7pu*H0#rb_WeTv%RPE|Tbkn9^cvXecivl5V{g(uT8|CpJcwm@ zsY`LQq^4ViE@dxb+^x5+_g+`!K?3Qn)Zx@~a0K4P8p$FcLe|V0N=0%muE*KvI-QQY zj?va}0T#NT=Ggro*t-%PKbClu1hCSnl1w@3USO=Gu;uZX@eCJN5NVxtoF>R&!&{Zv zD;H(op*zjJ4u{a~wHHok`{fp4NtI4Hc=)@jDedO zh8Z>0HU`C;J5Bcb-oKUPDp|p8TFF^-Ea|<6xqA6;Ci5wTx={jTka)EfFT8>V!j#5F z0Tc_DfN~4Rpm7Ph<{GCNT+3au`XkWx)VzzuHKT=<-47Xh0vqWb%h0sE>%??G2eaJ0 zTAd}BtY*}Mh7iqSb~n*s73@Y^3U%$)MbDoIr zoPLMi7_!AySGA-EXt$WnEm-PIP%JoQo(+@h5MFb1#MPia1O;LSz4E110riXH3;4`$|6lHp+&z*`hMLWUEtK}0}ivF zD;B62dyi4>?&&5#Tu236v z!N7MY0(D&tM!IHe+ZcRRLsf31*RJbXEWp>x+gBgeeeAXV7L#4I4$iL%U9%J7vMT5{q%t15tNEbclh!?N0I zI88NL*RgGA;p9xrQnX;GvW4Yk z-LU1!kPJ-=-u>xYqkzCtmu?(Y^*l#Qqdmw5@OX`>3tg!5P&)7OOD0HCM2_#~vQF$u}-q*YtXL zEhehg%eLQH(|Pv2S!OOOa~EQ<&J}kW)SJHP-T1$pqy$FFirsL`7<1aGat5O;P)+ws z;%dx7t6IOe>UP(*T5fNV1m*i!jcPU3P4_PvoVtpwPiKB`pJK+u^$4wAsSTLJIRbfB zV2c1S=5OvFS6GTEmCctxVeCzM+$VdNrS6sHehsc`n8Kw@P&n$RHgS--I9S^H1JK?c zXX09{oID2rMB^gWTWLZXb5^~>s@o}HrYyihRdyAP0!@>JWn;XIC=}>++l8{TQE2Mz z{dV0(YOlq@1y^NMy?j3d4<#&Y*A)=PMX|83b#}I;dtrtaU29SXb*%;oL4>a}D^k03 z(NfMX9?Ue^05G8mW31lS07FV7h8Wet%u#6S)g9-z`WKFP{y>=$nAG9cpnD7Mgu1>Y z3bh@T#shI+s9L(-JD1a`L`d92XqJ zLm5`pHncVIzV`n2$NX<50jy?X@>8vK&6waayvki(mdUIWlscm=_WqU8UQ+KfRmE_9 z52Ehx9H|;!3(55>e(Tpe%j)EOOPxb6&!Bn_O|-JeLTl=|k1*u%IOpek+w?asXne1P z$+hZTe(l>kl8RcbF9GKYSmZO&J#U~rUlZioTRy$&JEn0u4vS?kc-PkR{5K2FjEw9( zpSrQ0ed5#^*Lxpbw8d(*L@nfedsI*Y7NWC)!9%Nx+L(kJ+69hN61y)7d58{MOeP6gEX-c4oUsB;mm`O3Wz`{{VIFd|S-L z&~tqu86=64b0)h1qL$YuOp#0kJJLNfthp-}8t7}YcW_HFb+mjxh3l_w{iD(EqmJ+{ za~XHN^?r`?;#8Ow>v``Z^Y&%UkFa&ydq!F>AoIZ4`oDFvV4lgqgjVM~=YZA#6|ZXa zeTFZS@;)Cuf7Caw)5gOfA%?Afr;PJ{Q(WBJ`=_I@JmDkkeIPna519^b)!v5_8sf;FVJ+-Wol9y z`rIcUWR+zRjEYUT1yPqOzLkoj77{Bq6Rp#enx(O&SS*@FV`ji37keadGdGCWU(%qh zZ~9(iO@J8*NV(R;GPE0l%Gjt&>R@brx4Zf8hKmO*y0`&blO{}Ppr-&0h!j++cTPfKJk5Ro!74S zTUS61kH)y0{;k~Z$y(aG3$4Z-4tIg_x&n8-qtUO!Ij;c;5lkZvC^+FDH%p??-oY?LEHqRiWm!v`25#ZVQg{Upc2|)BRVYaHmnL<$U*^ z@4auKc+%US21 zSD{Vca`cPA=iVdDXL0OZ7Rl0$LIn8l9nl=BV5C!Q!NDwpT-D02vyqmat?T`(vri-E zzHd?FCc7DxH@>yiTcOYJK61+#Xj@{&SFv9^PTM8RMbo0XcCH}#A3N5n?nunzwTg!} zqam4ciPUB&jGtcYf-BlOY=+lz7c6Ux#A-Uws=Mum_q)B>aQ+TkkD)%2+Hqcg;CuzD zQBvHaVp_|hijBcDG9gmy^xogtcwYi832qQVVS_Pxs437443a0Pu%3%OZ?5;YY4cul z!7HKkZ$RH*Q{{Yfl8&p=J8SdJ`1hUey@%0nr;hpm0G-+T*QM|7BaGqv{{U4L`X1x6 zufq9g4*T1CYvo6e;xw9lCdb?R>#;-5ytjd~vD);$joDqA99NI?LapDR^*43AM-@=L zFW0(dqSm9&eD^@B8$PqQ>9#qe@?SHfbK5;S_HkYd%#P!6>E4UA4L6i%LZv=wc{38F zPQ5)1s^H8u%V>hnXI^cQcRuUCwlp;UcjI&t)!ZOn^WD3P(-nEICi4pT4HqeLR*+qf zcSaepN=D`Cn6*~r{L+QNH8UeIbRg_P#KHLj0!&SY(Ab`|g2HQD!%)iW*s&*OvsSxH zg_V)m_xoOtitysS?Vnz5=H#*w1q6=TwUU#dNCo%od(JG=Fs>B-c2zt3k4^)FBBPgUmrCAszg07Tda z72#ahPwic;+dSWyc=oy?PixqxkkuWI_t`p^uafePDuOmWud?;>o;S$6#;$A~o%gGC zSA$9A9z@a2uF2H30A=VN)zBpxeh0~PuS+avp?Y^(^zgnl%QM-1TVi~7&-|+x{{TVv z4bbzR6UkA1R|uTCm^w6L)a>i6Dr&LSRhEh!kKX+m)|i$Ci_3gPFF1K?J?Gdj9$?kv zJSU2^NSY5N4VrelF76d+SEy^Af>fG0Up7=UnF<@L3X5#WVu`U%?2=6^m*7c8Re20t!$35a)4tp=D?hB9k^PNdt z?tRaHoacscb(vvxq4rWQc<3+gFNI*L;9@AvwOc_sA4B@nMhnq!`*ES&1zN-ntW#iLfNF7i6g6C!sJS`1#hujr6f(mY~ds( z4l0YQH7>S7z*yJ<1-dOJ&a&>}hdW1Uv);V9Xk4clyt|3Q$DvRtr+w`fmr?5dFMx8; zC4lioq%DUs%&(ObO6A-$!eC8_4X3mB+lb}7Gm1+KZ|**iwNEkO9(WMWx7hpJwKzWk zvFx6Wunt4P^!}&nJsIjeo5kqOLc_Xs+YWcFdam|0zFXuKmV1w9^c~Fd-WleS>`iR7 z+It^!Wn52+a>f9_86$6^duHJHKLzFOB`eD!U4OcE7PY=*;rhRA_1&rSUIFK!XnXH| z=|fTAzFn29)g~&@IO|iJA$rPe+SNscRcRV-!#dBWH(3!RQLXWhCZbD}^xsbJn>m>S z=bt<4nvAPvY)n+UxbE$PFHm{dLW2QZPn*=Y6Jn_~Yp&Kn*w#)$@$6hQC8Wau1zWJG zuTYgU1m+P5P_*?aj4`VU+O#NDy6X1G1P#>lBH7l%QL?0sO>NDyhF#J6_Vd9xxENw= zDg`RBnQ+v&uTs5Ufw=~9A#x|7^`Ao6haus-aT_;Yz3Ka8@}3jtFmCGom%P7Ah42P@ zSEFnd$ap4;*ga2tT+f5~mR7=H*%*m+k6QJ;+-dx~$3z3B_J2UxFNN^FH7~`ZCv)ze zi?EJY#ytAO0SuvyccOb9{{T5J3*nxsPRUBQpFsK*!1KQX)Oz2u?T?%A&mg>^^zVJ? zV@u#ZR3QVH^F6J)*w_&1>pKi3zuAeM8%~XM|IkSIB%JEo4*bK9lMl zk(r9)er(Ym1WkpT((TuwY($R{cTZf47e|cZRxU9T%s^uSS5zo`qHv9P#T%v*M&q1e zT@5U+CpFEjZCWk~n>Y~3xa!nR*j9rptgcmv3xGJ_Fo8m89?o1P)%xD^=e-7ETDvtC z0^D=gXjPR{U3)1qcn}Th&fa?e0Hj|}BjEg8TCu_W(>o-Xo1E)NU>?ylnTbSU(9RPD-2qRRLVN=Xf(jh}I z?34v2`^o#YlZvx|H)A~Pb z@2^qfes{U+{+Y5@8R1$_T=g!Ie2358ZaX3d*JZUC8T!|(bP=lZpBi11?tPQfuhrsw z6N}h3l7g}B{p-^1pD*FuT^23!z$aJf{mZqntn$AHNmngBy}mo>Perm`dGr3Gx%ZBR z+FuIt%na*(hwM*Z;{Gd9%M6@tzfJVIT(0v&<9chpq4igz=Yo8Fv)5?4t7&WHonN?i zw=i(yhxwmDVbXA~YW6*x!Dd$QKO2j=IXNa-PjTrttf806&nmiPVXNdgTrzorFKgn# zE{%$&w;U)X@|czttccXww{TOH0Fx+dk(O0r;$YiYs{tI9nOGhYtwmDIk_9~KozW_0 zx|Exc!*YAyciDW=$D(rZFe}BEv<=Ogt))eBTLW%LSjoxVdryC{S0&+Go&z`D(de5R zetX~=TqpGY)81aA!+ie$Z`QpxVjOpc^Zu3U{bPCm03`5HxbZ+m$uc(jm#^;UQ{|pG ztec+0>U)&)o;T($ifO8=U1!l9YqO5=eiFRA#HS=T9?R&r>+t>~&QhSGvu4L(?tN=Z z%RE0@+r9-k&djp?1m<@onjMQn8R>HgN6-h zQ*dyS=1{Qu(6!>#48n%TqkA>qoVhxNR|*wYw&v{C0kL6Aq#{Y!6U2yNBHpXny$a}Y z{sGQ}X5ZXBCjC!8`N+ZA#i8_ss#tKDCr#CZptV<7>1Z@1>o zvpqxD_lKty%(8z zZlaA`v2C8m>$?_H@xLOm+Xr+rG(c7f!6JP zBcUqGwNFiUv&lfOKj9s$9mH7-1f|#Y&aOBUEs2s8KJ5oF45-%}Br_85f{Jvv_FG!I zZ(O1;rsI~{Dj>tdn!uBFcFHadVaQV2g@aCD4Evv;8}g2(y@_cRWx1B_YiiA#F%@gB zN%t)*r75gddmm%;TWREeedjYLdF`HuvZ=#-{mr^3ZSS6gdY=dLnESt^?UTuT_sDJi zbJaRb)bjpE=Qx`5y<5^RdH0;rXR3P#L{vP-=lrq`>pfr4HiN9>e2dSX)mrpVR_d(R z)Oml6imh)wcWjPJ&NQWGt@WNFK3|ppF-sM_m6V5`@eAP8$-rCMFBQ_ zZ`ryrqv!rf#*P|d9@pQyUX-OdZS^eCQq>Ga=_+fnvDKpN|`*>QC>w!|&kipycsu)uo8wh*jgKV z+I>559DjiM`T8%hcXtO>&GCG9HNNTlUb5Ds%)C~ov-<}8>G_Axy4<;L=Y8sZjkW5z zt{Ixahf(AC=Zev{MKJs*X6$GB=ld79>gJPT&npYN#CRSyE zNU5+;3$jHDoP1Snc)e@|j4GvKnh`Fzb(08T+ySiFURXD*g1TQ_F(BL!bWg2&D*jTU zEW=hBFk$6D<1)w#agc4XV#cH`ImH)fto=kGhU2ibd1qTHdu z{P7;!>07|$d;`xjdFy_Y)V(zI6fm~C8%(F?{x8gW$FTG*q2>PoK5BvXHoIR@@47pS zp-!$&%Vz0U8Red93sK)QnVQAqF6LYbArKhQl#Y2Bu-!eG2v-ZUP&M zv6b@y(N$Vg0N$ppO^VkkyO10 z+j{q9Z#M8FG^w?(7QqKb^I3 z#v~@j&05kCBS^9c6!urL>dIlM&uv-fwAr~>pP2??Xl%lmX?C}H()3r;aZC-U z(!Mcn$@piQOQWdtzT=?VNs;2{bNoM= zakJ}w$I-T@mi+y#EGA33k3{aeO^fCQlda}B4=1E+RCK<--)@JB?cQNMPO|D&wJvX>_Ru$#mH9<}nH+9)|y&mlIj`{M1IejG!h{h!*AY+m#Zd`3E z3M^fQTiI(SwI$h3KOy@F6}AM@ct-^S-F;ibYpCpNYz^5iGP6Q-o>9*|h>U2ypcbxn zOvX^nh_hD&%*8#8eHJ~{KpkBm0~q+VCxoDUsM}!~R3uKDt-Xq^h&hHucwLsZMzDZG zLjeyRr>6TQFP2eW4p1zH)Mf+_Ib#izVu1#eQ(fq5y*J| z05m~852tqaRl<1!{YSLj=P~45pu#V2I_|rw+-;vVG+fUa!g7vxdFWf>qrrJ%TU(A7Ir;9Y0>PQF+-i>c+M`9g9~x% zoqBW3eBG6;K_>Oyuc+zw8!lVGHJ7YNCay4ND}cGN0(n^EicRNW+?!EnNmBsVhWjC6 zLnzoV1DD~-%SEl~8XZS!J11Nk`g;W0^z@!>t#nE)C~Z})7%_3Hhq(`|hD5^`mYG~_ zl@}7JishjPAZ*CV$Eq536_WXldbElN8*!uvV6+sjc23 z(p9|Q?5>(LJeNDJV-~Tuo16A)bM$8hWP;Al{`K7lCFZ*g&9st`wQFU?T=DWQ3bv~S zwkbts{=+rhKDN~9=^8hJluI!Wj7|XDw5f$;>8o`rtJHSeot`G*Iiyp#%+~ouMjEUm zD?S2~k`^r1xH8(-D>|zjhatsXw-rv!N}y4e3a~ip&4FlHY6A()6iF(wEQ6XZM8kq* z?oAM|Ba>dj@)s7X?7d&sx&Hu{%MQLq79tJ83l`#@#K;q6FIrV)Y=W_vbp&Ea*q2u~ z=1SgF@G~BX!^pr(m1L&HyC_DxF*z(8Fu`e=AWfBuV(#wn6jFl~}WXvF) zh1GPIwf(;P$$3@nee-uu$2i@sVM^Gt%Lsm1Qkf$=8uf2_MXUKr`Xb0?RMGhg7cLei z7!b8fL54!))0ImO+GTeo3bz4KITE})$*>jCXl1OyURlBh&>Jm*A&Q3V$3vNd)@hY! zZ|pSM^R$|#{Mh>Us`LK)1P%a3{M z-sjTtUI*rM5-NiLON2`>3^0VmUb%CW$J^M{#@Ymm-C0$WhEpPj8t|l=sGT0ma@TKq zI~|8f;eKZR%j;iGpOpMuaS>M5rryL&s5Ft0+D>aa9`63`iNe-c2SZilXcrZ87zd$B zxUn;A=2Tv5w$GG~SQO()6$P)rB{u>en6pukfU??XsDf{pzXrZwVVp6xcD;8`=bmo; zzpr~j{GZG@m{mfzv-O`<<34vyWm#=}#7i?H1PLU|nN%7g(yj!v!FEZ+3IYaQgCz}i zMnf5jDa?Q=773;p+{jwMXD|q{Q6}QSCRjC%b>pt!99OB2*s(`|Zbx(9Zx!c$Jv*Oz z`d5D(cfQQ7U>tj0Sjj(PT5|BS+QNI$x`4Yf(AKlYkXv`vh|w1uS05j z4!(~;x$z!8zjOB&SDqvCq~#Y?x5>|4j!Y7X&8=}Rp?B5yk4>;&9aiNgBT?q2MzOBb z2*Rms3Ts+p5P=<4+w9D#b`@3N6`dI43eJk>Ch-7Z;Il4P#AXyWvzWy&sVPpkn|`x> z)b-wZ;B&hA8|jOjmx%n-y>%$r?>%qSc<-NUP)0Jd;s!||LRbZ5cao4ZSuVaAt#U4V zK`D7)86|BgGni;3!y^p>wnJDrQv~?{$&pJ30l1=MWVvmADzRf;retdXHrE)q%xdG; z_o=1lJTz@Rzv#ZP{(14YCjb^&^stEqHEG?l(PGGO9*Vgpy~LSWNZ=VI(o&pRI6;=$BcTy+Gg% zEq|3fEuyXT4S*a%oJkhAU}>CJ-C(Z{VQ5Yegv#1Dp~fX{Q`y(@Um5UC)&=gD*!>Hd`H*2bP?w4+DOns~&4?Q!wOLBZ zLkE!<(rnE*Hi!b*4z6u^n(NCNfW^s+aMdGYFqHFLmSQHvVN<|tj5%w8!^F847mk~% zI~5>`>m#bUM_J11oP7_ibQZk7f}kP{VWsVE4p!9dH?S!t8rKJ{1(2||c1FFNuG}eo zVtgdjd2*9hNzj zE7&npm-48bX1@5L>%vrI*$Q^eO`}%GV<5rISUQPdjvDhY(Dt1ea;!2%do@;Ztg2ww zdxpY@N|M|guG68%@m_y6eNX8Q-;CydSkk45wY~NaN8o$`LtT)ELNgB*Kui|kiMCNQ zl?cEv!pcFHmt2|%DaQmd0?8WS!J;H}>^8lLu53YAuT&&bOd-di*z7^dpv0nLN>`Ex zWZ5HK*#yfZ%X6>Ou#q{opvywA0FpyxwjlNO_B$M`O$>D-wXDiyM2j12dZkBYiNRU)a-iB>tI<<&Z-=vtHybL zE|$d2HW3S~ccLE@LKkYybgT|lZo4xLQV%+XUdqHE-a-M9ee^ZEYcy%v5t%tKIm4A= z1-53@TXkxPmwV7_v>F6cSx)2G{ZEVel}0RL3Nd)X6RzTT8Vr$fQ(Vb2mD`Zf7Pyxn zKp1%CIEZ0J?3+u>7{kK_xWJ07WvIv`g2KSTuKo$i%QS2~`s!O1pB-3hkHxmqBX9-hFPiqiHr2IboXhZLQs} zud1qUxY1Nr1h-)+sMs73wy}l)FjBk9$ih{J)2FbTYpiNN5p|m#eK4%$ zTVlf1X1_WOevP*<>)1_PK5X6T5J^-ino)_prSDwZO%oez-~&2!5V`b~<%zX0Sw8^1=R_jSi#br$k$ zB}=lAA-?%EnxGkH3|I^qWt_dLU6KOaqJhfng6sh>Vz&vZjBX+QS8T{_78KN$YrOve zi*{>WZMEaA?)DV0tncmW^khjKr&;Z$=Pq(1FU<^dsFYZT1$7l{NzUE$s_d=g;KS+lx&2nyUcX|g%Ww%v+in)=ad-$l{rxrzkLS*+T-1X#rt#g5AJD3zME zEL;(UG$09VbVXK7H8SKIAZ)EdlUWOZ36l_LX#kT07Su!20Vy!CV9=ZHRa)XimZv7d zL4=XaIKJ`8G$PIF^^pN-LQ>FuWwU9NtY)^QmP6J`DU~qT5X!l*vJ~u2uox}DhNa-S zsdj8&g1|~Kro^xS7dC8-SU4tK&2kSK86g-muJdgoErTY9XVpI4N!;pQ0J^?s%$0Su ztgo`^y;or5O`DqpS3=Nh7$&Y^ux3smCJD`a$4FOdfR>7v_Lbtn!)j`=SI)!k9a{8e z;nvq7G&pwpK{#?&!cY__rdx8g34w`9rdkD(qQwJkY|5@Wj=hdp%92Vjiv$VF+YG=N ziIq%_zgTRVxK?o)kmQ-NQDAcx2EfRw+<|Smty2BfRG^%6F;74<8$rgAabCS&Ga)-S zYXdMv2-&n|LbUEsoAtG|TVZPwN!dn5m#TZ z-3k(iOlfxvL!4mBR~4<+F|B1nz(I{I6h6`|R}PEOu2!=5a;z&9D{wqm<(iKZo15*L zwsqnALc}(>SCBhcrlV|!w+_WtVK%b?F=m==g%>7Zmi!rIJtFguHcM}veF4;DP zK&l+tdn-XR6>_^!)xNdXRj@*f2y7rmR>*up40Xe@yj1F)nwqeN(`w@@6{XloS=wx* zki2v?728=A?4Sy~YwXo>Sdn90n-H)Sp2&g3Fbf=(9er_Kv93s*66~ew3e02GLgcaS z1Ceb8UP>m$GeC}*@!FJ4{m%1h`kb#Uiqh@2V ztI=%d6=Ny0nxnbfUl7Yw^%vLI_ZwZU`th%!&GQ;9B;3pO$uM&Zv>;ETJKt5lBz8G^ zQ0&ur#-&*$4+c#(u9MG4BzRndQm|g0Jiz>EZ zvuviFaoD|4iYk^wT}(<#YR6z|6pA+G)?X64mCFK7E4c*4&?|3pC>Tv#oI@bhWo%Jn zSz=uRrnE?4Foo%DI^ey(Z?@Z-s^~dg6>}?X!Lm>o#7m`T2?YW{=Av#C*Rr#&^Axe_ zhCnEy)e5_!lgHK6+r4XCszJT>UrpKC%36LC&pDko9m{1hwb+cqj3q{DI>^HlOjoFGT=DA)Mov7;et|jE%kO3^jgYGf zhZ1TaaS#O=lLVx*X|QW0Lc2h#Op?V>&|UXWW9gq6IRlb4X>)#0UX;*IkOR2T8%doOv}5 z1fhziJW3pR1|o(Os%**yoVJ>#z2-)?4Pj0I{MPEX6c z_gS(#CpIe!SDB4MN~&vyOt3MS>eV(|qOhD*u*9-hbIxhHPoTY9ept1D1~AVKw=w44 zQN>)?Iiv$x$xW9g$3xa_b+z`kQLcR{)OntcNnw_zx@}i#muG9(dQV}$+FQlOERPsf z=yKk9;o92x=8#!Nx)fGe5|)68B+^Hl30N|?SGZYm%Z0STiKvb5rFvIexw6OzoPulu zsp&lH#5opiED%H#hD+YXmi%Z80r z#Epv*E$Z-?t2b-0fy)zI%t?;XX#G>@ z?xOA{tsQ+dR?8Re-@N2%IBy-`7qoc8kjFO0^Q`Q79ZyQ_cG&rbL{`%98l{|?*qX-D zuZ`(_Gt&BN_~2DqsvN&&)8H!d+Rw5rh5kiO!K10vYIRkBZ(P~!cKardo00M_DzCV-!4pt5 z1~XmsfK79e7_nqmcH+!2a@C}4j-ZJ!Yom^3O0@`7kjkzUqI;1RVZt<)F~-!wQpy;+20{g zGxPrdm7&%w<$R|1HVOrxE1b-l%&Srv2XakrFv-S(s@U}3U+#7ooqnHHrqxstbMNf; z+kLCfo`c4GDR%Y(s58lhuvn-gQ5eo1Kt@32NETF=Twzs}S5DU0% zD+;|f`bX2dsYa`u=JZttm?3iDx3=qgy~}Fz-d*E*?VQPvW$L?bXOrXW^quMpW4ZJE zCnJ~gf`M?PG2*1`J8x+3cNtq*pwnt~wNYYdg{#|r-%Yu?#dE(qc{w@K7;~AJhFD1m z!z7jiTqhW0XvZ;QF(_xLdnaYHR=Z1}=5*Tn6^&@V%e#Jyspz&3ug`f$&$(@;5tA@j zylV15qae-%i47{~QeLbZ#%cirYoL?ReGT336|3jDo?lC-qbLA7_APEY9;c++Sf|bT zZ-frQ)+iyVun~<|uQjIYtT{=^Dqcc#rYu6pjWZ(CPKTuWo3y-I&To;>b9Csri8k09 zt?0T=%X<1;$BlVi9hHfCt28lHn`X!gPZLFZM^jaNfD4;RafWH?ZT)NLE#_C$@tlgO zDZ2Z1DTNGGowq^O^;cERc}I@<94Be0u9BZJs;XT z?V!`pbDCXbQ?FF(y#VWWH{FL(wUuZ-T;daE#tNT-3w1lWFeWgEh(t)eV#e3Q!4g3F zr?hrAgHg|OJno-cu7rYV?$2Mk+HU&J+jivWJWs*xU0{PGa8Dps;5M!>VU?X3A`vxk zNFwWjX>7RSzUSY4D||Z6XOQJN?OkM&N|pO#YhizN*!5fMwRvwM@k>^msEkYJ1hH@_ zHj_chg2`?YjM+}bV@0)`F)f{HzN+*sv}yT1Cyk=5HTLTtXmBjux4G;3{)c5xkMZ9n zvdgkduLDa_v)VaC7^u@%=^t-g8uXhfY&Oe&zJzZ5-`Td%tv?;XP_cG{s@jdM8gwhB z!Sp@PPq$Yy<6cC~lPy`??f1K<)!;j6M$UCgC8v?{dy9cyI3RPc_RmV&&aXw!XsWMS zS~azvAgz+ou-$h3zSNh*{HLMIEs>l$juCMkbH$R_O2~!U6}4auO@)klKehI|1!_6I zLq(_4YiZf8H+6gZ8r_NPdv3S2=xx&EzH{^DTap$aotX=R7{)6NQdu%XgbGq*l#8}k zIMWfm?VhjG97FVq+9c#+!kJ3) zmaAvBBEX93)8|ib7h0`gv96odAvSj&m-MdXX`#sSoW0E!-OE}nH4Ud{Q(YFi9_O;U zu0!XZFJo#!+dEw!U#aFgLZz{CYU?&g<2>H-@YYR2hjr}!x6)-Ecb(SjDc7k|#d`ND zEq7AMv2(ibcJWij{&EqwktbUOs9CuUuM;5_5fWfzkYS{l8CRwEPfXknla%DSt#+eT zs&%Q?xtq;F-Pi55TaNR(=#N>ze7{AOa7+ZjnJXA5Y#>?|&`Tyt@@uV9YEAaWO9Z_K z-aAWr-fM#4dEwTR?W*FLmTLu@x^1sj+4g($(s`%Pli3?p1d)C;c=3h64 z$&F@N?0ZfIr(u5}IpDQ+kDu_?B&a(qWk-6ywDxvtX*3#bZ3U{jP0NZ&%T;q(Zg;+s z-dK$n$=ux*IxZy#k+0Ql?xxHLkP?lR;phfQND--?$?P3$P~|v&YgtoSN{~Bcl-aP= z+qW0#x2^OJ=Wsd?7Vrxe)?=7tF%JyI8ck$Q2BefWA|kz9a4Rl7ZZo~~2c>Qoq4WO$ zIp?*T8mhYeWuP2c!G$f}*Q)M2ZM|Mw#C)FXVabvdkx78zq@|KL#(}5`aMZ~XgOs-u zPv3p3bDEzu@|f0a6=uHUTd%y;I*VFre%oc)ciY7BzA@wWEI}s-By2qljIAq^Ck((= z1ho-q<==f*x~WnANyJjPy=|KnF9U5IJIYe4D&TbfjlX{<<6b{;*EqEqC5GaxUf(hOrO zRdd;frdDwl0AwOs4r*~3*Zaq$-mTB@d^VnztN?4dn@WNvG@EX#HvPw@cK2v;A1-LE zPD-KJD{N#oyA4GLk%HL_$y+N`5=z;5jAhSB_MXj}-XG3*JzN|*p3hng30B6kHYPi5 z?_1~{EuD9peCw^dCy6j(ZH_45Su2w!Q*%XR3<+y1uGol?vZqn>muGEUFPiZ%y@sQ3 zl+&Sdo9a;6j@v9=*>Ahv$7`qapP%{tti@L59eZNLHdPT1bOeHlXsuWuwZHA&{#7Se z<2ri#I<&34FHwEQq2?8+)^cq2-h;H(`R{;fws-w9`!3i=pKP{m3fGnyOV7BROAr}i zozGqNuB@wSIZkZ~*QWgyQ#Lthpf)Kmm{aN=p=^1tm3Zv2CtAQ_RT5+cCK))FMlPOR zWH1$8{{XUkH&VT4A?F;%)$&}*fU83m;thr+Iz37@1sTMepSsWm6>Zzxj^5l>g};g#Z8N@bl!p6?bFBj zZz?b<;yjzkb+sy3+?9Pj8#na!1zQ%{ zcGz~?UX#D*W0dhvA-!blEx88}aw7(-hzk)2wGmTXpuIcasQn>zwmx;_!7QrUyDN2A z@z_NxN}{PmS={tKTH(s1lo2T7fWs`MpO%YJUv3&q$75mOa$Eh1Xvu|!6UJ10)gCw=dJqkUQr zH{!Ki_3DPZOI4b;=$+}Qxu?F?WOsgr*E?V5A1?#f5bTl=fg@C8OHUD&FokSpNS$>q zv(PQK=w9jG?6Z#Y{{TBfCZhH{p2FE~_4!Win$>Jur(@I~vyYPN4L(MZC0$^CztbL7E-}I*SmUN;zF*6iqh$#!YQIc{c99K zjH|X%+fx3|(YuF_IrEpA1=?&FRZ~kPju;|X8i-PO5oZcZJuBUNSEq>b?t4jFZBAO^=Ld`oGyEhAAS^Aqw+G{AGyQ=*MruN%fUT^dMg4AR$HPN_nnlmu*X97;i zThg)#gNSnFrv3NOz2&Q(d-I-;V0z7-i*vA~jZasv*6gqAHrl0ZcGNc8F3-{T3E+Nz zDsP-dx{&m$wnoVmFmg7=HHm_-}Xf0Zh9>>ym zx#zz-Y2D~mv^wU{zv#Wrt!?Lmj%&RC08)c#30m&`@2xY=Ye&oJ>L6EuadDYMGTl2t zM!-WmzfHfI{{SEP;BhR;Kr;|FVAwNJ&~+eE^)SfJTkZbOzkPo(@|^`@t7faJ-OHNY zs_Fq(ZaSH4^U{4seq7JbzFjcr6`m-Fs?5nMVCJfih z>_4~nzRuQpKZ?}272NH8+j`m??GD2Fce>@#xwz53{{TzsJvnl|2j&)<3dR*z%du`G zS+8=VA&Iz!FD+uT)jjiSd!BRWxqW?g+RNDvw&qtM+l?*8x;C0hG1K~oRQ^lAcoy}& zPE^@*&ggflIMXJr8s2}UDW_l=xAs3^-a{*K`aW-;Ea$omh?^^|XbCMD01K45k5k@a zJlp14MiT%wVMMY>Sj4{ofdiHD#xc14-?#eC%GDnd^8H$x@l7Wc+dQ{HcD}O1ZBoag z}NybJ`rYO2_V2Sh|28D7zToz`N>%rG0S(VM4+`fYten< zsJA{x<0EF0?`)`0*id27+T%3VEmPRSl->8N_Et3BDdDy-vk7e_w5yxIEv2oecDy)i zvEo!c2i!Y5yIv#4E1|SEHJGh#*{he!E3agxyIIOI{{XP|_H_OeY;!T}kK$edhDMgl6FHVMupq}WU)PALo7`!}-m_S?+(ZyB_rlp@6`zZW%6Yqm8j zKGv%0Z|i$+PU~II`1gc0jKsxqHk+W7#$c(q(o8x9PQhE@iCK-y{;BMpE&9GE;=3qC z`nyUlsi?T?Hw?MZ>2*656?+>WRP-BK&nxg0FiNGUXyeZlD^qNls<`Z`nhm05XXr0P z+1%i~t5S`&p3ZL7Q)X2yxLP&X^z60#Ee89Ce`Ovs;WYivrt?nos2Jip3f1#fWs21K z7M=>my7cY-hV>`KvhyBmNXZnPTLSH9R4rT7ZaO4swa|LkPYL1vY~^IdRqPw90icTs zcv#BJQl*Ct7TfK;*S@lRch8*8vuApRTdcG-Y*?#pDnab4I;Bm8?dPob4b^#n&IyIU zw8A40{K1u&3I-m-wt`MHY)YIV2Jc<<-rDqX{uQEAlMtN^{jh8AV)~wFuG)dh+g)!@ z>0OJoo=M>cPS66ucOKDG9hjFTqNftr7z`kWNXP+L+WKd+^!K%%BjkH3CAH`Gn(c*3 zJIFZOYqjdXeM0mIdY`0iX!(cFlnJ<@rWftDQpK)?$KO&~rVd2qg%kbz)3#PP?i! zP;A>jKH%xBLcM_$)pBjrs1=ZY1!Enc?t#j0#w4gCl79P=XPwC)#M={>EDU!8p6stm~+L71Tf!^D&t z)NV;z3<)uLL>*h!OTP9F`)xd*h;nMS+LKnc;o93Z$9TPHUAJIDovIxVt#%I5d545j z7YSomILtUOTMZ1%b|N674A4w9R&&p1>3+@BUe);TmFyZw3sg3>EGo>MOl=Iip}%8M zu~(~lUe33i{O7DwZPc$vQ@z_fmm{avYG7N{ zsj919%G?sVGh!>7SF3gPO1ke$=wVz3&78CrBCECt#v#(Q;Y!aTh7$r{!nx?a?bx_H z>%>!3$7QR_O2b~?O|hV?xYX5avX^1%{h{i-&*wU|-~o&vg*X<;jKYwJatPLChFEHA zfUW0e?7o4%j!(w6Y`zyb_(a-%hJaVvTn~ zrHyrF*;O^#n5|)5x2W#2pPc;Nsb03w*rN57#T)>DmO&gfQ;!t4E%*NM+q8Vk$Lh8# zY$&r~qXq(3V&<_MX|0Y)XI<<)gy}q==k(Pz#xQV!a|4*e!6=dm#4)Odo=LzNY&zdx z^o{8A9y!Z%;cCh+*9eW+MJ{N{sDiIoe;BP-Netk+eP)W=r6={|+D zR~IiTILydg7B&VQFfCZki#^A>dIMw5ydIoQdjo$pQLtR=?QXQY8``lu z`fEEr^U^vv=KN#Dp&~2BE(#Xx15`dj8pTLtMB_?kCK{&f_rA62T_AJ*ImXh~Zri1s z5ou|iO|9q9nOU!?ELlky)N$aoefEQ-O*kXy1O4+6*usS&WG2bkO&n;w(d zeFtkCHr33bs}#JC!Rg$sm8uA)_4nY_uUy%- zwI`IC7FSx@FEf4a-QBueM-6p#R)pHHyO-Z`X1@M5d~($5y@VzUO9VL&wt5B?6S)8n#1Oa;lBG!;`a3_I+EkeO*70_!5k2 zqbANaNFac~@We6dF$aO2?vvg98s2d}0ig*?ds9v)zX`Q{dsw%a2{^8dakHx%pO9rh($=bYF6;)bq*s}1hw-Rio!M?uB z+WkXmoNocCT}M`Jr{>PmXq}4-y{fdV;k3O!yl-bi^S2O|;%pgG>V0a>aZMtl#`*nQ z5R(?)?0$&rGc{ahi(Z8_A!%uKlu%b&c2O6`$ zjD^^Na!9Roe%tEXDbRRkp%-a6YGu0VEV)+bSB53m6I-e8dn-EMKk&{>6<-8oVA&{J z@PN-CTMEWNZ`&bOSy8>nulXIc?9 z?OD^PsP{hIw@)qLd=Se;NX*M_$OP7Oo0eH7I4zKSTF*@N{;B$JHsz>qg05MkeUCzJ z4dms~*Q0`=>b}2qd`HhbsKh4gvX@uu+Yw%nsZS;6Di?h)=({*6InKyf7t!2e0r}E)JSTq zV{)roUA2LL7Sy+}pV)d9{5kI|@$D+>5^^vw=LQaEB4z-}A*sn;6CQ&HgWr3w^}k2k zBbxJ_MuTepdd9BR?Ub^c=&NvmseWi}x-S0!eq8sMc*dZTRucG(TLuiIB-UWNGPXd3 zktWz?2#eisxAlJab6<+{PHOB8LS@-pRNS7vh27*+p(H9 zAi%>5Ak=BHVGdlUy7kXb+J_;-CtG=|Q00|YvkkXcE`@zp6n^#E9d8}+PFisdCyIIx zYU-(ClyvwnEQZy#gto<=-{@YcwfKHxO>SZlWlpV+tm#-1B;t0R=RulpHt~%b_2pJ% z4h(^KLPdxv$jF=rh$*eucmDI-Hou*DjY^MQja9lWorM}LyISeaw&SOv?)yu$c%LBi zddRMsImC&Jq*ijHTVxuV?`lcaf^G!&=q&9Z5V?xb@TU`d( z2D;y0+iWWF9x3Nk;vv|ULn^W5nF2OdYMe;70n2iriy?6tV6F6ivFSZ+jVA-nRo0f% zRK1-^y-*A_clP?L^m!-FqLb_LeoA zmmWB3g;rtZczrfsyH8=~+HU*YUK(!&@_jgCl67p~>HTA^uVYx5N0|A_F*sO;ZF?VR z^$S_0@mB3gQ&P(-fk=aaZ>>toulBuN%3N2A`D0?bWlM9DlBcNBM+X@KWC1YPOGe*G z?mo4#JqMR@^&70In-QW81lq?%mWIgP+jadObAEB)+Lcx{4q-0@#PT6>MF6)56p2hm z3vdLm<=d|J*L@3Uoe!CFRCg4@y^FfbM+-#LXlyG#W7GN$>one9;QZQh7y=Dyla_>F zN?6QP09h5DIYzJ&b`E2kwe(M2^gXh)9Dg(jv8K0rt!=eke#2ZFimKRDv7+^k`PKMO zpE(^uSjMF-K^bFmR9$(^|a5E5-ckrCe4GgbXz>;K;!fILyVw=2=n3B#I{p+IKfT&FMX3tMk59 zO8Dx9_K>hPXF<#lp#PJoOe#?zBqGc2SC$RZfX#w}Tr%GSZ_ zv(S1st9CmY{Lc@kC8~znjWpj{tLfOGtrp$?FqWb55wnl@A(xv*lu1iw(A@!lP`71uR+cjM8muN=g_d6#YqUQXc(E9CO zYH_r@=Tm4ESZlJIZtp#})(x898Q1O|C^fVLSoWmdtX<1Hj?Z-6cMapdXajc-z>G78>5hPA%j)atUfue)q;%G`ynhX< zeuH6SPIWp4X@>PTp_cj|UEJ2M<{lZPSZw<}paIwAG@CC#yv%JLC!N~&dzU)8G<*){ z(|2|75ySBHw!V+)-LAqkoQF5BeOrRE*=q}HM8lV{>V1K$U+0=qwVTeC{57xOJUg`P zpmmRCtH@pHyOhG2&+*!$wbuKOQgNj6op!-Bkv9&VG@X`IUeveUrsdRmk2CWva=Pw? z%NJ<){Z-!AWG|xLN1wUXZdAoTnde!$=(1+5Zb=qzZGG3!8)IGO{3Q&jv!)`Oi>Uhw zI`{Y8=T)&@lZWz-NH6pqB)eW3&QiBi)k|6xyyI8D?>ZM$Liqk4W!!G89jxd4_K$Db z+RRNZCz55Z-_rZ7rc>p4T{kDR1Qnfb$6r`BsAj*b^vyHVP!UWd|3_1r%pXQ{12qw5+{cKBZjKS65t zOR=l+NBd`6kQuM?ZdS#7?mf3=Q>619O`0_>sqpHxOw#U?Z_{oaPetdv2R^yL$eLa-f`w3X&tY$bavaX^F9MjS7}RX&1=~- zE}p|XTWiI5d}UnaHbosEo@ zXnfm{^&bAwMCpbJg7ujnrdKY)S zI+{HfC94ZesdBIe(K_A7b=lrsZfC^!KCijqwI0#vS1_|#^dnc%0 zClxO$@tAKCwH4IhxZLkMZYxK= z>uly7&L_d?`X0r$RQ)fMZN0CtoH5pUR|DB}zDaAh_FIPSZz;yo5VBC(*_C!1&XaL| zD`-{gGEF^LsKX=tS_7+Bi%sB5=?=KWavxo9M$6u@A`)>QO*s?WT-#4t$Ua%D|(@k!t zwCOLJwY+bh@m`hG^8S12UX8k#I-Ea}^uC$XGbA~0BG&sKV(gsfe8-0NzS`zsYP{>q zy=&06Od-~A9xc)ON_^typmv=Fy7jbrJqDs$GK$-#Zab~iDSWSv@O$rkvEw{{wR#=w z!`68}nEMB$UL2a9W#j(4>35v@rRH8I>%OPA$r|2q;XTK&pPTWWFQE1tdo}9owc7Mo z72UP7+-yZi*YNyxPV3O^aonEwv9eOjoX>#szNNm2tvX*a+xuTznK`NQeml|o&Ogeh z(7iWi=7yhDsHd;VCQik>4b`JvPJhq%-TwfhpOW)Fx#@Q#^0yJ-K7s4|!;B77#Or%k zX2_D&`Ja&cAEsZ-%G@`G^&YKH!*#x&>b(okHC(3`tz0&nY`=fB=ysQiwxf{Xb)C;+ zL&wY3`-kQRtIj;*)VuAZL{Q=UpRx5V*-j&p^1}5VxwC=K`G+m)9nQxFb=(h=_Fe6t zIOVtgh1hK$AwxmWYjay$U|W6v07-b#jb|O_9QUF63-}*C?|mb6ITbkHCg?pcraX}5 zym)y-n+`{WuiN%p?Ss)*7|%LjZcztUZdzC=Du#O>(Y1joFh`bTFEnW?AV-6tD50?ZT|qHbrd{*mGzHC zx097PKNRl$Uw%*_$#~Lzi?u8w*7;wT`v;@m$eR2=hxER?zvFy9pL6P+J+=~&snt|X zZIzvc>rTj}rQ|s6S9RQNxvv?u>pi37M^EQ|YwBKyvW$ux7ngTFiNBo0^1elP)B48d z28YXeFHrP5`2(%tJaf=}FKMOoo(HAu`+e=kniPu5nSF<}QA?fUcz%D;{Tp>h&AjW; zJu7oKHTd5q+j_4^$R%?=QA5^y7i4CO%{Z@A?=Q|}4g<*jFVQzyF2hdcI@N zb$uso(r&D{fI~AMgp`JxjPkt>``WtqYCOZvJzLUm<`q9O^50AJt)5{=pZI^IdY0Ub zmBDz2dFxw-6fcADz5f8Xbb+JdcwJ9b+4Or$_MaIfl`bpuyyq{i4*vkXvc~hAy{^Lf zOkCG^KbU(zQ#we_XMyfLlc9o$(0LYz)BOR|Jp0O{tnT{#lZ!I~R$>q;p{3;c8XZ4v z)L+tgr#b81gKG+CaDFN1o~yach4P**?_ldr4>ew0!g`0I+l(rFM}+s@snJ*D{8K^F z`d@Fl!)^?K#ED{2tkCg%WzO%n+m|cj_We7yd<b>RWVA1pc04?u*D{V6<;e3mA z>YY|l=eT|j-(S~n_Inn(a3EC`HN2kz$m+Y_UFqAJ&oA>2QuOVXWYggMXVE=JY$QR% z_;+*ao8n^E$#{oX>zmwxE)&Ez9?RC6wLGUU$~pap>u+}BqHCt6zel0bhVRfi8?a5# zb1v&P2bpTOhWRJB?^CR<9M2c&zOBB($k2Hfx6(W75wGMtr$KYkdcKDH&j@1zwQ6)Z z-bNd7mIp#rfiEaIS(AW>pfF4Ggah#Z>;zBvm(Erc@J0X zoeoscc|QeXw(mM^qm1(+B|%n(iyieyzjB}&GBHPjq+``tMrVR4r|PGKDXD}!W~bYdB3Xq7Qmw_dEb?K z52Nkd1y_plJAS>^GAn4f?gLG@=sG>_!(>)*H0o=4UNcFr+G?MH7tV3<<32I$Jq?{&^%`z7(*0*@WrL&f-EXIN=L26u=Kg=1j*Fn) zT)N@JrjD;otmN^$m<<2PNaTy>F=#fz$a1IqIGB z=K{9@HWR<%%Q@0k6Y-yB;sl6cs>VTxY=Il zU$As5Tdvn>boBN_Rh?eBTuK%^O_pfVRF-L z{pV-UZ0zP><277{bz7E}Fg&Zl{X5dxqn!^K%zD47Z6+o(o++N6+DzsvU(awnp1v;h zF1~PzboJ@kZ@O-iZE2Yy%XrmaUhZ8H6nxvsJyX;#MqqM2Idjf4w)Pch|vFTJxk zH2A+R>wO!0Br4{7sJ%C@b_(>qY0G+VZE)ZJ?V_Cv^w{hru>B&ba^)C< z+&ttwL!kA3p}B|3_`Z7|QsNQkyem`heS0!+glf~)(W$PkrZ)ZSTZ^xVn8_m7@x#?^ z%(2h%?*Q%nE!ya*!tq|m>H8eaS2^RiJwL1{%n(+;Q={j#8j52z-?g{7-S4)0D^9}5 zUnAt&zh>=NB^uu~^FK}W%lVj|GsU<5yV1@Pw0>94`tM%($=CV+0Frlpqr51Ih1S;c ze5WH$uz^eO+3Wf}*4q7o%)zI|_}@$Ey<5gdN9B4?MDA}8#;=LO-!E0XbBp10UGU?_Q)nEKD5>?CrpALLu_Qt7fLIsX77 z)q1yN^UT$79$(-3Uf8oRV=yUEqf1#%=3Kn}jki8#3nD9Vo>#NJjd>SAg0o?U0tI*BPBd(E_c_jh!%HJmS&cixA*ER2cF1R-^>EG+D_+EFtY zaysra%=%AC-^|K+UoJmQ>8#8Ki_W>fL+-E4Mz4hPZ$R&NFj+^5^6sb7x&y&qC*r`3Fnsy(!>FE9DRMZj{PrmhsZ{jh zE_=tcUcuWxCParKV6rkZ2&V)Hb0-))=BIbLb_+TWJ^7QN^b5+jqx1B8C!<@874p6- z)cT(0XCpHsAeRCdz$TPrjueIra(*y=!PpqYYrLm`^`AkvGBvo*BpdfHIhmOf zQxg$6F_{8VGnhGw=X_$%s`ZQ<4NsNvzNz2a%*uRsig%uuy`04Jenp<0*)}9~er@MI zm+3d>BQi2`GBP4Z3PdEMBPS-eFXa1wLh6}=8hm#G#JnOda_U*_|Gv;=^ z2d8NjeK(rvyKeT`W@|W~GVVM5)XB`m#z2-vOk`$eVdCVbDsjGLz4X2LOxNbT>W8HD zwz5Y@=X|H5cNfZYUBdalXXty}%%#V9ZvOz%I#!k^m-1>pyVu#wiI^Ffh&;i<1DuQ; z94J>Qb+y|gIDKXY3iN*(vc{P z%*dFC%q+l+$efswugLjU-_yEAKn{=2bRLh{S|dG27v}w+f3$Q(Zfnfn={-X;7pC)$ zYtTEpc@eAPeBZeA4XMdaW=P~=MrL4?PG(@i=2PT6$9w4;=ESd?^U6Mn)Z8o$FQ0P0 zkJ;bMEq@2+U6-NnWOBbB<~uJ;=--mQSIU*?eU+RzD9jnmg3OEvV+JxZGGKWhB(duK zJ91;1@?`ptVsVG0@_i?&cF&xhKbLWywcFY}8Xjfm9;@hf<}))OivnQs3nf`Gm=I9I z(P%cC=Z+S~nDAaF+P9Tisq$`z*!!{(3S3u}_db`i7=bC7naEo(qcb9B12SZBTz8Ic zJ!7ei$kF*{E9+gYteC68`44;PyL^ETU(40%JzMfKSLQqyr+4?~GG--GSrY@1Igm3s znVExIk@C&YrF4e^I$t``cm1u*jMedeaohL%d628kd9A-g>gFX{Z#w7u?&{`1P~yDj zt?&0mlQA$uGZHZbGmuf4kvStzlk)C|(YLK34tvdN`X5zgqFR0niS!QhVHq^Ie>m$s z4||!5WDo<_IM_)kafHf1ZJ=KfRbeeTaDa|$q|G7L*5U?wIqBMG`q4X%dFLpB<( z1K>T+cdQM6m+$?9ux=!2dH(3d%or(>oQRla2uVPcjAjroj`98Xsq~p68eb^q zJ!iCeNYmhapTG2d)?kM(ux2wdCj{_tWOE2|axol#mu9!sm9IqU*^s?2oM?R$v$|QDo;%I@&qLi#VMazl zW?+nrlxAj5MnMH`L(euogVQo3d9Od#`WIPhW_2EU$@g8|`6#2t`L|K%8^g?BA?DBY zuASon&v~A&(K~BnlbMDFF)=V%kvW4HbC?0md36s}>ugR2lgc!nr`XzqJy)CY{+-#~ z!;NpAdFQ3~_l`!No_TMh`X8R~skdUzwF^3H$N`$ptV4?pvM z_t5sGkzbMW_xh(#nK`KP-ZR#FYlInv8Im#(S(rE`IWrg}GM-1wHhz)QWRmpWbJT=Y(*q&cMX9S5ZL zcQPd6CMGi@Fr;%cFmo~{Lz?p)f2Vc!M>A9BoR6dT79h@tm+`)p-C83m-g)KTk?1=z z$VUg`-izq_TrA9qBLR$@B8-WE%orjPrJ^nEqG?%AiFpU5_PaRKc_(@69UOrwkDKv* z{{W%BVoD&gV>2@|2MaQC1~VcN$N0}->HGMZ4Hu8p^)AJ@F|FV{_q+66mSm%l^6j^z zbcd6gPch~FE4+NhWaebSE@C1=XCpFlGIA^NUR~1qA4`%-(Rt37(K`!ygRbH@Udy}N zNkX}=II-UJ&Wu)*&NV&PZFewrye|vW`WEV9X9T8VGI3=&k%yTfGYiVxpPb(Gu8{Io z&-vD?(R#btnX2J94?ynr(m7j?^KJKi(X?S)$C=Ng^-qz_H<)vNi``wEERl@N$;^z& z%pAeUoIF;8%XOZe*uMa^o^!|gKWS~uik@-8cm9QF%PMeQW8V56)-aRBc=ucB+rrE& zj6949n9RnE3<(IcF_n4v-drr3FY)gN^zPGg9G8}QU##>H1g%${@cy0Nzb11AC8L>{ zk&-haClV1e6?mT=?Y&EN85zy_R_9U7e|isez(wf1T!;&ax)Vd0&$pGh8ZIeo=48F`Zq%2#b|u{Pv|{m$tcxv zygy^z?8r{H7w4OwL*KUqd2ck2N9t_M4x@nc?^o>ZVc|r?j8065;T8@I6yXr7Mdg~0 zO6_e(H&^EzPowuY**Q!EZ6b6#&((K_onBdqg|L%r`d8IzGSkrFJ(fs)9`nJJ9H(fPM8>3zkioYr~g3*P!B zz_TgvK5gFmCd{%E#(76o>6^JYR}bWy?^fU8=44DBWX4Wpj$r0YW@N=(BDR}0%rgG~ zn*90L_j`JLr=EIesqdp8G=68K^v=zhg)x(nIXQz7hZ6!=I769Fh4Q~b@Ao7b9JiHE zs&uWvT@N_py$`y)7)av0!=m(Ff^tWn@$jOMz%#p#!!i>x%OjYH4yQ1_y zhH?W#=lY*O>up93vxnllF7ISfHMyTMyXbv6!B^67dJgNhxG*$14?F97ZTu8QP8JSC zn((qSGbb}L7AWSt`p-OlRX`hE*LBbWr4)BsG`I%|Rv<`_pa~AeAy|PR1=>Evo#K!X zC=%R?JH_4IX^~)s3Q(c){`qeHxtxo+n3=QBUhAyA)_=>rx}aW(_}0rhI`@{CLuH3~ zU%tfSpZ8xyFBCbVUPUzANHnhz+TTZydp@^Y$;!xP5kHt2P!UR)E*K3Wo)c5&PI&BS$HB-TOd(H&hmwFQ4Gq z-M^5+)qMTA;PR37M{`-I+3eEsDBjeCn~@Ce&~5{2dl71p4z*WO_q!Xa6Jj@R80C)`G1c{%}QGQ zbyfNP(_^$!qspQ3-n9#u&~?zUWr4F8=vXzaWijv74pbkvy*p%A@pv1;b+xDO9jJ!v zze(L0vpjw=jXL8PKRC?$mUZ(F{nH#xYaPoFokbD{md&6YUR>&H6Jq()`JNETbvM_N zcKzA)rf*H+9`@Vd&8yZ!{sR=BEIE+c-Gwnskt7Qe`EB0tMzx4kSNf>nKj>+`H2Yh{ zqdvag7bz@@Z%dXYZ+0FQM$T2xw|HT z_lQ(~SnLcee^L1ywq?gd_2SLF<;yr0eFqz6?_uoc2;aI9 z*E#5smXjeP0V3lWXS|=Q33gve$UApDKC!n9YnK%WeB`kZF0p4#G7}c93Mqb()T-vv zaPzNpkkQrYh{h2VsO5h`LE-#ht44B@v&~i_6yNZ<_|zqeK)Tx9>~;>sgO5{hUNxWu z`KVC$h&^NlWw`J20m^I#!{*Dv$%n~VW`7y&FWzx-7CtHb=8(6o6e#@FA!6z7(Q1a= zuX%~bI-fl5bH~e2Nx4gvxTTx33X)`lACVN_z(z&{-(HV|7Q!abD_`syowj@?0_^4s zeU*!1Dp`s@w7!a5w~Z39dwPE<)Ye%gWoBkZg)={2jFWpfJ;fnJc@Ut^3gVXn#RoI|D2$Elm=e#lry3V9R%b zM1J_4cJ1TD^waM??grLdbdT?Z!x*xU{y;d2S4&>%kp-!_Ro~1t#WR3q(FCBEMXaa; z&Nr7>Sx_x&;d7dQalP?_axFmVvEz;CPg?fJLOrK_3{o^Y9y0ziKq{>`6tAPWN>UA^TTQf$+zQx-Zw2sN^_x*>=9$a?UhP`$tHeyqFL`J{OmKw(bz=>t{Ky z^yu0HEkG(r6t4(okj?|@BZKJ<`jo7E=q2EyYPf` znvlN7xDZlLm90kHErVkC6Ul|}Jey6GNo+>qj8J0w40>>!(P?3|#d#_2>Eh4Fy4#*@ zDz9p{`-o>KL<_%NzAd}j=9495izkNA63_tw*rlXO76z)N?%EDB2C6wNgzJ1)Z-Jd) z*Kr{dLQ}oE1tEP`YdR$8Z+YRC@GyE4MF9pjIsznN1G8nZ8E1U#rupGbDsuAYt3&kC zMoOv&!&Goz(Xfoj^$TV~9#OxvjS+|L#&=6#V28qqJ&SK!{)`x!bBubz@WYY2yX(wB z*c{!<4s%!MU^`uft=n~|BUpkVZ2L-T9K`uR?SFtOKd6_Y!?WJ=&yNT)_o(xTz9uNM zk&&SmrMqLU;YS@PquJ4)lg6v|&gTurbKmz8yWO3C?Mro?7T#}>HNfA!*Stv0!n{#9 z>G`+Vz!N=2>Y8ZeO5@IXV7Lq<{Me*m)Lo1(T&X@k`3w8PFVD1F#_ zY2(UZ80E|ar`(JaxgnlND?L(uRP9OJY0o9Wds$<^@+!R2b*wVw_%7|>mlj|4$B>tE z$G?)7{B2(C*YsuT9jjdYx95YaQJ$y7!AOm9~F@pC*6&@fW{`l5&O?=VU^p^9575>1SdB|Aj7u z+NOY?N}fbW{CG8(vGgnZ?w--i#ESn;eAP{3&{)sB;=bmkIGYxYjEodcAHwTyOza4J zsx;X;od15_e_M38@%rz*_K>wH;`ah4s`viu7GmR}#7>A2c6Gw4$xj?u{*{}&_}l#m z<|jL=w6C#)cfIcSHCXHpok>-DoN(T=n`;;^iMQxuz)gxxuwrLe)4_?H6W|oOjIxO@ z_L48VC&*ALY(Kal@v^4lfn1et@32XK_9-LU_nP@ZSc#72^ZJi&eztKt-+w!can7(2 zC_ePypm0i5%AiGjO#SXnhzCpkLD#?G+V-#{yy9%0Qy(__^k>q`M#IB|^#IQIw%bo< zl6IaxBurpojTmVN^?rngb>t|QT5paZH%wiBw&0Z6wGZywy%E!UlpMeQ!=4YQ|AuJh zM`3U;cjHO#f8N`6Vv`ACD)o-efq}Bp`BL<*-*69P@^%+3S2h}z9*W;S)7da0^V6MH zmbeaOmCeYa1EcxCXgW49nt`bD8YWm4B00(48;bs3_SvnalEN7|Y5gm~_voP)SN^zn4CYWZPg z^3l&)%4j!f~2sq?Ca5BRq`}0R(8^p&G6bJ|NS~ zuiLBZQelDXy>R;XDE{SIr;G3x>{E|TAHsQ^^vQa}Rl>NGYYU%@g^7$n1_K+n6&Uj7 zNQvgq$5~{--)-l{FTtVm%}MxNi%WFm+?Vz4%dZpo%9Q2XK1Am0^>5gai|(x=!neQA z9W5veB(##UGEO!Uz($Yd&QhU(oppmP2W$@N1#E9Ve1~@VOZIQIl5ZL{hhcjK%^P^a z!1wFT(4J~BET>8e&jkI@B-=zZ>yV}F;Pz)Fv5CTaWU7_W$(d#U|I?|~gg}J8VBZF> zZ=o?Nx*Y2vwi-f{lR_y`34=2EGuV_&bT|WWnnPsE;nm7@1$M#j@56u$gVclYwDUhB zhOQ$6x$aszt`oV?BdGhE8J1Hn7ablI!3Ucmzx4)aJmw1bgHB#6T|v?=lvQ&b*YVFe z`tUywWPvW+&?sGAZk)4=;63t6uJK?lzYAWb;saF2zM_lVj!t~-g+w~+CCkHzrrqp> zi|4aXVXM~IDQqR(Lh$dnlt&t_hK_RtD40K{HAWym63e%Oa{UAIM5k{G9R^BW3Jwk0 zcYDaf)t*>y2enu7*-r-k6|`>laVF6Ds@u2Q-vroPvuUL63GxO~1qSMH$78QAxIROq z8OlD8^qg|VV%|Sl3n_FTSy5KfqP-w1*5sB-bl}RDahqfzuW8@jP+}*J-z(sre^u(yxSL7WO+gukMv&s zoDUJ>bWBl{<@eW`mz8q&hZqbibnFxvp8X8ERW;`|wjDXWyJ{c#uy*+K-}}1mK#>!| zCi86P(#SuWS8FiSGzOf(tNYr_rz59U)}C>Ja2@k52Df^Yfqv{mE^t zJuA%00T=0dZ1`5Pa--PT(#?5U!|3wOvxwOpjNTeAZ_Ti)bSU?nx0^`yvGCjH9(P68 zAGN6p8hiOyqh915zT0G$=KR6DH~oBY%rV*DvDsaRSsM-H%ZkQ0$h`OM^E2}LdHEEv zykXn?R(K}pul=N>B|gml#5xF9d(G*-->@a)9>^z4Q6U9I@vnIBJpgagTJ$i1l>^0+ijvVm@jIiqQBvhE)=BsFD}^blM+3Ru+CM*JnF~AY z`TJY;9%AIWuhH5aXgdAnx8E>I04z<&{6ZsiOsq z>4)eo-?CK76vd})eCN=_rs@jTo!<#k&q_pOZQpVvVkfbK=_)gc4y-d;*pVYq-P!ZI zx!gf&@2&<9+}Y>!p&*rl@V*Pz`_@xlcWP-XAIF! zWMGpPOPCo@W#Mh;2>Ipi)n#uCTiQS;{b>|f4Yrsj4eN&lEcoJtcy)i8q7e)r^xx4 z2-SQ>!;-D|@9DdXOLuy3Kh2M4J>Z1ZFL!>!l|5*tE{7*>TfTS#poj-jIO@yFl6&xx z$asCjzSUm)L?dnE2MWLZnyd3Z?<8EU^o$j8^2@f+{X;W}>5NynL(#y;Wjwg=4WdmY z6H79~Mrc?$Csh)7Gh)5ElI#Vw-5rImvEMJsw;TsNf7xAxN+izy_qgt?`@S~Xyzr>w zrNo}WJ@c`Ziyw1CoY;)~gvp_l$RRXARiCOW45{CTC5mu1yeT=WPuB#$vcz3T=un@A zDgTvN`O;!AxOvf$FBRDC?szce#Q$p)`VL2W<+CMiP^eS((5KLSu~RN{>JAxS+jzl6 z!s^5q`&ukTY+`U?aw2i`ROLHi{k|8bSo^y3`RUr`3_t4Cf4!dw8YE1Er154@$P^i8 z2UEu<`JYx`HgLWM8<-)Bj@Vi>k-!#$7lK*vj-cM6V2-g54V4OWH-%j72RX$jJ~!JT zBD$8d7fLi~BRZCg-`4M5W_-;2CZjJa<(NPW{YLuc9$f>z`g8YvK|bT6llEN#Em&qg zRa?2MCMX=pe)(A=l;3>rTG{W7m*K=}K+V|?V@1l$l!=*00^veGl&&&Z7|Pdh-Vrj# z+aX%9b5lS;t@~v5DqJ;=(Y-RQWyP13e)cI@VaS@RtGk<)G=sGLNLb={wu_HL0GY48 zG@{U#-G17Mz7C@lZfQXH=2U_Y$u(WLEBI)*J!EA7<%HVA6xy=;!Rew)BJ|yv&yoJG zqQ}0Qmxr=)SnWse|MMUvK_7Ie`mF;4JkZf#5^@GGty6Rc8f}}i;MX1DZmQ&GawmS4 zLi4_G<*HkmdZw}JIE0Jf<-un?;~fsWY?{=GhIo{3($2UJWT+eI(Bhq>m{C$1jA4a- zzl)n)80TL<=PLn9ob1`kjP?kx0Mq%ru%Ho8;}(gznm4B~gp-S&F;v3GF_zrtW}j(p&=` z`Qy~AcRt>ia!xwcTl*&k z8E&}ZC5zMYR$?sbPw>^SuPjO9p-9k6*=F7j@c5?)aSS?=3{8iQ1G5oZxiHX^pp7+3 zwt^Kt1cVoPcH7tL%RZgE-o2;onCiKD%C_KBz{kE99{1V%KS9y6R)GZ{0}AduVKM9s ziQ?;F_`pZULRF@Sza-Em_Fa-6?Zyw~Z0h;~e!|KsAU~*iM$hHeCHH~C-IvS`!8w@& zlO`^FqM1aF`C_=tm8OY0`A;%XVZH}f<_*g=z3)y_h#ONz4`Ga-`shWm;uvO3$m(A*U}Uoo;EhtuDpad15A(P6tSlJ98h9 zA?1?xZrZ1QF@aI~gjqm1o8$v--EL&3e2cWaQdT&hH2dhFlADdvooM(0kS)#)E%KZ( zroAt&@geO;VPS0J1D^Ce5@x_h(>_fZ5wQ#)Xv_$f;USjw3B60TsQavDOC&ZqsfHO{ z$0}-I^XcO`gMJCdmlS7AmZVtoOs~lCNS@l78}UdUgLNKxH_mPdLnhxNCgv_+j$wIC z+l5Ur6)yH>A!l{X#AbKKEWdP~uw!aV`B)N9BrT;m6EiqKmx70gHo3UaKX;-Migl&n z_$Un~5@$_75>HGCe2o{CnVOkik>Ml`06+;aGeHPkW?E;=R?+%5MDGqiWje+Y1m|I zvkzR!pL42mw(_PFpx3A|u-Ir=)}V3WeIUj_nOg)LaB^i#lZ#_xU>$}t81-th8`$Ma z2LK)ndQQc*;j%JWvxcHI>TxSaG8)fZ>yB2eKGCXpRWgo=GI*hw;&Qd54O}RZU=E}l z-crFlBU$b!<*Op-%9fKmIcIMjF7_aXyF9y2Et;Jr=(npqZeW*+&ZLpOj-+Y>w!^+& z>^&!OImOakT4H3omRloc;`iRyn=iB)EY?hfxa@Cp(_3p|HcEzx!x9}t3PGx41li9V z$x5#qgd>;&Kz7t#Z)}slDVwzH?n=V0o}kF1w6PR>kmqPNQb$qyo+m50wuuc~=_VyF zy@~J$;BB6X_Qo?dd0LtDF8GoSi-PGFr}e=z+&R(->Dqr$NoH*jAN$q5K#zz15-saq zRgq=Sw3B_yOYz>eetlC6ppEeoBH&cjMt`#3LUW2sy=4+^DtN!35Yl-5d98^l55-;p z_nRP}t79+=zGBkfuB&(CXmpvY^-U7lHTP=}#!r$W5vo@;(;5*1z+`)9!}|T55~ej2gI{OZtMjEH=$kTqQkVzD5-jN@BIPLA9F#_N(ozL>6}! z#K6;4KZ&C82WgIiPWpxIn>JDdr)8e4P2@RN`K2`x988%$f|gpFYn$y;Gs(xU!D9wM zcC_u&)KPDaCPpi_&cJWS_tA*&IXaK!=FW{8#+odeH>YNLj72xNR3j{Wk=O2blrM87 zUF!YZ7b%jNF61Xfs$X;6SzK&11Tmho%(LNCb9A^y*4)xN>cs!rzbwE^+-DlXYsl0a z$o-h95}D4q@@}-~3?BO4Ah|B*G8X6S)qc+S5#YCMY0GFKoI8cDeTHjuZ)p4Oe%16m z*jLtDFGT&6qiIFr=_=CpeO+Et!p?fqMU&0-rgeuv(f?h5 z|9=q?laP{;-}~PdfP#pamT>!2uViAtk~fGlu@%ag$)-+%q@-qzV^Ak1PY0BMq{sme zSj;t@Sxc83O>&?B6Uv7e0qNe{HsM+FBs0p-T$L15M7=x9CR>j1rkJKwN_k2tN77Yc zc9;^>6BjdB0$(X+ql%HnkvQqcY|%=D6qO3cbjTpO^@Kk{^!P7hn(gT$B_Yo7LXI0k z)xO#QG8h0x6)$zlU}#7Rvzydf1OYQE(g)O~GF}5->vf2sl-?QAhoSD<$1RgK4_-91 zLsjt3n{P2A5uU3$k5K5QQ24I@YKXC2xBY_IWd5p3QBrrpnk5$q@b_v3?aTrS%tr&b-qxl@dIgQ ze0=Ebr=BLZYMPQ$p13G2)6kN$dYa8mSt6A^SQD8d09+X3#lqj=001H}Qu*Tq(n}BZ zLVYjWnrz^zP-#;2q`iJ?2H4^ZK^vE(!F$gY?cXIf9+ww#;Hy6!wQrKUm$PI@Sxr<@ zETYb!83MgBtDeL7RMlcPwW8@c;F>{G&;YMyn@LmgWyTcv>zd$f#vN-SI!nDBrP*kz zgqZVK&P`JDh3VwlfD%F0G3C?3I~#xq5rrrDLKe`Vb)nG?W8=)DQ0(EQnTgU`;4N}y zHRXwalh?S3LeMIgYEee{E@C(Uh@xj^>C!_~RlS)uLpG?u=v@2b(f&hcx;O&^*qu!Z z6M(^ph?zgiQY(r<#0<9(x$GY)#TpIOTUB2ov6_FJhA$!<9RU+H;M}Ctka8OP74H7P zT~Tvwt);H?ERlsmsUrsU&l0fq3L?q3EFr^-J0-kXhR?W#Gl`0>Ts_@{!Zi&IU1H3- z;Pk7wcqr)r-}oSooSkrhmqPghBdP^~7 z|EsWdr}cj&(79fLpi7>6JHZoiX((E zdP{myz9f1C>wDxB>&k`{&g1aspMQ;us)E8bjsR+a9w1|(dMH0B#6f#eyQLxxE8Z2Zoet!O<^GeH(-Z@J1hBY5vSJru) z{Oo;Mv|ijOiT-eP+ozOWE+aQt;a2Shx@R!@@#e1$GXSj>1#1zZ3a7BTR+sYkTyie_ zX1#;IlDkoIU@0Y%!empk>gxB5V;ah!QY!^>*F8aBtCqTM3*2>n!Kynu3&nVZL?)}} zx#}wUc`L31O3cPZBC*!N!N>*`3t}Js`?(Z0W*VHCTD8sg84@R+1NnKqKSEq~CvF_M z)$u;+Idb}ly{;p;0V~k=frDRjler%5>#{+*F$bsn`Dczu8zO#-CK;agMItvf-HIO0 zE)xTG+A+X=Q%aYaSW4Ua6GaA4S3cIG?1`;i&)0cvO(GhrEUKg=n0baxPO%Ufs@|!b z9xYK6E_V{vAMf|=nCzj%6XnILFmLSFr*3dcM3kPOpWQTkcciBOMJoV6P64QEbIj$e zIWcT$vwbR$h=7;MS~VoxDnD2LH7x{y;X$Aog{n4*Z=K}|U~f|^eCmyxH2kFSA}wcB zEi}~VPVT`P;(A|he*IRhob#!|abv~ZJ(DWm8fzp7kX0#OZl1EGp$FJf2ki2&28yP# z@NIQl(06mq%LiJxy*KEHUKn|2NSrc|JDRp;DqrECP!X0Fu0}i?a9-Ddt*eNt`Lp-v zU#c&IQS|Zcfw^Xg2gOFq3^7xnM(qc1Z0jYpj*zQM7|U6@R;c` z8sG1I^k(gKWqfkvU(av9em`LcY z2Zto?U}Le?dZLVzk;^y#mCUb7`w&N}vj8Nk&GiUn<9oe>_Xi{+o^u8cx# zgRRZwMt;7hS9+CqYEFoO%rn;gboZ_+ShfrypeZOWsl>r}>m*d;#|^lTBJqh^J~^6k z=(d~Ni?g;^kvD!sd$@9j(M}N}QYSH-*R#f)J#Y|N>9Dp5exT~_=g>}3kjNiuN^&~Z z`K#-g0ApG~+ZhUhiFKPu`KWB9QYx7TQZRp^ZLR{*TE5T~iyztHV3=!zAFxJC8j%-%jB{#$jlZ==l!S{2(S z5}D(N8{RJH_kJ7MZxJs-@y%OV`q4JZY*lA^+PHWM55dLB8jMUCcXe7sCiTB{Ti>`s z)JsVi`k{CqsEu7C6v?o{~_zT6hU89CfjXc!qgV2@3 z*ma%ULZR+>(79FlLo+>qu^s6Yw_ja+hkVn~@#!Z7BW_{V&D>_sFI|g-)l{r*S=&lm zTV2aOCh)M)C`~3+$(24DCZYIyQMPJ;AL>Z@q4eB3x2L`4%h>M9*g>-Yl9Ow3{XMC; z<7cr07%rTA+x(OCZ*M(TZxJ}bhlWSq205B$`6CBYv^np*p|buXJjn$l4WHFQ?YW@{ z=`giCqkwRblo@m^S_KcH?d;PJRw8w0K0EIBLBooj0&HohrnGM6^+GyJE^mKl%`de2xoUn7NW zXLGiz2IN@grkIz;eGSCNa23)e_R^)0jN-<7k0n2BYrA-eam#aS4*4) z;cnfsKJcj2h4&&z5`|{H3ia2)oU1lkJ&c{*q)Pg%^oe0#V)eEgwFL5jw!X`_X?xb4 zVPBmrwBHaBSUZ!l+tYusd|2m|+N1Xnf}T$FY)V(na{PYFr*KxrofHh6Syr&I-8F5L z)mDvllum}C_lwkCIRV}U{%zN3 z$1RCRA$)cgZM3H-9yR0WH;Z?NWH13sVuTk+OAgnizI30ygx6$gUI!uIJ5gz2K(5I^ zWb`NB2N{=eDtu6|fMQe)I?=~Kfswffnh9K>$lmzsd=58UmJ7E%n@Z3+Kfg+xIc2lS zjagO~!sNA~p*rJnlw%fSxBkdi_gYoo1jlmdnUSpnB7lnxRF$!%fpy3gFv&OJQNs8y zr!$bZ7{Gmr%PU^eLXY}iHAECz7+3*IVi}Mmx!Q(YXxdOQBc zZ^+hhBU5jQ8Bu{fXRbhZGc+-TWix-vjIr0}FfEZ!%#1?L%&Okcp=o8#!kU^F&Q@>B z1;R97)DTK_W3@*Gp4rJm_r2IPsajc~YOd zxdN<$&@ZvkRA?S5*L~SHc=4Xt_RiQPxBXPR%ZHej+rSlK9xS6&FVj8I+p?_9tCR6e ziFU_m&ap&RdLxo23vFZPDHw)pXP^5%FW~!t>`1^b%2jsow*G6U3I3ACMNfS~&ju0Z z(FQ;M@^kaXVNPK)M|%TcxFF?UMb&TcXM#xILCk`aLLkW8X|Oh~%g5`GqH6eJ7(R@0*NAvPg;nXdx{6O{_fE2BXbxcB{o^B3ihma`ATh(5BKb*XSW-Dk0KN0p#u`aIkY3|0%pWvg!nEs zmdxq9$N$xn5k>nNb)N2O$(Oe3?PR->Fwo!AOQ3I!<0vdhkmr z>{j-4^kg-vUN31m$=;e zrN^r;J^*!vn`+v)zYd4S)a?tOrL4SWS57A|ROEvK2{DW9;ZBQb^XN|{@1RE6D~Y!J zkdo;<%~HFu7?H5`x9@;meHMaW_Pl$(zudcEC3kDKvsq`SW7qH$3F``P?crR5i-2YX zm`i*{W+PO|22<=d;ZHsF-ax||v3$dug~Fua3&i=L=t zAtn`k9Rv^#Jdarr_8n9wjm=DFm*b;Y@+%=^%_`rkzsb$hRn)E;K0s~ny}{-J+wvyk>-g^NQ|Xi1yZ4ah0tVg~#nhQ` z%Yy8$tDWO~WfBfgEyDu~Y}9A!1jWMx{F(Q>+B!LmO3Snyf{Uv88w3P2(U#^F`M^_a zpQLog1Z$nU^dyj(H08~=lWPYDhX0(-WquKyS`PnszWnc*nz3ZrCxNnJN1sAxxnbH1^!ERQPzCzJ>r3LxfFSnXGWpfKpRE-dh|^P_K6H*q>e24r*gX=yv*9WhGs;^rk3vZ4LM?B*7{- zwx}=ckbAJx(wNC5rHkgT+i-MVrF~1$3(y$?DVJvga33>tcsC)O#i2b_m1kP8bfJXS z3>Pd~smPFE7Y27PQtNanG%7Ev28hep845Ito}*8X>P4BB=iTqOhxPolHo3`ux9&Ad z6_>@hnR_NqEYQK<7Y$Mnb2fhaj&=f>+1~X?o!n)~6o|<;=guGsN>Nf6RN#e~5QT$< z<*&tuP|DSgmCU*M;vtBrzMpe3Zn8bYwV30YE;Dm_qBM=E>Vho|ei>~asEaT)DqfFU zPC%^9#gD<)O|&>iqrsmBj}VGr0N+|lZELUrZShM>1&!5uHA13Awt4P9(c zPM=@Ar#c-CLFvM;L)+j28_RF-}qMjgM0 zfVvs#MDvr@)Qz6e$BlILKZ(7#by)35w?Hy5QgS$m5gq6?usM7-^0_%XD5}kw^7~qU zX+++P^U+JfPE$+Tb)762`M5l%i7Aw^7y)tqZqGv2oyL|+OOMJbX+x^Kt+Xlzs!fAF zf{Wkeeu?XoY^!fIGm#g@RIih0k+~FjU5^x(+kTs-GhcFC7(C6+=0B6K5PGp%_lX8U zv!h<^j*v;>hv3hgbIVKW_6poZjO?1Ej9Nm&rWkzOkuvseVoz1C)90UEh}zQ&NywHm zZAwCOEvyg%$lkFNq!~|pK9Q6O5nnM>-EL0l6aBGmY#^mMD5vfQThI3;A~!?%m~ zjdnknlu~8u?!rEF!)vS(=d@bsgx?{=$Z=e>z%4J|4yr^*xe(WKK77?f4pHEYt62)p zWj~cdYlCvp$p-qq&@*7`NevPIwy|Oa73wrBEqAd1Vw1F?El?2@mjoeijuiC7IFo?@ z*!Cm+c#u>%w}xX5lsU^WyStD(n4*@+3Fp*slnSjLIkOYLEQgty$yb)3a?Gu_;06hM zAEv^AURg^hTs&MMu;FX4k~@$RS7fRQ$~Dtx9julxM|S$?7qG_|a4w0{==1xzmT|4D z4itJHz4)xV6y)AbN&%&Bc*4-p@lve16cHJKspl49UCNxsm?U{}Z&Cz994ARn^#C+7 zc}Ux29=o(mM&|S_0kGXx(9X&j=Wc`v7G;cRwcw1nZDqUu5*oaOY$rGso`BWH&qIf+ zh&5deuT>gtd;5U4&{}k>HAS<|v9zdWwIlc$v_)Kq3Su^D2nA3dKbWf#u6LWjQMFfC zW6XZNvW+g=`0;}!`r0};Vqg96?Q!qVTeX5ZyT?4UjeR%Sy6A75h5y-J2pY1GKjpf9 ztNWu6Tj&EVm#PX2dsVcFED?TVXjO-yt=WND1moN+w1F5z1xWrhW>afaCWaee$_IO= zRZNPZRj{<4j{Ul4F8S%N?8BH*wYTkOZ$%HCA4Xi{{CPi3{y%`*Ma~yB=l;)a7sS_Q z0sn$;pCDdrpD(}+V{69=fBV2Jz=>K?6-YIEs<}kxUu`N``&N0MEI3~&AV&CQI1T`x zq}HyNjUgtsTBug)iEI9;rqg;i?>PRUnMHT=$9T@yugSOl>*n}ZP7lvtMIL^^!XLX_ zHFd>@{o4I~qAb50C!jq1x`JNN1nHS=(L_T*JDr@0s_ve)E~ryD*;f74n&*NgSOz3* zUn=DY@bP=*T4a#ErqFA8pcIUBxprVC-?#q6cl1KDN9`b`UoxKWw7(`V>l>@}_eTT& zZ1DzXztfI!fS94LtLTUG@1qIWC!)A5QY;FJrM(wD80b?oOe*Tp46ym2KhNeYX_Vk$|uh zuH9x&=I%WT|EL*w*X582I?60ZOanQBh_!`V3tWcm&mK~}qylDz2ekEGWOukSW(GrN z$8KEmvcsOa15H6Wt|_Vr$HdYTyd*Q>`P#Dx#faXM{(v zXjzeoIIm{=wDJNcf0BVtCIl|RPmXc+8MD9fcrn#&KFe5383EJkFiXzdYGG{K<5LJm zKYH=~6z*w9g|}9FJmqHpKY;1cb6wqzo0b+b%$BR05`OrBcTMX|vMKznp&LR*ImV7M zsYQG&TyU9=Q3qX9GSZ_NlBqt3BbkU8onlU<9Wpoi%0&bc5m)336&IDtC@?#2q%I}NsxK@NH$xhft*%3 z3LGUs3Z^tFtD|U>I2Fiqku9@e;91H28lKlfb~~y zbhB}nwDqL;FZKz+n?*epA}Jk^%_j<_(-6CO>>k=EO<@*q;T}8U@Dm2cm8SxDPuL}q zmDp{xuK)rpZ}I?^R8e8|x^!v!r1_2}ccr5uP$V?_#j`@S_~jQiOfMfV_lM^q|3^MD+ZLin!$YKrdRU|b$)h_6NYNKZ1>+lIS$oT zye3LR6nI3nX`ska*L$)S{yFOjU;AhaQGpA5sMWnitv0^tZHKil{*{+aRCiy5y2~QttnRu_ttg@0hsew4JUp5g!p`Sclj?q=dA*&#WM}7qe zirEYXZ;S#4OE%&Xjmkkc`k>cAdcfEEhA?wyouKP_(#)P&w^vW5gp2lD_HF1rQw8=M zLQFr|rGekQR5f(YQ{WYE79UuGS3|v}Ou2KY;T3TenGnaC3t<Y*6Ca$uWyw4D3PIOU#*ZG^FM*<+)?hNI&^GtHEB+`Jj3avjQIsmp&%hTw0tS}6(g<{ktpN5DzI%++>mrM8-jt<`P3($}q%7T7AzcGCOK=uuac zUW|F`iCEC4QIckZx_Pr1Wv8onc71zHR_+04XWeE>ouD8dYOv5Kt{M z{Y-JO+D0+YP$;vhwUBt)hB|HsF;ib-RxU@DX z>h&7_dwYEIvY{&=5X9I#?rVd+DQ9Fx3s{)KNBC=HZii~bO@& zb^e^Mp=TZZa>EItwfpWRYjUMi?W3coA9>N(OBoUTpKHUfpYPsV|Jr9E4gTBchZb*g z3aiZRrZj{4a(7me)*x$m6N1fRXpSskio%qDeCe!!h(oE4D8%JlhqXkSJ&ARH0LHmZ zFUh-%t$5b!NFHAsrYakDQQ-`ocMjc8H2?6^hyL`pq{EB<0n%dM7;lGcwG>U&>CSIx zPf@mxrB5yzwsSA@+ocF0_}lcn@HSqyfra`NHIlDTa?6xP7*s?4RD@J()UUl{2Xkju z?W+=YkJK%W(X;2uGpR~a#M1TR5@}%_Z)g8}xBcdQIl$aJIca+o*XXOS`+vxo*_hGoQ_JA!|)v?qT zTGI=6N2cx`WN3hNiZds-mw+j)iDyBT;$E)q%GMZUeITp`h2tT(h2@Wo$`fn)ScXA~ z^oYDTdiUy2iZUHo8Uk0lXp?0khWwnOm$F9KaL!hU;Vi?o<;w4kFiC(m2?YlanJ8$K+fAQ1(~X`nzvi383>sc;u30l{9T;n@ zpe_{3U(-81?pDRs@JVOkm{UxmSAH?H^W`tiB!beq zAUHotzY_znaiIFRl9+(2O80@|`Iil=MQiac)vW1cl#3+#`4k-HCUH|xBKe7$>Rh+k z_IisAMc?Y0++|pK2~~oRyIIp_&8jc9vYK)YzC($a;MEQ6uo8tj(_WdQ^x)lm*wy7K zP+>ssnSAzw0mRQ_!<(MEI=5UYSQxaZpGn0q1q!VhDl%%r?lqsAmA16WAow53!E_4Q z#APwQN=(i>NfPmaSZM3YBplRW&2B*HV}TJgL`S&LENj`5$ktOID@V?nMO)xG^z)06 zE2+XY92wd&QHUs@r*mzl#!~h&%5F~xd#~(zs`zVp6>vDK!E zPRci{@~3(yu%W=S3+ej?y&Bl**$-)R|7L|(-ep?}2-q?4Gt3`_?W}f$R$hn;S)XCe z=iIg>zAS3}a*$UEE8KhAxP=t86S0R5J{UNoo5hC}S%lqu4|muPYTYGAY15j=DdnC@ zy?Hn=&9c~GS@!9&E>$X>mZ<^BPP_FgH2KK!_}TvXZw{mYZMr-$pBDGmSKfs=Y$10~ zA-fGW4q@#Wt<#$FL;Q<*3Gy`e+OxifR1~xzEQQG|D!Y+o7Lx9yyYM5j6&olF*`eE$e-`QQA@Bc3r2uXzLk)+gGl5S_&VqyvuN5DAto^8GXIu(0p`h;OMSWF^kZAZHK)+@9ZF2l0 z!i?DGa67F_YUf?YsaAr7ox;Q!^W)w=B}78e-33T=XUqog=9k+ zv@Y~WIP!AHrL2dtk?1z4yyqs3@k8`nqAg+l%%llEzf?n(}f zjbD8GRGryq5L=3uI4|b7S@lDt@w!ZY@0#zAzk%UD^FCj@WAAaj@W?d4|03+K*Z%GG z^TX?UEr;3op8Gs@w+4TG{oVd;xx)AUjbg>^V)xxUcy3ZkAqp7VkZryYIk1or%^x^F znY#$n)-Gv~(xNTPdcw1H8g`vzw6XS1;pXiJ-h0C6>Ta0wyN&ZJw^uIOmp&Ri&0Ig9 z`~4rljDzad_3OH~*4x5<|E)9LeVLWI^`~E^_6LTJR?{Ztc$wkfe}F(+`RLr^Kb=>a z&t~)q_R35yOh@5*56Vt|dVV0aDESU9d<_%CBljW&wGCv7FMSdvon;!9YmR+5mJ9Cq z_^OfFMWD>7=H{)9>kGm54HBV;MVrSzf8RB+yOwy>;N4M&t|G%>2x&MW$KcDKgTI{> zw=KJ0YY54P3!Ex0oz>(8vh|OgbKdlRivYyICE$EeA1hpHJ{{e#zpp-aZv5q*b5EtG<2(0!wbeF4=YQYS2W+@uG-Jg_ ze~QLF8#HV*U6T!cBKqd6amTg#Ww#(+>UdeB;&gu5$c}sKBviy(?`u^IJhwEfVD3+6 z>VBBhs{-EqFGrzR#V2nH>87`;EA&47T3W7aers?Q>HDjq>AT_eDK_*aQJa56Rd)AYNReeLif-}`opJr*W~Jujxsty2`lnLnaHD&wfA;9F zyuUN5KoXcmY`FRE&F$d3rjY^em%OvL-ueB;^&4HSXj^z%oPNCg^s@ztMMU>z_KEzz zmFnSjOY;fcn>V+9{to{Zti}Tb-Q~>w{FlB2MvsF%ky;J->#g!(XrXXxJ#k~K=4>_x z(88s`9`N+|JhF339G2|czT__p+fzM=!PHVl$h$oA&ntQc_{BWSKXHb zJ8B!J#dp_NW)I);sW!DyN}8|k{kHB|7pxW-OW#rosoVMcoA0%uOX$zh+Q8Pl6NOA-T8o6@PzpWJNTKjZZq|J2-1A?|r|<_W24+rdez zw6!H?lK1bho;_3(>d;7>y7+i6lGQv$E;RcJ`FB>j8^_q_IbC~k?R;I96a+i<_z8db z%9IO%F}wIAl&f-PFChi=N&I1`^oh^k!oxK27F-C$*iM8HfC-Ll?(`ink zLUP^%+(Bc44?uRMv+U&G4*B$+J0K-wH0#(e=}g z@AFB^?7dqpN(TL>O5|GF-pg0`w+_FyUq$y!vU}V=E|RG{EgW>VJbk%6bl!5&iHj_8 z1%O!*@06|6e|c*o&$iAx0*6kOnlXS1)}bk0BjP0N$^B(3XX2E`=;MD+r#!Qwm@V#? z`BY|a%eCv3O?#~soZl552_Gd7)pa%-2`R|7Hyw3^hez$cb;akLuUrdT2~3kX*x8O> zdQ@n!&eZwf(!lCr$WOzHzGeQ=?cK0zrW~h_j1^nYe{vd)jn$QY92xhg)aJh1`R(&M z_4fBai9f?0+|2#qe?GTjUBK$Tcl5~5b9(dTU)0gL^uim133XSL!w& zjn&S4G4A+z9lUcUr!e}!V)pBf?)KpME8~`9vNnb7nK<@z{Z00%>Ep$r9i``|F`-H_ zG1kT2Pe#t$t*o0PGB1K3Ph0;?+TNcyl{-EUzOdd_@h#$dlG?lT<1A>I?{3NQySlp0 zfxf>5fASWlkAF2TEcM^zxdfY?+Z0W48UV4hp^T zPmR>0``LlzTLF4W(-Q~5g0g=)493Z0XKfvvqATa8?Oes2o?m~3{+!!;yxeCU5XO~U z+I+WoXedlkDX=0tp*75#o&K*k*A){{`MSxq4J+&Zm>u_R-NL`V3YLrQg*onRtgkl}RmS~R6czEd{tv)Z z_hLs+c-VMs>uUuH`SbhZ-Z>w(oE&F(X za^pkDozP+aW<4eJ*`Hy*g^8np{<)K1@?i`sqVOAM{(eErVLt+X3H)dYYPkp#y;T}) z9jcUU(Nom!&R--|y(K=Ap8UrBEWF14E@UI&+rmf66j>?a^=dntpS-m8VP^U$>b;)$ z{?{PO>|O8mr`}a^k48Q(oG&I_gog|L_>++ zuk-%!(B-$(U{KK71mjQnfAiC!Kl>E#l*d)RT2hpZ+TqkOeueyfCvT<(FS#}Z=H6yocxU3GxPi%Hh70UEC78GJ{CtEN$hILq2%|BcUE>f`m`%khHudptb zSRo;KFStlgx~T3tSD|%wQriXFqs0q~`sy?vVcnz@(0Y1);OE(Iv=cPGQn~3^SIK34MC0oI zdQtde)w!bodFsN4*Jmbxri;+-)=uYxuG?=PP3-?FWcv~Lxcuyw9J7rxk(Vxzw!FF9QhPk4cLik#NqN1pD(a-KqnaZKDWRt2*$1tn#@Y^0k33;m5g8%%p zUzj?%W@UbU=&h1nWKz04(P_fGwHe@Bi?aFp>Z`)VO6O3yb+M%L%5x{hf?&?n9y#-S zBJt@ur)`hPRp-qVS+#D}{?AKic72=6NZ#6)j$WbqTM>l==j`M9pWLCv6Q32Yu=pVB zw5N)S|D;~k%1O?=&(D2OzU5d~@~ecM)5fxI9QELZGTNCgZxTO;-xxoAn^Fuv#xfnJa|*2Qa=CLS+Mify?&%cV9>{v%CV$74O^MJ zj~?6&XuHb(!LM}UdF$rx_oI%HUox*&|KoL9@8cZzjejxR)~PR z8y>5`(pk58V!t=TX6B0Wl%j_3EJT^@bR}K<;|5Wxx1!4!PZLM@5v0 zDKPtOe};G6<@U1;vVtqW31j|HO{GZiLg(0rR=@Rr-QiopVK?QumO{-uGx0jugO`nC zVeiVrzuge6{&P_5;8U^V(-#(e6lcP&aNDxwbUK&|cTi~pH!0X9&ul8(kyrSfaT;S= zmOn4oBEMfgcfr~6Pj7UaOg<_1D4Sk3S0>-GPW%$$e{!OD=ltDvc%5|7VY+c#CAcEU zc!|wtdHHGah*jpc>z#r;zZE{U({=PBZZ$+hMsvMV;nL3eVDm~k`>rJa_z7Z%%u<;3 zrLRum$K-|B>VodFZt%B_zKe^{RX@+6t7V>o-pJycGNluB)~G|?AF@!)4<|;FmX1x0o=Pl8NG&LRvzA|&SRHK+oeB5N`WcYl+e zUow+>igOnAI7$kUJu8%1b#*sjUDBzyC=dNnGr+;V8Tv4|Fb zvA3NWJhE>TD>WT^$++$HnPt9{)$u|3AbR2b!r7rz_VCfkuoEZLj#4Gze%k57ZPCY3 zE!(Tb8`n5tDydqKYteg z{N{VSuOstP;p}B%h=cQVrBH2+(Ax!8^I@EQ11IBo)z{Y{CY+fgS>4UyGzbU%> zp(wdnEnn0Mc?-w9X<_S>yWWvp^m>O}ROHG}E-+*=x7@z7csLL^=Cn^me#Lg%U6`j$ zzJcs^_~Z9(?y~v%=6S(l)y6m9c3;h;eGW74$i6k%O-kcRv#2r5;wQXPZ0iKtqICLfbnLktY z#LMO+fx+Ns$CFGOpXD$9a*gz{?Pd;co%|UNJ{qz&%20|QD=xof6ul$-h|D?N-7Mv9 zx?lAD8}HdmBgc`q*rm2ci4`TER%GTn%iArZ#Y9f-eiQU%tee1+qnXEG6({YF1?Iv< zcQ)&{Bk1NJiVkirJu(eTE;enqt@T3@uq=g!wwB-C(3iRnaIguVU%VcvHW8Eh{6?bs z-u1>zcZtT~VS&-*RN+l|i~QHuN~*Teu=s{HX$O4Y#z$ZObh5BR&6tMZT6ctqftom< zLACH;-owNe7eCT`F$ILl{(nK3luWk&_xoQE=Ko+YA^*i-rl>&0Y2-nOc~&~g!I~Tx z2nErGRROS$;_+yD5H}BULpOyA(esJJ4(tNTm)D9yTtY?N$Z9!ZXXSRG2hr2W!?j;9 zf>rDR%Bl2#7yzP!c0v2NJ?!pb_=GUy2^iy6>&KBkJ!?f?E-s>_C9v@naWQy}sV_Zx zyoauV*o&Ige2U?QiUEw>kuYwXJXTs48-ORHl!^{uSGEyDpr~Az$$}o& z+EL>AGze*$G%F#w2oeBXTO`3>*hJ71Vrl4r6wkOD4FrNsbRhtSndL(P2o)a$&S;t> zA53c4j!s~FFMZ9DMx2v_8`Fn~r6wknGw8zr79K|g0N3Z$24HXqpveJ>W2J^g5Rg1^ zUc-F^44vwvCqN^w{`=59yNHEU(Q%;lFjPr&p z;y}EMPcDI)~6WpRrc~ zW|gzQDjopkNy?tNabChLWP=kP)?YgHL`hh=XL$hrFC0E9DeFU%2&Mo?I1#x)LZy~W zWb$&VD#AUm9=U?|nsRPEQU3KS(zrP%JrBmG6=#^sUAWzp?;K6TgqqW;woqVd=@^kr zO|hQH0eJUHs5f$7id3@cYzx>s;_qYfv2kz@V77iPuU= zLx_89l{i=ygROK;(`Of#T4M=jPVsy;=^y4JVn$WZ;y8j^pT+uqZ^kkL zBdXCdx=2;AR8tU^3XKLcu~NQSh-5adaonH`&gz1R(5Ge-RA;fe{+xn&j+MEm?qfLP z8$_4-RTUAtZ~pR_ZD`xw14K{P%J>vJLK*NVU|LKoo{$LuvCv5mXpHJ&y|=Pqy$`Tx zoKBL|^#xZtl{p{&qX!`6^JfzQprbs-s@>R~+@`2{=PBu^d z9HRNv+9=Wz=(@W$C|Ws-c3$)c2@PZ`HDb# z9aI)+?FS(j$k+`N7t;GKN`B15kjJ&ul1UaA7Ho1br$adFZWj0oi#l1GCdSIUi`0I3AyyyqPZzT2!VL1Tga$Xzd;UtyK>)$?dZ~FuorqA}85HI@@_| zBs(&V$h$;aqwLt9&!P!7CC_S=VAoeT{kq*YyUm^LMiU=716IG!ASACMn64=`tr%{+C~j>l|myj3Y%9vm#*rehjM~n+vrwBt*<9k z(a>V0AI@v?=T}37g{T`gAF1S#ri>s7S)^HbRTU8(7@l(UOu>9Pa?fCrK4t7 zPCVGz*i<`B)tunPJo3NwDpa}XcSEV>eQJBBv<2m{u>y3XI0S-WO>^f|?M`4xLQ8nC zD|=bJ-0_U`$w}$!LBPIA3ZRC(T-11w)MmSrN5h4!ucM8$eV7q+W}jrpwE&M`#$vpu zT9e(oqax2jUUf0ydaVS$2%L_xjl7%XJ7p=KZ%#SYRmv%-+qe{*^c)>6+>RYYUynrZ zjG>J$zNCmpvZm0WE?Kdg!}bh&7p@_jgPB{_qhy9^UIU;k{q(EpT3J(5`k6zoUEBp# z$@YfuriHp}nvp2>in;0TF=-AjU#AItL}?s!0qm^l*-i;Y>eCiX5yT{k4-kWUp?2I>h3-{Fk+b+l}1- zc~%*-$j`8;OCsr3iq+C1kaiYm8}s4awl!X-7dJH~{4QM?!G#pn+ny{|McQenIte&ZXCQPKE9J`3i) zjHmG4=Y{gF(b%il+fRs#a^Yq-)pi)dLt+3p9W?U7Sxz^dfEf^Cg=iXr4O4!8eK~x- zula7U7L`Tyv-V+;NMJf;#^pdq81Tw_3Tk7eP&7mzg_mQXQ%;^Mgy-MlHqCI+|i3zt5NeajdGU6`0{ZxPpA zSb1U^2v=^S)%~U9Ro`d2rlAL*y=Ij%!rS=S1=fpTZ&5|i+)`cfwlqxypAJk>s+{Hq zrl@ClXmXOx`^N4xLQv~)p7l{>#mkE2XeUCQcE!=rTR~TSYFi3kT{nr^0Iwo2X$VaE z5$#<;^!&^_9yWpq&})ZM5@qP+6Vokq<*||5i4 zrJ{W9T>v~!CADE3AqHJ-*r|KnA~#OYYgWs{NQ#vP5PgeuT6C8&OIy*W)AoE#uVMW} z-Y<{`gUD5t zzBbJikC)+fC5aQp(-+EuNHD)`Z7Qq8X!S0ZsP_%X8hd?h>OUq) zB`jVt?g7)@&$vQCkW*+eyZ9X2#bm-Pz(FKuPk@kGw;2TzGyp;ceZ($%f_5z<;f z;XO>DX#i#;b16BUR649Q?2GS48dvqH?aZs-_c3Ti78Y*uZkqbJ6Ft);k4&(0K06WF z#VK3HNBCd?rD3M0+xZc^Y%NM%J^OWtQFP^i{YYU7jqVZSP&*Lr*`g*gC=)07TJK8{ ziTRm00i1M?00z(2jEJQdrNKAxh{F8K*Dh~d^kq!ls0&wR0vk&!{Ur5gj+9R6U zPV53m>N||zwgpNJ0L%y1AyAynq>5jqDJJr-?$GfsZY&f6FqKN-3bt1Pw4%5H zN?YwbXmE{0R^AABbwp_sR{z=*Eu&oNt7x$2dSlFRGGakl(6~o4BE3c#dIj5i3r7(9 zTq#c_OsF96JJ4a$H95g5S=~|N5fDBxy4`vJ8>^3|GiqC_v(E#YLg*vX7B^0(Opyn+nRg{@mWB1fuNQ^2{@KoI|me!gNU7~r>+M8K50DY z&C+g>9x^a=4ty0;R1Uo#xnib;EhU1`7uOXfKofYH5r$9E#m2S7$NY0-H? z&^|s4h%~pQ?fHpj00YJ~B^uxuId5Wg!=J$V(H}wRkG8zi>LT+*00UP0T*Jt^S&!wb zsnUnAU)2;~=WJn<{m4uqPtg@><#rm4y1vp%4W9Xe; zxDkQeN)J`b(peq@WXb?+YHBFJ(g{eniRDR3G#za;YrSdw>qszDVjO@~m9}K!3rEcf z1q)YYss|!%fF5vwP*0Bm^v;cUc}*$N&}tJ*Su}clflAX&eKHokch--@Ymwm0REDE- zLvQXh8?k+GrQrs+CiCj!v>OewdI*M1lM^~LxM!Z+SF0s(VjhfQt}IpD${@r+DN>3X zZ2+Q6AQp&6%{L5tiUSA(7AgADw-)kdFE`It_~lu8}jM_cbcc}oGy7irnaHmc+ zG*WZQ#v;3=A+9Z)?`$QY)y6$3bEtTE7&WH*llbRor)p9LA*T>ApQ2JFte+k}W--*a z87&4ejM#Y-(c?B4L51cfn?*;z#LU}a25uw~@LbPfI&Pergw&?)Xfi%tH?}86nhs%q zTXWb$lL_#{Q0rJ!-EdTv?}$|!Sa;;^k^);Xc=h_Pn!%kbFHA`b-8}!Pui2OLtG1lN#M#xc+kB)%`o#V~89viYQnd&{owq(4x%f z*Ob)&VEl@8#Ymp3aUz-yvq)ejdNuC%@@mbb@;6TqxBJ#oQj_%s4DuhLBO2$ioM6;s zf+wz~U;(az1)$x`lK`~K4tnqb88HX%vV(olt$jO`kMfr?5MU($EcbJ|f1qfb3R2j^ z*r_(oyHe&qSAh!TwRBsgWw_0K5;zgmws_`>DIN9_*d(Pr025S^<{nOvPoEys2l+ap zio%l3x+(i}jS5WVcV5s`%Q4>61Rwv|i02g6f!}9d5DP{oWU9STx%LcG@m3kjp-&~} z*N>uL00EE~XBsos;3`%*wJ{gnrAa&O48(T1iq*uU{1lHtl1bA4Vj?!bJH>OLFK6lc z-Z9*)RRKx+n;^h7UXB&Y>~UjAcT_k4tC;nD++1C-)B|k_9;Vt^Vb-{gv;2bRPNz_<`Vb zgt&1|RSAgv6b}^V3F=w^yu8aEW_(a4Q~{oEd0i(n7}8wDn*|)6+0cl`LHiAfw6$vb z74tJf+jGL5{HdSWQKXgjwu+BC@j*A-#R+cds6L;0ff8k+NX^G`^Yww~PhtY__LE*Z ziZI6@d#D|?agEg)xOfol0y}r(VsuG}r2}yA@kWfL$`7}X!>d%KBs2EkR&c${;y{h! z9-(}U7mmS~r`||S6PZ-tU9+{#p$TJHy*@V-CkDW{0b-Y?ux1Z)`V`#8$$~?bnP3;K zSf`KS{qFr(4d+@7nM8VGJV4)7RS-R^Q;C;jo!<9llOnmWiVxnd z6iR`BlDI*QRrH4RRB%uL4;_Bi0H2^mQ05xsgSdiO(7?|fxgb{#&pT|kER`yo(O^L) zj2{kYnNvozzEEa0SilGZ1TDz!9*2rw=|)!c^~;3K%mkbF)?(K>dh#@kGtM#^%btu3+8) zOwQYuPqnwC;V;oU-)7RsMxq!KRp+#+G;O9x>@Dnuq)8Tf&@C?;DDwcQR2b%2+QR_b zu<2q3bAaN}z3u$sq(}IOMpx6)@x^J)6bTns@e;=Vg@doC|l2)fDWOo#ahQyRhov|9`s89PaYf7AQ4*18@zz2Lc!q=az=#m>vN6(ubSPi-*9? zDvzMx48#E{J|P!2R1@8bzg^nk~9C%6)N8B)4&P%>jgqbv-cMeRjj?V`i> zp86@)4umJt#h>|V3U+rM0p(MF0EFr5@@D4PJY;B z5@93GBXL>@R*a8G|;GvmReU&`Zub}KsvvggY< z#VZf_^Scs%ghBPPw|f6_#U*pZ!RQaZJq)@ZRGL_l+Qx3u`bk-<@ftajf{7<`d(t$} z)T;aA!5m6*Fy)z4^ZtrVCd>3&Jy5}GB!09mt|#_?{PgqA{ToGy z!&5t*k>l|cBR?fRqa2!39@&1g#LteASqyQ(OOTp0 zz&KJ+EfMXi&rB=$Y{Bj9nTrSOD+4H!?w%%5mI>d#e{sh3Bp$69iv$u-X>ycoz?Yb6 zjLeT<=cs{U`Szssr$f=f;T5YJN9EoYZ;u|&C3<_4LRTa(2p_yT3XtXl$D2d4<^*Ge z+b^=&@aYastC8&NFe;iV3{S_uB5M>)nFXAK$}=7TQLc`Kc-`x6YfAxtX7crR?`J-w zfBU#Ao&27`7T%}pXv8ldFj4v;H?lF;D^GuqW2Vx7ENO$b7PIl1ljj2`Z=6ReMW2QC ze4sU?GEn6P(Yai*<a`u~QB}30IWomgKSfJmurz*G!EkjK=~<%~_+!VUY}O z=+bybeFm7--8XGA)2>c?t7FXeG1~{`>o~*s-MzE1+u4Uz1OJX+rG!FrGko$DxvoVTk_V`dS|l zq(XPqz#|uj#|hPJf|D=u_*zgAJX+$3Pki-mMM>G_v%ZYlc@I)6bv~Jmk9qIvC}b7v zOp${JaFv3+?w{%t~m57wr6eMZ$u?L$6g?8)e%ky2*XrFv@qG(37dH#l8DfbZ- zYP=TZ%y5q`#lW+gVs%pc;WbGW;~*Yo_9`uI8vtP5VNZETXo|rB0(Mxyj!_~#OmWk*Yu1}0tstQ)q{rM_OuxgK1ivYu^jeA<*7L_Xc=26`cMZgW6tw}os~wKkOxW- zCd!z|J+tE|Qx$~r$VhZEZ^jcM`({z#oE#my7-=~GB%v+_HlovZsY1TcwH%Q;wbFiY zGajN|Ma+0zFizUDfP?5B8p-i^oWK_2fQ&oH2#8HAN=?U(l^S;+M@q?*Pb+{XIMosX zCU1#&*i+#$l7*da3Zhc8uH+!?;gPsuR#ustWME55N}Yb3K;(c*O;x;5ik%I?C#R=7 z$_}Fq8mEMY1YC4e2Fm!gH8WEB#GnH<1C+k9N%MT}WsYD``@OWM`vfAM-tHuT6m9l6 z*P;)Y+8kYTs6;3F>3T&206#Z`5-7sZAp0OBEKwR@P)9l-rK4`o^aPMhH7c1{nd9G8~B3 z2f%97Woo(@%KVavq}A^mC)ly0(s&|(fG{SFo6)QMZ&b0*_Fk3?R#@19hdtD!h+jOC;!ER|9X;RNDB9~8)N|>hwQDKKMzpDB!i>z3u`zHB_pK@pwrR^yRZW` z^h7~Bl@>g2o-&MHnh1rEEI)NoR0lh-k=d=t0Ye zw8aq-0PsTf87N*qmgd=O8WMt@nll~MfToWh#If*^wZsSgCAHGzvh7&&(mq`B<<69T zQ?|$G2mZwL(A-EKbqVP5)3F&BM*=hvXr4&z9DqJUTR;;VSqMUj6Y^nNAS^9wys`&| zn(ovFD#H-@McWDyUHGmss#I>6F>GC3jN3)uz%7;rLB7$5M8x)Lqqzh30!|(t$-YW{pnFrYaOs8Tz=Y5zH;bz(Oj`U} z`eJ%Iqb>vl3Wuy3f_Bpq@N*t8CNyuBeKUkw2!_|9%XqaVOp&KpAMjPQZsZO36!)*e z&(_X24tJWb$ncxnE`&q`re~ z;7A`_8;KmzQljbz2n#pvQwr^3&6rm9+^Kwmg7@8zxa1=|Df4PRw2LDJ07cWz>0e8`%x9s=JWwj+!w?-A zm+jC>BnlgH!>jRP5V2})jbP>I>Cu)AvTizdhh=lrAb^+M^OF=_p0Aps%QJz*KqUZc z`0)tkz*y>ik#sU z9QAV|BDBP5C{5AvNr2H4DOcfk5Do}>eUAr0TLAHTqjC>znqHG(d|$>u8s5h=q0579 zW%<-2JUKQ)Kwe=_as}sS{=T7DxqQy_V9se9eTpdS>&MK`)Ol>tn8AO;yAnA|;E=+3B zQfSsZmLuEDh+Xx*G&LIn;uZmD8GjvNLNVb?m#cjNHL1YzifCH1hvo@c^ifq9Gx^hj zf>B&)sR1KTi7WF9ZI&~?ruwnm7K|X+bRIT~eWru^-C84}E0BRiHA{$j5~~cAz8y3M zt&a4Mr63idZrjpZDb`C%3;2TbU+-+NrF3?HKPqbP^lYcf%=i4yxpoNv2r!pgsOZ#9 z9F9}>CytC7Xvu?^dk8?*Okfq+j=wlPD#a1YFg}|}t3kXdD#hN|Ts!xQzGK@e!I)0& zoZKUI|qFn=(({_Cv|se&s&A|R{p@3l;Hp{C-f7) zDy8nz?H1-%iSNH6G;gz`w9C}UUw`lwxCbnUKgf)YW04xKVa1L9E3RmZSE;=b^iK#$ z3CBaAhjWM1j%n}7Y6{^n45f3K=@BNCyPo37QU|1qSKc?rT(z)pR0VZIOP$4Z+v|Q# zQLEb3^+(FO@mLNmym?3%$vOc4b9ZOHeBgwqS5B`abk{=B+X8#^tYKSvcYh~Y^3w>< zGfI$L@4@7|_3d84g}PTW*=t>S6hCPpaH(R?wOEv2zVs}3{ziu=#eB`gqwV=)))8~-l0f8P-O`Mbmo;`i9j92k zz5NSc!UTXug01^oR3=?8uk=DGNkcRuPD}+}ZNGmeGt|4S>2~o~q$X4erdyV_8(rGbY2Wnt{PCuu zZN*>v<} zb>vwkU+~h=Nt-8gnkPsr@b`4@mV-35HfrwcP}h1EgmID`w)c0rt<94C#&}UjrR(-D z322kHP*#rQ>}kWvyOVlca{0{s<2GnsP&*qeex`Y*cVi7c&hjNf_HP~DxAO`aL}<_6KT`_Hu`}#8%j_)M{c`MsU_twZaQgS35Uw?* zIn3agX73dEGpDWW^qfM6?D3ZPa(88F;%-w8es?Qju_>^g17Nu0k- z%oi9$*M|M;@Rm&FoxaZOckw%@gSo^G06Ih7i}GiX<+aHg&ac{vd0pehBLP^z@BE++ zH!UPpZP@cy4~n)~q4W)6S0@fmPtMsa@4$V{L+-4e{DLnM&i6>Qtt7 z*Utx{PXl!CRd()bzxX3c(P1Jo1b--E+lt(WO@w0$m!CaW5GGJQWZ3dd z;N8SL`!ZL~@NB)pRZI#XW)pqaWI9}g2I9iiFqL7&@Flzdd}r$0{~PA$nKBU zhsSc-t|afh)8k+IM4NOXvgcFl+3%CplMT12J7*WZ-y3YX7pR1)c7C`Q_nQr=Db=}~ zZ44pb$!!NK((8u)4e#FwI*+{9`J^;F5Vqi$#fjZ|)kfFUJd#|qV^X|%MH8ho`nN?P zc%n^Idr0we&jNNmxWJyg_v$W6&QMR|iQCDa(Z5BqewJS@>O?#PM=#&bI72_bsbqOw zYVYjT6Up+X^6W}qy7zQJq9Ogs&2HOYHli=T|GWc56<<$O%3oQU^YvZPJ^8q?l|a`0 z*Po2!D!%tr#;Raq>2|TF$=$+A6YbS6{f`A(ThA;P3$3JN_p7o(T6q8L(dL zG<>xFsiRnVw(I)S?xMHNlV4lv_dZ-WXD3SqpL#glSh`RO58o>=-mq_~E6(=_nCcht zXq+i`may*_m8i8AZx_0D_RO{PsnUq?#8|4i+km^GRFHG~%g@oc_zUk_M4)spZ zW=C={XOWJH7AU`T+x%_%^nWksZRTA2(l}YFB8_INu*bE0)W0HcT4?Xt$c+s*Rd(sp zf|<$VqJ(FMY6W|`GOD)YD8fWkD{yrq=>9~d#{1T-q6T04ay8oOeIaG2jt&o;} zPr5ggl#ITJp3m8`pI=GV8tZ63b9Wo`T9>Mzah86gXW=DTYsrwIOWZb8p6-*WjuD{S zw`g!w6m|@hOUju}9h<}(FdWF+OkOWsHJ%R$$x~7xYWwsyn|K5row*1#Rc+0vD72zY zg;~{IOzfJrWpsI)Bnm|pin6bII?G!q$Emq`vVELL)TK1iI@h~wn+a{uVo}TbG=VbLnh`Ka&B7hWl_=?1D zK`CS_g>$OGfFLE;9-cm2z+1$5@|nmkS%8pTY*))A{nk8R78=^Wo4{uE-~riCOL?hN zMJxV%&u)q(3d*uDAN2n$HyS0>JTLcos;?)O8)^EbIzG@K*NgU=+bInz02#Y8p<|0) zezO(e@HBEPc8d_3O>O(PvqV2!+UxEpNT@?$C`LmCirHkYulT&>7e z|9N9S7#8sifkdTCxyyLz4+!vjQ5^ac4s8JV1aQE z#1rSSaU?#YXF;xrZPli6eXYJN@Oi3M(1)xw7|^mET+jM~DHSCYR}+-SNSFGSkD`!@ zQ8ABqW$bF(Y-MB_U;ugnPE<7yI~$8{=6E`Z8Y2zk;uk*L3+}@4?;krXCU++?N$17*-{8-ne% z;ymN}KW@xCaGvB>M14X+`0y0g0pH+Qqtc9}oc4S5ns(s(+O*I)h#d=!7;s682QF`5 z%Z-?oGZNgvLd6sCO=WH+jVjgnt)84omrJ_FN_UINb9(_y>@M7Dbj*?vJKqrg)bh9* z{kO0ln#M>+Gy2HV9-|a`oEXIjfTmx?5VV?dd5xu%RqWupsSrd{>G&2AC`E{&29nde zJN0Vk%h2N#iZ7ZQjOsuMvje#t(`_7Et2UqyPGQk0tGXIafD5VG=E-0 zeSqKtPe2xoptUDbeE@N8Pv*;_*NbuOqnS*>6ul5SeA~@iGxt4@l`1yIfpk+uHo<0&BO~EhvZH z&zsy5oa)O^uDO2)oevV^lN;@)n`AVU{$x|G&VT_Jt1($*zzCIrAaKAYJ*X2Ib8vBK zX{AIuUwaI(e{^HW^6vu!kMa-@oz`o$+$!p3w%yXaW-(Vg96{%5fyF~Br+hvOef_ek zNQ!z4pY*_pzq@yj2Lr;>5AxIlAe#H=d={~+ak)X+AhE)0MV%I5bnp_b7Ud}XE$zpB zO>fLwo|d~ZgE4F)EL2k;9eQ2GcJwW52(WZ!=9F=1ycP{Px=&mTrj0+KO##v>#lG4z z0t`VESuC(5e5YyWphC4CgAn36R5%^)s*(8b&=7-xndsK$Gi$NclA~v4)h&@rU<^I7 zf?2tqCAt@n%dNJvx3@)U`<>)dd+S;fVV3LCxQ<^232 zM>lT_9dPw|t04h}dV6a~`#$?FW8a(FYmaJC*lIo<(k4`=GS~LxML0)kJ`x*ncHKJ%eG zhh92+1ERxj6ipf4-ZjHvY1DDQKKjolsXDjhjZjf# z_dWOeP{Kp+yxg)pP~#g=sx;Lo^Q#Wi+JdR+Q)zCr2Kmjt;)dz57{B$bBzEw~d&0n8 zDoM4KCzF=*^?iMJIdVQy*|Td;pWtSZ^&y**Bd@?nN$UkuK`4V2^gn-803}EMDkGem zN1RFQ9u#w*S3=*GIpUF|GX9oLDwSPngG%HC3j*!a{XbdsAOLh1^27L#;@<_Ur%8z+ z&R;!a5?z|E3C}lV)PK&7ytyW#nh`KbF{Gn}e{rmxtu%+3Ox3r3=uNyP9FxeVV{7-{ zwIs^gH6hbM(}w?Uewh8=<^Sxkg_}P3H`Kf;`qjJa*UF;B>;sOSPP@(12Wq{);#N0z zXTt}6o5b{2TqlQlW_PcKk9<$wyb0<1wJ>jS`Jepe_ptomI_~DeOIFj19T|!;A`jGt z_E*&2dnC)6HrT2*WDGh=J?E0O~cb!~GB)N`r&ikHcx$paV zUOU=TdH;VMvHNAQI}w9mxlbu8w$d8bjkL&#-l((xZQ9ZB9^NKknGG`4VJ^6EOVf0X zpo`;Onl+Akemm@jN5r_P_WV`Iwn-0)%D+epvM0L+xw$(1TB=IWeJY>Jd%J7pJ^j2v z3ksw!CXF3lJ`*kADV$8r9GTJ7)KuHyGgr1PS9mfRN9<(7B%%}$T_=J{Ju8Q!wH19F z491U3dsB=EmPTKnwj`1j-J3#xIx7;Q+D>MBHoa}aUQ8_doXE}FRv!`9Dc(vl6%5Lc z-I#5P9?C_9-g$9gX9l)pCdhWNhE3>%ka>6v+M){r?7?plJ6(l}_!>5|*1<%zWgKV( zACgBRIFOCAaS8`0HP#gC9~;#dM)w!`M(+vxdHhF zN=JmWpwbAI-iSe-PRU|SfK|{VHyh_ci{U=9r<)kvquF-pcJP=>wTJy0=dA{#)YbPf zAKPX=HV(px;BAG97Hz>|Id5T2zpg8%@xFIRXH^XvJ{aU6c_ec4`z4LWGDdR?n8hn= z2TY%xP%ak7^eioc3nFu)@^&f>+k@bdsaK?*me(sP8h?QBU{V#VCL(wz87~Ky{h3+! z%}7r)eC&B;B|&J?xSp*z>Z^dWB-yr@#Xrwe;&_iYQWOu}p@qwpo>)_xd{)j2J0j1C z67{TK-Yj(}j*FP7;m6RZA%Fp?F zSrk4`-9gofe4JDi?b|W1=Px|6Gh-vd`z-~`lGvwumU3flzF+E2f}O28wR@3o- zQqj@2b;yj1(Z8^YIQ^rxskO=TbI;r$3tSlqogF@E5_J29`NCTBG@&6Bqqg1`oIFI)JM_ z&3eNR?xMF9bsfp*(;~o~@!At2eetK|dJ$Zb1@55^aZz1IRXD@RJHy{ErQQKdfDBj0 z^DVUFOb?MYR$H8RNbl}*;SR8${j){Ns7JFri7abJ^S|yuPjhm`t_+f#!w_Tm&Up%O z0aixBfg@ZD2d3&^7I2M$-z|mMwJY=gc(<}^1_F+2l6S6DMVQP(E{?zLV>HXQ8EdT`f&XQ7?7ymsr0{fYi+~R@#YBg$Ty) zB>GtD!!UD(g%{t)|8k+N^#U&$4w{h4?{6Ds;sR^r%)ei1xUl|uqLrG$6zWenizkl1 zTZk+b-$SM_by#wbTZQ_QKKJhZ5x4FX$-(^XYj5WiQH!MiwP(us0xp+!e5*s`;8!h@ z*@d1%?xE8g&|ctD;ES(gJ43CJrVWv%b!s~TAvdddxzs)$3!uVtQD?a*blMwJ;N>hA zxffUnrKmN~5kh;4dd#6XgtDTPzbi)M)iL)}e{Ogdx&M_GBu9&x*!T8Wt6t$)5yFI* zEDS?Lw#o`&Dr3?<7|?2mN2=hh4P@kG`kV9X?MgDyUGWVCz##A7ns?(ooikU6;7(4F z4b0{3Bnm7{>S|KMn|E3+{z!xv8vk+qLsm{}t9R@vc%;9-oVc87GmTcMESHJ;$lr*+^?t4c@-UO{!%CxsB~ff#HQX zyxaFnlyhQA>tCE6nH-n(=8Ry3P-p!Ny{A=E_mb`>4q8 z%2-V6aKu`R`8ayb4>38S4AIJK@fjx=km?5dq!X# zlrSgj(Y5LxB3O_u#d|pdyrJmd)iv#($qJJB+|DcDo?5NyM>#*NPNvt^%M=cRJR)LH z1Fe~GQaZ&u1@JfunfA)D z7Ns(s;aepI>HBrC+4{)D9{+!zg(xbjzNF=55!0J>^+{3UY<7eEI}kI+t-N2S5LTUG zdSQ0O7cnNDnKwUQy&r*5=Oy>&tPh+e7q{z=XN!Q}M-vt5-uvI${j)_n1eFS$LS0@n zYrdXJbf@?_MQ#o*O0J;Os#bahT2_z8BDS8nex6Xj*FHaV?a;T#f7^(qyfv?F#Ecb} zyPk9zj=lz02)ITswYd=_6RQ9jT>_#9xKPl?5x{>yr=9glK68Swko$wFxy@=#F59v3 zeig=P9d3~a*L<w5%E5EtA;x$V{z%3g<1%HGbV{?N`V$8Rb$J-*d|DDtnc4p>sJp33U z90{IFxGi?5aQ;?QxBq$?_}BQ%ilmj!FfEmy-C*rGPZHBb)i#VQrFlzox6s!yK4gs7 z6IG|pDdb{d2cIV4T_S=HH~cAx`Y`NyQ~oo&XI!C@`0%3e^==Ut#=)(({y^6v&`ldt z(p~pd3MXi{?!KXDZ1i|-(IG^%pGS!rqhs&u*x{PH_YXDGrFLgMHCToaP;lNgjG4N9bcZ+@e|g<)*Ej!mgS)0B_H?4U3r*E;|Ao_dF@>HT@fo!H+lW7F zY0y!q<3wW6`*!DzWwTVx@}+(@*p&@eP5l&4%%2orT)*LzxW>@txz^R>4`*MgWwWz8 zr`f?8k!t77dlq=@&Cnsg=32Khe$_4r@3ONz56==1L%?hIzh8PPlGtxd`Bxka1A%iGXV4<)=do?{fokV3v=!E) zK&?IuqkU<62Bav-r_z#MIgdTzH%e`KZL--p*v`McKbyw;lgK}@N1txr)}>?G7MmO; zvcY0aIkMe-t-P~37VwZ&ht5Cx{D1c(NekxI?oW$qO2m1F3bG{#IYB~~WZySKxg;&| z9)iC%xn7LU4SKrQoT1H<1U0`Me!ui+;G$SE{R{SY%r=}mTs~yj?d&br2#Yb3{H4qYn1A!;i#lyiMOzv(Zhl++0 zV7)bh9+|0aKL;Y+EGL{S8vgx~jyo*?!%v>LW(yMwAW1B8f?7XKmf@Sf>M)1$z2qk_ z;#NX?+7ZXMe*qTxh!%n{sr-kW_e2gkr$ntvytk>9i;)vMv^$?CkAI;~34e>Bw5OQi z7)HD$-1JW`cSB&X2;#!a1DJh9Lw`@tD$UT-T$>t~wA0?glG)f!?9hR3Thur8`u28U zNQT>OLZ4KXos;NPW%6)+l5V^e5msCS)84Rq@22bQ1}kni1Eg{z%%%$?oVkyp2!nj} zb=q*_`@}&pnr>77%lEE8@XvBKM&bx#A7q+4gFn`$oDlc*l5jab$kNG{H&H8tYi8Os zU9k3){KDtALXql!>=(XlQ500@WJ{s!SPB;FH4IQ@orIP7E{J4S(3sD+$zDkK>6Phk zGY*T6_<`*TmSVm9%jW4`+2=A!zreI72PR%Ae!#b`4>H#~(kjjr%jHrxh+RT{W)w)_ zNv#tT=^U8fKSi2+9q5VgE3j|MAW|UZ8q(Vs*U;9R)+mg#>T>J@NN(H>-)c4Y&KW_$gRU}{u=r4cOT&Tj5z#n z;;FpJ79k=bqy3wFgnnr}i|?^Z%P==YB1TVm=%jTvriS8Y)`^HM3P|V~PM%I+e->{+ z;YloZ8+mEUjQdi5qgd~+9eECn3<2@ajy z$yD0FPzSP0WlzWRzv`v9_t6tmuc zdAu(2I`EnqwnUO@0XjG#`R`#;Vd^YC ztI5d09w9Z}B`YO-%wt@-D2?vXn0b#B?Y8^r%;P4p<0~l0O4WHNr~PIQ5&W)?LBNu7 z*{dQ=s~(wF0b8+YFGvZd<14)=BmwS#n^9_PYkZd*P0_4dqZE}C_%2+1#xE%d zqE|QY@)`KYDTvhwWW>^-n|>dR5+N7GdWKttC%&^G^E#b%C5MOnGq%h18Q`87Ft>3j zg5JWr`QS&ag{8M`m!*4mN|f^yWNb5Hp57{=zbDb@3MF?MEK3)ujfujNxAxckofBMk zR7y=_Fjow3N_k)NfoEm;k@;s*5ATut@#pW8Yb|b{I?%Ly+|6=5@;#Giv``PkSp$RmXj1Z0MjR?IKl=4hkz&jFdyBAhU61gr5}-9$ zxz@3Ou7WnYFg>_Ef!?6PXlKj=`4hLS{+_~8s1;~hKX*$e((LkXD3aiy`>30Zg}L&3 zCOAgU>2YQ=u~CgGW$-p0~@(k6aG!k{iux_Qd#m7f@|AYFnsm_&GDZu^Sn zkd(*pi|Rs9E3>lv6+Lijhmbc4AfM~Rg|#Bck(&IGfT)UST!YU4W5B>EW`f91Ia-jKJ0T6x2(vaLoG>SmIw>bpQL z!>y@5LH{Lep{7-Ef=*5(|J5^_2j`R*0mL7R=8lnYdtjUZ6tnK8oTqd{7iQL5w_aMZ=*}cBx4NdAX$!6ki!95FFxeGGy7Jy z-EhrNN$hsyXs0|7B!K$>Xziv%#l}IaITy(9iDi8EvEsFb_<}Hl+i3D_Sq#$jgZO^2 zoj32)PnzXHA{(;uU$r-mpkpm!W_|@Z-0j0X8cyW#rGSkWRKxp4ICQ}|wi2Ld|>TXW^=mt-YnNK&&)`7=rJD4p~)f5~+P9(}`DqSk~ zzR}pAvKq%Z@$=s@m~*dO#6er=r)@%WTvmi)o2G4(VLbd_tm zi5peq^e|3ox1IltoPS0f9NZ|~3WVbOb8YO_Ejwo2$bC$f+^K8up5&YRMm0u3-b9f@ zNd8Rhj*D-{jh%S}L?>0WFiXt|B`QJsv#n7-M?=;Q-mNPhRl=%0Wj9{$TMs8?HWPH6 zli#<<&-}B=qfb)*8$2QFx4L!;aNeHr# zi`+alVBzeXX|)*xD648x(XmibwLf&VjNeBIc_5!W#ak(9jgUpAD2eXV3kMD2iz%~H`*$ye_(&Z#Gs#l+Iy%POnX*n50DG_yoL&K)Z= z<*(?Gdy?ZOjufazu1KlGDlI~J`++IRjR1+M4Zls3odqD(TQLBP^aDuhmK}82rTrLz zqW{K$S5|gn@IQR%$gQ@bjCmm2=imN_bUp}LoiS;g*Jhn@Y+()d%)6@ZGXn&+pNb?H zIIdSbyg>v@(sW@`5$bz}HFSyNcELKpl!SP2_~(?yqRQ8~i!vFIdxaXeMFziL5`8pU zdBP!cD-&}?IX0q|JGJ&uud>Np)cjTaxy})!O#JOHRfM{RJ8urWnMM2zRA_u_9naQd z>do9Q!Evt-_>e_?HJ^Te@`!yj&v)ci&?!Bu!5j^N8`ix)loIW|Q6yL&OG!?;S z#HfO{z0!JjFZ+vLsn4FQjDE<>r0fO3{6%X%}p~pl599oIA=DX{e)V zc=F-}DfLk8hHZC2<`Jw^Cn}t~{a?MP>W#iPBRoSZJXAr-c?p!Ib6_CcI@(;QO$aX# zjT0ptgl6l-SP*EsO7~fkX7UdMOjX6}C7vdsSA^!IHMQ;pjEU(gL4VgRq`=5mcMpY4 zV7^XDo?Q#HhXLC8lYX(jjd|IL(zR)I{ApFc9dN9<-J}aOLc+ODi=nBrgXU$>5W6qn}*?DB&)5`3w3Vjr!DwAro5_1 zfVR<@oggpVom=bGUfblzUl0LLET&0ofI_oOEXRepT)Rr8| zgX}wg?tkL#e=q&$&bi+B_e*+v*R0d2JLZ`@?xkvG3+8nTWhTecRn~P21!A$G>`sNw z!o~wB)?Hm<>Q5j|(*w{x*m6LC4LfMTusip~1&t8ff8wE+dPTx@gBbiPJ=7?2#`;ByKyYayzJkpHL@Zv@FPAVSuVDh0bu7MqNdu}-0$gw z%L)f_flM}Oog4Ttlg~bo9!>*RqwSO*q)ee@*~nhdWs7NkmH$P zV{?L%mOnuKO5n4cF|H8Mii zEmMr|7S+<=b}AAN7X&5o-Ijv;hX(otcTVbAY2C**O%I!~s>GBkwW5Ij9hs|Db@~Mh zwzUr<59iRt`Z)FTrr-w3i2e` zX)L3?x7?(LgK4>ghm<5(=5)-Sz9`SA+|h1Dl@c@BOih(t3=hiQQqA;$nPp~Oz5L;XWL;(5evA@)aIxQK8XTVqaJOEx zaDHIy>Xz^b4VDk)dJljyft7&_wGzz5ZJ5oYtf_dC#fA5H>?G0%(@S&!_ncUJZkx1_ zN6gQq_hjNvV!6#G9Mol?CYte6b-b^I$_a2YBy~0eYU*v*&Y5i`vR>Ukr9}eyYuS8= zQ#JT(jOsFZxFcuH&!cHn-gyn>@b`sb?YZUE^%1p3@e{4WW84K>Xnt90S>?={a`=k_ zJ|(S}c!>*h>v7e2Y_2;UXEtF_X-l8)D-qp_4<$<7KCsE`D?vkGZLYJtKGwK0YwO*!koJLWA>R0Pd7;0)w;Se_>vbZ0{O9jJcoLSjIHOmP3a@kjXJt8+|<@+V!@zllo z51*CB3}cVMNUqlLJjOAPm+cq(aN#MvJw8<$w)*MVdGQxl)1mBnb}%D-R79mYqdKQ( zh<&(!ojhs5BoZ(MrU0bMEAe+bDWiS-kzbOv5U+@BwstxuO+g&Vl^tp&{SAB5++yntI#*wgm=HEVAfh#+PRXKt4w)8wh*&W;a8z!Go?Z57~U+*xwufUwf6AHX;l$w5O@#DNb zbPFsm-s_405G!e4oDT+3N8e34^%EiRQyi@0=RWD3!(cmRTVh{u%D-G>92tRo7$}$E zeeZ8kuHP?-%^fSM^Cd2kf@whj(e97o=XY|(iA7H>gK92DU}evEyXcuWB`E3Cd=Q7g zAggJfALAs6la7#hD@!c$R{EG?YIQ0^x!8%A4)3-TEWwX&&VT^ko`&;|jpGjnztXs| z=&$nytT^Uds@!kocFGf59CBuhI4m2a5G`aPFrEM}*dOy_8&eDQs z;f=?W@gua4YT`Np0m^FXTqj0kx!HPY+b_U8y~ySj>^U!Xee|U4P-s)r?dk$Q)A2h+3-dXByCS(5ALJ$*HVnBNb$wB zF|W?^Fwioa!xV&s;jV#0TG7%_#Uts_*+fh&}Qr2B>;@IXt=UNvdW2rk2iC7o8W;>paaX@PW-a=7{ z;1NgZI}Hp)YRLH(s#0Ngj=el!lDX++3uQyZsgk?UI~8%DZEm=2y!wigLxCrKNLN`|%s zN!Pslz;sf2vl_xLE!-*nxOOeIIJ8fK9(3lJyvfhGqZ8F3b;oBQoGWQ4RX9UrysDZz z{AQLqd!?0HndN#e;vw2u%#RB#SdO3QT5DitVg#(m6CU1bM6#dM=J3sqnXRWZo4m8)+XC|>OP4q|1ExZ8)D#m5j zop&citN5J+7?G4M|+B{noT`lw#%{Dc3@>bG7z-Gl0<8qLOOsp0S6xA^o zTT1~T5&q!n!nxc76qSP#@hGXd>i0!N?(p*Lh1_cS+J>|Hi}P4Os^WvGZz=t~rShH>(fhG zxQNY*s&@U!SVEm?wpNJdW~^GUfJhNA{R?A%TjfeD9Ij;gr&;;d(M$XZ8iGX|<&0RW@m;<`nAFKgcme1H=IYr(n9s&jlzE&4YCsHgITrhl|NnqVD2=NA3hkr zHkoQQa>){m_`4Fh>3`^x}2yWm7)nes!^S#+Vl~SD7EIP%rWNU!Ag4 z@wBm4s*5e@Sff?eo(wUmyhbSgerd;O+|ZmVD?9Z|7UxoJIoD(Nrmws~Vr8dY)4WkB zSMU^K)D@wo`gQBk-EW1jPDnS^uOIL~Op=9nuB)PcF#l*!(0_tHC#fyeKwq!_49_#v z*l7$^oIfqvR+tl(E7kDO8$6QX9)f?a^$p*nkZFT6%zQV)6H8XJ}|<^tN~vlk0* z{)y}467sNcS1dk076WnIfvcyF)=tI{zG8>{hnMf{!K!=YnF+`Uk!TTJbXti-d94*IBGYfKrk{>Tvvpvj-gCEpEBVCwRFL~v((luOQoj^oat0}=zx(On zk$hpw)r#G3M=F_}Ppo@1NS@tQ2KF2|?(_#J51!>F?^TLZ=};DFc8&56RTb0eGuI#? zpcK%dXOaw_Y~fz<*ughcHGO<})T0KNH0x7mSGDMDSN|p2`MS#v&0pr<5vsJyU=kS! z=Cktq{g<#~|A&o>4KV9pyV&K3`nwyS%3n#G!GHk068gptHQP~BG6C~c_(nr_I9KdY z{Mx{T~JoV+_{I4pxpvaDw0-sfa$gV)tyd$zuVFiokI8 zQl?aTG0u`md3Z3$tsL9JZx2bi`ug;Wm!qzIz9ozL7Vmep$uY0w+`~yH4VYXb{ud`P zJ}M~ExPDH~tB<80D|i|C;)x>2PSAwW!iBl2^2Q(DyRoDU9xHBa)>ulXEvV7-RpU_3 zBlZ{OzIVf)JitA-Y^u<#3<4%u*nKRfs4)hM)*#Fq(x_}$xiw;0qGFBi`X)g_Wloz&9{C$h_`9Vhu|Bi^`oU+yr2%Z%Ed>EzgZWVvh>u zy@EQI&i{NGEQvf8Gv{*O3y~dMh_`Mcg%aD%n7>(u8T=rQ7s)@h%Tl4Ka$ zB?7vwT)`|kq~^ogf*o9FT$3wZ5X^JjlMjNMvbs)5rjv{}EXvp9cmQQ4y?kRel6g8~ zte^R58B5PdSc`*n5@9Xw@eRU?zh?PY>&7~iLsL^{+XW2Z?7$jE1ud;M+L-<2|F5mv zL56honrL0!eYIz(#7HzQ&ii5^QK3I{aeXO&P#AJs5mINb$`|n1^EBDdz{k+qnRcF| z*{kHL$SZ>AcB8OU2rW_*fu73CoqWx0u@9Nm7VAvf%V8(gm(3NN6;SG&LWzN?_iUNE zyk)Y3!5~Yy5AfQF?MK=LcVj&5Bf2Xi!k)NU@izl@MntJAP13XvIYk;zA|wTh&$YH2 z)opmMFU%cpc-L^OOSYvTdmiMzEUj`K@kc6DW_TV54%hR2O8VC@CcQiDvh z;vkcJEXaK{f&`3c9er8XSB7vFB>7dx#l|7jsbBEt9dm^&J%NO8CGw8`SBQK9gxm(b z+5~locmFm6rJgSMxra`42ULjr^Zcv3L?|0k^7?_9^pbh7=tU~7rSbU=0y4>T)po8x z_uICX+^fJa(W_>;gZ3$g{J9u5Lvx#;ny(c3a+EspRkL-!ZE1ZZ{M%j%5) zQ<4N)udH<4C^eGo^!Lc9niKICl8TgPsSH=y%N(4GlPw@LLz@seXV)sZGpEJ=IUX}r zrb#gBxm|XgNvRI10^L)oR`15zNcK{K0U91{jfJh%oM&rxFBURybwa{#e=+3r>n?3w zIuf6O1r}tK`lBdLvME;+HMOogbRA*fEdXl|uyQCFK1l3wM%G(*tqkku06C?b=rT}gbSIb4C|8jaa3si8 zT3x#|==Acvaul3kZYAoh@F^=3k(nUw9e1etKnyqKT$sg)qIQ$aH3f6euLSO+je7E? zU(Atgt;gg2q9ro9#5>I+Ogy!_UMa9&E}&RYl!CX+`2v z*4@`{rI86w{W(KWc?6@sxbE~&#rq@VXQO6}zhBbUL31(#d`yY=0=krWc=rOFC2Y8~ z;nqyt{^{=?6-~Wg?iyY^F?|nPG7wTZ38a`yx2AsK4(Z9*vGx2=LT$dK?<73L3)LiK za5oA&^3XU@6$2@GEAk+1(G642BRe|+2&y0=tb#K#5$XV)8ilFyaD|AUK+>e4o;}ED zf-5Yb5Ng23Ii?*YjdM0GH*gNpUN)(vw$>?7=vl^91Dm}-+}Kn*e|4D`dxQbGKMSJx zjBRNKY`!dG<&|wj%mmk9xF5e8e>x3dohyS0i@)jT80J5fo7>-fa=fF|ZqSkh=*(^Y z58c0BR}%h9P2Y5Pj3t~X$PON8+Ks+@Pp=ced++?v7-z&!#$^VQCiIX3sM$;=h$4dF|7p1v?TKNba9VnEY!3R*d0KnEm_vC0G*- zVnLuF_<64KE>H!tpCcO+o)&Ph#oG#9vH6$$)&6o$Zg+K}x1S@R{M0A#aq@~m!93S=V0@{4mAmTM}H*V7w#kw2R+B0J)HBpxm$fX3T@< zOK{O>+cfRvklVdD+QYt6W!XNT_y%30ekds=_0ikQ;(R@;2TvP}Hvs*3-Yft2)U zy9-NmwOw-b!-4Woi(eW|*obo2N2OBzd_7)H<2ZnIdmNs@JF5AFY3n)c)5X2z@b$8^ zsO1++IH3z3&^uE~CvaM!xlU^hGI=FVQ5Cwq<~IwEMQ41Ch0bB(r6(I`5O@qH?IJTr zys5iY~NH# zNeNPu+j^^zCJqCzoFUFM;#;jW@qW|#w(Qv)IgbMxj?anDh^5+#T zYtjYb;N+!?OpNo=Rtl5aq(*=h+ly57A`c-WIbU-v0VwPZ6z)meH)oc2wta5K?X>eM z-p-i!y!Qtc+qr}MVu|nMMqf$tSrKn0l6j^4Ee!lkgWvT7^)O1u%GR?jvir|~^^_8% zWu{Ter56*^-REMw*h(cwdoa#!4}fxQC$=?1i>C&*2$s628MGAIa-UN`^+R=kWsp-%o{ zZMiAdt8)(~fV=+ve(B@D)3?s8(hgEL*|bU_bVq{-}{aAKBV&8i`VfJP*YW*ji&L<*p`;njt**eu%y3U z^u&3`p9Qt~qoL%3UKn-*D#u*SeuG@b)aM2s9rbI8CjC)v^i?6i^gU? zya+^`#z=!76>#J`zI>0-k=TR)!NQ$I$h?|IL<#+qEe=S}WM*mpCoYL>!7lxR95(8| zeIDe{jL|iP9Q+($Iwi;}7lqCHf=tUY6k95f2w%^Aff8=+cCpE&D=EplB znr5?ZC5`x$@mo6TW7!`Gor%8P1!TcQ`6ZuLF$;vHJeFRhkAtGG@J!5Y$ zY+S_p?0vz`O~vj2ydH((>-^)D=*HtMcf*S#vU3e+d!gop^21FTMUS5| zL=mN873NYpGV?y9^t$^A=fiiEnyX_-7TY0`DqC`o4a>*P`j`Nbm6b&nvF-kn<-G}9 z&~%`d%}EkVuR&8H+$kAZ*Ao+MNXc1at~Pvp8Cf=f{*Bz3Y1TPP?!0@QK(Q*d>^IzQ zTszb&pB9g>!GHay6Xf?wiZFCdHvw zf;R%EV?gBpC~p6iQ}Wa!K~82_7_mjwhE!pd=@y$*g{trAqk?;7^dv+Lb13v4NBUt4P>dZ||8JtK`9;r zlx6q?)+Bf~c>??^G1v!Si2kd3`|%8%r6Y_Qk7(gh1afOpV~Ro%Q~}n18>%1|{oe=8 z6BUK3O7p&>=Vh-?)xA>5Vu{rIh;p93$`a=K9M0-lcCg?pp^!{2Z2q{e;S@J%oo7Vl zVMI%dV{NQ&BA?&l%{!UhhEiS`lB$}7bjhC0R4QBBu;~UfwqOl_GrK-_w#t>3eLC&D z<#j5#J6AO_RO)-~(f%k91ym!(#*YDTXx-z!&8NO@I3cH#O=0>Od(H(&{nV5_(3Y9F zmC&`Ct9hiL^_NAknyY~~g_OldI^*LbWr?bEL`(79H_TR`SU8o4ln$j)MoFV?hC0#j zydMC(ER1L13BbJ{9y&?g_!?TbW^`FrAA$WFD*ju5fdzGW>;Km>%2azH;P3lzD2q~U zc8Q#EWbgX@BejE@4QI)%DNK_P-q$`e0vac+_vv^_N|VW!r~)=&tnF~`aq(Nd@-jA`LL~!^6N7rH<|$W-RXo6 zy-KV?&Of6%?B?To=z|po=1+nMw?y}vF5QzeNgOhbKOUd&sa(Fsh}puue_eO<-$?f* z#seZb1rZ333PJwfZ3W@q`OGu;%t?HlB(74zi-Fzt2Q~{eI@p|v7KMcaj*C)t{vck> z7-CQj=B_1~C9#$(wS$ap7OLIdkSXOK>V}XIg$a^04Q}E>=88lm7eU&FU7c{nT{Lcp zcT*%MLehFuX>;tfO3z>4{js#prlu1kR2uR95<=|Zeg;nh`%k3=`Nm;$jrf=Q=$89i zyCHgMsySbGX|^2*u=1GEIZXDyvaEk`vX~Y>vXoCI8$qPkZ)bo+yK6c_DbC|R%Vzn; z?$6u5ADfSat?WF*N86f@yCZ)TO}$wc*D8z8K84Y3Duw!S7e-pC!%T15S!I6zk9R1EU3pa-n{m19Qq*I$rF@Hz`b!V@|C!u;gsHkpdJk02sL3{MD2z`u7vc zc(Kv-6kbLe)bK!T8fc@OOp;i36aH<@Zf(yfZ%Oj^CV$Q;tINwi0NpBAv9dE`JE6BF zG;P`PMb|QS+4LBr$*j#+%Oa5uTbtF|1 zGD9=u%Rdq}tDWq9ozm`ZTORS{)G%imAl(8!E*NnCt15M4%MKwTEbw4ty&z+i3U(nn zI!X0B_)J%`F=$LD&wjr&?78xOPm$Wb^sq|Kf`+JZ>039sowLH!83}?mT3^3_V??rD zR#wPvwtiw(h))YUl#EP3g874*luV~efgq+z@KX-3^wckQ9c~dpBiK2jmy22R9z@{N z6CDzy;hQD-E5OM;c_vFqG)-lsYw%q!j1toorK!C0Hk=Z1ki6M85(ZQw6N8kR8lq>D z&>S!%&L_LAQ3Bqxj!s9Argm6+@H zOah;Rvb5Ewg?Iw<@xq4H>HO2NZxD?jzV!#_Ml1u}63#CdyHj$QzX?4Dy0R-K=Kt(| zmg=76H-x$GB=67bUQ(y6b?|?GkW3Rsk~-Yr0&KIZu+@UlkmMNY0O6egC+1`QFi17J zEk*Nd7I7KdV{LCsLb{`6Piq6WzbNwNHOnNqypm3&g^V-y3O74G2)(_#lsWm zM6Ssv$NQn7=xd^eg)q-j)3=E!E3gYg?1912kGr<}0*1pwu3*e}o=PpXWMY%)iB$9= zpqqRTcwdyosTEHz7HAbEZ1K(SH`wxiDr%G&C8nPvZ4eC3?SEoHMdCD;y{)=Fk1o>} zWuKJwec}UTmp_D-cEG0VUMW4ekAOy`^4>uk!XL({y7c?o!3^4BL{%~tCY8GAk6;Vr zja=ly=c7jt>{)r)VJZm_`S`VrHJ79VM(ugVu-x=$xp%zjGOWDcpqf8j+`C5CAT_Cf zbnqXlTK)EjgMM{w;sqdOd+fE=dCN-Q*~L{-WO5tR0#2{7c;$JrZUXUtzrCr|KGA@= zi;w6#FNqAGs}mAF>g^6MZ#o_Itri_IBQ%?VX>>Wjd_+GH0kzampTQw&4_SiX2JMWY zC^eD&uQL6W{Fw?{sKF@W`xmc8j+i1iaem@hVTk~hNx>$mSCi7PleacA3PV1AN zcgR9$<^>#${f-}l6T_r}-c)=crI0v9=Oz*-1#~K9eVrvQhDv)Mf7M?<%hh=u7|xjs z6v=$1t0**`_=~U-LuS~D5zhyPvHJd$Nq}uzXK#!{nKEs_DM$&Rf;?)LV_7>Rar9A> zcM=63Yjuxqb0#!U2SuizHxk2|Eo+Nkt^sz~7`lQlR5ZD%3^o6}(z4@bBO2(FTw#=2 zwVmiGU~V~={AR;v&$orbo~0seuCTK6-n0Ng^$o9`f!D_U0CnyP^Y_KdHzYThHo$GK zT5&vAqo|Fj?eMtg!qiAWHR@T~fxH;PB4iHRl63E0l4nU5eFteU{DaU?yoI;ZU!#ca zXUMeE2Y9@N2PB^ASuX^dsn9>AdsQ+LYZB-WtSqrj5iMSBWdna>n*1+T+LE9ANmBFF z(_cL+DySFusaT=wNE9l`xPYo%{Vfp|I!r0*X+TsqHs9Mqb^->tudQ!F-12z*Z$>#+ z6k)Wj!g`x7picNp%Lu4~e&V-s=>0idT3T$=V_1@%eAl?rXwgUWRvzLuBjXix^n`kM z8_ge?_~tUOICKwK5YSe)c$%mK@|a_-jN8ZZV(ZsT&4ybX!jw8+oE8F}*i=5+MJ?!F zDs&Q9t-WWtcOB4A_U%$*87MTkws{|tt4lhI!9%sg9hS+t{L5k7=em7g-=y-$MKit@ zOo@wUEiSA(sFQz?Hb=jo!y>G7jx8%JyyAR7&%zHKDg-Kt_UCa)x8L=fcA*_31PkYs zsvxftsa%u*4Cn>v>-@(SLn|>Q)^2r>qiEI{k*ivXCaAPg6?7=5j|;@<)~UTXmKyjf z*D}Mu>?AVcRI1V#+W~KpFHes(VlXT9$efi<{<$V}#J}haN!&xX*&E#Q_Gg&`?%`wS5#u?j4Za35|`C_$RFnI`Of=mw5Zuh@YZw z6unVeP$RR`=V65u%Z}z)Faz?SKFT_`f*?-~$?Y4TXIW?vSdND+^ZDZMitst*Fa{nJs{{hbS{{R2u{hZUD zwscXWY8|m-Rj3i`lthTt5ThtZ2wJPus`))i5i(q!nVnkJOs1ecXF&m?{8jVpU zRja?J^ZsA|tGy)h%GIk$UU|NrkNe|(zdbA}P@^ik4_*#RtLS$y%dO16y)TN-Py?+1U_SEIP0m5=E-5gL^0ky%J>nZtZKXEG7b0%@ZyFqXAd@d zt)xfQp+l2j@|2f|rq0vV=oNjGJ_?z~vDt;?%m)qzlF~E za4Dagw_y}Z#Clb0dge-sJ2B%4m;N7XrCe%-JqDEzcYmGKMm6(eTxK)i*#y3YXY)Z|i>$%x;`1$#H zWa}Ey{rFBU?UBxP?Jmv2xP2LiufH338xqQ)aV0WTgllNM*uG3h1!J}e@J2wg@3>g# zFq2D1Ur&NpTBvA{pOk`GvF%d~SM4n9lEphS%$?8F`SD%h*Em)Mf z3RsB$QX(BpG)VasCJP+^WxCIH^TrSthE#rzl$Uh_GtYfA_lD~OW+^;{$QtSGX#^*B z-ACM~Bl2o^@x7Nkk-yyw+k1RV*YJF512*n_6N`!q^&d(ZPYlem#&ad^OWSXhKV0c{=W!UcT*=5V8Et8cNLSI0Fv`pnd&&g49d9yPQ&PA^;D zf3AqN=P2hGi?=M5Yb$AesOPmh-OlS`pOsz?G50aojT=|tI&U(UM+i8(Y5D?>_P*Mo zkGLlWhkl1t88YvyrwW*6euuFDh1b#!2=a0vv<|6#YxD>CU(lHGIPXBo4C_7Y9y^} z=-fo)O>FP7pF)u=X0&qt{I~VvaDGKf_}6J&&=^}K70nTk`Ko$AUf+UvGuk7gGF+AG9j(GEObsk}K=R`u(JpGtY46T&1<{aj;p|D}zl0i&3i4lASm7^F=mtH4h3vYW$ zTYg&h~IuK3G;iC1L!V$z}&B} z@h7Ca)@fdEL&n+gSlmagwoA*1Kjnrvfc&T_ZbWf7A?o*`fnh@VI%uX)Y}Yc;relBIcbI&q~{vA2hqHhdr`y&--y*=Zyl>ZmjnL1^sc( zRH|BbDwO?DwS+^I3xa8J+_JG_j}X81SJqjp#T#7F@fIz%DLI#ITBSyiD{D4w%iS|&n2sRq)6q-Yy= z{E(JGatHIxlUM|qh1hec9+92e%1{1H{koHw#C1}Phe=qK-q=>;m8OV5N;G;nSB!e> za544rePz~&)NP|R;6s#0*c+|74x_qZZS$AY9^9AI_-{2xX{#!Y(9Gu zTVwTH_!po3^P57o;*w6r>zrjToHLi{-d~aW+GbIjkk4$XOo8FlkR=a4iLxV@E*5NT3;GYuc!8 zD~hRKh}sd3Mq7tZt$!`*qabcn6jk$IsWNPmj!d%Jw6ko5iav|$#_Ax-4MEzlQF7Zg z%QbgWsj#ZHa2cLMOdYbyJmm9gc8y{fFc|evy|fpQjQB#w$D;jbZ5RiJj1INFK#O=% zE?dI7s3-l1YZzAe2$5!v6mwxbuqRl_Ch&-5(2Ah4NrV{X#4-|F9R(>W-(y1};`~`+ zRdg9=`5yOqvq0o!`%1wJWW~a6BCh9ZUG;(H{91cg?Ky%!Z6qG*p|CG0xLbI#xeWJq zul%h=UXE)$EDzxdo#;J|P5dqIM=!7z;okOW`1b2oknT28tzYPSav*!x6f3#tkq_kg z9Ew8iLx355!ta1L@E|`SemTI5i$>$4NH52`ua@mh=Ew{h*uBkuHWOXhhH1Uw6T4&c5X2 zokm6deexNVmU+F>HK3y(UR}*~vQOG3I>_&vbI8%_rb|L)600=}Yx%Fe!1s@0;8Ur5 z=46YSpJxh7jJEI2*;xIBa_zR1_I--9jW9D?VJoBDk+HY2)Hi4JT0JO&@r%0g+2<(4 zT%~Xa-wfhH%d8>2FZ$`C$Kg+_o8r+YQ{Ll@kdSOUE#p_zHU>+f@FU!FO$D8s^;Z=R z4_dsL94cM*R}uVAUrUF?4R{dT-zB^pB|r~yAm)SLyY}^aSRyhUWofALs_K5}RpfaP zE(xripeB522~$3*6##EHRvZ=teCo4|lR#9Cnq?EfXc{luno zmrx>qtL3(z%sPin6CG18)D4q}eN20pA+}*=;y_Nf|)FDq#*kp0_W@gTO7|&J9UFoK!R@HC&;oHWRw(y

D+g&BLPGff}Kxm8<;4}Xzgly;WhYP4(d^=WFetoBt*cwNyy z`&wof>`B{?;k0s$&-dGvLkSb` z=PANEbS@r z!IDo}JR>v0N(wY)#Oun7O0Pp^oyq!X;;1!&TOkSz8|1{vZ#@az)l8NBe+SmuJM!0c zUkdN|&$UQ?*E%lE3BBA1kxCN%JO02QeD>e_3C`Jh+pZjbtLAkTw_m&M@Cln&_uGvg zUsllW`{2s-I?D3tUuqrt!~S|(9A-e?Ae~n%SS(gAG%bdp2L)+5cmItfwdttNmzQeU zNgvKVx!q}s6YpGqWyW@8bvq>a!ZD2us}hJs`0wQBc|Hq?1<6jWDp{FFA(72&B^Rsz zt*d@3B_UEI56-mPZj-xPFv(8&N4r~YCx*wxCkuI1ZU*b9rE=wc-Z^*8v=#>pNuk8g zA{o>iu_&Bx!!^3^fzdT^S;hTyQg_1%!e%hE-93=CU0m3j7AG1X2f9F ziVd-jVv~M>!1V798gt2?5>}i2x__7QG*wXugVg9gUuB|mSR~u)Hq*J(mWvL?(Zt6% z7rw`y618FOp3!6R#_NT*Ew~8LvX0K9-sXAP7e(3#tZC$L!CJ^F8CL`-a=1CA8nxNJ zgc7|BujLJ71Ot=(aXiy1EY(}iCXwh@+G#fTPCfZ=vQbp6+GED<#%4SSJG>p)>EG7L zbQMUNaEiFt|Fwd&LwK3Heyk#~C7E()#W4499-~8rmmVweO3_CIQscHQ%lDMkoejfv z|KGnf^stB+8o8Y?T{_5Jrpn52$38o`9Z}^&pgz~?IBz+d{PCuP(B(ZXGj<$>$~j~G zk=Q^URCfGLD~QFT`*uq8+p0 zV_u1>4Kj2UA>#K2&%dxQ^NFOqqLy(ticPq zQ+^qi5aS#r?*PZA6@#_R^*j&nyBXWLqt1S9VUy;tlL0;?N40YMOwYh#xV0P;%F24s z7Ra34E1Z;fSdx9;evz(j^1TnVOlGG=u3==c2Ib!)RK%PCz^kb`NgJ&;n$!$nL!Y)P z`+a&nt_E^&>aU^HdzJQVQ+R2o2^?Kk>jj*i51Lx{ibFFgH)Dp>WniT*``;UKGfaDGqyM}t4~C8J?Xu>U0FR~4_3-D377vn$!#A7fwoc84(#OWpp7$Zu zcN!G+e7CV~m^@c??cTlu|G0zrx5qsX^H4F=-s_N>cf(Pyp$BDyNd=z>D$RaxL*%vG z*XiT!Z!@E#<19ptsXPJ~EyOtrSz4+$c~n^P$5}Csds^gA1?Rptd|W}+-F=4|*=RA( zhHE;XK1 z4=cnH(?+Db={EHe#0%cK`~qxmk-?tkc6>%W65vuSDxI2U1+5uts&(@2774V7iIw4& zxpJ>A%Ik#iXu!{pqUy)hz&?DEvRErN?>0F#y?dP?x#pTN&eP&)X>_H^h1%nsk!{2y zi+8o-3xd^m83eLOIDL37ns}3M?ME=f=>7o9D}nh&^7{vd*JSYQS&j9Oyt*RVIwMd2 zc=NcG9S1XrvB{<~_P`RjQ7~|Ht}annG)h>lSLY>0TyHH9Wg6I2rS|ow{S{IOsh1CQKF<~*_T2~%&3TkBBybN*M#}KM!c(G zn4r3#dYGWJpb9X>H&82p!wLKYv3Vraa6~u`0^!A$W;9!+uDLS!RVk4!7Pi zC)sm46CU=2JW9HsaFx4|)zw7-7A}y8%#u&Y8k;kq)d1D1l|3pkB7;FP>?W?UD(i*Lq8u+dw~cZiT#HZ5 zgH-EuVwV0A>AJ5!Wb!Cj!lDbi>2Dc7(tM#*;jGK;$7GFk@AYL_N&Y-BbUxRGbjd-2 zufFBB3uwX0CWv%{CM-R%jN;;=4+3@^X%Yt692tDZWwgX+Sm1;<3vCS7wBJ(il6Myve4uQs<_3TOJ;j7 z|177`wwneE`iXfeE^tp8=#Y;@o;S%B?i?01{DDF;*7|wGUf>UJNpH8_#)w%Qjvs@X znkiS)9Xklt6@dTs)C`mj*38st&A(D07n%P zQgFkdoNGj)S}yQAHtvxP%_dz^j`ru0DkOCj(*-%;$Y{w3>%VKz}EzKwp?FhhNa zEfY@rB3hG78AsWFQAn>yKIQcQHslW-qA<}4W#?R#+=#jtmgjzy_qZxx=p%TRXidP- zQ8cvtlo5HQd_1Lp+!4TCMXO@50p5;mzVB(N^rowfrTdw$$`lU*(RpnJdrZ+wv<$X_ zp^glKPT_tDRi!KK%@gtetETm`a8a=ANPP>DQ?76(EK?Q_<6fJPFbs5#V2gan91~M$ z5xQOYWqM#EQ%GY*t00kcisHN05@z*m!dDT3WsKG7{hKe7i?efDrMQ*wDtQjDYRNP7 ztC2%|kfH{8v`yhv95?2p6}$wUiTJdXR+HOH2=t%&!O=*I@p<^t^(M}gIb3zF8^ zh<&&?dN||%gt-~w*}E2#Xmx@uwZ&{P50*Yk#|l|H7F4jm0}hI;E352buCwH!Iz$eyq^LLt~PZ0N)06GmF`Vg2E=q7$$9oDL;L3$m*ckBm~%0P zUP)hp12ShDO@13OxqL`0TTpP6@*L|QUpHkjT72J_-{|&CAf4>$|7yp5vdI?4-X>%i zURB+!6^-Q5o_V(qz4UDRe&1xr!ye>r3MxtA&0g@ob-^|v{BH-VO6pRgVnj#p{ybAW zn?`!8M2BWpqS<{ z+O+m%y2fmGFfkt%+um>CG9YaNjV?6VVG5g_P)#P1cd0n%0SB4>Ue`*Ml$>BMtme4Q z8uFCew`qml)^S+}??>|A%Plu!tyuv`Y#t(!8H2HeM^{u{&&TC50iS8?I4yrV-K$={ z8_HQ`^d$5P4)DN0o7>t~Bq^Tj+CFrxosE=tbmgg_#uKLFrzau;G>|Ei`EAqgd^GPU z!N+Oada0Pj8vr3-1?;d*XTKMc%LzocH&`O@Rf0Zy!Dv6uq zkFixLyW<^VXH(I!W^}}*lEo}x6&$1|6$w8bCeH1BIcbb^-zC2Nd1gRiCj?KibjZzG zE%NLU29d_mqSO47B-tS4d`(iD-p@1V$w|z6eCD;{3oGPgplualA_xM7x!RzUX>s^_ z2)-VY;`sB-58-4PPiP7R? zrJ*Nb+4%_#k$D*?>x%oDZguWzHBKx=J5#2#nNby%W;S~!!t%z z72*!=`7R$k+7ezu=zJslNOj^bp#okQ8y1dIb0=s&^H{`D|xjn(m+~(+bM6R(Buk<6TcK zz8TK>fluHW&Ai$gDVP(+QOqbK5V&t|`vZR)$T86T2f=M*5&85MCa6?=`Y_61El;WV zzawtO;O^IS%IsTiGNHH(G$P%H{7NFG2MyDsRbyiGw+CbC|(e1Gxw7 zW;p%G)yh9REpl$HK?a$e1Jij*ewWq`u0PIpb48B}`}Jq&ilkStdpy#Qf`z2QF3ZyH z66~*n-Rkm{d)jPo7AQ|2svHwKm|xN=p0Fa6YevOJqz@R!_0adG_^*Cl4As)%fix3F z*@V#%$6_1jA&lzzmML-fex@a#ULtT7g!#mLZEtn*|u&-M1x78G?;vt9dj#czJ{Z!37wojHeuhB;c`!hYe^Gn;zTRW>nqz> z&lQ~Vb+_^&A6B->0N*2@hNV)vNZ%9gh~ZLrbB{cmz5EysRXQ2bHPc&p@TxB|y>pR& zb+*N8t2zN0%aj#!a+mnH5CUL3 zJyuI(kVge&lCJ@o#rYa4{)#RDOg+5vs$ra<7OfD}4ak1Mbo_rU7x6^1(c-ZWnA`NX zp7N@UXH0)*9JYGyuPZw@8MXXZ8_;2am7LOc>;_g9^i>vo*U%i0`s)x8%=V%ITX>@hAC!NLWTMa@d8jZ4HreF9-|&&Y>CV1Hg8d+gl0ke| z6R*0hktz2Y_D1$yRn!9}GTk}mh-=>IxWvIlkWuXcs zsfQD0$spD{ib!J)Z=;gmdtZbU%(S)bIvUNTo6H|hp6Op( z{AWlmw_^~DFlcJeVUzrIqfJ|pY;hEhY!GW6(d{-=s%(o((@S2u<&GfUv-2Y`wyhR# zqN3`mDTZFrE6%mT#Bn5V;Dq3Hs0khM1aYg3B`i)M*Uen~V`P}$|+-tAgt>gE>XYlT2^yj4On zj~uxtMZB3$xFsT{)8gszvTE}aNRKf&qNt^7vOzxIJa>HZI)5?N-5G)ze;J8_AuuOCjxz_qyD=ctH*`62L!N=$5iS66Q0A$Q3x zg=-0fI}NilaWF{;>`C4PUs`659W1AgTxD8llxEVuRjZ@~TYmS>hd-ApG4m+0*bfm=^J#1hy0VS{Wm;P&T=#4JDzx1%h=)J zE;05j0hW^YwnGn>V^qQ7=a7O9{pB9ZqKW|1A|*u)L08qVkgCT%wCpkGjIV9U<&t4s z%z)&&N*zh;`#sgAB&YERc7oTH@)rwv$u-lLn?KBrU!vgd=K%BRaVr>UvE6dT@NsM@ zfkqV+^G_xSUPvqY++7=SE960Vk@GaR@Bb_qYuBRv?3VU867iHUkx)XEpu&W+G$~0( zoOhSuO{=s}A_~$*+zdhXA#K`MA&IxyHH;h8Z*;8eE$eOHQE!IL@4KlT>XlHJxuQi6 z(L$+?ILnuG`iA##Q!F-!Uc0k)v%C}SaU4K+vgb53tO75m~pHuz8?X zKQ$A5#(j2H%;rn%1-CGT$?Wy^y{+aWFUl-?3N=9`@7ar{fvu-DkSX4-DHWe6ImY`a zYXr4-NqZOxH8qR4pg&+lz@riA#?%+w{4sA{jxz>p54f=b+_Zazei)t9^tp1GdEDh* z1XS{u5`@YdMPA6z&3^96s+j40=@Bj?9s$Dr5OQFN* z5GrdIhLr$KYkaF7&@g1c7S~lmYNqEI3##oL_tuTb0en-k>ykObhS(dFD?sv0zwAvnj8Z+=WS#YWlzC#oe`#4E9kBbg02S?Vw6O z#|0EHoP`b2z0BQR)u6d*BUdIwc?(y<@8z_k4`O-4L~}V9beWB>xW=kD66iBXyT;In}!F1AQ&V>>yaekgY$alj(lmM`@oo{L)KR@Rn zo4eu>6w$F-q+Be?C}(Bd+CBUf#@lt=1BRizvu}T0bMJoJ_%R(WvuJXk@EZo<%cwjd zS42c2L8@72t(|_qj}b4VSbdUyeQNrL^tCaF|K=d@GU|Dw^xs;EnjGbw!&P}6ZR#&Q z2+QN%_J^2eByH+uOtlPIQT|2UIc-zH4a)hS;MJN3xaH!_dF7lBrHPB+y;7*qVLgOo zB$9Rgdt0#)140EG_`nQlo84^?U9L}=LBGJ;G&vZQ3SG%t2$?ih(So&r9ztOtXAch{4t)9FFIFaD(`tZP0f@kpK9)A8{_;l{VM?BcILti?@gR7YBV z(d3b}a?~52VQ=+Pj`KKGFC+;4pBZGTY!y~&b6=$21usExT!&qtP+S1V@bwh#1$Vrl z^rt)^!l~OFbH%Y-Luv&}_KhNb$(lr6EWs7_?%PnZa18SY7IviKdZkf~k(K_nj*rsx zF-ZHpWuY3wgUlhp@?OOKxsJ{+9mDZ!Pl#&;qy1ks5{}(Y48nVS&R1AfU_9jLj%)cH z$KoeuMj!g`Y+3?3W7I&klc)SuN6p5LdWMKlhU}+W3>CI=!%rVcXMF&aq=bkMUrZ>w zLnbgS!oXUKMXrcMoaL*M!llnvWkq8Y?Obo48RZ_M`|6R=mr>@wDrI1KzbkH7*e9DLXCC*UJ zf8VTI1WJeGRiR60Mq=sQ$UIm?g_YQrU!K{4Z^}PyrUo{9-1ku}Ys+b7b0xO7GUzj< zt2jof@)AI`Vh_j@rkFLG5{&;+NXvb(yP38hkGU$uVNC%o!mpVhcySr-%S(G>N`o^z z1x5bM{Rdq{BwpY%@fS&r7+;_aC|?`fYE1{3C3nRRtng%W-NV zo_jZejXF@&d*LtK+jyxp;{=>1;CYlDwvAo6@Gx8USQjQh&wjxu;r05#$w-6yXt)>} zau;Lfg@7YveH+Oj(TRQ{l-yhvUU=t^G^oX!bjpRf3H6K;zm&6~FfGg0RBOB%m<|Ra z0U%eK4YC11*8}oYvF8)%?z^!6g$2Ot0!I*dSn@gdc|&hqiPL8Tne`GVz`zkOT1+ja z!Hn;b0mXZP(b~I_a_L>$`)DRSsc>Jv+F;htg4EKfk}cgL*R%9)u&R+PjekP$o2vVO zQKg@*w!LqX zVh|7{kfWuwNpo_#lv{Z-Vl!O|!2ifGJG1j(<>CWS@KA ziY;yd18yXhXsvg1Ql3^Y(PUTE!u)v9-rc~OvGQv^GPm_Z1)VEQjumXPKvzvq{ob1? zZU0W5gGZ%zE7wIYw3%#ux=#>O8=a6ANG;)>Bk)NJ-g#H4k(#{p;66u8vB}+11>C|UM!R=!e#|8ZZk}J6H7`NX>G4MbWA)B4?26L8R`#l-VU4FEH`pYF)Ptm1;9rFstxw>g#kun!vsiOoR0iS1@V+ zijc4>{=E%rcD{n@9>gJkdtY825t8wcI9zbvx-*YhK~#4Q-tRnosL8R2jdvYH@^l$j z7kCKoX(n}~y|2&pq$ONT&w1~JY$(-A&fPea{)gHLDdtaB91=I_Wd|d#oshHwFKicSE7+% z;_%yD(F7tqx-yJi`3-@ToU*zq$j?LJGc*a~=q>vZ{=%=pMUwK|d?G#UJ8Q!}Xzb^i znUW$;5zFqBa?l7k6fW1Rty@=JTGvTi{&y+iYtnX!`EY|c#9V?lvtr$O(f*xEkL2^e zxA(j_djV>0aD5SPw~$SYpJ%)dRXF%g528{fT#RnP^dCg~QkB$-$R$LfCGu)xiYu!85H(f${n`+ud76Mr?lpfT$qyq6 za%$->Y+LZiR(Bc!HHQyfhm<#1D}UP+FCb=_7c1MN=79L~bonXzE8SQ2A?FH(`|CVef~6?fmmLQ5O54+=>}e;rY^wNtocvbOz*pAIZVjI3C!(RVV~TK5CuZ|I`Y9PW zki{P+TZ*?&@{cSs+Ug2N%gG`Ot@#>hfkM0+c3}<)4=AKkmc~wsLfxCS;OV7!5(W}u zB2=jEaT@@@0pU3kFIqwwh9jzPDEd@NkGP?Gt^KiwpN_sO5abOH;fR1wf6^0hB-qRA z!qdoPYbdhc(;_COQ_-%9=Il$u!>xiK273Y=rO%UOG!k&;j7qV)hXyQ{kb=7K*O5=m z5QL7$(%Kj1A?1diCt{8PQM#hzq{Njz1QJR1gta%!#Li@wWvJorM{1F$m zVrnP*3sOcw zZcj!qUP9l^f~B5b5JkcWUaIWn`Z(4vbSv9pQ|b=K{nyceD4<8_{?EO=&%70I& zzI3#$q-mIw6WZsrgWBZ6Svj6djIIq+C||w*1zc??K3+STVj}Ll(?MP}pAY0>5;zxy zllx+)+X!AZ;B6=_dA6u7l#?~$7Q?g%b>b5pwQ_v8WGiY?e~Z#-Ro>_N{CN_+e&*w% z`O?!)dy*OEyZkrS=qu3*l$oClqF8a*0cD7aN{Vw9Lz8^`SW4Lg1YQ>_S(3cInp)al z<|~F8=OH}%uKhAVGbe}Cy*t{KCH5#= z;T4(6>-`ertrf)8CtAjgl()MAink9px28@JKPU`0^#0W_>9Nm?<<9|3sN6o+)4n|g zuA(yJ8SShF?Q}XJY zu+6;0=3owmmWIbLid6Kd z@7g!0S@tQ8Z;Ichv+wHhpnI%$8#E$}nPl2LfbAmxt#hfe)Y3Vt0n;*@cy?T7yQFMT z@kgRDS@*7zO=9;~ytfe7D;`3-0ctMMIM&#|w@Ozx1o~+EH zMK*kBXbE&gsEZ`IzpTZzHoxr)>|)y3x`z*b#>jfjQoO9?P1em&NkLOr)$G`>CDSMu zD)VcPIW;|+U2lmP@?l)=@flarVTH7M*;qNk*kVs#N^-YsK5tzqKY8Lyh4iSQZ-ux7 zgp_r*gsa&(W9qoQr2V;2O9d2)p?S6y6lWN)vkxYC7;LdOVhkZ1y7VWfn~n zmt^m8W0oV+a(HfQX5r-xoFG*y(zL|Z#~=V{*W{@)6&_*7lA&a-5+DOv&<7n$>0?G) zT?bnobuD3TtXY0mjeLMjJBNE>d)lfc#~0}5d!g22H>eh+9`XA> z<50`jlrWVIT?EwrJq4Z^LcE#jp4fx2ue3Gyo2_q+hjGOX>+4C)!42{>0y&Iri?PwU ziRse428jvtF!P5lVpOigEYL+n#a#We=!7;X3tD+6RjI)Va<-VqH-n2cNoB zT8Q*%;bQ$hkR?GMMnZ1XQ7)T0+3273CX&t zb!C<-+gt345=VTBR%?Za#NP7ofy{Q^|BMNM%`ERo@#(B`>R&k*=?1_KoTWE2OBu6> zhk_>Y@AtR0KJ99MQd8}}8K?#wS505qfi2JQYC1CP-&1RE{5*pTOKJhT;MX@a#8i z@a0kynIJlDZ2s{qKBEOfd(T#=lwKCsyCmWyd(UyBD_fA8{a80Ucm2vsb#+hOvsUrR zP$pTAC;ktTEHv6fw9E}2d+$nZinxTVgyqUtj^u^t(>S@ZRCbj#M<=&x@4j8rD~kJU zUEAA}R+;Dd>_Ih>2_aiC7$@AP*w~Ss?{ZY3fG{w!F=ruzi6PXnkRgCgoD=Bi*7tzV zW}G$T+jfyJlr>lKi{ki@Iq%KU7U={FS0}~okd*qKt4$mdSVt>@xu~ex((T88Cnp-3 zBQoO5yn60}t)e#Hb-00lR;QYeU+iRDpo{gPu%GI-9)ducasy*wt{3}T_WYmR>FOI( z=ThjD&-$XHHna#CVFvVi5ku0co;HAwVIq)*pI&P_R{X~t*A zV}(|tZ1iH1Y#5|RW+0Aa#}1a_y6txU!?ulbmgdVxj?H0S2_ha>!7-a4Ystlq2C7i_ z(;=^G(txdyZ`1I8HsJ8Az6K9KD<;@#K2F3*DLj=PP6!in{L*@^^9C4s_4=S`cw5d~ zZ25U&ETrf)MPCI>EwnuRIv^^RI1HH*x%hc0(YT1u{BpLfzK?|4jw_z-gM$d5D_t>> zXz;x^uoHo^96;D1FBH50qY2KjgB)b@~V^ziu)7wug=%S5uCbSfP`1Z!?FGD{wH zu6M;CDg_6!Zp2}y64-Drpc;%^L!RrMFtGPfh}m;Q<*APO1Oes$Qv&YQO>p_~@`993 z-#dX6NTr;wvO~@4ieWspOukiPAe0^K;Vf>FSL^~Ppz{n$=WMIgQk<~HcJWT&%*;Lz z5qOt~;(Yin`oc?*bRy649NqLRb%=*H_KCw8nqRPSdU7612Z{Fo2FM-%iPHZ~Zw4)x z0bEsk)(#|>s{0?t9_WV41KC9IBi*I_Gl*&-2Tnz7*nfiJsg`YO$6c^>Rj~5AT+H{) zrpL!bNrfmSXv9&9C)V3m*KDa2Nk=|36+$WH1&!BvoSXf|I62N!Xl8%d(SUb|#C=!L zvkH0hpyU+0!g4e@ey7gihx886OM~>*4_HSm{7(0Yc$b1@jq#IcU(3^o=17HC&#veR z$~63KQHoQ%2_1g#B*T&VyuWMdPcbYsoFVmzK!8JvYeO$ys$k(cLA(B>lcniyfdc0) zHsG}I_)=5_mO7MW7>EyoibEWmyD4Jyv?7_>RduQqqOe~?EWxvZlz1AbO)gi@ig=Vb zSP$>B6a>B*pfzSBzlPJ+!M_5Y00_O9F|n;pg{UoPhpt{m4@F(wZdX(GZj`Tp0V3Kn z1#^-vlo0rFUf!_*#bg3@+M?g_GqVE!LkFQ3_P`C0e5er4XOrY$`u93_0LmE-k1Dg@ zbM)IRymUXlzN%KsD2*s|+b{#FISw%1QpfN9lCUZpVsDi4#LFezAb;CNosVIOfh`o5 zom5|%B5d9tjkKm9VqKAuyR-is1`YYHwf4F7<7*aH&N`k4Hb1{D_O%YT!DsJgEdW zdUFLoMa~-&qws`FrN)@LXQmGXF=J5UqSvP79^*_Twd* zWeeYFwD<}hohdHU-gBxl5oSH)yDfOn(#_U?H>mZyio2vI36?5V(f<>PpNE}BRyO*j zY>GOs49J8hhEEj;C!N%N1N<98&*Wt7Y&*~Kda!~|XTr6?eT$0i9(fQ=DD~~Eq zO52c%VmA2j{IY5qq^GX-q_Hh&pnePet(@!h>r}euc&Ym|y3X+`Be8qk(|qYK(NP&j zDeqFETvTdfqg0%`FPA=6O2!_XP^Q9u2XgyCTTB^ePoLRuV2YjJ%;0g`HjYOI-ML5! zihOqe$7tioVdE4}mM^Z+%sNR}dvOuDu_!ElR>LOp@s{?%@7>)td)FQ~V(}ekZJs|n z{q&3!HXrPZR;NkRO^CopV#t|pQm|BrAlk%UK*XsavjJ33rORA`t|~ea#vL)PJG{L6*);$;z~aT^s?%w9Xv=p=OIC_%f(V2vXsY$~T{_)ooOgNm@R~U)+ELepvUi z|NoLjm7FplEci2DEkBpf=n}e^hHN>o?$m8<@UwzGf_LO8>;0dtfg2?;+tdpb58lX| zA0eTTeRbY0u&Q@HpsFfVR7{F~^oj+A_VLGly-sc6cBHmZA@JJ(*{A$A5D}MLW2_Wg zthZPL4F)MUi%pE+*Qyg-vduYtoMohH#cnyneY7?qOBsWt>i*Y(zl+o_$fNa~OZV&_ zMW6*>f|(#M@$KiC;3*4c*r7&HruOYZz$ePrsTTfa{-KrZy`yBRyM%O3SrFlY* zKXO8u#uDea!=Wc>y7Z?F$-sh+yhM_h$`p$+fk?Ugk8pOrMCb`1Ip4+=0=#R%uj62F zZXMIp?*8`FHgDH~!p2UL_C^fjStY05i2kSBr__6$edOjxG$>Zx}u$F>Z zC*ZChI3GcdkPx6O_IBAQ;{kK}lpfB`19}L}4>GjJwSJyqmi|-7C~MvHx0&mG(YtV; zl*6s!UkrRXM#xqbE`GQ<++095cnCKc#KZ1u;Rf6~5(PK*snWvY1m&7^H-iiacGCS@ z>|cjBs_SfB;^mavhnxYs#Iltqm_bo#VCNFk^xL+X-&Q=NkpMvK!0~x=Q}M+4{U6-R z?pJqKo9knQ(?wEgF5<=+iamv&o?G~V+T;@X&In@_f=zsFrSmexH^d)mKywjPMrW%< zv|`I$Bj>P~oBDdc&!LG>Pb$BMu6fwCVtqAwHWZP5Qa8KObn=M$+=tt*rwO~XJl1F9F$blHblY zmETUV(OXkXtPJq#1mW!!XH0v_R}QoYdQWZ`}53| zMmznP9oeU%`x7v8DEfQECkxLQQF7ulJ!zn1|0ijKJO9(R|NkQQ{~o!vR)~$|okns$ zd8DsJh^wXyR&t4CLTs0)(C!}}(Q@xO#_6eItwPzhTJhbLf)0of|D3kyrY65e9sLul zeHHszvpjxx@&-jnSyrt74UcjJ(^0T;ZYFcLsX=`>VeUm8gR$%Q36&-wRNGrJIm`Z# z+KSs{&~JNq(YAHp_VR0by>zCw3TgN+=^}rg;r6AG2xC6YO_ZC62QUA?$n674De5db zb=+ZCOJP#JdmmcudpOMNS^*88JcdjzFbzE|2`jeC*Y3X<$n#zy+XdqJGv-cwZR|pG zoWsH%MpsD#O~+62ZV-+)_rdYNIcEJTMPq6&O66bBAwJlQ3{UVWoUE=8AkGU>bV}y{ zhoOR_WeA0Id|C{bP9rb2aEFE|n!ldIaty&m{~nQR!QB!y1f9dI&VR-A4X7N}qTu{c zh9g$njV^K&CliMSK&(}NoPpt1v^c&({CDMX0F8Llcui1z8%?-LWmDw_R=MYEoF(43 z46uV~a4B&2E5XH8AD8_c%!^a_PgFnMj)DusWZfIYx0JlwEGWG=TV z+#ew3)wsc*mi2z9TtP2~dSuC4MH&Umeo86uYLBT9SEZ;)%V@JTP6vy6$`yI}L#QB` zXp_sG*mA!Llp4Jl=&+H$t{nIetWs0rXokJWPlqVvC={qg`n?2IZEsh^Hg zSmzsj`QNQ5__d>|$(3+Wxq#vdQdJlgfSF-4d`%W=H4A!uI-_A8~GQVdqaIw!-SIp`yXtC?t7;-pT z#=We!pp1zsYRb9(;b!UZHb=?T-ZFqjRp$XE0^dWReK!VcC z2noGNH5!V9s#2t*v>7@{D4|E1gbpHvDucjK66&B7H5BPc5dM?{sQa=(QpQd}n#jG)Cyyrw5b_k&JKXL;j#dz6 zhXeGg<>={!J;x>f?Dt*}m?Mf(x#^kOEB6IXN6Ij68p(iCV;Y?vS0ndZsDMW0MwDRry9V| zUfanGd86K>Qg&$P+oB%S{-q`a(PjEaN%yy;D6J|$2oDK7(x6G|vpH%M`{`vN~7V?nS}R+=XkUI=w_}Onzj!;F-$HYS38b1^(Lm3 zyQM$y@_#W_IH%#{J4N-m;4Sf{G#d2)$TRNUXGFlv8GkR2uWP^eg|oG4VL@;*-NC`PS<3+WTBk<}$Rn+7Y&%VaK(jcAd4cSfFQ_~L zNY`HTKS#bslGD45u>rzS*AsNQ`L$={7-h);jtpxv*Hfh1G45HJo_!+pdEjT6RrJPbpLHhI z-{)n?n<_8?R_m#7Pu=hC`%bs=NOG*Ol0<;gX6@_yti@_+B6c>(Et{DxW;Ht$r-u|C zH@${0I&LmTj`gJ$107#AvV>9!rliAbxzH`{3gK*Ab(Q-ToLn=woOF7 zgqS*yL9ux3^HGyzUVZk>LBFmd=<;$xBEguk9}nh7XdwJfFWciekIh=y_hL6k6#J&u=kf6?gEmQp{uKfD%oZJfSjbM%hwBG7ND-;V#j z89c}59(5Or9PlSUsj?m1Mapo?dbNnAhs>0Vs;cEO`6w`wUR!CG;6-jF>8gBmm$U|z z?-;7po|~Sts!Yts>EHTagUpG)%uv*gi;CBlRX5EZCyaE1&|9sd+NM1trhnK9!1b0Y zjLNVaTmvRn*qo0bR-TeGp}9FS2Wvc-n;OTkznioyZYQoz{rLPtuHPC;t@5ZAJo%o} zUYlpxwN7!m9|DH}pRAKC-uagMi_P8J%?FEgI9E+@P4>8dn0!s9)`@hd%GV^k&sNy( zOnLNj2M@HLz2|-Hp*z95uk2&6|MfaTa>eqTiXCC3Vq?=SQv9167C@ko9m=K^(kLHtx+OlYixoT;O+Qj*SK8xhr?Ecy(e{u)?ez#<>VW0U`f(d?NFjZ){ zv!3AX8glUVWUc?m=-*#1>G|x^4F@KfQWuYyZ5s72eZK=HvyHnUaIPPaGVwAx2*t++ zzI>mataWY1a?nTIW=1;nMb%FGCmfjkf7<~VeMt}TRkIa5uOltLmqbjKN0Hoj%V@Tb zVRz=D#R!CELJKf-o@Tad#CxFd&MmEx#HbnEdnLnJb4$HDj?togAaj^cc>d7qK0PM3 z%A=y=#mUe-&Ug(``zJ8{66a^5n4OF3DKWhB>DGL#!nmZ#2hDfSTc4uT9%%3yTFF?= znU4qsz`TkUJTZI5myhHx#A!&MEbf`>ia*TD{bc_Rvt-(&HLl1D=s2bR3jXSx(r;&C zEhEV9WZwm7W(A-ILnO}&(>XCrOp*P~+UsS~O3eT0fsD*M%Paiv7wX+^geRRSted=55 zWSpgMB{MQNTk*Fw^*mJYx^=lXE1MAQnkb+kTsKOqTdK;1emAHV)_h;ZN#S*5DOt~S z^70j#$I;hks*UY=a*!5ax5e(s2LLZ;jic3LazhzC>vVMaw>uQRdJob12o6_{V%no! zcg(|F;=j_T+d5)Pv2Bo(EOv@Be8|b|PQvY%~CyCRjv`-B-T<=6QR(!}IL0?YSnhu*Ey+pVSyu9gLyK}Z*ow3K%@v4eQH z;T4gXaYb)8`BrnL$^)*ab<5U;DPEl`_u0n=fB_3iig?!rmk`mNW(#c`;1;b)IrsKf zh_!Qq3wb%7uuHBnamsP; z&|VQ+gcj-1`jdP_K%YS!{yq-z*%i^QFk@?o0ftOUpI@Vnc;-4jKi-y{A)pM_Pm@Wu zG8`vdpr>w6Y{}n_u}?7B;A!PR8EVk=k27YujY0;12lu+#ag;oGG zp~=K2coU)!lu%+--TEG{Y3eGqa(8_8BlZT%uTtab2kMc!XTPtO1WgN;8pC*OQ4du8oo{-gL(XEjFPK zP8a=d(Zg3`hdyD5e_nM0I>&cr-(xajY#a@TTobB^NyE=$zcOTBG;dvCc9UMfY)LPK z?=&+Kst3$3y%4kWQ*{aH>3?m(@-D)HftXZh+0~oDS14u?6bs{%)eUd^G_CE{o-fC+ z?$S4sgOK-v<%%bt^xmAgd*{{@58_*d-PbK|cejH%2QU15M8Rml3A7l(atJr$7N?+8J{cfkLNG_p}rzh%A8Ms9f z`P}S>UrLdJl)uJxt9+!w2SiR@|5eZ<)TW&$dQPEk;2+V7kz%<)3`qQ2%&x6_>yfTr zX4{6+tcxChRJ(A0atC!eQ@qvu*L~(o`b>GLT@7H!@{GE&o7T!DUN`E*QV7+v+Axn& zv7NV{W{mJ73R=p@SDT3G={ymKmbAw$^YSvTW#H1Ljin#Kt;AnPuJYGF-t3Q`IC%wm zrVV+|rHdjUk-uh7d(+X)myg6Ol0PIOtIw@SIi|^C5-rbn3wYSAwfdc8N6So$_C&25 z37M2!W~BUkr~%Ic;tK*xGGkRm)G+V zk0hQ0zkn{MF!?B*u1!oN_Y|#4D*DtduwPZq^q^YZsZQv(TZ9qdJU> zddr4oDRtumXLfQh!hxD|H)IC(0obJM=x?yLR_hW|B3va$R7EOzBL~%=K^UssOJl3&9NuOZH*= zq7LK&4`@?!QMreky2--_+Q$^pNmo?s7mG-A%g2tClJb*H=1zxO&5(hHoPTWZ|zBj(5HmkNd&7<7>Tmgd<%` z50r;V<_&iyc@F!v-dYV97mSU9{GR@p%~o&mUb;AC!o&XqX{7jo`{u+(HhhE#k@9CkqJu9Jb3qka;xAcAk=PKbwxj;t}du zk>^eQi$q1aRax^=RF>WJlkmKk>IQ+3ewv>C&LbB$L%`S!pwACK9}v;|s4LdN%)~Ny z%93^fd!ItPNqn-L_; zUguw50q`;DA-@vb*gxvIPOINz8K;oqf#invz7c%3!}XcYW>>~=DRYX0uC&to2Nmzu zN*j0V?lm@G59xKS4)%?MR`ft6q2=#Tot{IUIudj-HK|Y9?w|I-zzgF7MiXCJwfV+G zm`O$xHGff2_18O4=VcDmJo1^_ejr|5skE~m$yv{5*rrISESN#dToBM(^ca(vXIkcB zP$^!Pm?D31l9|NKzx&mUrqfD+=Os|B*RzsN&4gOG*!E&wWyYI7F;tVUAvpfXT86qJ zii)}rQfD~TEAz2HkiUrR3k4ZKsrB@d_lwW^DVlzXDiLhbW`$`A@1dTZnoX00SfxPL z+Rc2c{YpWd#mc`o>I3B*`R7XlFKYe}y`5%53ggMsu9t^zT2+3V*~r%k43e?-i8>h) z3V$xPBp2l3B3WfAGjZ6`{YTpkJ>Abh$91MP{I-z1uFM8@ELK9c-hw`e9(18+z%UfA zw)(4eiMd!bJi(glg&C7^RKQFmt?J>wzg)bIuH^4Zx|8Uw2SqzFbC%`QQWJhBJZkmp zE%KXm&nUsO`02VlzA{jIm$G}3Syq}oi8*yznx@(^u24Q&l zO{Wr~Vpmu`mtMBrmASwng*JFzKOx<Ul(B4eu}#uP!z)vSeZeEfufyaa={b3O1u^F+3N0 z45&>F{Miu({djh$O+*`e2;$D?zP#jzpLH4Apq#DjnQ0Bm$0qg(3R*o0iy+;zE(lao z()CT&Nn$S8vj3pvfWEsdrx+?|vB(L?Jf)~Pr(15!50?eVs@%X54J_y+52@fLghnx6e-pQ7}p zqeqym?(1$~Osdcvb}oq9LqTGS%ois#x6vdp86RLa3m5)d4TGb036~z&56_=IBFLrUudIoU_kb8H6&fO8< z<3lbjqZBxV4`VJU6p?!$<$04B(23Aawp|AU6GF@pne#z9nd+`;V0*V1Hpwp2!)=cnycpW7eva6<6>3rnVKFH_u#B#2LefD2i?KlK3L^Fg#}J(kQP&*((*_kyYv=CR@n~+jgxy+ z*=5W$xD!$_LqM-PrsX8=Xx#~aE~2yj&4s>xobd{1V(^QOa4amC#9b!Eiw+3D#G_q= zI53K`I@0h2g3F^0LfQNO_|%6V#8YXWX^chc{mKO43?i8OgH;r3vu;;ABO z`(bf~yTE4=b?%F0D?1&^Z#hc0qux<4o^B_kr*geGDYSSDwSklFQE52S-~YP>pIpP0 z8P_M64}KUwu7oa;B67}Py~iPb1ducXOllBUZ9Ai{$NRCw@yH=cPOG`-aYncUZZSP5 zznngsiiV4v0ihr?MT=cz9+?=vd@Xh2#SQ3%YcF#K_l=!jFK$8+C&Zk>C4O;)-`3;f z^YE?g`yFq;&0WNeMo`s1Cb9xS2Pg9_`?erV=ye*kqfPt zFp){c{Qn&aN`nzXFi>OHbUsKC2051aR>d)&}uZLeIwQ%xZO=M0}sV7e@E|=L6 zPd}m(7hDvGt^)>yx~Isuh1$s=`C)l%mAY)?(|&TweS8ETTJn;owKzTeVw`tn_pV@v z@fOf+3MW0X?#|{DuFDcq-O{@K>B8qY?TdKGo87rfH)cB^?^o>{mL(~9`8Ta+l5|xq zG3@E0w}AXCM}-mjA;W;Ioz)46Dq`R-ZjtJBg_t+&j$GC;0XdgG1Y%}`w@*|d*H2N< zm=!}L<>le&gl4X@oV;3bnxCkMiNAb1RpB4rZFnrgO3_D;wPQoq<+H~!M!j+&I%Lq?ORdlib?Y&0E)$0 zlnWT4a%u!i#pK)Fi=+ubt14Auc63D`Yw-_7-sEE7<)n!C802=c;IA?(qkn6}{fT0} zzI&WANJOais4MmlyUE9H2J!~&wvtFW%R45+J0>H2@^i}}+&bKH(q~1MqcgOR)3Cqb z&B1?v`2!mndy>-7GQylM)#<9?GnFDP$K#r5Ipa}uy1MDDWLNPGqeEpOcBr&&I%*E|5qUq=(FtupdOv=j`nzha#sY(qi%P1 z=E*TaT(ads3vs5HrnGk%UA5QeoM->a!+>D&5?#r8@~$iQfkg-YVTZLpK_rEh6X3tYb@b^6Ag;yhan*QY}}tk3bffL|C2 zv#Q(Z518lqM9~&EroJ?n9L!xadghY=RK8NstW2(^9|~0&`LGrna#jVfcgA z>A3DiJ{cM?!maEwW#`j0RSw&ePDNWE5iiE}evZ4HRQmb-N%OZFp=+I#mZjqenFGs2 zXr%wx`v%nif*!EMf0zQWBWPLS)$OP&jn-nP3`m5^4T}c3 zro>#gk|bZSNX@*UW;|bG+80~)p(RQh9)l>+s%HiV{m$Q8a=>#w#7NtwpOAh?GDD*W zfhTTfdU~mUNkB3niow>wSPP8f);0KA`RB;ft;Ig2Puq zh4T=ezWx%kC-1R`fIj_fQ@_EQxWc#q-Ztj!EpspJt8n&l>*hsaEHU#A2a@rmmOqtf zx+E)98(ZY;Gs~9TjkW^uOghn=>6q(L?o2cc>9X{Iko2Ym6ur2e-H>rfjh6tre#of%&Uk$= zfEB6dpk0rf+9V;UW@d`?a4V5(RC8TRf77IE;Gd4 z4a4w5*qwhXbV@&JIvBlt<1}xI-l)?MMoQ%2AKlR_*8jrPF!Ef}akZ5rCy}%8{pqg? z{}AaVuP?e&OaU9DDZwBHpT_?<;T2GOZ!@iZcex(aa3{mGU!6UH9>0~zAe~U0zW4(p zgQm_+F`aWDtT4M!?56#?CrusC$Q=?McVwvi@XDVVgGLvMI{iK2TbYevLZQtQscq^E zGiV)K7YS*Pmy?#ymK~WQT>>#L-}QE!j&To>Vxh3wq4zNMrmdttSmg5vHhDV6S}>=~ z$o0c4&YzN3EBkl3Ebm~ws2_rB>S%FUB5_^)-qTDUcp&(#rB};XE0*b%2VeBlLs7`)tHw2d1H)Y4n0IGPr zh>{eozMkU%q2-G)xR~}NB}wx0R>`t!K{M-NqUDRLCPR~7E9(yqwlRW78ljhWo_OAI z@{-PYmGHz<^Y*CZK(p-e(ifky^H^eDt%cd>PTRXV)Ap}jS7@sh4dnzTVT$u7n~Bl%*8PEJj++PdA6TxPgGdgiN1J4ru&f>O9Q zkPdWkAAlE9Q0LHjANUgA3jYM*2|8xu5Ay1~zqF(u?Zx5}_}ppV!xdzHBKgp@(c-an z=&29im-v@FuN2PP=7KlW`P$oE%erdC+9PftACawsnMTG5RqrM;P&|1hJ8~68(sUh* z4`G;BP2N%l){|q-csmlWH}xBx5-~JiS0o{0vX-yp>e@!SlhOPX+m$W^;`KW~YhQP| zzH>w?5OaA?dabfcUURd}@ry|lbtg1mx7<{rd z40e=~=OE1Y%&KQ%fBW-uy~{XK>Yx~!B1KuiUY{HX1LYa}(klGH}{Z*%KC8kkBDrEe4*0cnF`kNq@f;mof0=UhFQ_>epfOVEO-*Ad zL?|^bvG<|>-#9GIV4yXFf~BJYfQ_R}rBMC8vENhrpexK8*-CplL%5X{M<@dqT9N2K z{eyGtqyW^m4n+2wm8X~5`|^akSDAx_E}!CR8ao}vy^bnB+3&^D9Y#*xf&fZG_Uq9^ z=eexq-}-x7u+*3~2%n@$;n;5C|D2)aYA~HbBC_Q{PogEsiycqAD*q>R3Uohun=#Bm z&sEa@c$c{kJvWLd6K46N5qM%*i1T~sEdN0v$@!A?o2;Vj)~9B_I)(rHOSN-nU--k* zrsobq7v*??H&s)ZoXu#*RAI}(=J9^mgK3?lzVq{s9tXj39PS1gcXzkt36G_B<13E- z{e{giBB2PbyahZCFLOVuAsFC!xwW>qikER56~U&Ol?G8-@|bE`kkneJ#N9|r{c`M zu}7`q%j=3|D$~rTZI2sKTV;p9yUI~la-ZjIXI-28B-$tZHaOx?@oM9@uA;2jMU=-D z!N`NaIMyn|kSX~3YxF9BQxymm1B3DCL{m?cho_%fR8B!zpQvh{B_}lvxzxe_8_dOe z^(t+XfNWG{bpuEnD|rG*N#i~|p+=R^LzIja>uppr(mR9TNb|fIwN$&`p3vXHBM$Z9 zi?~=x8TMBsQK5%?GRTbJJ~u1K4Mt~EA~DzOClX$F?!$HzW-XRi%fQMw#uap>;wBlv zT|rK!L&xkT*?<1tuXZ0;+VOh6cc%%rM6(M%1k45uGJf()$kx{OZKsFPT@1B*Ed--5 zVj}jII7id<7RNcC!h8K79uhd>PTt?UwS!{5q-5rttH_>H0%vhG{OkqKp-@Ac7s}&)3z+A?4@a zG~d(H38^=D+5qAix3kF%nw)(hU=UfRN}9|Wk%_9%yDJ7>13=tWl)(~au4GaPi3S^j zH*J0h%y}i~t<=!NtFE`SaTz;1_2z4f6^|5Neca9Nl^PoZfP9AIGog+ze{_q-#~s#I zd9gKlJ=a>IO=a!>`wMhDGML-<9_h|jY=4H>UMo+MPDxvb`i}H&Y-GpWAE%Avr=oZn zg?4tA07Nl;H8P)TQs9mi0ou>Z%dOgd0g{OD9-&V*Pl=~3$*@|30vYkg?f64KKC6{1S4u$~pC zlq-<{Wa$pD!>aZLCV>1$FUcBCHsO)6$SV}0%{u-!*d6;5wfprd^)6Y<2H?yiHh0{r%Wl<%Ur$()FhiCGzji`!%%kf| zfyUcxMJ6uSeX)|uN zJ49Zy?bFPc_LMv!{^KJr%4_U_TZr-1Hl^eI+bg)@Ie%^cJ}>M`nm{VARbhAUAD{(+ zvi!g~mHLjHD-f3KwA<`w-Oz_vgi3u${EUt?k(&7{S*g_o-CyNJkHI~Wz~NgB(8JXc z%t2=t`7q6Bc&-a9c-}ZVlZ=r4@pcsx;u8lXOMG@Px?`PIn-z_4)Gx$zE zl^lU*Z^|(R%g-+7#~;A!cI2G`XInZ$;J20&1`C5DPN$Sw*`1*+AIiiLD*e@E0z?Q) z>h@C|D0qvH>dLzWTx@+hP0;n_eAwU7!?oRwoI&D5U)5~t>2&DrDjO0d2k#2BfbDuuJ!yA9uTofSckrXG|iWRcXp}u9JnaRID9hE1ayoe zTn@dy;6#LuoP?@}vNxwcM$7!{m@Z)AL zCu+cPv=!CVa9jhu;`8@<{9ioS#(n3}dZqUt--!-(`A*I;FF;Xv4?ro`S`Z58Y@2bM z4%vi-1>aYuCJ<`&BOn)01l&{So!`+2S7S$$JM8xc*s`E!H~CSSKEwudIoQG=86h(} z&8D+l2D>Y(x7LDXS`IL%oJkS8TIT}bv9C388bIdFZb2Wb(qEwoOpWlDtaX#yusNl5 z%Suqoz9+xr8Kmm~h-nG2n`aviOEfF6Gu4FG8js|EaScSa$dNg#`g=`(y6x?Mb481F6o)2-C zG))#SUGYGQ=|d8GAAG=FI}>Lybn?p<^CjMDuO(|_&?#w>g24c$P=CbWiT&8>vp?DriQGX z?*DG@%!Pgep5sR6+Uc2Gu{psq_{1qZh&sPJ*S*;T$bpbKrdnD`OdAy|ojm1t6r}d9gUtjeipX4N@ zHab#n>jCL{=Eb))vhAj&PM?Sb_IaX~+JpgnAc5g`{?+|ZM3xElZMaYq2+PU8IB7q6*x=k3y52QE_elrBqr8Q7H48j= z*Vu8f+Br;lxJ^k2e;Ur!pFSVf8CFo8(Z5E*U_oMBXUQe$r?HcoAp1%2H=Ej|l&C1t- z8xik){EqDIokZ_`K#6e9NBSSbgqG1&yu(W*+ke)h4T-5$o`Eb&B%9gW;}8Iy&+`}P zdzI7r+i9AjV!n=*j1R4Zsw9oWK9#c@b`$8+95C@8HN|;uD5`ukb6%zR$#qvqKtSDL@d z$3(+UwREnLVzua8f!e8;lO$pV_~xU(%IXpL4C3G6kDfy5zDs7g5)bMfA z#$2bD!LYlWU1tQ%oc_#(uggEiIe^5c`%KO90EV8WIjQr;oqUCFZO03i>`<*_XVW{F~!?cbhAd_nVS-R=IIo7}O5-eam7* z=*H=#g3L>kW0gCu*_q@i3$}AIY?F_VWsb-ClxW3?0A?E9yYv?;n?lT7n2xsGpbm33TRQo`jXpd~ zbJLJRMspiSMrG>V{w^e^+N?eo?(l2d9)k|Miz_^-$-JSX!~P^`{Mftz2!x}-xXu|o zYE)&CWL3@2TBMfCYTArAP-y<6Q=A4x!?vViY z3v#B-9(hS0ytBt9=?4h&`SsU7e}>ol2ebirISojEo2>V*H=M~r$1uXx2=BP@s{ zmUz>~fXUSUkz52Y{u@6#;xpo?8e(Sz97sTr5O3NbDscD58N2}0;egaRP{}*q&HPT3=KQ+p%XReW%SlOfdNgVlKfg0r?6E{?R_Ax7e9HpM$X>g}&YlpwD3%7e zv2=PfKLnKp>B08bgU?BIKnm0I4zR-}dcx!Ap6L2MPk6cayV-XqpVk2WG45^LtEHtp zEw;3oEAXVc<}#ZlTRN3pH1=KY+Vl>_!@MB?xSz=3K_L$Om5-$jAUJp2e(Fk`g<62! z2Yl-RcL($@|0huajNiiqRe^%;>aAfnIS>R5kNkhf03iuDnX}?Fh>o09&+67^b!xSrl{nRW@IP2M;;wJC z=id6Wh&`IOA*#JO;s$tqBj8F@^8mY#d`9vsu6V^eyaw`&oo>FvBY`HXXAGzU+Cvhd z@7BohQqD)J^~gw3fnJci+t01~Bz}zj=t{7|qnhf=Y4`(;_0|dEgu5j$jR$}LpkS@m zcXt)YR$IMD2FgQK;9ng12RG)Y-Ve8hP5VeaedA=VxC*FSCu{)K>qvJSLF7nHGH?R} zg1Tqgx=#Q8B3gAOnPI)bS+HuK?=uPZ350?k)<##q+yHc~Tc2c^ir$_GTG*al00`mK z;%&f&YK09d8@$8ICDQ2OKy7zWnPdfU?Q+27GM}6{)8DX(0D2o>Er3`kno!KQIlD!G z)dkAuYI#hKN3lQ;e*=)c+`;b%SRuJ6&&I4WZ^O^s-6CHvQ1}PX${1d?AWf2fC{nD| z2sXSqR)C_yT67lh6#~wrVzT?K4M9D3xA5WNVFyPEH+S$^JzrN*ku*7>s4WrX9<10u zGQ7Fr>Fx$@ryFP$^pD_4s{hw`fTUo~FjyQY<}T;vIvHe7DgolV!$XvT<7_#Jq53cK z@@boGprL}Tf3`P&4Z`N|P-og^Yksn)r6MmLXEJ=OFp%x$2BR6po8~L2AdHM@yrB3= z$_|pV&vx5)9m;kEm?E$>ejyjTvJW!eb}vc5^yOL!X!mmJi4=y@-Ht@Z<(cHEuVRiw zk#dPvH90%bzjUxZMewo$6Nz6<0w%}n7BP(l)k`iS03+PN`f0$b&3`Gvw*&Cu5e=eh zen7*^ktKR`VNwss2ehTQ; z%PEUt4F`>{7ss{n=MU;m0oQe(ZG2*9$k2}hAl{!g;kV~o{lLuwU}>M&omOx>aEdG6 zMN_tQgqZnBb*))GF;?H+h2rwh>M5y@Bn`DMR49z6?G7Avubd3+)@a;nibU4~2wAf# zr4;o;)by<2cQ#8!B%d!cB1w5`1%6R*TGBtDvd`Lz;=7mLYLc3BesmuN!=%{Dj&Z4# z`PYAr6XwUP=gHdUSnCz;O*#31Zx~85n+_gJmeB{!CqK1I&y1pWmv)TDzPhOe@+iPR zmzKVgKaevROQ*1zy}~g87&Z{52S+BE6q2p6#~j~YhY#1=y?Bcc4F$v=OuP5n;nx=u zp17TE;R3kA*rQNqQh~s%!W!^2E3T^;`ZaD(%{dlKQ}4F`6LiD+OlJA&v}^Eb3ig(a zl{h0@D^zI|BKJ1VxnkLW8Bz8|m2iRGlo0UM1?tf1cxuC{z~n=++QHws_MldNUCLhN$OPYKo?goI9ICDR$^LkxSL@Zb z)DpdOiC)0%iW<*R$}qrDtfKB5`Pj$f=oUU$`j#j=bm}Len>v*J=FO;#N2Rgc+Sh|m z_nWI1t{RzRv&#vQ0}r#t{eE`fb{%-Yh)65Bb9#BHqkYtuGE=m^{oOc90Zu;afdA{_ z@oOI7Ix>g+ttul2Q2sq2s)H1z`jWa*T8oQ2iE;D{^ zkLi#ao}Q7;oG@ zai3=wAsLPR*)!jL$x}|usbx~@tv^RN{LcgU9m0&n9|#O;A8bz7)rUC+0lCigH0zD=deywiwZqhs2C->KjJ|8-`p3NPI{14`>-U0eA) zk6W{wga9Sg%Rr#d+l?z0dN3&K7ckH@C%B^{T|Gkx>PpKMptiC@VB%Fv&Z~bB`GQ4E zj8U{c=*oAWC_u(A>4@iO67qb!R}elZJub=)&d$zH&W)e;5xW8aeO6pC->%!1KHZM< z9Z4yhYw!tgX(%=OyewLmrOYo75B(TE+!OMXIGvb?(+Qz_R2wZN#cn#z=MP%EhAP1E zE+wkTy3VdU0IiKpEs=1%l!&ZkCO`NG9`t5t5hUZhZp)X_Jy&qzYC?AywuFC|(AJRQ>I#AkD>ar5(6N9L1TvUW2=bKT7%zaeLaf#?tTM=e<@Up8SR(76b z<({2&Js|82qTDixM!dJzj3Ip@4L61sUM4zu`o#9`m{@Yf?6{C^;(A7#3(w2R4MFe^ z@5;wEw`K=emVzXQzOGmwoQ=z_83He21UnlPHwtm&vKy9Wavg$N=qz{Su6br9?H;@} zV>K!N9}ELbR%SZ1Y%`&XoTHY?GWxJW?`a{;ldGW63r_-<1bXstsSvxDIV4`j#Q|u$ ztj|{S{=|X{3Ok_CKdd3AVJpjw#s7EOmFBzrdk$RpOT@{5naf*S*)fI1VneQ*i5vJ# z0gub(Eb+;pUGibLre?q1(0-YxkFfZ+=ME*k{S@cxHn9b@S0yAQ%t8wSXY5|N|NBed z{ey0W`BES?_oM(HWF;!1$z9pfwen(XH+*Q{iG%%w5lg4jXYuVqk0_oH2!sjgB8f-N zo4RJU-QIq@Z%zJulbKfms8UtS#rA(|mS#*$TpZ|g>XSWAy4rj|C!h!YZBywKvjAwB+EEZ#YSb|B&%3(adZ z{FM2fd>Mfkc;-Uj(J{d}2B!@{&Ja4#l3=)J@Z0c0w*%m=otELFy`yWg^75Y{HfFDQ=$j)87vD4)`FAlb zqCk@zT9;wI&urQXVO+Qkl8yWuQLUzo9$Cu5lxYS7{HT4nWd7x&+`UTLsgW-b$4Tes zmyh3%9{UbGkc@Fb;V+qT+f*^{_Lp+VLQKODC9tN(b-iysiFTzc3VHkc}s^|?&a349%)pc69F zD(&QV=oK4hy>$8L`ZJV=^XQOJPxoN~eVUn>d*Bkx1vl|!WHse}n8Sx#n@zs(6yH_FkUg6l%*53LxUwb z#$EqwJC+A>-!#};!$D^1egY*;=EYpWRujgw*U}a@Zfm!9WygMvqTz<42r;ys1@*o| z7o)nT9H?e&Je$xfGcjR!T_I)K$~Val#yueg5xlI`a3Xx?;3QO-8MPCRUyJb`Gg6G( ze!v#?Smq;DydI+HdMAvTvOE-Ly>l9aXF8bU+QmayDiXKgLf2+bmmaQ{3yh`w5G^a7 zs|WTlAK$!`$I)PD1i4l25fZC@-;T&f12GrJ7xPVJt<100$Lyx{c^4Xo>M|SAVGxZe zYMrs2fhOmCEx*sf-G@({{%0HfRju(*85JlPQh#QiD6f-4JyySA~cZx^T zHy993+MS7pQbF~^@%H_DZPR<%ZiV7n7YQrhxdnH0Vd`x2=muYwx;UStge=-Jy3S?v zHruZ$e?Rd_Q|V2or_TYH7*Moyi)Y0hjbb21UNis(sH#9{Wqu{`$l|dKiMVgg935~V!0U(=}HKJ z`Fq>xb<3xS87vOs3;zblzMCxNdNF9JL7sWk6w?TGyAHtELDKiHrOU6+u{m=L0 zJ9t#PS7?(E;|q@b_j)CpUxEsAMf_%jy1D*$0v|nrQg~h{BV4dvaoCpyTY{afv^~cAGDI=u&w`J-M?E zePSMi%|kU$sIdd*fNJhhGV_ub(r$aKs@2rNVwhGFJ;drk#W1P_*<9ENG!@3^e!b8$9v9-tv$@YuSfsVfB#!yYS%jW8uJM zZj~pSa8qX|9{QXSJca>(>HjhHCh$!Ef8cm&H1{@|TXwiIWC^hd!{!#Bnxn%^6bh-P zbYR$Qj?I-QQIt}dN_^5`hK&wBU34%*Yke8 zUe7DAs_WiydJPo7@Lu-}sj{0utn5j{42DlbJ0~tcrEvcE(Z{A!M>E({*lWt%-MbUp zs~V7P5d*_-~r-cM|=r8CE$HEVru68v5u^#o|AE)FQ68gZs z#9zz$(0!64s%YE7_pQ&h10#(i9j=T|LQZV*nJHYm-cZ%ECWRBhzIbZiR3Ll`TmSZ_ ztuBao2=M}YqwYVGROZOaJn5&A))LoQyN3(9i^x85sqm;c<}lKJ-nqyjZfmxTHCkQN z9 z?SI&qMBV_zxl6mQZxf);`VRTsnh9`>w4hKcU#+_B+&#}SXDI`AT-;Amkf1&?%e~8j=JN&Vs_Xemm0fGYM7r1MFoO>?z zKJC=oK<<1d8=I^sj7qrQ-=8@%ETB z=f0fWj{DT0h*rM;8Q14F$yQg{a>U`oxc^Z5)7H5+=e^M(dU=Dg8(pL?@vKZUVSLKg zp8G62asR}WWUlgKc6u0n*v>5^xR!d ztY$rCQckAGq{M4e2=-n0A_Ai2+PU(2BZPc!f?Tb>b9xIW-WpG<3Z zkl(-(F4$brI9ijK6m%n{!72(raII*|mwT=sSriAl)V{fBZ?rl{LIWw`9)4fe-_?{U z{*V`9^KvZ)O6D#unG!DA;GJTG`AVgg%%UZ>qx-~^`km6YeRD&Jz~a@_+TS(JaL^iY zh%EYz8@P5{v?IOts?X%G)kMmiFj>DtzhHzJU}zH?7bZAl|L^XsjjG(d zrV(0Y<>wfm4P3Ot0t8+V)cSfq}zWE&R z^6v&wZ(I7B;Ki@bUn53WHk{u#dRJ|SVmJ*&7k#I*>*FjUs&3r7%{7yBMdEiTpG!;J z7jRh56~dlWk_@B)MAh5v_-(Li_$atdf^J%%J4|rZx&!PcatJi4=0T7Lab#!f?QV9w z)yH%j17gb+@Q!`a(p@JwX)axc4rhTqTh$Po!V|^~AGNJ|a@;U;TqNW3$!?+YQ)yyJO3 z=}k@5u6J*%=_BU%U}3Ac7Se%_b2D75WC|a240QC6wCCG8ckes{2c-ukMqM#eiY)Q#&x8?r!h~nqt8}z2+_TB)HOjzRDLOYC9wXI-NLJTl1&i}k3pXr;9 zOGmaB3h?ouW$J^*dw~(t6~$#BH{`>O}}NoBe>g z%)M_0_M3eU(tgB6n;X@Gf~mmm+k4YiQjY)MMwQRfzuTXSojN+}shXHvWAU{Q+^nT+5%t~}5te@N zD6<2X`z#P{A^?a0i)<8vV7cQ)QR14f&T&fe-G`P07 zA_Q`3HQLBC8s!-;pHY748G^v@H8T^Lm5iCW%SBwcBe}8)AM|F17wu~418NQ; zhyAFiA}+G17xLg#bpub1mcUYbn2zjd#$8yQi&YT8=lv#}Xu9JUTu_$55;6sxWb9X6 zwj{)WoEYB=Bw%=dUlC{rFY)$fG#0f|0)F$_`cUefv#=+zicm-dzA11g=*noWPRV0g zcx{a`Hxd-(HN2J2)dMq7={!%PP-xP!xNbH02QVAxW<|b;4QogLSO@y^W^7LIs~a79 z`NG8Lc5#gl>#A?{AFlA3(<{yv_*`=)&_bb9CfwPKm6Wvj>bP#zc%Kc4d#0y~Q-Hr? z;^=Dg$4bHhB&e{6q>nlUO;%3tw#d+%%|H%$6c?YESws_jtB1HAC98AK7PEp`!8zvY zXkBG$GURxIpVrL?TZ8kBF66mufrswOUhtWI*^IbCzj(toE~2TJcT9_?RUQl;L%%nV zl-m|!pc{>z>~cOR{-$`{@$lj2y*@GE>V{fYRfNdFtpIh+?*g|Q$5V^IvzO}sEHb~7J+_4s|O1+@TYKJt_r@&6s6eVW(di9AL9PKjp+RGi~w7+vsoU0cwaaPZfFG5cpvbr;JQt`x2b$%02 zejstVXcbx!Pkg1eU#M3vF1H;M?xGdy0?>Hv#^uk%OBc^z?P`gI|7Aa0X$y=?my#di zLF=omLNR)hv(yiZO3tcuR)|nITTPuNM{`Vz9dI;Xhmxg;g|0?M=C=I@2V+fW5(Ka~C&#+dV0oP}s6>R#O zuJgOWVCLBL4GDp1!fE!6HiqQr#i+(^Vzo(NwJ8O&n<1_Pd*!x7`c|Tr8^3azVOcLu zBNBUCH&C< zyW~LDRC%XbEwYje@+odMys6N!rjNAylTY5Fvt=h=JoQ9GRfp$emHv>{{exxySsg9_ zW7NT*Z@ZIu=RbTyfk-g^QBAFtfoZmR1$jcDK5?yWy--sA$Up5MAt*T$YfIpV3zVK= z8w(i+D9zm{LR2Kt>cowTM4A2;}nJ z{H@vPo1!AabEP3%Pz5DYSK;-CRNl`BlX;UTk5V8s0ncif81PfIMw7QyWsTtE7$Tq8 zom3rK@Y;5>K?s0%i-H`pDmLx1-gf`=TYUfT>BUw9@rHzG<3?TsC&kV;kz7*oE;7UKP#a2U#F zo#8lZP>R@fmpA4Q-!Q)*X<)6KwGPyGLAGmL0uT(;8tE#~l_9x5K&gcf428Kgu(&cL zKR{60nr5!_T=&W}FOLZB&VeoA?&+BJ_vWWNpiNbG=3UBK1^*!_ZbmJ%W27d5&G*^t zcv_Z02#J640w~Q#6N2QMUheMXbmM|_RiYJz9}!cEt_)CPtfySuRNVBN%*SCjqhQdQ zPl(7HwxVstgz|cu`ob~5C;#CbC{{pgcedMrDxHp+#+tLFfPtF=vzPB?Ja)$VMHJRH zzyN>Thw4y)g!D}`$KcZn#CdBFEjR+)HM~Y{5}4MlR>FdDW7kOmiim)z7yz{vegfPrFMr>4XvyGEo zidE)xPhRLexfxv6qz%SCH4oqKgt%~2B6?{M4p$GbNUm0LJ@4)hq_XPurl>5Gr8Fqr z&)ZzRcm?MOvW_1Zt_unfL+%bJ$}b^a9-7QcX_n<(@(GukY(|3b{)W`l)X6c|Xwca` z@7p8-v6MH{-+|0P?s&FODX5iYuqsO(T2AcpJ$!cx^65Yq{KU-=IC9vk?7|~e{>3#p z{A16+_~6$4rE^vxjBegQ{yB+XPt0;aqMJ(u03Z9(VF!ryC;*t|M>;;k(H~#GZ!YKL zc@SYJ={y?A>j9;cj$hv>=h^u>p@yVqD9C5TrfDXSTM3Y)CDC#C@ci291g@}85)ZG1l6E6S2{VrnS5G* z(E#7sv%wH54fQ`4&&mDX{My?DhHk)aekdeAAv`nN&1;VK+C!D}wkxL_zH@us;NRK7bFtN&-BiGtB{JND1J{l)`@! z+Yll3xuy&}F%ReF6I^+~c@Hw-M{6H#sBYykel6=Z^zymU=C{BGz>$3buF}a-kvJ)k zpAk@!;}2=3ALfmv$E1Y_rI}$b| zRUHN2ix0WO$6cxK|LkgW|HEUEvwbYJ5X3%!CA$`c71tl6g%Z`2d~Q;jr6|&#_+TUS zTL*}rl7Y^s0jJSDjMi+EEyk*09UmStyOSS?E`I3*(ezOK!86~C$%J*p2N7RoVY=j- z4$n|KT5{P6B=+EzJm&DR>hB@=@*EODevBGemP12 zDvC2t2K|-h!*Ao71s<>2;ClhUuo3H(HiDf|mY|8pliKGz*6eL_c19gq2rmDr>^B`T zT-7F>P;!mL{CLm=hJcEV;hM`9AY*t%Y8qzx3~Y2jVfl>VC%PMS1DiJY-VS8i9#9FV zgV;1?+qpK}l&r~iv!zsNV~s%|DEaN3+gVY`uk@)Q2BbWyS>>=PjL1322oV_bpAOWj zb4!8#SYQLVuE7ATgYr!!^d9zy;^L{sb@-w|wRehmzF@mgK-#7-LiBawjx|AcWmyKuIP1NyEH-u_AXWwHt1!{q`yip9WwC5va+5ZEF=AFHp!9gtPk}qi6SpzIX z`4SnzZ5F`ts)KUK0+FL#41PpR(l*Nc3D5LnTMlQ$_q7)Wf(07e{Jk`A+X5IFkPkejgMGsiN}Io8@dt=YjG2kGzu!jggqW`s zuniV`p9H;TM^TR`tit-q0cQjjH|q#hfHYKfLbjj-XlT!L@Bb~T@s`y;wi^7v;!aZv za#5G_LktmIf62;X0p6PLE`0$1Ay!scuY&o7eu1z!FJ>1t34mD$jk6)u7LCPWaoolKx85V z^RcwWKtcb5(4)aw4X6Sw^d#UT3&`$jL)MB6|C8^5k6eCC1(+YO5$ptZz!dD2*Q7$b zvVuA}SjJHnRj$8i?r$DIIK}ALI4!DJ{VHJF<5yV0Rb*{oUIPP9RE)I;2uS#^12!eN7Zd96;Mj zF4&tPvG(1RCG{!xi^-GZ0hrNqre>6p4md{Vzck9z-IBI z!H*Nj-SNTN5Xoenz|!=8Md(dd|CVn5lTB0z?_C5+;qsS>)!o3b!2!j>fXq68UF?7A z;v&`~w|f1;|D{I460!jnSW9*bc}!Vg@l3)6qyjYb0`gJ%4U!ln(9=lpuuKLSM~J=& z5Z{&vU>R-R*8D~F*|z3816j7(lG%6nwga0-%u@e{aU3Sq04%fy*$G&Z&5hvv186yr zV+IhA1zB1wIf^C+im#LnEFPQ>_Ul;?uLKCNfK7{=0^r<;J_sARVD^bxq@IisfuaA0 zrvMA+ICN67k^O;DAXW0nj_ckNA6EYJX$r68E7-X<1(Lz+HE$qe>f|SIt@dyMBmi5d zXV`Okcn_EqHBAP30t_qyY!Jf-swoc=l*f184 z^aRkkWjTPQAWIE4Hvsz8yUq@5z2%Besci%#59F-wCNe#NRprckgQ?y1A=r~4*m))R z<0~=qWT0mN@R1HciI+gz&iaUc?07?mHKPc{m%Mg>^AIe?Mk1(53X%+41B)INmaSd;W_pRU=2WMD0M7o~)ffQ&kj&dci~-J$C+@+(q5;Qn!-0G> z3lKMnUg)L(n0+^q?9*HVv{OC?Fezp?qZKGbKY$`-3}8`hzIwRDE%0&{1hJfb4 z(AXO{LpH&J{GVO}8ucm-=r*u5STt@0#PR|&im*@nmPXve^phvk(YIJY&R9#xjRVYI zNnudH7Ga5(qQK^I$q+yjXbBIu#EYS~gyYFOa^fHjNRFz8#`wQC9)G8 zwuE$r4zwEpa6^Kc1klw)!~!@Vf<#pl(CuJ2^PCqDFI$js%_T6~5SVRX6(1f&drSf^ zQy}G>4uNW$4ps=MIs;Q5Mde)Q(V$oZRhhJ|0lTPUE&&89v4XgY4Ms&ka~;!c0o+DM zH<55xcwjm}^3_;98Gvmc3W#Rk0&Ed62AXOK0R6nHG|VwoULm?^odn><3+Rm(ge^@D z>Of)S0iJYy+XwhW!|MeGxkx&{jom)^797gk2r6CSL_$J= zm1$ETcKYpL5h#`oRK@1Qx-zr;y!eFPpYIqyKWLsj4RrI+S9`hZka zsz(Es6?7-XOK#YALqwO|yq=cy-=aw2z(440zcl6l8y$pH4lXsC>5%(C^L32OEzMtI z1Wlgy-!i)OpgE?%I`swe4+@oj@Yt@ppa zcNqK^guVY4qY8e8zWY%4UwbFeyipIdM~8-v>!mC$X*E^{e3my@0$ajZi7xmCND+Ae zz6Fvopv;e@G?D)jH^9XO2-Jrt2F6I6fbKB%JJfi^gG-;@!71R=csp1eoe1tWzz;P` z2>WlT(ChzU7sRDQepLHQAYAJ9m%Teib_m~p(w^TcdZdW5~-=6wy@XKC+?S+H; zE)RgHWcv3*qS?E*L88fL5I-MtkN({*YtOjR#8KyA5P$!r?%S7p<3IB5-p&LCVL^Tl zaK@oQ40ro&5O*Nzi9wU5_P*&Ix!3k-M7a0U-{VJ)9GUq98tHwU+xqwGdq2wBFYmlb zJ6aPPi$2_vk_TP4+o;E;p!-IIn(DvZykjE-ZeO3F8+)-oZtuBU)X#NyOa7F1Qz7bY zw^dw5fBo_bL=C=K_-fzu^EtRlZ7(su5$(f`El+H>GZzjP0k5Bp;AMnwJL+%uo<4=2 z(ZTH+QFgYA=`{#^5jU*1AsyDBGn z{eeeZ*}rz<#cywboWA7f-)<6LnwIEaNo0O(#56osKZv$BI<(#Hr?v^?iWGv>K;J$n z1>ovK`K?`V@>2HYyd9i6RJ2gpZ5tH3_YH_>XnT^3>gyFo**6~C>kyY^w_UNr_p5Dz zx=IXzGG@++|%JC}FWPI%1WX4aljQD@$9{Jv1mU|gNvGV=JU@vbbi(>#$-AgxPLZ5P za92f#7ow?r0(Hvt&P@DQ=kkk)tefFbGBK5ALkfY@?if z4JLQQ6J1dHv`n63Z@#{lA-eB_BF@b3M2SHEh7^T&Scv=5|99RI_x_Q(vR8$S$H#Q} zr_^n|`D?Q;jJztZq|LU8QxIBIQMGvFdtt|KEk!SJFl}oCbBtMUQE!|T2CrVDt6@v^ zZVB}#1WwrJASU<)TwMZ2nJ0u**NKe+( zyJDTb^kVBWDXj)Cp!N)o{2dEtAl6oz72`$x7z!O%g*izEF1EWiN_~KRrJ? z6Fpg}V;#>e(kz7`<|VY@cZLpG26#alUJ%R>WCk=nBxJxCWFOVtq>?~#Ey z8);ieGg1}NQ#~6bXEaI1jXA5ve9j-{s48rpojJ-LIq5BGzz(it`R35jNPX`K3kfb4 zF~4JWh*K6w#V=y@IR;f+UL(7pf<4(1FXYlFZET!+K45_j4OB{%Bh^c#fNjRZ3E&7Z zab3<@zA<7x-%cBaF<{665zDY~z81k~(=cOxG!BKa3n0{X`P!hUmVUc>2Lddu@qB}x z2jv!NF1UIh_v0cEQCn6G*au%$Z8=H8`U!tGv#e}T*7sxve8|Vt!?QU>LXK&@I?)2_ z(yfj(WA`xyxClSjh=&emN7I?+3S&wsZ&Ia~7l6P_U?#3#zp&ud@2RzRCMFs^FUB;$ z@`E+YB(hpxG+TtTVD~62bwqFx&SGoX_Z9Wr&%PB_KcapUZKzCz#UDu;z^~6WpQ5W>T;^(;x|P!TlWQ8 zhfvmOAYR3gU<{30Df#ukmidL{k=orj zKEDlT9m{2VdwGi-^{L;?1sDN^BQEjn!&#@%LULuDk2a^);`eikbvULw$;bh?$WS)t zgpuiXfTiw-rmp_2RN_7lHgi5T{7F((XX9#K0x{q7`3r&H`u?bY4zRW}+lp zu-*Cb1(+;HeX;*!?Hrkh{>#djwFM@Ran3=!)m(+XoxE2_y){FYZ`SMM;3nFtZ3Q7N zci=oyOGMG6zw`a^AB~16KHFD+q*UynK}Cv~hV->;9aCSN#u@8@lRsBVMk1*$6e<1r zL4rdnZwu`V8ei*csi|7}peQyKv=YHgxKKJYsQ5`nh9w*4z$(_@k^H?h1XM!{KMf0V zxMq#IrUkod1+2fS-0%^vs(J#3WI50`sJJUREjX_J7EC4AcStP?m>d~m4i*NZU#Ha) zhZcq}{z;z04!~MG@{ge>H2HSEjt=K6iIkIn%Z05TU;Z#HV$=AnJZ}wxlys_FOQNu} zu}z^fE%K+7gZ-FJU0Lytm;c0#k0E{3R(_vEY)QS8iS@d``pbESxzEIS|3|m z?6+v8C2!&@h3O|!m^5aTTMq&(4{hd8;gR2Jrqd_!udo9eBmZt=dls`~rBjQI^^d*h zcTyZ~8R#dUu_jLtl{|%kW&eOU3W95a7oEA#5l6*k;i;pk?)hGH_z_A(XtfT>2%OzJ43oK0ginAkA`sDM+jh zv3mEWgM@3#be7wyJN8)Q5uAYOAxj^7`v^#V37V?vMT|PwqAGqYfkjVE_L*b`#gZsu zjBeaah)zN8MExW&yZaVuU~it|EVh`Vvm^d~$YTBsYjg%1O^>EgVjVgsPL7Pa)cPA4 zqWnb~uX%W4*orPvu8l>YttR$6X8Me>wPs$ya?1Ya2E=;dte{-*=v;&MZIf$5b%VB5 z{MpOT-Frqh33l#{)L!KMS~iB$b>EFE-~CexpNZ}2&aCQ2_^!$ox%jPlpvS={CT-MK zw-RNYvogzmWR?vqG%!SXNA?2&WsVSJd`@$EkHQz>2~(vF>+^ikW;4$jwUpB(+JqRh z=Ly>I2U+YZYEFtgj~Z_0?4%WrJTMM>DT4KZ|o(q50g(G8fKq(v&ewrq}|iSS7^ z^H$Z$f%DdL^sDu{=gG0gXNxiQbRsWQ5guZ=8f}$5e}FS#z`LL#1-lA3j+IX8$8R92 zP)ZyIdO^GIY)yopQJN>PPDv~125b9Sx~tJFuity7%v(X>%C)sEE(`V=K{ex6@-g)_ z9+F7%Z8X01s!vPT>|rCL`Yn~(L4S%drJS6gPYG3H7}8++tP z{TtUatIo)qOEN5zV^-D+cNYo#1jobPaCW|sJU2Tz4|Ixvybjl0633l5!Hi>3ILVtq z+lrYeQ!*tsWWp;}NmkWp4Ea_hejCZ6@L%P@bANeU7r#ULE zo4ib0%g1zKcBNgZtSYX;+#lfulDND`sEbA>Ji%7)^JWK7D!siE3ED`3;J6pBj1d&+8q6LdI)TB-}b zE%^FAuR0}sGPld3mH)&*sMf1$R>}I7((G=|KPzIeH_g>)ou`aCs2(H-GB24Ujqn2T ziehWYs5yMXIFO)oN`J4eV=%rv_&_0MeZdOGq|ZehYd%TKw$ifxf7o)z1k91v7Pp%uMCE{X;>pyK_p9kjWqL7g*{rWC~p^ z-X&D@tqm!BvS_09HtqWA3ECY2rfXBaRG%fU_1$ji9u5I_A&XT7W8o9nc?Jr}MiPh5Vv_q=T( z{>uHu_yu=?)I&EiIhOp63Xdk;E-JgVWApm0^C&xU#1mv<^~|l1nYmDT-sdCec~G`u z@KV_II1lgEGOz75^UP}9rl+>2VrMX0cC)O1E&Bw2C>Z(*PmBnd4K+9H%l1BEc(6?I z%5Z`H^Kh9L!m_%_a`=3n6Xh!3qfO-<^#DofpK6^^SGb*sORQH{>e`jFS^j&^Cu_sM zwI9aWNamVX?-5s6eoxu7eeH=v&l_%Ll%^Fr*Y97eYWN%WWX4+`k@=$gtK+X_HlAq# z_AA>`z4CVzw6WE+=3J5k`5PSdpXphgBd0P8gkSrmM<-e^H#h7v`RLx@=YMt`56^j2 zsU0uarz$Q%=lBcJ;gek0PZNjp0dT~tMUOOupVH)Aoj!XVjGb^q%!t?UHWq-5rXY26 z)T&Zy{*LLp#f&Ksqa&5&#e@)7jm1;jN-zKQ6FrlSXU}`7BJ!qekR|Irl3hX?9>;#T zQ^M@ZMzl^yvP4UxHKEr2Q*G+^x&l4J4RQG?k#;r zpjt%#TBeYu?>rUoH2Alw$_va7Klg`I(AzaP+rrUhpEC;B)}uv5i3fgb^9jK{uN#hE zKbv}t^M|nBW!P8zQ$94$JR}iz9yTuV%G|{c`*JYjP}h0>uVoJJd0Hv6Rf%2<48_~b ztLCE)eMjOvTxbiYh}#}(c(Oiu58XBKp&AFQR=IbdNfyQh-qgEaa4w-J)gksdj?l`D zCFW@NFbYdOVY}c{`Z@kMN2Gkch*3noQ*`5Bor7O2x=Nm)H`ZMk{&wc!=wub?t83zuf+#Q}q+a8Q&Q{o5lGNLf2nAC1)f~ZfAo=#tpF{&2-J}+CIodV&K@w z$O*R{^UkHzgS{;t^@kQwqIG(v zUI`sK^~NbHEXC>O8Shf>k9cUBPu-2Em>xn38+dGF9v}~&NSjlT&qsg!GgL!uQaVZ!jzjufR z1kpJsp?F=|$n#86Jvw_TH8vsYgw|4e4Q^Lo3X)`&hCOwq=e zr=LMl#AEnc%2E9rWT~5$XO~78;5{badTR&9XtHF2;sd;fDI}l*z5OwTGo} zDZFka-p2Nnydt^J1o@NS5wmRmn8yg-)7VaKeaEQ9B17SJ?XE?AlRa!)@-M4Qa(Pi- z+unW-O%&<(=C>&xZHM#UQL4p@NMRVx)WCo@Zn3ta>>=OryIqk`E7CaWGgCP8yP);! zX>7p2RzsU}SHq=xz3wZEJM2vQ8`hS~%YtOJE$bfmUT*PggFpO+o8V3uyFI33``ZkZ zR%!Xqld}xNrdcHnxxun;*IO}F3y4Ug#E(gzB+8Ivl4K^P|L%-lEYraUicg)0Am^2zPUZAlNm_KIY1~ z#kFk&66WJ1!L$$4;lSv^%+8Dj%MMo(w?txwQEy?>T}-ztgFoWQOoE z?5s&;vtt&V+hX+z3Iiz@RmZs6>lm-bI~Pum;%gke2AKSr7&mahwO4l@l@UW*Ib9o2 z0XhEaW>E^nvHRPk`d;2;Ij4~r=^&_2U&Hxy(5VpxD$x7v#`_{^ru&Y~>o5n3EqjUMgzEt~c`X~J?Q~Wr5$|bjJ*oI({eL9}c3M_*2TKe^_W~-l2^Z2en|3I)! z_qAIo+}Wl!X0}-6w%&4< zn3jLT{jO;%lX-2c)(YQ-SK$?jXT$2-fX6R{2Z$)Bkt%NWb@iR9nWmL{|89!uF@<>P9i z>fUd-QT-#6U)i{|?%J$WT9DC9SB3%1YAde4US@l>S6bBL;E;jHPhz_{+I)ny{4@@& zoIKvPa=A4kFql_a?Vg`Uw8mQMJCV*Fi(ldI9ZhKpsb4dZoXJfERm|izWskj>A>~ifWs90s`Q~e+Mb6Bwv35A!}>r!H`;zsKJJncqjq7;a#cC05gXjmVCSQb zkf7oY-0gL8LUE$j^!&qWsU7f#FW-<*X&6n9L*;MOVf-EezsSn3e0*p@H(e7hvgJxz zwj1}!C2Cf2KTASWzUC;)wo<5JdRo4LdA}jBneFR0rc?iYj?dmT86s4AyIW{Js=F`$ zMRupu;mdbdBrf6%jn{dpKFhCXeA(TM-MO;7d7?Ra?^iFafPlErQA}(q?>qxJSU(Xv zp}U@iz`KV6RYM|a6FF>Spc%+%Wvx!VJn5I?X4hVx%7WFRXfTSJ$a1+^K#&?sKwEtT}Y< zaC++!efa)mxr@Kyyb(Rtt&mwwn?v0suOv25(!coke<<)yTDyfHUU$9z)+2vM-uJLW zstTj*hS_7tv#4Lo?(en5{S=e9DtWRdgDf+iJ2pvrxo{m8i9hjFryBLe-^`**mGV==ur{y;sy7oH*ZsEGDsA1Ek?bB$sG&I)O{{Yn=e4Y!XmQI@yLUf!Vh$5` zLBzhp3;J#77WVS4iHldn)%ZACa2PDM)E5WGA#=^T{HzA{ClZEXKOSdh$Cl1yN9Vv4 z8BwOD(jb$#bWHu@@(nlYn1zGi!r%4hv99CZ>t}?%g!LD9+{;UU(LD?tDC@fXVB3v$ z;ek&fP?Y9glHsSm>oEqadTYgLA-o~W=!k7XNIr87f6YGk{GU@>xu^ter8sKqRPqxes#It5&n^WBVG&rgy&OD*a753a(Y_+kq(mLyoHTt`$kfUk;wVD=FTsQTAICl z+e&fklSxv<7usg=VbLxH@NM)Y*WMSIhT#`=st2cBlAMix?~Qj~{i@)(nR&(7X4~_J zjhNde<%Mn&4h0WSlW_a;<|{@{t?oA1E;QG%cgk>*|JYSH;gW?vH8sK?Z{N)^6e$^N z$hYEAmaNk=!zM-abk8S>%7W90myKDEOmkE^1Thtw$8@%+8j*9QH%bN3^ot5d1f@ZF zKy9|=qo#IT|Ne&cJVfknBMKj5;bxW?bndTJxan*s_CZT82b`z9N^VWh2#qmWz|Mb) z*d=Jp5;+cKb2BZ*qiFh9q7$`cdM%KeHT`dsn}tF=L_Fy zwXv=6jYU(*m^?rDMDhzOS>=}AEJIdc{_E3zvK&IW^LO90k-a_&M}uop!olztv?wEt z%Sec9W?L5yepMUc8#Hzv%w5nyl_{va7zXsY|FdrO)#=m3XY%-y^j9X~!0YsL$lUEw-~Mi!DUsdUkS2 z_KLrdWBBJSpEjkuSJ%_i)NCAIo0q>Q!_hwGXnrnggtceeXJ0nIZ)I!ta(mBL_NrAz zgF_EfEi4~5tGt@G@KYmfxvX(moMl3Ga%|Y!+bQ1Vus+7%rh2E}ux?L6ZC(A1=Z=Xp ze{774bn{6-Wn^#i!r?7Z!S+&z#B+v@C`nIGk826??E8NL^55?$2v4@m^pH;-7h|3gQ!yWjR`!~-L9@!Uqp(z;g!P%%&OPeZmeKZ@e|mV^9wuAzTxy!7^>!XPy&C1z)#8Su%Tv-{vQ&u z^dI-ZZ{i}PAEiN6R=k|~$6maR&u)!O;QVNRC?#S~@Myy~%Kh0>OF^>6z&20PWJ z?k(oFq@0c|XB|2@AP!+7bKq7wA2bp=ZM%ogRKv72Le%#LA?8+Op)wlaU#8CpCt#>ppw$)~-jY7WR&q9byjGd5`A) z({l)k9k8##IvrLvEul=-@JZ$&&L&k?Pzpy*XbwQi$8F0Na{OHQ_CK# zr&v&(HhLLP!lb4pvRb^eDA92)PbrJ4`Usc?wm5CiYTrjJ1aW3Ip;G;aHj}lhk9PNA zin+Mn;@PPQ>^G&9bM)|m<8s8!+`t@|eGyr-$_B{lOyDc=%`b(8s}_*44Gzr2Y*Jrb zIb9_8(V{nAQ`cH)5nk2yw>>lHj>gbP$YoO-CY7+ynvQ(Z zMoFRS423B_iAy~&zip$b6>ur??WN|EAzohLqpj`vzm^R-Nkbp0A1fXmQsZdG7MmKx zpE6zFGjKhE%^TUGV{uP{3-hAiJo%VDth~OhdtzdS9);|0F`g)6`lwIc(cv{*&H?AG zqVb7UwzLhlhpz~5}4dPUH|8Wzvo`rdrHsi z!t}mLjhOOaAB+81Pg1sEUA7HgsbB)2+hR zX|JbIs|)ueiC@IUk7%y>ZF}&K$q=QgD{v`?n58|m--Tis{Ar=Qex>z6f>`{JZlDo` zCD(}&1Pznx^26JW8wLS=M6U`{JBy8&0DzdJC-0g!RkfsfW83S@KMDA;C?38CWysl z(sjvEK3B9nwdLonY+|3feX-1AeR9d$(9(uPXLuFsiPmAQ5{prDN@K|L$<;=izq_%w zmARp=mUMsU-qb!@Y4wlEGBI(_Dz??G&7WTC&zs@hbIakLb?)kN>a>I+<8&*e4wkor=&X*SE8&>>U)*xMDxSL~-|GVv?=fBf6y0E~VpEY)# zn4g*3&K`W6Si1R|)j5Xh2DXl{-rRb7kQ3Wb$BNaTnqx!f4-MTu>tW_zcfT%@<|B&M z@f;UYo$Ai4ES3~J9vA8RjSxc?Q*E)hU+)R^*qq}Bl}=nL>jy7bI5e1GcAi+ zAFv|J&0fkZ{k#=kGyB?zZSml`{+7hO<3B|)1y%zmez^D^Cab~JDp%PmnQ{2LOEemM z@Z5o&`v^3qAzX#oqI%dcus@LVb0bQ%KeO6bjG~|nTigDeJ<`YNBAstOl~TkKAxBu) zYr2{8*0HTCyS?)Zx?`>6n|vNHvl2Am^Yh*5FOh4s_(udyy=5YX0V6HDoK18 zx@-~lkcCWd@yYf)m2<~CkvNp0RdL^13%K^7Rm+XtbiN>RFrik7$~_T27t@xbo{oDt zex&<5?s-xCSca$jfAN?>Sm!M7bh&7%5`@lU_LRo2rk9O;T!Yvwyw!~K{QRiF>W3F) zJNaDkT(t79We-{qH}GCg!noa7_g~9=zbg~E$&LaPmaimxi5>ooN<91I{(JuNuLSY~ zQ-t9JE~3QvqHtRKyPnr)4^j5(;D=l5wWj|MN9W?t^#1tq6523!ah7k%5>x1d}bJ7oZ~n1IBX=q@O=ACKhfNS zY&3>UHlh7~b$QDB=?~ic3ZHf|56$~nYg86gp`!EpQX@QZe_cu2e;&)4_NJddc4S`H z(;(E`ThJ1H)bqI(X6heyjK{GaCzH>GtenzSpYTd_cG!DBLX}=veq>}_<((gi#PuqT zBrARPZ}7j>4v;;0si%+B`(E(w9(cwZe>3dqI$<*7NZlE_yb}YcX)Rle3b96W$ z`X^n5RG7V{`;e5cS!=XMNFs>MFkP5Xg(!!;uI13-SUAw;lI_(4W5f585sVv(K+PVM zy%w+T&kn+K%qcUdI{6JFJ}r)uOtei_s`u-9&zSiHa!I8c)KxF56kS#DyeN|{#g2LlBJlt_asd#H>teLN0OWLIi;wBk<%uQ>qET{cF&%WP~q_XK@OI0!h~-I zjW9^Fw6rYgr8VkfSkEx#gD*WnM{UI;VZ-HdV!xDZwMX*HEC(xZzNVA;Q&Z1*7imZc z?uD$74m457V$=656l^QdLjoMki6x#&QC@BN0p71_pxEZKkoBYHMbbZmT%gt*e>0)u zodSlst7*~mr@GgB96pBq0?Ey~HzwB)=|@~OQ9c}4z3+f9ApJj-!yTat*i=+&JID|CkIuoLbp**c4elYH;X~Da^`cQv;M#sIA(ex@ z2i+8A_8>gze)amRG)+-i56J_-jrL#A-0(iJ+=?$1otMQ7A);aZkX~D#lQqMW&E>x1 z)Yt4J9ren0nWlfEm?0aO*-7Sc7c2?QK*5(SbCoX)O}?PUN!QrPITsPFpL&k9TkL-i zf*`EyUgf$|C0vsab($al?7&r;*@(aGB)MNTr)x%Md+axl{*J#sk-;3;MKnMf z<-df4!nNffpJcl5RtCE!CPtiKQwGcs;cCOJmZS2yaM3-2dW_j4fB;S?&&BstV(C5}f zDi*`4g>rjj@2}u~0ca^ofZi@8$vQlLVy|=|$}aJjlH!)8f4PfFnMXwcQtWTuuloky zEX;e@H$j^aX=IG-@t${`zFc4yo2z$JD}Ml6vmR-r(SnsByN7`bt(bGt{$~NY&RnI; z#IzaS9gKONrnjIZxA#r!3AgyDFXm(fh607`0eIb+FZ%#02`NCP5}gtr+w5toHq0PGcjV@4M7?>JlCKx*XQ&3#-*ZX=|@r#f4%)whDfO2-9+|JU-fS-^cOU8)hsMN@- z>JKX(Y9Ab-jPP6$SVMNC{_%XKG%q%xnWzP5~lUAUKD5g?DE6_G z4X&R-&->b}u`i2U@UKb_a3HyL!Z;|T8?t8RsaEg{X22hd!rVtK@qCAEf2zz4Rp5gj z1mA195fmoYotqv|-T4V%5T1U7f+uq%FB>+hO0{EMFMK9`W*c*tq^m3gz4{-V9kOVZZ?E4^N<$$EUWxgmwxYUN*M6qQQruu7AV>MQ2@zZY)0X_$)~Wf!CX?F+caretCC}$LKni^gCFtuJdG%y{}omFyXm%gFcmGEP(J8h{@WaQjR*mRY- zxL^7<-SC3X8~4r+xMztj>gL@GwX_Se!>LVIna%EWSQ?C2f>|UFQl=-fXgi{-A;<@B z)daur4|bE1{qu<6=q^bLdGqnOLx3cGKO$ns8EfF*lWGy6GB0APTH@+hA7Q%f7SD#O zagBk*{shaa0g;FeItvYCN)+s#zAqS@+EOCYe30a^5&81ytla73eQQA#+&a+Wi3b(TmCeiFSQmF^`C#+@gW}iWVI!p{VwQjJ#7A+k zZaF%x&WwmSudx)HEE}1HckGthVP7ck&yH7_JJaA(=N|V1H4}=ke|D4xwr!04-fZQA z4#U?yEvP#5A3f?^X@FG#g-X3({v-_w`y^fB+8^+Y?yV#pQ2Je&bovmXyU7FTP@=(&&hR0_pIb0AK?|5Bq|AFS+4D#e%C!}dp#+enKm6)LoRA7#!0x^Dp!A6BxjOdW;D z*WO4%ytul^)IEakGsLL#Fb2u3u{Mo^PUJ9wN|qJ}2;etNf#P}oly(#vxXxxiIjyOx zBMx>1=KB7?m|lacPNE*g^CT`#Vr>BQ0t8MVBK=6`OAxcZ?I9gZ?5 zjk@Z5d&_XWz{ez59H-C?RB%t_de$DXb47}Zx0y{>4x?U zPI@j2zyuZB80Q*MRn1|C9?+FPj+ zQ!qR_rueiQggsI$ba4NXoSp^j>_v7D)XdCLC;#lQs0NU*9S%-s){;yL5`rt~uenlm zGDj4VN~)7LZt^nP+jri3C9B>YyuTZ?a4r9kB&k8P@%8cSY#~ zXHxL1B#&wxuJpEi0oR&|WnNb$hiD@xm}%C(H7G#GePw~hR=F`YshkLJs_VBkf^v)9m{K=Jr6 z4~|ASN3lXk&QsUN_YLm+&VJd4#2}SW^8Gifq#PmVrNQosop*ngK@s`tk%tk~CwHDe zvitX|r}QEsBU%qKg&)BBCNfTlq6qe$<#zo|!8K)9)a&nz#ZLZ;SM@v0;ssZRkGGlm zXL@4i(U_YDs3GFXnEy^g5c$*n%sv_nP-UNXC#NH&Utm2j3xWOyGCR;+ zMw61veCOb;tcQ$PAT_Q>)fIeynO!R+_mprXjuC7RFu06^tCp#pAGRD!E#F7o=!G)f z*k~}eBCr1<)x{KXYLz>1^IcknR zk~c8F3#SC&!CfKYw5(FIf+Bns_(t)&N*ngs zhoG$$!uhXDXRQD7Bmn846WZl-*fn*Pw-Njv{W8dbKN3$r{JbH zZaV@piB;?ijJY`Jem>o)-%#VID8?h>RDzh`)ETFLX{-O_n8pOOA;@!yAt2=Js)_>I zF8~9)J2CuI#=rzI@SJ*oPs8X=y-ih9J1qdB%E*dwaC1&oxYpkoY$Dwm3<6W0ILwhS zNHlIvP3|nK@e`maAP^~88k`wB8+)P^4jYkpOFSPKk31~VbulHSK*bDAF8G_$Bsq%eG_Drg3!FDU25X zDjJ|_ZMiT>wjC3k79|hdnA2iDshY*PdKYg5oW6D#_rxVZ{foTb6~Q^5yA8j< z=McwtIST_cc|)Wsb7D=8H4680pm3F3gti$s?86XM zxI;$HW6JdOvO@7Vj+38d{44z9vbTB{myQUYg9LNCG$!NSXeHnj_CP^*=r%-D9;C?rZK?+ykXbUI~W;@j~*fpYRs3r=Qs$o_^#O6=Epv)Bo~gClz?LZ;}it9eb`qS83I zq}-)i9#AB7e?%P+UUuogQBOwxoM$@dt1VLjjV*JKrbpM3P;^j$h#IM{E_&X3c=RQl zh+OsxBE3>LaIjz>^%v%KS!S6IR5`ci?atFg?gVwXNVnzHsil`vjIx)#_VOW`%zGPw zVUOoaK)Y{Ov^ogI34Y^))}^I5g`=rw-KuV_pasNq>kWOCD|a{vE@A{h zikJhq4Ju@@hyK|Og<_C5jamcwylDKN9S4z%ZerrbBOa9pwzAQ z6wJ~mxx}&s&#}l;DofE5u#j4M=q^|Z%u{JL@xu|6uelfMX zu={f6G^I))PxJ}>fhan!N`r}8efPok!^b6SZhXNSP zz-J9l35u>!wJm&6(HIwY#r*`?%${nV96|_t0I{wu%G^vc;sr-L`u2Hkl(d0%P6ZAUU!GRLc9F9)nIC;v@|iC!Ysz3Xy= zl|=X;xC;1T#Yk2T*hT`s_R!o@%Ki<|y2*KY8?gY`{&3h5E@yJQ^ZD;jKo_ zSCrXEWAH-x){ro2vXgFs90;V(U|i(;EE{+bV$PitX42h?I@cMdo=kO{@1}PKMxMLb z+EW3sl~6X(G|Ls zy_~z|#gwYgQS=z5jEVjZ8-U?{598>B#3sHj?G7t+%ujC||1gUk_pVoX)pyvY*Q2Dg zLpS-zN9L8~);?hH`SBO>Bb4@G<@j2&r%BFw`#i_y&v`IcSb&RnQxEn5m0e9wWt!dL zw+bTmnJu(lqj`ZWz+F&d&G4AS&Z~5p&$so#_kbbieg6KY1#*#`Tdr4oCy?++xBdlT zZ}9ZE0wRkq)4$May^4Jx7Y`IoN1|EmD9jqj5ULE*+Qj;XM36nQ1jrN`67sd zPvN7xn3R0iQKR6@tau}*NbarI^Tjv8wtz1RxvjV2`*uAsR5)s%6-w8Kph!s4K+D2PNLh?%m1_OVmS9Fn;}+_6Uf4F^ zrA$|=HQ!91Xu>y4Ku7SlFptG&3dW67p-RKDqRZaJcjZ&#EUIvN^%ilt7;e|t4B9~x zU)~agzsH|HSX=Z8vN#MWc=`h|`_O$#r&3Z;auA1LhFSG@l=WZ?eJ!#?m!`-CPnQlq zD7Z-deR3Z94*hlD(UAhLsd5Y_kWT_fP|nD7POdHg=lT$)xk{0p6TDy{wI&Bx%?Njdl;u+ zK;VxZn0~qX%w6xl7}D_kq}NRc2eR_-m47ht^{A&>3#x=(q8WgS$Tqkl)z^o{LAUch z`wO+61++(8H+YgaFRPin99rN-SYv)nI?#dr!HXLpw%o1haVO_stN ziyu&aF6>l4T5h^#i6eU9kN0Tg(WShOiLjMW`GLGgffqMJ+>!=b9$jQwXhbs#fvVUl z%uA5K8mB}hHmC3-bg~#85PZTq;>{V_J5xhJe5*r!?}dS(k@EMjV^{c% zpE=|I?v97#{@D@nxgfSWrUs+CoKlx6@#iv%mVar|kEaR`ah(cu{2fc?jR}}ZMYruP z7o!TsP@?*BrDlos@mJ$kzlu(B{mQLJ-n#zcj7z_2YqmG(f?<;+u!k<=ZdrAAC9hbH z1C>QNQ0Ga_dv=y%Xs1G4^t+m$xta7iCHKR?n@0J@-^!CQQI|B9OM7kg#jhcz>BM&> z4_XiBzmr6x6p2QM3S-^^%QtZVN*ZzQ9!`!T??T2z#WD0i*SkP&v0ZZkwzcJK80LWTB7g8&^+?Sk-AGunZU z3PHn<1AT2P{j5p1RRj7;j{yKH$-To0RqAcR;_T?;;D>wdKvKwS<5XEv@z6zNR1M~* zmbW;_Ici9^Hac!8NevIRDue3@b#s`}X$@hdEr{U1$mDk)+1L?VydD(?97v_HQX}gD z!m+W^(5qO#l;uA=_-DZ$LE2C7*t;mcKWW`5OYlj7Ows$OS|rjtvI&v(dF;GlLF`22 zSsqE(9`3r5J1_DFinyrO9tqJP>y9$Y{}u`V<0Q3DR+QG;kG&6FGcH7VE{pYj8#iaq z6hK$Dt1vvz9u#(A-Kdku-%4nNKON0>J0TDpY$2b@D=L7RhsU&Jjc_mB`Pq}M{TNiA z>LLYU)pv$0sQMtNM!{M7Xc$KQqs3885zM@j4yulTRi3M-N8s$StJCrS09Nxk$gw1b z6JX50v9g-zppgANFX`Ts^M)tz^Fc_0Kwm(-;!5&psOR2jlv*l>hhdSJu0SR+{Jrb0 zg=vXe=$cnTz$YC{#`nOv;GitWbX;USQPBT#e0I>%wZ12BBaH7BJ67wRy5We+TrX;N z_B`aNrzW`~Y)h}jl&Z0jxSM8d%K7z!XfWhT6*}8F+v_M*#+Qa5m9}w7sWnKs1WFD@ zg^dIYri{E3t^J7@AMLLeDpC)*x%;yk)tN+8`1{dMlBWFKVM~ES=umm0GkM)8X1Ue~ zYJG?+uSXzM8IHO9;g(NLr2eazvQ*dzLoU6T7e zifjInZ|1{fSX*#=)@#+I<;Xb|(bJVVEp=h%AFz?1&WDmdwHhq;NP%PQAx)pM#|5R3 zc-v~vRM)bccbTY!?OvbIh0>?2&@X_(lc`?o29{$l)8AmqjbE`34K0`xE5tP!{Ejbl z+?-h&Qz38{_I-02<&z_gU|C=bW+2(1fHTta%w|>D9)k^=)Bq2h_gH0{aBT=JABM7} zbYf~fV1W`AhmVFd&wN}QIve&R15^oyw!03|_0-r5JvJe&c^v&ByGI?r%J)V==3A0^ zG6oo?n~{fK7rq=dqCy;8)GisCwCxkzh-Z>g=1$NR=P>oavDNJYB=5?}(+`Qwc^;>9DTrs~^y*oK$2LMnGD1b=L^Q^dxP|r08lhMc}HJjtt+Ou+m@3 zWqyQm@QIsiwZP4QhK}w!q;Jsjs z_fmMC!?_r-ZU9_5Lcx=Dc~T!v7#l1eD@jOE?sVme&-Jr|Z3urCqTX5dYmyG^qU8wv zrK?>7z?7EpjjDsHqH#lhD0#2ZIA)cexJpVTHoC36d`!uw2-Y!CW(rn-LysmK3j#~K z_0(TAq-OrrW)i%jyb!^-QM8FM$uS*{IfVk<9k=)Ez>0m?0pePn9Of-0ypoIT2kT!- zV;prvw?In00Hik0n{~wa1TEOGVNMKK%vyilyC7y6 zSgEzHq%?Bqb9mfGg{KipE_Id`;wFwAbLg^E*{b^R)@= z{FF6Pm)LRBD_6sGNG&2M0VRBn;5B<5y9q9|EC2rBX6^j}(>f-4`VFxRj#F*#6`yM8? z{I?rw`fqpMSTtoZT_l)xJdz*qb@%(=mZI_H`f3}80@9ra7I+ZmxFQ%su+n9@WgEK! z(+ah|-pcXRcH`a}QR%-f`BCn8X0P0iGd^2(%e8QM&BciWew-v>X85!fPm$0N23Wng zL=?Ib4R#HsK6>$+antj5)&*~w;S%@p2lpBcWJi~MR?Uj=y_%thZuhJ#S3B9#@R|LR z&$H;2$hZc^FD-yivi-5U=-*Y==Z_TdjGzAc0e(S=qJbaB!WHL4{Imz4xQ2e?`hnrW z54%^|jh?983Rf0jfnFZafoB3h6Q*mg_YKg3&tX4vbGpSZ`XfqQwBvh=T_@*&B~Oji z#Y9%!qdBy-!{|QP^|njp88YM7bvfP&XQo|?z6Ud+DFnRTuTbSZ%;F(wR#ttB?&*yf z6)3DXVP94*cN-KA!F=LRSzF~UfAb#q_V!jX0@%Z>eiA8E%SkS>KoR;k`qU;Z?7c+P zB4dh7GUV<%br7RMi-P`Ilt4>XMBdW~C{)(j+@-d1+ z#`4LA5pe!Y%M19(V^ww>?KrAftWY3()D9(D*v_1T9JtJ!=9x@y*A))tY_nCI=!_^( zYgw@+#>h>50#=0s;w)I4ux%Jy8NvORZHWYTjlTof-k=7m<@^gR>o0J``a);17Hwe)>QxEm~(D*SkJV`M9S{A=>WY-@ z1RXEU+Z$S{H*xt0mYoTQ$tBhYISJ5stme^@zSf4kEoH_1^o(Mk z-6{OLn-JT*>e=c8J=+m>3FlGol=Xgnpqou8nR6o$@tR5yx`iEC3h61+rw7F6* z1(8<5fA4$62c)gr8K|AC)vXDNyg_!#vAxe;_Dn)fcj~@z*+i_N*t6p3) zz-9BNVsct<;8XK~EfvSSIo;3xW|WVh{-I}13^=KOQSXadDG0))MppE`R@GhMQf1Aq zs;5U(Q#os>f!wr&Ou&D`VArCEk@;9XFa3b&SMM5s9?nqS!lA;M!&iOU@m_wZNjP@_ z^Z`(>FX34RRrI%|J7DwPl)Xsaf`Lg|iTItz$VDf{^INPFH!wg~SP#SB0`h z!F!B?kAp^Kn~QIBUdXwslj$A?HBST;mzQf2f3JYC+<;rykyt<9V8y;J5qv|J<>I;CsFI z3%ubp_pcw|&5Fx*rT(~yf1%Ok zqCo_^f#V=pY*B3FWFi)*v+~sRHHh5W(N>Uf(KR$aQ%3qK_8U|;K9Z+sip`VMhZAa% zLHnSokW;8)!Su(tC#DQno`;w=nM-RrCK8o50nK&wWrqZ&-&ymy6GM-UYKQkl=IdHo z4rQ4Uxgw`m4o&KHguJIigABjY>CRNpw?zz38^|2XQ$_wsav}NK7^xE9{b9tv5v4UOVo%Xsa~1J0T_YpsTHN zS@VA2C!N1mkzpSe)rvGlc}eF0nls?$*MD~GTK#nCE#r`EbCwFb`=DjsX!y{~)M>|o zckXdbC+Pfw2SDNTpelHum1mcqE+E^_&O!KhWBmL*_zzq|wG#t%UXazh{B67;kGlUa z5_E`SY+ZzUKiM-@hK`m6G5FU3ArOjJWMtv)m$i$wl0TpTj7y&=sG#6<-)ZlDSl~%w zchG3eT|$_ayt!7pod#xj9aD*;X1sSOMxtaOe)J06~3CVi1B~N~jv=6C&IK z)79qp3S?<3wT;I&kKoXLtjjn@7b6GQ5cY1C7Vx#X-Q9E=L?TS`#ey>|C-Y8i#zlui zC~a1$>xLd-jCb_ptbvNstvd>yw5RODeq=c4_Nz(2!`RCzg*LCE$|_0Wd<`2%9uOcYqpyRsYK)-k}mXf9#=P0Km!ac4SOsNH_y~x92bnc zey=BP(0%Nj$QAplcnA2E^D=@mPbhV=$QKDpS%b2 z>x9)+9dbds;yu+fN({zKFgeXohXZiM6Qd5Jjj%bHTgf{=)G-`rNsxgR(D! z+`o>)$BqN=+($WHh%jE|DyFQjtG(tJf=n9Y?E&L6itoX)!+?*TzLO56{`D96p03g+ z)DzDW$^eoO(FA!ya28bE6MM`J>Ly!Yo;oOWtOl=(6!<{9k6I0>`A86knTt5bq8n+C zTK6mFa;~o*6y~6S{h_M;zOsLIJi~q$t#lpQ+dRX+tS2Pm!rDJ8{MkX#;~-zGU}k}_ z`FNUE&xGFIz}|RXe92#b&4JIKg!-G>aBN82cE#u88F^@($Dhw((L|~|J_F%Tn0_e| zl5tS~w2EzL2JnF@*_Ld34pV_Y+F5(pGoZ+*9URA+vKvu@EE!41?2EEq zbOg2>JeVHuH0+ac{miBbA~#9)IhZ;W>5*N4aL(=?b}`>XWsje%4Q20Jw~1`>aYMFZ zYeOfe7$4=nIvHeAl=q&w{8YQ^?cbH)DvV^jV&G%xW^e|qD)sYv=A+7g7pABucw*N_ z6EK>WpT`}5&ys4W{g#Z_9)FMPcSm$ z?tVP1!vy(VB%0eLnp_p<)V-6*(nNTV{WAX$F$u)@7@oXHza$J%0=5tHBK8F7tNI&x zuSp)435cg{^b^B%@ClZd08fgjhsKIm(&6W8q=8hwmLf?v!yEgscPT7F6RNv+Jsmt; z%y@dgnzHN?ik5e*KO{whN+pLvJ&2F2FDeLoz z16xuu`=Gq9mkZ)~G3%HR1S2UjcHvPiEaE#$NXB%KRy;6y82xKBn5)lso=Q3l<7?sw z-@^$ihg1CSQjcRA!}XNnGNCQ%^^ypejMHZLwWk;Wsl{oi5J0zW_LsZ+WMJg()Zr1G zM}z$EQMIut2-MUKpN~hzK|CA_^0ZWsjBOQ*s#@^tHb@i-Q*)>qxSz4L)-EBW+|b^GP~R@#x90iDRBR6jCw6C{_vR4LP~yE8mBW02wVa zj!R27yowlqfcvv!f#ew+zCTMmy>Z?yq~hfE-h^&>K+11<0^%wHOnIF$PiYfbM7AGc z#?W0E)qQ~t1#Yj3^y6Tk=DD@SktgPW$fP+HOH6>D_w=%5Lwa!j@V+dN_IoQQwG;;= zdWkC?ugN8XU5=UONP>oVn%pqz^lO^y1$V|7>^-eZ5eA}%;+mhZr-i;Tv3!1#CD%V7 zNqyS)>de+p8%8~dnX7b69vs=>H7gIzMI%PR9g-=i5Y72D&M^8TyB2yc@W`-^K#Cf6 zrI*;<<7j}SMJvmg^x%;Z!k$D}G{IL|v0>`QiKsgF@g;8T2;JkSe}Db4awVr<@dOqn%w?XIk0AyN z5BDZt?6>{+Lp3aAdb(zPE(fyfGV=X7bo*KMde%fn5*CE+$@jC@sKbPuPTg=W4}gle z)aP4975Q0^0K8$6Ir%O1QO-58qR+tj*_?rtH~W}(=^o{q#bd4cL!HK4fAiSfUzN*A zS;|L@RTQGR&Be7PuMPzT$k;6ZuU9}&EXcUXzntvAQF>-y>Z0V2>Yq}-y60Au2bcPF zkDxBqzBfK=F%{z#18ntT>Kh@jh$SW>7)FiWd~(!(*L1o2yz1|~Yhqe?F5)Ve3TFMM zmm2KJ&$y~)$$7#qBx`YxtqlLz@6PZvgo-2LvdnXkC~0_pkGc^el7%8F6k1fP?%~Cl zndlz>H^Z#-BrTAsYrPk!2=oQYsAr2K<66PC;a#WK4!T%=*o?G%ESsyH2gudMAr_6? zPqwX4m@m;j$mwf=S|uN#hm&o;GOat_gsea+it+?>`sAAjWqy9qF@&dpsWJ40?lj}J%8_Jz#tPy1Ve_IQPk z9KF5Uk4sg*N}z7ki|AX5&+Suo{nHq6GA?5C+lZOrI<(lQe_%O%I=7!1g*u+qDfqno z!+>}v6JHG+RTY7RN1C8T^=9h%*9A9$bzcCYt`Z!WnMOcRAzQYm#SM+}pQ(rVKjDa` z=bF#UZcq>12g?oerTo_8XsM(cq>sRt4w=)?XDECEFD5vqp@EJ-a{WM6^d}9%qghWA zSHVN0InD;Yaw*?cx$~jXj7jJAU~w6ayGZ&F@n^>+@+PKx$VY~`>UfiJL8-NsXxg-w zk-nGltogNN|07Qcz7*_+SZ*DF^_#o-fTr7Ki9Bp!U&k*f4_LGF*~kd)QSC!h&vp~c z(hI8aewIxH4!`UcONBnRvvj^t_$e3CVkKyl#l9u$tx5Oexc*D^0hLonUahK`cUw=BDjMf-vlw=OZ@jZfwS(TT^`tF2=79Jde3r%vR``Xp>D9!VT{l4 zd!u30lOjlEn1i{F{AiMIsK?%Y*O2dsv>ip^#Y6+m&d7=7Sp%bZ6m@o<&2TPAlX;XF zQ>b`D>xpV{2V?0t?Uce2{#f$m#K^Tk*jCE&aQuGTFbLo{Z{X%`RX0mZ>)|DC21J_w z^kB4pDH+2ypnPY~&(n)%)ZLdw%Q-Gq&Ba~iGP~~d(#+51n4xZm_bzfUyw&+rr2|N! z)*=Ajzv#}{+^>p)bpaLobM))pzx@r{GIJKUt$(ZDY)GL;mYUl)vly^ z!;y{sfcJIW!bu>WyPM~#Uq0`55C`_%j2hiiQZ|FJ2X+%3Hcqc4r*dk84)`H4f1{>! zaq7QchTCP8w9G&vgGxPqX_gPVCLwj7YuB^q)BAe+dahYQd}a6~AH9he_>eT1L&4NB zt;?sV_*$PKS4me1Z_5IE@FB{!cb6;12X1G$6M@;KO2x#Zn~%F?lcse5|yF;OGRX1&RdGOZ>{mYeIo)OfOeMp5c% zr%g{A7F$=^1@g=m*RYm|$+|?Gu!KMi1uJyGJN2=Z!lAynOZ^Qs6>nQ3g8>}baj=_5 zicxN(%~FUQJMeLWQ}3E?%s%sKXyu25C%!j9haOFjIFzD(qzLs6 zKAkI2;X7`m5-Qvo<{&yp+#ESjZ#F9%SG&Zl0Bvji+40xyR0)|JXY>sAJ0#E}gO*@s z^m(^q%!}P&pxb`V?yU$-8S;rUlK>^#`KVks%ow9YA^g}-Jtp-g=hgGwg3~#M2*Jz| zW#^}x{pF;8&0ZV?BC#D}Rwr9zEnN9$&pT3y^f|Ndk!t8fNWoQe3yN77o zzYD@gtMpj(n9Q$qHVMhpQ%V^*s!3hn=5fdKJ39 zl@GiDTnE@@-_RT`ZD240s&$(J3}MP%4V-Jr-ztez01~KVRwlI74^{6?!qPJ5sQ^*< zDq*q1wMTuK4{a5X^KKgW{!o&26uMn7j*z^xOf zp7z%YT?j_u;mfDu!0vf^sY#cwV2Ur87B~8B{BsmIx}hn|E28J<&n`$bNu0;&0x-s+ zt=geDfF$^&gxl_Q8g~vRn7TnR32bc|I7YyWV`wds=c{O##iJZRI)?3Pl`>!1i)h=B z7dE^UV`=2|q&sw3>$1ys?=yj#8TqXat`IgA4g6@EkB0^oU@1V5q?D8n8|2Gj9X+Rv5 zBq1Y~@Z!mmY_JY)*zTS#nf3tGoP~qwlz2lKXW;JRKbcz)rj{A?;_W6yWj^WHPS z!nJGLaDK6N3*koXw7H zZ35cq9KAK=(pm*lfW?I`icI- zl-N|xuN$TGN9Tnci!*Jjvi0Z}bR8ZHNk>9DoX4(=PmJXs)tDNBGWZ~+nMa>7(L)|X zeFs$rkldapxhsIL{&?q-{qJGS&q36;qr3&tNHaD7Rd}VBW_ZJXoA>At2E2{Hg zAZA;+j6>d5yv-VvfF`< zQFX9He3ycaBc+)Fbl7_d^2cl!$+aM{J~KfQab*=2zol=G5@!g?s3sj*fN&NF5Ibv~@nfi| zA1)<(;G#MhT$nhA)s&@hgw6n*+BC&S?g>1ZXL^##;QNcsx^qH_A8Z zS#-e@sE_d}RlyRj(>^zbp?lD&{kHY&va!-bawx=>V*qNBbW1oLkiN|g;1GL6pAXIe;#w3%+Zs( z=Vj`G{J)#(MGLG~R}$qB}* zvf;Ep@=7mbCI(a;)CgKpi_K3hQ5z5fy+4>xF>6h-zK!%Dn#%~ig%{1=%FqgAknca% z75V5o@t1rvF*M{KWUtx!%3sjI!;_l^%XsTHUY~^xUKSK&0`FxVi%j`lN@1X`S75}; zXAb{7Q+0!=$8g&NJ`(2G7hUlRX+BFCK{ojkqJc;-98#)Ev@?+c>Gz-sp1g{UO_#-Y zWXC(KZ#$?g4m8d3n~PyLw}a>mBcK(4&=;GIkm+EPq8Nt0ui>^=WM@^;*OJy1te*j+ z;~s+ZzkTmviZTfUY^R`$^IUF81c)Q3pFv>P9+Lwc3Iq@VqaPrqCM$0HU*FOXRZep| zW)|&*$!(_WQK4hsNg3H;4}AI&8=s?o6|G;H;I^wCxP#m^W@_2v8|S_6&VSBOWBn@! zGJeCt&p-=!*~hENkF`j^<^4Y1+cuWCJCP@k7r~nBCvSFs*)nLJ4Znfd_HPDAY3B`I ziqrye=zJ4>a|>(;1>pwFD8wCl$hu;FzhL64x!W7M)+)`bw5&RL%AHzI;QqW+J_C%u zBOdUZ{E$)MN_z+p_2n*n?KyT;M|;q{H#&@Sb#LJeFIzqBZFs2qP36lfDV6ufwO6QO z#f&8vwkvjNj8FfG5+qpEp973dj#9v{Q>fG61fAvA(QH`hQ1kx7>7K<4$SXo&MyCWO z+xbRs(1s}b5dYCn2WgSqE{`#`-%=yr;H+H{WVIRvn6uavBV((J7zu}{s3uekRB%>P zwE&X>f}6*phLakhvXGEm1;63X=7l0Eo@w0Z_*Fb8mH2XPD2ZpX44?+fdxFFKaciGi zLMp2ZV7eaSZ)G1BOabkY<1&vtRV>zH8KTmadOE7ED0UL~3Fd8}JF2*Du2VfCR-=HL zG@NSJ<5(I65*69t_TLd&BGC?(1-P>+ELu<*5GzZ?p9}+#qLMPw70Y`~a>IMV*r|JY z*)6BSBN?V1-|2+${j3EPI^cZR}Ry_vyMx?*I!UbC}y2L z_D420KorK1PS(d^=?XDoglK_xMcGzWk>?4;@E$6!o)>PCgtnt-jP;W5!$rCGAdb&Q z$+^;}ZO%43P$g+5?xzV-`y{uf5auRo9dZpe;%6{89TantsCAf`jI8?KzFZuB`MhAF znlhME^o{}f4`gys7rei_#A)fZm!`GV!gBUt!NW;VqFdtEKlqR36RZquN5Bp>AG5fZRw1~`kBX)2Q@mQ?(j`> z)ybNF{etuJHP$nfZlcBV(2suvXAqK^f<7$9WKrd_gV}yBT)N%T-^fr~+y2W<2v?%_ zO|_v9vw)~LXn`|5Pd?`GCa%wkt^Zd`%s~2RFB1vdPq;7T+K*J3@i!WFfchWAIo|p$ zKPo5aYiW*Z+M0aAR* z0t5isC9k@y$gf?MR@1>Xb!EuvBsaOUDvLvKL=y0QSV~4A1$M+)Y*Db05A+*~mxK{= z&BRaBmECHE^@QGrpw$U)CYpE4zffX`9;nXKjqSGCS6%vjj#{w?hLI;4)0{#rGoY7! z`OYD<85zq5^;+C6PrbkDuXbevK)#U$s5h2?in@S9-<>pZ-OK3sC?x_uZFl=sxXJfp|Of}y5tf(hFUw7g;#!wSEmQ5k!inyXz)?g5TR zfuscV1lgY%;phd1m4>4mUcI@?u3~QFkwihFmtj5)qV8hI3$bj=_Jd>C$H$rp7`wl_KB=&fl3$VS=O&OhZHlltZd)!plY?y)1|fbsQNV!eR7&A8SA&b z_jp(`f4Lyp>BCRFCHDLNoc0fK&+`0ky+uZn4!$fIbjt({4R;iDJP~yxfmlYYou6E$ zKmHx}m1Mi;If^=Iq$?_4oRT~ngFeG5(A5dlKgJ}TYyCTYzAj0mL93WU%~BRm<>p;g z8F%w@Z|V;GBOz!;8Q&I}JK2=i<$40kZ%|Y(<33w2Y-3zi)uujvW>b1COyPg~3XA?j z-STEB0?Gmuk1wbAtymxB{KODrUMipn{=l{JHP})U)spX#9@mG}u!ZwN|NSh4U7{-d zDg_QF$dm#EF-RUUDgTO>Bwk{UO!QzDWZlAXaj!wE=Xb^aixRvn9QpOy)zxhG>C#8o z=+o9m*?;tyfrm?ZmziOf{QL%^UCN>f{UEDi! zr$05wJ!3_>7j_AnJ)rRtq18LiG?LL@C=+EOc#1gCP}INDI`gzmB-T({3LLh74D5Sf z8f8AL&ceoB@LGz5q#*(dPlVHSEv-<%Tp+@;j1g?gCo@I^$I; zYi%1~FT8L`xfd}5?ep)ZRCF2#S(;TjW%hbvcQy@mdNd2dMP`!u11CSYGDr{`gSJKO zARQ=UO9?l>wfAG;g@cJm&!BiE+D5q4B#I`Dip}9>?6CUTKDe#36yVtqO<8>B&}0s} zb130WbESV#cosM^QVB062BR9fspoak77n@}gs*`)bcd5wFX`YWQ;<9dYkQaO z10N1Wn22XWbc2nqD1{qrBjw9vR6o+Rzd;v7^St`bjNf}HrlsOasARhe0;8y+{;odE zF^m20P<6RB*qQS>a(++luSjG|P8bHsO(a46I`pe1<~?_$$<)eUF>fa$c1ID$?MXUA zoPvt!=fxN1_R7CWM^rn`^WJa1h>fkLa8@?p8Z;t#<{pCbENTAI6N3$%;FLv4DD zK>O;YYK^wnX;Zl~lpwDn4Yc3nMR5shg;oK-^>As9+!!2STwT&&1dx0Slf}Jc2r+h4 z*DHP(-x1L7`eRnpJpIuMS2Ybpqn#{b!)|RDaFBz;(=5;-Tr=I{5rOVw*2tj@qJm8Q z)?{rTH-eQV%He%tn${;Z3@$cFyFFJK^rpKXYER)lw(F0Q_yQr+j3-(N`RCKt+i7faPD~{-T<1__&z9yB z4JuZ+NR5jUX6`GXOqAlref{*SB~G><0qFQ`&&0IP4RkVC$e;P4|6HuvbAW~X(cbRc z`IKP%OVO&JODe264O6dRm`b!r?(4mF(LPrc#o@K!q5uKs*gixGLy{cWTS6NK!scnRqA z%v3TrNK*?lDdTTUz8Bp4E1uKzG0h+!ri&}Q@S__?oh#PkKub9AQ0iBk}HY7`T$)K!XftYadzAo9M@zM*5uk zfiV|zZ`stbmp}zkHQodD2%shO9hT9wG1N%}BkEQpKKFy0aABk;xwLdc*EZ4lrREjj zi?ZDhylZ7)WzXmDl$!QoD~~xht3(4IDT5;LW0L}vx5N_#RaDBbMV<2DW~tZ&`g+{h z6sUgF#cH?&rT#LSW6hzTl=i?onb@f#eWoH-#Bv?b@~>rgXC~R|DY2(#h!)8|^cVi7 zm-yZ=3Kvh}RzCD+xEWgB0eGu_fh%AQyv>eN7Qk0>&CZC~DFx{4yJjN=gst zk6oP-a=!O6_Ajn&miYZc3lMCAh3vP?zYUOB5K`y37t{44IHF#in%Z!+x;bF(Gt5+^ z|LmMv4_a0!>O`jo5cVCqdZ0u>;A3TU8qGV32M{4yB~VenI1%PVhHA`&+l5(RDMPO( z;?gJ-ZmvbR0Tk??6W>z>n7gg@CQmkCA|XWS8{-P%gQAgK!?g9;;rF*M+I7uh4O#MD zDPKi0@VYzSMZvX|y@ACHO$!F_?vap_*IUs3{ZYjRrKEWMjRMBYgdeN;<7ga# zbUwf^e;5*;u^+z;kSE6-vk*Yha=(@RTrjk6R5?-N%vzS)rb>stKpFuV5hfC1IJ*0E zaQS}`xulN8>CUZ&5LCLMH@b1H46U8lI?#=FU*ks7~&7%%b3 zMQ-b1EzJJZZ|-xGvu|`09W_dz$uI)A?_3 z_cQ`^PoV!cG|L~o1l*phSHNnrBqTjw9xW^DUd`loRmC0AA&6QU7|lu-AdqeCrRbD0 zj>wl4v0|27tP(z*Z33Qrc_DY8M;dpe0a_aapy4B~D|YQsCodOjs;#Z2|Eg*cl+!2*NU&JzT_*b+~o31Ti3gyDm3iN5(0~M8KMD z4Dp=JSZA1s+=uG>>$_WOV*n=0NE)0Bf!YU19TuS_f#{qH(mCJ=TF$pBYx>ll%rI02 zTm7!`Fcx_!mINIAXeLq9^CDzw;XD8`490N8OvtmaH5Do*aUB<2sTcxfv`>&8o}F$v z62!+nd@8`h;k|n>X=xgi-;bBSfY_+BRg;#O%_=Ggf50|w%U1z~k$*{k>MH{*>zCz( zG@~2A$ZI*&E5D9cOj#VCn2wutJ})6~->SV6&e8&b>0Az3>p0`mUCo1rRnpQ5Iw;S!K&CEU^Es*t zoVbQNwlE7|94{Jqk0X5+X{Aa@+~WuP>d_C>$O`ytANze}y-nt_7?IcAh3qD=?dU#b z*HnW~UdgjY!@-z3IslyB&GVF10)8#M!4j5yg+FrK41H^lTsY)w=|L;v8+(XM?r`kacRft0%PE_r_tw0uH}4G5WK`ND*RB(K@l!&O#MOfSzr?*X!}w1Hq2h zE;f10ELdZs6?1MF-ztz`bgf^akKLzSTL_%LZer#&P*j=yaELgc)H^diyYS!87kg@^ z+y(Y-c|_x^K9LwuLD%Yv%~FoUuIFB+T%0bs93QB}nA;Xi3=ER9NQZ>6%M3}{jpvo* zfrUPuWkhU1{(%cO_aCct&+YoV1~}!fsM5PD?)aft4|gor>2>p9ngPAEPv7Z<@~9&G z8}Z{kpac#X7yu+w2F zJxR^EQzZq{z>!c%g2?+vQ+x?(?T5rJZ&^~Ncv(I zDXO>et2xjPm~RI_2p~mlY<3$caxQ|OxBgY29glXMeId_hklmG4zJPDF1{BXZ40peY z`JkJz(C(2uW-)QoXqHRI_Ks;E=ldG6qoPbKnk1gSY{EF+BH^rCul?1bcM)ZH5zpmukF;N4`FLYzlg;hdQ`qU6 z8$R<-9d*>(YkE4;Yl<;K}YphYb>{ z_pdl8KHRHa^L&IA+kNR1u$Ig9?rSS))KweeOw#3FRwbF5^gh}Fj{0%b`Q`r^^ck)D zUylfdR%eZT(RV3dU&xaGg@sUj*ioXxU&|cY?M`E^*`Y%}VxxcqZx|CgSo)~7rrW%8 zk&8aEh(Na`5-Q2%l7LOEUL$DWcgaVp{3@gy=`6LxP%1i~gd=FW#F_Noilf6FIo-R$ zjQvX5N*mDk?*MnVZO0Sd>4A^W*qWQ&@XQE=y^t;?w=e=~Zf_X({^9S56_w0S2IVtv z$$nZQC$|Uk&UAr)X5am|9WJ&)4l=h@*%u{K24Z+e^5Ba8ypUABAT-;Ls-hlSMlM{E zZ7QdCTDcQPM>Y5sP z^FzZWjQ=B-7lZ?6RW&tpfjqYn=MnEp@qhS~(2x{k6L9eD?@BJ35;dL8Kb((`>6yCb z)PN}3n*|rc42-}GncdOmEoh&8#OVB&D>2R!&yK;U34g(98UCh?sG9Q4i*3G`mnFXx z6Hgc}wFDGKEl9N9wBx?>_|3oEwd>Gn`M-T7>3(ZACwj2iM}i|(B*z=ruR-{7)~#|S zSlJBO(vRfYDa*1ueEofacMgOnCYmTk zXm?6o%UtPmWKVIj9E1T5d|Sow){*X3qDR78gQC&?Ky`uc6-UunbAC!j+kp(mEiB~B zCq&b=zejQXFf-PFbe%E^=M=Ie2Zk}+)I={CRfh09_gv zHQ5nGHStcvG|qVL==zxhkI%n4X=adXljzL=Db?;@xB;o-RAS^5qMk7C7Zu^~$Yr+eoeI zho2+f4Sk_&uns}e6QGG%uq(6k3uXm5EVozh72+op-yfu3HudpURLbeOdyt@?nAg)% zCEZjcsX836~GW~`% zyp4p(^>p)*?Kde4`rMIo-k_U8S$ovvuFY7Q*ZLiN;zfEQvz}q!$f@yh8Vu0{Gsoj6 ze-~;tz3(x5@uYnyd2V>FqNE7qts3#BCi}>w@37`1Ufo-4dw8iB(>C&QII$d&WakhX zdicF*M?ddeJc7)iV>deTEiHulCJjpGv3W;d&S0Dz+yztV4-#Rmv;5XTM&g-2pyduD zkz$QXqA!AII$9WsBMz)di81I_h(d=6lKemA4lRFf3tYqp$f=~8JIQw<#mtJ~IEp4n z=BuQ7@&_BV05wpb?hEp}!|h6n&4rjf^*-yQ9L2Jn)m;IsM!iGN9^$xjE2f6%79Kx# zIh&TAP+e83o31hXeAHh-cn{8h(I5*NVw=#AW`(1lmhRbpa8B~anJu($Qnm}8$b2Nd zD8DEl(6yzZxFDZ+ktDn^7ClD$VNI-KS54~uoHfJ6l}hKvs~$irQvI>rwGse`VA%Yh zBIe;OXTHruVuuG9Tg+j3_0%0+ne@x)_t%( ziAha%vzu^yD6D1sdeKAIpzkcnHoaGNWp`&Ij;-}RLn`K&_`BtEJdV3ong6*h_ZR4X zNori4Eqfvy#QK1W{hZtcr{uaDk=#)iqg=9}zAA>m5TL$`jf|M+*@C)CT*$Nc@^~Z^ zbrMD{^eTUCq7AnbL+iu?kn+SsX>=0(jY584l+xoW&kg|yu{~s=P z*bI%e-cYERBCw1dP7083?*eA3WXkp$Ko3)mxftye7GoSSMb{sL`t5Fi4h^&ckv^t36v&egQlB1M5__N{xaG1p%5eN)SC)gMr1aKORo(^VW z#~-<)d=^oGZdb(C42}*bv8^>ma1)EEUJV(L7gnVXdAIprqQ|g=N?RCXQ*kNKTfCT`CA}Ajz&|I@nttQ~1cCEYi zguKrqrsu>Deb$t%p8s8btDZNaSohEVS0N9k`@9T6z1z94I&{nXQV!uLwPEbKarfG? zuVNsZkzuB!O-iJD_VU$gds&Gdsw>A>D@S|$r>QxmAG7o5zc*ZwQjL$GIJ{VmY?b#c z6v0zu0E{oS(t7vuYUgjSso=*3D;;(#ZfWbSUE=EjkN@-m$wro>)^WT8M%MzI^L(LY zW8=B)!p+m`E|n;w=aO2{eFd(^8?qNg=jYqomVQwoCspbmNQh{tKcBUzI{1W`hauuf z2OsGKiyx7$B){9=q=@?{=7m-)VrC*PK_(LwK-DX55wPqsGlLOP1gUx?j&Q0RI0JW^p%XKU=O2Bd0J@o$wFKfbHi z-;I7l^@-g^vvy|VmH$?4WbP~~{W;LEspTHW*#&xR*?uwk+?TjslB6s5*-H3fq#tV` zixZymCvT0kCsB^Xgv__a-89P;DoNLuh>|aHQFb)M8@`mQ2CxJ3U5BLrc2eEMNI|Nae2;|)A60c`kMoAH z^l`#B?KWleupU41pab@oaiDRzf65m*IxyO;7K(iL{sFqW{Ot3p6$q-G;XP5{*%-dWem!W>WueiA-QD7@22X~nT)1C zRyUgxbV+N+C9?H&YJHtypTZ@XD^HIoIP;;UOcXOY)RyfYsg^5VRgOl2Euzr3T!{rA zL2{A9CWAV$e!=1)oMJTr_zK1fJ}3pR?9!3%(eX;I=H-hD&o{|%C#RNXp$@-M*H8>z zC7IUfEgAV-45PyO(f}3w6APIT$q%#$U}kK-guZMF+zMrTuKCV~$$JYZQRmL?f_gS1 zQz+<4@}aMW1)94jto@ct#!i+~B?5GEqP~`Vq=4Ra)gn?NZ>t&d8hJ+K6pjn6Zf& z)4&ZJ5#i>-m*ub$nKH3G{#U#8hLKZ$(*EkFFRHbEc6%g(qSYmO5?bpXyQ|@P*d|nP zWXilZR7pb{QDY$+E_eRMnTDw#c!?K*ttGwj-rT^hwE60R;YL)L&`C_&ka_)rCBnVP zvc{ZnG4d$DB-_DHACsPcD26Nnp+xLR*F6wn1lb+WLGG|aWlGSNjwP2LuN8S(6`+2k zV~WvgR|F|ECax{3wROwSV3t-H@ouvWC&|LtTuPcwL~Gniw}rt3ctO_2?GG%!B$+w;FfRCRH>A9&t?T^g`#VmSTt|#skHv zaGjrzv&BB-Lz|o?LC(j)1!P)InLg=}wpUT^*G>CkAgbV{NV|sowR4pGJ>_Df#wPge zdi*01oA`z(;JR_WEnL6q$PvYq*t@h}iic9&-QItb>aeGud!Ro`dR&ay<=}xMxPma{ zWiVVhc-D?kTTFY#A5|M!@?i@UbY3|6JKP$1*mA7TpvvOFw1UVo3+2?t+_Ww`K#ET7 zp~>8cJq}QF)2Ki@cj#$*_H{+gN;|pa->`E+*!-y(XA2LbnyLM@bmGE-b>?&yr*c?q z_kuZcyMT3NIiU!y;Ew`Tivej@6iZ9{8db%W^L-!tp^Uxkq2K-*VVXr>CF_OWQ|qmI zGGjgpysVCCf~sGaaCQ3%{m}PSFo77@2KRZD$IgaU4x8AWZ0%gMe1vs*#_DY-i8<(n z0wxi&|LawjsF%HbF{9xFriA78$L|d+S1MSif;?CRH$p8pe?VYT5|0;ryl4}-JF)6N ze?P*Sw38SvS&~mB)W4OLuLW|zuApEt3NG#Ol%|$?`Ma(!U}x%Be17x+}d3JewQnhD#9A~yrwfnwGdgG_Gl<)&2+(; zI=aN?_Y^q2fDwan#cXx%b)X}EJ58`M%Verf#lm*uA8D!O-bUK8kq7d${bG@#g&5Rb z3lYUnAxvng-{#@H_TDKiVaQAqw!_gJ+1978e_7L@PThxst$4}LhX!mSlZHS?ee8S#uW1eTN(ch*r@>8UFB$5?H@7ak9GHGD|qD4`^sDYN<|V7dj=b2 zNmj#DqAbwnMCMWKhSv8I2*nR-|0`cc|KB#~V;`JBbC|sWMI>`a0+;7Q7yh^Jg^QK} zG+dQWp41#~_s#VQ@X;yI*Qgggo`|{Mhr+C9a$e+nY@Zq36AwhpKK)fUO;=wSbHfw+ zJ~5wP-ZrVE9Ah`0#ZyDh#nvsnP_m-1q0%Ty?8!-p2kg6P-}x!xeyDtHm!cb1+~ah> zYS8ye&LLQSy=(5E-~4q}!HB;p^}XhVdTNvS4IK|T0Y@xkvX+M?+#Z%b+N8~n8|s4= zbax0>A9(jsv{B}kKT|&Ti@OqJ*+!y8(3dLxqV)c(xO1nwsuiJ(@&UC7M48x1%Lx4y zhm%JyljL`a;l*0K;ZS=piD@F-mkHdKL8sLK++9TttRi{eS2{hP~+IJg?J5%U)HRQkKv>#Qd!>rkba1Y^UG7NyCfTTXox z&wz7)ihy>HEb#L=FX*=))^1THwZ{~-Sz=H~m(cc`pZPjv%__^6@OOj#u^j>P8~0)V zslVMMzAl3mqP=!X7@}@)H9mfEeHK5}=$kW>eh5h8=KzWJSUagNqhF9qC)}o(9iJjM z5pP)9U&&zP&H11FVwbtND;sH(ACmQB0|+09GjavNi0Jvt7L*uVaLFk3a$%RdHJ-C5 zPn0A2ubwpGKGFA3HMddo6{;8V*SLvnx)@VrYwqJc95HB#w3c&VT(PQT^t@tEbv*+~ z^PWDx*jmtT0=#C}(^(rcxP_=f?r7hWbc>dpY{1q^^9WUtr{Ee@FxiSoa+gH{gUz>V z{~qV4{7b&1k%MM)%jrUXFBU7?M5lKwIZhx(JP9!ASW%HrgWl}X8BSEBLH!X8mA5pF z<4&$P3W7KL_5ZuF2N+u;54A0PB>ba)ZU*F~de)=j7Wvni8M~W6-A%t|zCI zZ^K->eS2M{j8U;B^s^#Ot~_tKA>IQq$fM+P_77&tJBcz$mS643Gd#aIa}NjpfuZZ- zuce+K9=Q)6J4#?PZy*--2^d7%?Ua=6dzXqNyJ~BUrcXUHYFkHf|8nN);l|!=L}#AM zKdRP|^vHSA0m|^@<=e9IZ|SM$-H0?gerh_oOcs^f*5w2M^5TZITF2MQ|BN%u4L-c2 zdzWWAT$b@6b2%t;$J3Ol;jZ)l>7(n^o#wpWH7cP52B`-#^WTNl`t?g}y%ot-E$+7_ zT*wz&12J>15y|Rr!Lf?I5vY1wB`AAjYL>`-3l*Q*lK+ilb#fh3w~(7&CMwj39^k{^ z+@ylBy|IUY^PT?EQo6E!pWSU?Q2ITaw!{E|Y;}QKSHbAn5&7S~4F!6RGHk;Vm;BZF zF5JrLabnkh`&NkNuN=rH@8dmvxwSgWfQa`o*+%5-`_)YvOKq=ojCqk$gyjV3e(mD| zWNxxoBHdkc(yHw^-8PX9pbL;kfrMpLmWGJ*S;vP<_iA273I(f?S)yZ)g)Vz6)u9eY zUtE(Z34~Zbd5tz=0e-$?+?v&IKzL9<6sn-E}eDF!4>F>o9&eLVh#H zxIOUgr)3PN#vzaC-Qx0LjZ_Z-K=|)Oa`_C?{v0Dz2nb_49DVwB@b1bz8*1-8MRQ%> znNdZl7?;%&Cvjo}!lS*r7zv7{pGbNQZDyW&h8SBSW)YO^6=AXd2EV{}21cxABc+`s zevwNh^c`1=s~RG9-*?sl-36Zz4*XZyT;_?v7AcMJ*;7zx_|oK~B(;8Q;IVhZ9;l{@ z6&3aIXlHUJ3Oz(B*x=G98l4#DKHc=y%*w?XAYJ-r(IrgJN!!zwEOPR|_F zQ(4X=%x7dYxv}RZ(hLevC)!hp_IVe&!X2&cp`1uU!Q-AKd?F8@o1*a)Y>FK}OLTim zKAhrcu!)%;QG)p4uCd{tQ;l~2`&KZ|*pi$N57XMEkw+7H6x5g=@PXtX2kyVuVY8la zUkjqkdI03*|HM5XOiJ7E0#qT{N(KKUANT6r#QGJf<4q8-g3(8^@;P9O0(~5*xVm9W zlYfz^2La{%Z(p5vlv|Ih9EGEup-8R{Ay-IA2?_Nz zkFCF{oqw(HYbmgP6btnd7|T`2#~5)L+^irQ6Ls+Z*@;@0PE|s)x`p&w>2hocn z8E*;`LuA6-!o@Iti_I4wB<1(<(9q`6a_h-&X!qx(J_0>ry#Q3tXd41F2Y_LlXFB80 zc~uj=T;%h%|a zS+QgL^jOVuLJRZbmon(ZMd)P)CrmvBCGc_tnK0od=$gtBGhz?XfC?g_Dj9y=JS=5s zt>>9##G*~`ne^`+z82&P{w*JuF;pRzRwWR1s6_U5arMt#6h^05ySbEBbPL9Bk` z9DpB?OJBB(D~?OH`Kzi&ssUy1Q`B+S^<>T-12R@q-?iQCAVb^*+_CdAl*_83QA|^E zum|?*izVt)zxMXJ3jALommG>O|3<4vUJ-#hNCULSKOtXEN29l0j+`>JrYqK1-8Vn8 zCV(?bWWg*29mxpEEHFauePdvLrrB@E>09oPJjQR~NVWI?{zrG2e>SG#CreILLB7id zG(+Sax0GLKY&+Mg;nts?L{OC2-;H2wkpP$c@8V@Mqz&*NwI#(mxNHuKPv$>8nK=S4OFc9jQ@R%c{3~1KfOw^qLv3l-69@=u^lFvz zZ|JOIovgba<{B2fBL!O-JZ$sv2CF+#NVnr@pY2v6hkQ^+4UDtFwyP&esx(MpiwIG1I9epzSo+k%GY9(J$kdHee&yDabru zAWnewfdI05B`ONH&?qK{--xYv6mZtZxVo`oGT8P;-n8(ap@>;wQ_4qYbK84z9j(=e z3XbXu?t!NkF_S_C;mbnm&|%z`MV5Yd#pG(pmD$eqlZEoK{9dh4+ylwFD-Yg+uL}c! zPq$@&&h1~D0Ulq9%9kk!>IhoRJ(TLXgH6tT*8hLCZG`DC7D8^@`ecYH$$u z#=hlg>;=jtHs;h6$R6$D8#7q~*YDpBV10FMs@vN-IL%^?q4l8WM85c3W(Jy$AAH?7 zsjWJpx9NUso72&xWTSZQ=h;7pE+nR%S+L>`hhs|Ce=u5erEng30qt2H`Z?xEI*__T zix43qvf$f??rZJjPkPWRQQ|?bZY9cM z$6Ba53wl|$E>aUT2X%(rOY!3>Eup>`@NfjnSxwq^rXCf_=*61uxXf@~6cc<771n3# zp5s)Hy(5?(FLKdENN+xw|JqAzW^Boh&#`_>rlL0TxjA}Bs#YKmIGgRLcQD^yFFZO z1B9f?Z{Xjf1*Y%1DotRy^1)oH8X)_`sJ>f9{%~=0FPFM9fdx;DsLAFOO1q1=o@TNc z$m8YRH8x~em)x#q@pDO7oTj3NdrP8*sxKh9V)10(SAKMRE-))w3P`K1zBm_#R0w?(8n~@b`v6QtT?K5~^F4J}1hA1PTK?ryvt9x)GR;Z;=Ir9H8Yel{ zfsrVm$Do#@_#{%=rS&F5N7s3bj6_u<;5Y3Ea>aPw36S> za+btxGyEG_KSS0$o3Gzhn5g)$_xC{TZ((7=xMf%P5U$H7NjwPG8J!x{bd zA9JzO2+Ydp=%*^5$L9uU(xr|smdwF5>z(KkRK<0nTiPrg6K}Krvn2d3=!mzkwTaT$ z{JfSnV)-T~_`;qQWvQEwy$su=CB_^5K_ynL6{id^A4{PN`?22}RLY#93tqm&$NeFy zj*+JDDGbop7UoZ}pSdRy>QqA;Z@ql6$DA-S{iEX}TMCN~!Tt}md9*s-AvqLjR& z3%6nUuO9WdjSyOWw(is3Cr*!%(z=VGn$+p2h~(n;+^SFUW-iKUHnN6f`mr13X=;>E zXQ^lMrU{ZZ%Vvx(uDrWY#lBS!D`4^N%ws4_p72WKDSYU$gZza5}Rg@QWTMHT+@SCrHkHX$?3HLjni7N4$s@i<5F zP1cy!=iv3+@RGxS6`ip@0a{9q_p9zgc%&;H%H51D`yW}f@(sQUAgo%r|0qIKuL|FUIk1_DZv8uOF zI@U$x z6@-p~M;WCBo!8yUr&~;6U6wlpRI>9`isNZfJ4qNmMlNa|F?f{Ql1@W+1`lef2CH;vIJE{rg~)R z?Jl>vr-`+b{awCZbkz?mv!E@@XIe44CVf+h^?xDzO!!5qAKtay>IkPUVF$PTlxM$ zpQ*>Td@Zv3naDel$r>!6fj`T8-NWdC_z$)TTJZGbm*!hu`(61${(*EX?WX1w;FE}@ zTJ(H3S?&+(aOn$ig6X*hgtYbu_K0ojp+MdZBbYqD{ySg`s(~Ua{`B}C&bIa^ zLQ#B+2)=XaL8WjdCubG=a%?#^Vj<;EN^kNmorCe)C}`D*&1*1wcfds1a}gIiiBf;S zIK=-mKE$V}j#6W5b~rnKPqbENEnm?%H2GLmLglS3lq&xW*Yg8#x(t}VdT%@%vZ=`3 z_^BLe3e%W8<F9- zCz2}KI~*&1VF-b7C7Mys`M~f( ze@#I4soLIbBbCm?Cz6am#c)IGz8%?@(Zz*cv5HM##l*LQ&l9rTn#<`|eg40FlD+Xh zZ-~k#E3&InTl92ddQ6kudyJ&#e-LPoQ~aa1j+Q}6`N2iV8N{}N!dEaw8lmt8alPH; z-Ox(iy4NkkEnTY>5D8m7OTt)_0ZH<~@L3LT)~j zFn;G@%-S#Gn)@&pCAj5coS74{8zt66x{F|F7mQXk^M*C16~YR;`%ID+UidF8g}}wH zT$i-A0yI;Df#W+ri~M^k-8Y@=573G@AUfF{pmQCc+R6^v0qjrD>MX$*!eE^)!8|>21 z_fSlAx^{LXNvzNE1M1g*0X0bypfKOpsrV>%H}mg_BF_DaD7f=wPM}1@KO0u4!&A4z z_xMJj=h8vpCd_v(x6+m~QMSS%3(pm76Uz^rsxjYq9I~T07}6YZ*1rP*Erx^M8@+fs8oR6%y|v zPRp9SMPUQgL$u5BBdx_;bnIz_wsRfF-0>b?y#})16@w%vK_c?lAJ~ z5-WQEE)a6^pj&G^=MdOQ$V;M>hU3HH?s<4T#EdV@z7?EzC{4IS_tV$QWs_DhsrJyg zh|(%s%bavi*)asRef?5>?6=u$!sK4TRgI^!hYs*ngz;yb9QJQ{04pXv_wN>GL6j}X zG;?EJ;Bf?}ecf6Op#1w!0cwa`;C^3_<>)#(@nhbNL_=n{{K#N9R#iCT4}Oa;&9EMI zD~szg^dSp9sUvK|&BVdyo%R!SEWJzY~-=7cP-m${* zJFauJxt87w0h*yHxbDF?CzyP)B9QMnV%bw})lROdYC&))`!wxkSONg0Jpq69vFT?|fS2R-Ev6A7l zi;rQm8N`3^iuUiMH<9;k9DdbtfTSQ1j#KibXO*8{I!Ix}w*~PN<-ZZh39b zOV!`KCMF{s$riGko*iz#)|+mUhxZ>{t#;0fhaG4uj>%Rk+z)l7Lp>_yX0gxH`DLP< z${&|_aUF$gkx!fzZiv}8-t6fZYzoQZT-BtYnK*gf&~zK< z29u9_X5ytOQ@;*ga9?Q2e+2Y-P&-}6-rE~wtZBM@pt;siV{Rfs@6es*tY*T`T019+ zxnE)+%$rmNLurw*#zRHuFF#%tJtzN&@j=hhZk1wj^0d`ff$@sDT>tA1x_T)JjT81h6JE@Orn-^BHdPt zUyHKs4H5%?F8!T0?+X$?H9{MAeJcM>!TtK0^As0R6s}IT*#`Gp4M4649xt6Q|MFTv zVvV3n6n&ML*aDo--H7N6^~HiJhxl;CQ7x6(d)&knIob*CH6CGVX_aHYp6vNZD$}xc zCo1$&;SX(XB_@By8WFv%Tf#9pSTTp06B5aKWX;`z0QP;fUb<2087Z*_U{QcJhC^Y_ zz@<~-$VB!oa3DCjU|js8SrzKM9cS8k8#r>>EqmTNNmo;o^#K4{J#?p4-P1T`^hB%; z#%#Pu=%r?&zjY>Uko57j+KN&QWR39d)JTh&^GAk?AK(>v2Z%|vWcH`$^hN!m=a%Y5 zBCuda|GeN+TzZfr`_*es0Z}{2&m71~;GMVEqTydC&FKYL*&>dG`e5}kJ=to(_1Uh0 zkpC3HSN)@}$mgs_KdB8$3Ei$%PO>7%C1Gr)o@jDDnNXj&Y7fP7)TZKZ-AAkRSawAP zmQTZ!)!sEp7a#39p=0VY9c0)tbKXH+GV$t(XGF3ys#Q7ARRce;rkd+vT=@BEgH{bm5v5)4$4hYGH=bU z6$IZd^$tUvo(>e3;+0@LXXDCv8t~&^;&~SlZ%##eU(TXgzpO{!e+oDcZa5k_!U>bR zrs5C{ofaIl$SAnq`+eCffUV3%4Pr_Zi`HDu;zxH1UH=0HEB@Y>)MKyGX&CBC3G)|v zU-M2}+yQb(6RKs&eP`}GQxdkhp2ijAUFYp5IUzc9j@q-LA1ePt@?JXQ#{Fivv~rS% z_Qae9JSbljWJblOH>e2js1)!Sjx}1bax96gfx1^icdTsJ9D1^UeRXSF_yu~0acR7w z7epZ`ybEu^St7FGWV0{DNtC+$0H%SwH-S<{;h5u z;s(kG$CiICB&Au|f6Z&@iN~dEq$?TthD#8TAuwKfs)?dFp zbdnikrscC+qKPdi`-lf-7l=Db&QQ>|{in~?F=2z&57Vs{wQ37a6E6Ysq%u4_&L z2`$_D-Nnfc%6!rjp+S6M92quDW$Qmn8EHZH80h?BSheX)s4nde z%-Ek?!7!-b^g#}W+E#@ESH`pa_SQqMg{F-cw~Ws~OKnq`@DWq#1buwta0ba-(Xn(v zrM$eNxtZfaJrdPI^`wPIDd-y=F8;ZIlNM6W`D4JrNMxMlz5RWDC!rNpyN;F?#L_` zD8HG#`U*Nk)z#z4DY%Ne%pAs06sx;IX;fX3smtXPPcviLk6iMSJgkDEZ8{!dzs9dt$ z^RW=tpKrNYF$l;{u2@{)sJ0=n`hWKBGqrDBS` zuk#n)gIMc*5~6E*f^wMrg;RC6-WrEB^v4Dvh}z3pi<6}a5q^DYO^w6#=AZkn^F6Fq z85LH8`@Ykhyv6I~2Rn~v{!lD~rP@p@=y3J7VdQIrS6vaYr|Dqi;wEU#(E_{S0WtC{ zAJsxRaGu7opHIDJajh$@Tk}GB7aAFxC2@uAuTJI$es}ergw0*VfEf@P0D@Ug5WZtG zdymjLK9=)wl$u74YaEFmAMv(xa0vfXF^-ZyxO_5Qsb|&Oe0S~% zioMVDZ^gaRcdxNZ2mS=CY!4RwINbXy9Bn8Ech@U;e(4{(p%J{8JHPFZ^cwn_h2v@* zm8Qy?xFcB`S9iEldr*G;BA_e@LO2=R`&XEeH?h|cA_kNV#^0(wSBOOgyp+3#c45#5 zusj2C8>_9$G6ok((7gH2G72)r+TwYSic6fbi8+d%p4Ool;lR2*%)US1c{AG~wCzOM zA=zs}|4S6(+kF=j8ZE*j0zax|P1j#VpIrBL1cYB_2`}C2kHhJ#92Kul*FX-~lr2iEW-Ryo+G;=?UwCwH1g@oIhFIDpz$ghfK*5r6L=u0}>tmd@njJ^0KyhpR2w9s|!Ub>hg))()O+%(<5fdUv3G zpcj7j8jJwnxY~mueu&o|ryUgkGT`|0C~GDPr!jax?r$q6Bd9NmeJ*->ank;2s4D(0 zgFObVkDq#R;Vgb?b1)t^=LT_*8y3@Xb9RG@TeS~&2dwQJ1&{^^AoqZ0B!cdoA8=qo z$v{*I!ae@0>n|?)Y>r1=R;R)rcb-q( zUEFfj60@lkrSa_;@qteUROxilXAX&L!0U*k7Dz7aFQquwHX75?StVoqu-U}_S= zo-^)%PmrkUG9jseCr(P}OYnOsR@Zk-rxEHyJHS25X&=CsJ zybtZKyXKPPy5H*Hs-^O&rJ$fLCj}Wf)^*jKF>~9((m&+`%_fd>srn8jxHBk{?#y(k-Hz<^)Es;<>q7X<3(*$@66Cj_5#ZBvH+AxYAgt6kp}yq59? zRIM`SM1H4S)iR`5Ssn71Chf}-!*PCSdS6sNk*I6tDjosxe$wqCn4E66Te_#UZTk{w z(j(RH`w?I3RbWmx3Y31~@Cej>Ou|=%V43|FZ(;H7BS?QazX!tK3O;5T3Siu5iBi3v zjycF^Vv9%Ucy>5=7=A=W<-M~xJClZd@^bmh^FXk44%(M<-`XtN;IQP0b@Hwg_r(P*d!}FB! zpp)AdAb(`K&ox^s20Sj$tY@8j1F_M)KdG^^ z{(9+%*MMi^&0LPqM5Z7&X&V;en9vm|g!T_MbO!hw{AMbwHsPdVsyBT&S!VHFW(28Vm#=C=MO z^TYLkUmWGrE@fz#^8faD`PHnp_h>GRe2bNn!45V~s;v9>f6;s>OxeG50X6_pSx23C zEs4--lYH-zy<)Zz!+tGvhmjDiA!-m!K-0XnPC$u!NS;x%H}L*2KA_ppGb;Hl@tSg%1uDPfFMdC$~7 zURsk=U{oEKC|Vf|vDSo6;NGd}=n>?Tfqh4VNchIE`0Tg@lX5nFwl=-0C0|~joN&4| zE^TN;@3+t}3)LGXCzsVKaK_~PZ%;rB!G$MWia8HX_Z(vK!TnBBKb=6%%ydrj5QZk% zg|P+mPQ*s;=+0(7k5tgkDj0$?ouAd+ z5!p~X^-1;aiTWd@fwVDYoo8mP3=-p#cWT7}xPm4)6s?yOq6^d^sGBxh@`Y2C+Ma^W zEWPEtX-shw1Qvi_)0>*MMLjkbbC?H0hF0~eHNX|gD)piFUZ!F0T8bZz+dYDG#iDee{N#O=bLy-%LTSrGbJ7%NTZqn_ln|w>+QfW zXrV@m%c^a~uu`rR*A4Pal6D2}b1e7$x0OPWvHn6@my^G>Gl$TR=)ME^ z#!}=LX>aS31TV*kaoRfT79JIM%+B>olyyBY47=`Qb4u00IVY4@MW%J&`4X!)3&=QQQkLiCFrII9WC*(H|52E1NEtyXgvvcxP zAs}}{NfaiV7ck)NQL3dL2bGPG6}MHdd=@|ZTq9pYzdT!q zDR?a5G(PJr;Ur0g2+}myR49DzMZsgXdI@$1@~qDzJiO1s=r4eAjs_}+?5cJv82xcF z1evI!4W5c-tz_uI=u4S889=T=is$JAFqNXPDFLWDciL3NcIj|s4GG`+EMgA4k3+nE zX&dprO7P|qHMy^GlVx;x2Avh{5w5PCZl~Us@EOXSeKMCJAOCUs!T}wNzk1*se}|4h z%KKw$&U#*y5OLQ-MSb!>Zo;-=2n`$NPNQ=AbYbT7d>C5cO_-W#j@~h60$=A(!QfCqBAW)`_{8To9uGxhYUwV!A} z)PlPtSP_xU0}8C$!fbx2c6VX3be4&$Ox}a7e^m1CKw0r@KNbDwbljMC6_{AvLVNQn zI=*-Q;Pi7%K*yI1nP(pI8{U^RVyUgQ&s0DH;zycYp~xql3h)<~{bx+* z>)KwdA2~1ow@0Sitd`xE_+>DNSjFee{x=(5hY!REGe;a;9S{sUJ#W74=E12ZEU3%; zgLvE*Hs0CxTI~p;C%4H++O2P|4{L7LnVN(;^#Ew8u2_N_RH#3i?JdDVK5pgYpfq_4 z6E$X>;veP{GcwWu9x~GP<+ftn6>@kUw)_=RRbVvcIqthbd{-E3DQ>kQ%`C>h zx3$M`22np3E{Acx+9TK$uX9?L66kAE)V&s+TenFIKYit&s8eKcjo zW}vr>L@W!WgjC3s-i(dB0vHlh~)sqfYpEp88vKQ{s8B2l{TQHO|58docSC3_logGSobi z`ENzrI|cNm`UtW^djzId;*NZlD>@2!ocj1zzw!jnXIku8gn73vgYLS&|7V{Wn^LWR ztl=*2sJSM3Nb%iux{9|yBGhyUG>`(Ex=_GYzV;@ zJbMI8Lv)%hIQEveUGnCDvE}QS%~BS9AjstsShJxG+31lOSJ^$U3-~)S!6jV~KJhKg z2Qos`!TZqfE)$7<5k4ZxTYb1YWgG zBc|pr@o%Zjx`v$~()Q~Vb()-!P71~HHFHRRU8c4*r>-c#nKPjY z%bV0xj5E5uIj+9Bj=@HKKHPS541gZ_!i_td3qDe$bm`wZ%1{S%oe+3fQ@wxg)`~=W zE-tgkqAG;GOMqRYqrmP%B>zH5j$0(Y-ptJu0$SqU(6lDNCu9hx!SWq2PsR%_J z1j70b=@A;QQ_Fow|6W!a{9*LJJ@+@~1n%Lz?6SNzxZ5XK5yB;>_y;4^iSJOfb_9|! z-uiNx`e16@2V$MP)?+BIG!aPh-u3ngGR0fQ_;qbZPACW|BOmtUFvjb333;%J|BI*HjuW+A!dy>#5Rv#eeXyTy%Xe* z7wa~82O!}f?Ocx5h+k0~O-diQ%E50~sxkScWjSB%WIBSHlbcfze@MgB@^T7=Vl22B zD${JIRYsnkW26aI;rL{RyRIE&*4n-S;Op|^Vq7NF}nQmIQ#<$v+7%5unyTRDLfU^`D zNNK`0(b^(clI|kyPafj)EOG8U2cLr>S#0crU~^;6C`6mFe2RBUraNL@qyF?LG0mt4 zq}ebu_(43zea(^nic#K}?`q7_;N6SXlbf1uX|})ALPPrV`QCzN!#@&#!-(ZdZOpiI z;%=+%_`#!O(1h_7x&4?^J>E+#4=UNXR}5@+r2Fhmg(K7z`yRDF}B5G$6bouFC7dva1vT-O{GZ;V~Ike|pn_CvmKV+(D~MCwM-H0LrJ~cfJdkU-wN-jqG`h;S1>-@PMnIk9c11`=C;& zp(W9iv6{LDuT&gGD;id_;>|A1?*If|OzYVz{t$oNhvr)fQw$bFo7i&0X5#*`*8L5q zMTgpEFCn6sgO+WxtEh#IC?ZNk@y~6C5eVB_TTS)6a+eDe^zs_r6@m`jQUM=~<3%<6 z)PKX|dRBBpY{V2xo)$~_8%vb1UJc{}^?!RFOEWN(f7FI2eeijDu~0rx&eGDQ6wdckfJTpNglG&nMl1+M z9jh3#&6q_(YD;&1K4p1E$u`wj%cCfc3A&8Am15pBXp*vu1{hyffr?36t~= zN=JJ0^aC=R7}3|V`DQNFZ)LCPw`FjGho372v<1%q2bW3_mCb&+Sw|pPIaD%p5UAC_ zAy2t4y=OSuTaVntJHYT}|5!!!@IOM@){<+~7e5+-0SO0S$EPBm9t{cLiEb&@kLEZ| zE&5ZvHP?xpqCSWNDzs|B;#^r_s5<$2{Jq?!o{1mdpk`2wAL}2 z8b@u8oc#~pH?tg7clsao1-R#i`=;0%{Z2z!=Pfl=()lN28NLmqlp@Z1rfBeWeJ+!B zqz1YB9HRYpI1J^M1Nui!XD}jShdw0h*7js}!$Z;YC02ly7F}-K(M~Rc?w!+^ z%BPIL3Mn}eKJk_ikTKFEP2c8T4k;ZBQboA>q%6LoHo5_rdfo`tP=z!Q-^bM~;E8Z# zf{keHx8D~fPTQ^dyZS+Oz|t`h&&<$j&{w%v@5LV+~ zkKZh&G7W0G2D<~Q?K{$>2JEYkN$y%mp+by}p z`!DO8$nA_BmY_@H9cmp>w>M?h|##Jgx+syWM z)r-hC!>0@*Lq7rPZA(ggBVNFM_Z^YB`KBBGCx9(u0Tqr}?ASbu6m#qT=f3rd99a$% zCF#QUFaO`jRCAUV*5kPeaqO}^ zJ1L&48ln^XRO_mtW7}WTy=Y!()_tEGS8lVDnTIMmH~oagJc6`qR@|)jvpmPtWUvQf zc?F7blw%+cajz*aO`vx8L&DGvHzV;ykwjB+fUCq3b;czM&0h;%MMlNpgj%!(;N3_a z7%r_oY<6Oy34&U))Gd257J6?I3haDl?sBRJGAj7^QVwM7DsGb{m+ejt^4B0QXL5n> z6{P*>kLI=gl;++w-j6ZM$+C0@a_PV)8O+?rBmpUN9e_c)Lk+DlyH10C-YC^Ku)<~w zJaSsEfRWM2d{^#ys$`@iTX&yH?eL*)J@wOBi_Vd&tV&c}2R=qEtwr)Zhd#ZGu@*;0 z*saKj>Y3^pfR65h(^3PYVuCSVJ@C$;v0UCaQ&vKHQrzW7#aCvMryWAh4;Fu0Aig%p zlu%LibS~~p?%rfTl;P)u=16mmchu*3Hb)r^m#>q{9Dwlq*L(D%ATDpg`d6N=+w0^6 zu9*EPDlx*Hme_kWmgOiHb%b%MYDOt1J1NEJ>bjAgE^waOkcl#cI9wUAiKbumoVIhQ zn3#yyzS5sC0ncSo=t0B&GU>;CTqg^kBK}~KH>gN<$*gvDsF-|_Hax9=KUHxaRyvX3 zC*#x>IiYri7;?=o3*{HM7;_!iOle&ek0O1RjXD&SM@Bi-6^N%r<{b|*KD=oA;-qPb zS8E+)P96vKd&2KzcZzqju|^pQU_?9`UA zA>Jbi4%bH+{`PXLPOONvz&M{WBI0#?bx?`U3!bl)O2rf5nkB+G+FnXVJ@v zChxo`a=U_lm`;$Xi*AP8lEvT3a6_%ej}RLMLg4cifD7zTuuHh7?B!v_U71^DosnZP z4iU4l+TRN*WI$yLq|=BT>gB{U5ejVtma^E{1NB4?^hOZ5Qv+f~U@VvRNapyjC>)D*r z+^dBv0Opl%G7|zrP-eFjvJ|U1)b0oUF~Ne&^ZbX;SM zckZ?SiE{gcnDZZX;8_tj+ziRuCRzR5KmP48^Ax*zht+fLNeM#gH z6QCRD&{6!?l)(046b+G(A0LhJE;fK(?(|=Q`nbXvUYNif(sbR5srw~ zeoI&^CV6|(UR-VxZa{7q$^7?FrF3@lyxilaR zI?tp&P0^{yrRJXw&%@~T*%Wl3mYeU6weinG!j1FeUE4zi6fR1;Z*fIVshp*}Nh9zl zFmu{$Ya?)$t+cdUn`HGy$kOJdwMRqqxh2s%mrLAaVzZNYvGv(i|L_;;?IHQ8R`k)Q z^KcLP+QD8y5fgxSFh_>dl&MeOn`FfhntkLU*3B zXAI?ik0hpY;)VAZPt$$K3Dij~z>zNJ1VEQzcJ(k*ZDsg}wd%bTL;CSZ$WM6UUzL_4 zOx=8$yfBXy3EsVr=czXJ+C`VQ?A5z__(+tr`9snoR8ptN=; zdQZZu5Bc_8jj!j`!DQs(TK4rwb$h;mG&Oc-hy?aI!EnZRf|Sd%KhUL2?TNZ-z2^@^ z;Jihwc;eE!h68SC>iOiTS6x}<5+dJalyNx-mrP&KnKUjS)h9^Aui*xcy+n-OfZoSs z=&Vl6R$n8$?yWX#YryN~eN*nTD&+msFfFw~J4QXLK2SPsG<{8P_yqOMe9^78Flzg? z)3$!vwD(}FlcJnwGQ%VTqT;{rF){ZCqr;6}4;uYAq3a2grb2HBhwAG_I&Ko)nLqzyOM1~O&;&$FZP~yU#m%@J*yyU79RX?^9 znze94zb2(5DDgY}l?I`yG>yyscyRvgrURzg&bobBZy-1XQtfb5>ijdi*@JQm2Wr+S?ULa>0++{o5p80D>i11|BHihricW{C z8?c9nh!C&JFh3c=L zmOpqCV627C#%Y67zu~d6n1B{o%IArUD_B!{(PKRQP&V?Z5zr0R&)u}c2;JW8s>632 z4isuXdGb|S-TIds6|76KTBSw!*H;lsIB)-&bH(Mnl4)pSXrS_CP^B0FKqMSU<>kAk zserw3ZVZU1neS)5KJXIL*ziQ=Jf;b=qdDVS@t?&wjy$nQuj3+jWVcn7;dLGy#fuoF zC+*Z1;CI(_#m1Ke{mS!MZ+V=M!77ZS#02j#&*EL4p4;AlR8S+`gwg0(b38j04&x;O z_$Ue?fu&xk7pX3Lo-|^HH>V`x8<6LUu8ENBEEOz{&onuc?`8SPnc3d$6SaC8>X4Cc zni|?{r}}(VqfB@w&MgSu#q+o=+LD5)d8976`Az`y$p`(8b0zx)tm7Wl&kffP6KRqO z*u zUw&cc(?D*-*MN?gfndr+PWGrWsM@i}6%g+Spa@>RVuAky6#99S~ zdMydHix6+>w{`3>=?Z&?U4>D%Go6_DOknRL#&7Uq`;P3X`%mDSXLmsS5x4O|57x*f zrMP44XFWiGdpQPBLDr%c7R2Y!AKMQ?7}6m+vCtCMZ57wZCV#RWDlE}vN%v7a#By0A z$H}X*5fl#Gg%bTB$cJjA$4KJ|1+mA{^YP89qxJ5^&z#2IuTf6Z)sB`yND=rk~8>Hpfk_3@8m+jtL*T+x*LK^ynSPo8;X~$ zrp~S^E?*cFGvOPvaIdr?nte*}(51Bh?V-g?;A_7sJ3^o*rkZG*kp9Z@tHt5INtB^hZsEz|cgLZ3_z|-> z?k@A*qmP9$TBLyi5lfOkP`k?c4f7!P2tJmzUhjSFOni;LPcdy6w_dBVxgzTdF{V^_ zd0HIMv+~l*H<)-Yp(dJ<*Bcbt^&xV ziTyz24vG~ETt{U_8fMf=y+Gvky>2t>s99+6ATJ%&sSrIXBqOIa88FN*@=|AIp$#-f za_Y}wKk9`IB=~a^L4Jv%^$+(o3tzc#G*LMEBkn)lPcW5|(T?qPDzsN5;f z>LlR1-pfP5NUB`x+Ff>WKUpEkr=nv;T?O|aMrQ{nzoQ65ZKP$VIrV>6$ekL|kL-4x zF&tT68=0RDM=$2zQ5lW+B)X%hsZv7&+VD4}=7qNg+gL3cpQ{m}`F>Dlzxo~FsR*Z0 zwl`X*pXq$V%`-#bcfFdslTddxr;RP}m5LI{4>QOu~-&GH`U4NL9AU;zx zWVwzb{_q~AeADt^!NtUx9ZWl242WKcFJ|lxj<)^u7q5RMoim|*lik=v-=IEHc#cYB z-+!NZ=Y51UOrvS-Ar6)bT7^~1ba*M&qOUb9Z)R8PK0O}$#WhOBr=izqVllJr5%de_ zG+k+lr5qT=n9+b>AzQ#VPv= z!<_*q(hdvxm9v3IW z!H2q6&n~^O66}sb;~`8_B6HxR(xnZ|H70FeT-duBt;P)QIzRfTQoya0XM(tKvGoF%;3jk{)BOp4gMoUcn4#zHCV!qzXHA7(|n$4AH}=Jl!U z?{o9s_I$!cvf0#~ft#t8kwb%q>hLURKgct|w%TO%cjRkHZE_eWx5}+1a&o`rD*({c zo;EEs4fv9)*7z;kHMD#wST4!%>GBVWeN&)Uh|1dGd0EcKct#G{{QUB=3}U;_%TU%4 zj2VLug5V=ygXuV@iZbAm=NT-Vp^x$qgFFSs0bLWB4{zs_ANwq z{^{~lpW6OyWm&#d{9gV~ypA0zk}a(gbYW~vzc772XR#%)2WPl=HLNWH>uoqS{^BR5 zJUh&h@lplzt@rP$nb!iEA5X2?Qqbr=A8E-8GP)|i1uwJ(#-=|~=AI?qx8q}1d zv*kKm?eZ{_{Ok;tvyfqX9bMN4^u7Rqw0$Va(@S9nsYJe=RCX7CX=LypQ%8`! ze{&Qt2ZDf+`=5H<�-#Hp&uOON>CeQ)LuLKybG5OS*I&L7s`%@dc<}|G3=jYO5m$ zN{)P;V6HD4;%GATZ<}7A^D3XuWU=|9b90rJ{i=%rrE>M&$0`5*_1%V}O}d8E`>Tif zGlVa{vJ$C;*r|`O`g>(PDh-||F5sT<#AP!JfuP{GC{#A$D)X%8v+~iorEg#wt&Rli zv040iVuqRD=vh+c{B{CAG$d?U+Eqhcy)rVQ^LQZ5AS*3gAw5Jyj z*cF)N(O%$r-Al_SaR|5s#v0Rb0}^cS#=hd9grCt&bS%Cgb`yCMdA*m_bRI*+mo)nJ zHWBKob`jeuf*j}W725Y)pC6B8IaZOz8+^yhXQyu4obbFF-A@r(w;etrlKv2)zGiU& zUWY7g^h4HD-7^h&y)1Q(F}Fj19EJp2&5Cd&9cT&Uj%C&!F^f_Ypo8Rmz92Tp!My|*N^lN{^ z&?c>pB=P^ZXCp?wqJ#>AvHl~i1q#nyzNi?nbRu0vr)$XKf?P9nwqhmGyJ4gti?gdc zn-T2Pm?F3>%2rusxTmDrzg3|h3w&=)#ed({U2=FWGi6V}R1QTX6R$p3^zl}Gn|9*T znf>EF7G4Err$DQ!=S2Klnpw%C9z8@h`qHSft2ngA5tKhVHxBHNeB%`_c&B(_s;tX_ zDus1!h#!Q0gXuhHH}xBDxL-9&e4$b{qGWM4Bc0TGbt6g!PiRJzivv!Md&KZ885H{K z;`JBezQS7A5rzBGsl9o=q--N(s^Z9O&D)wJ@i?W9KN?muV~$xjR$y{6WashaO1wEAxp`CWVIq6 zdtIN2rNGO14O@0B8EV)BXdZ`|mRS50;cY|3!Yf7w z7nZ|)wLsYl3a?o>lWDjmE&Lzw^8u}JLx|xl9*p@=Z~mcI$b+~iXE`q5=48tMki=6~ z>)kkaGe@@B~~MUXo}R9F>Ng+bcc?uh)N9&4t;$kzT5;eF38 z6T&C)3vz$7;P!*v8&$Ed5=?p{MAr0$H-z7Q@o=HIj5ADX1r1#f_O=w zIteqBOzT0W4c1RCJz@n(Xbtp!ctG~en}hFtpy1QybORiiF0FY=pCq-Zg_%qBh%34W$Bxsa10JSM z9{0S9_Lpc6vdI#QKM|cn75-yX8Je1=x%XqbwX&vHHO9m=i|rj1F#+eBzbJFt%jy)} z8IDyw=^En@mq_A|3Um|1xou-W$Y>;XD%)h}Rj0#p4)L3UZ0nklj%Q=M@Ao;(H>lq* zPn!RVelzrOUtU!FN&l0WZY6XxfCB?mTAAQeA?6Lp{i@nXg457PJ8QD1i|Nx)0>JYa z&N6};k|u4I={}4dgUthFqf{-)0R?nH^VezvqN|9@c!ZPB%xofNTjg6RnbgHm+{Es> ztndI>Pqggmz~zVgBI9%2f=sfH4;n~tcGjUbsJ}lY@K=TZt`G65 z<~_{#baM+$L+By?4QNwlnyEkyM^GjvCZTL+@w#)_C4jZV;gIIdzQw6$xxzEhqvGoW z6_A8(q)uv{tEOBWou?W@3G8NuzI!1;5(G_dX^R4lGMX&>$yM)2wUTTG#5^4lPau*5 z#pMDR2`2n|a!Z`l<-c%U`<6e;kCjGLjNgf+Vm8Mf2)v& zl48eaQjmzRIzKYxXx=itxBgrfr>CW<#t(IN$s;I9BbG7>Fl2u z;_*q!XRL8LxctwW-ZsL1>4{nW&^`H5u5dRglXT!XzkzqFB9i(sEK16=3C3WF7yr11 zwe%ZLaI;Tb%!3}YRg#w^U2^kNW4CbR5(-Xv3W`=$YxPaD0a+WCmlc#H{uZN@#mkUY z)btZo!-Cath-0&dG=|92@ld)vXH+lJQzGyDgtnD+oq?VLpvh5G%h3gr|4DVZqL;zy zT9Cs(RaT#G1%FmB%W(o%XL;R4{| z)w_br#tJqQN=q&|A?t`rjCbgHomP%cWD#4H=Til2o)NAdK$%uc?Bmee?^Aa+JlWz? zY%Z(ufczkD`GH!Ym)U>b@s{UuDpteD>QKX!9J@7bdv)fjI-!o%Eh;W&9y~u`@;#SX zmUEXGZ*J_3@q?<}^9Obu9GP}CP9uGtM#{7AO_lTvPsU6*>n2rS=bVYKp(Gk%<9!Gl zxh&esOkfzk(zVy0dR0mJdb zh*W?W4gsAhX4|guLo(&5W?}IQbk$bKl^$1fYQO!o!RkfXp@Rp@?^vLPB($a5F2YTi zrg%GI@edet%TV$?tB`Ka^gb$n07E?^BUdaI`oBGl5512h_Zf?rtB*MfJPU{LXneg6|q2BaI9Pj%{hshsNv$lk4j_I@th*ZF@Gorhb}>)*%E zF*9&7+?yp1+G9oQLOM_+A&>_x*XlKd%={`Ho8)T;fty$!Cr7yUr?W9*1v0Ef=_mqdTbD4R_;; z$DN^!RNExIKozA?5yD{1eF!yy5M-bF*4{VhaI0OrBXyp`dqi#Ieo{;iyuYi@-IQB4 z`6^KUo+4BbBBY#a7I&Q+#IYB6#~-xGexa+DxO|!U_D$1HU3cZq=JoTYlf4FI)yi05 z!62Uy`d5n)ZS2^WEUG-qJi3_ywsUuRn=;U&|2+nLK@KZco(P`Pt(t~t>h?_Nc#loF zh5ej#%X`GgGzOW%dDV(ikT|S^7uhL8xYpz^|z<4!f zP5ZXO+(d$F@5hbVVOG=Ji8D9?i2q>8uU)`Mx!We=5E}W&|EW|SUG?#ogxl69gFNc@ zMhDG)DP8;W&0tknT{tdPS6$LEf3QAY>12oOF&=X0O`4tFq%2qPcc2RBw--^vn!wBe zPkZ9*0C4Jord+EUe47DPQi?Lmb@QT6)mU z_7L2V!9mnEtx55|qC3HNBEHuz$>~Pid z5rqWM3rUIW0?Fm2`7s}pdz1phpgoCJsb48SO&^5lDdD+1W?=yPhKOuy-7B6B|1| zz8S3VJaPX$%X?o5Jpu$o-7iBjd0wrC zv5zFV4^*Lxb`Vu#ks!im1|lj-WeG!;02>j}2fzv&4%Lq&{!-rFL5iU1j4RtnUnZf= zA72ARo;~emET;SijH`@b0c;%H3lhyRIFuv(Zq_8RUKE;4)l;;+VevkbD-s5m9*?sf z75+{~5o%Zx777E&h0sw0RkasmS`5xzmnn{eYsQQh#d3goQh9&TZhcZobv)WHAkJ?2 zSCU4`XX6SD@MVW~7`V-dw&opv^y7-pu)(Ni{{w;i*6G6oZmLHe_r^}EfAM-#H0~?9 zZX4O&vTdC`qMqy|Q5iU-9YZ@hpx_^ueAo7xB$1xUe7#A9fU%hhsFH_xuo(u@D ze&9-YbA``$?G~$3oA^THf4^;%CYKZ5_Fvt28HPS#DdnyvjSJQ}JoxgePUob{^sWz4 zdBfYSAxnsq7bC)UoUS(H;VM+m0g}BI$u2)fn=bM`>TLb@+X3~{j{D8pHvId*`mYzY z@Wk$YWvVuPg&g=~&i?BNwjb~`82+flxHMczV!|GIYC&t(5D2%Oh+7F$r_V1UmOred z5~ITvbK9ZgI?L?qxdmH84yYHS?n?iL?PfG>&W83lz8?zJ{4&@dm>1P!skBCLr{*B2 zs5!2`poe|q6sO1d+P_=S@i~%2G!_{MD7QnUuX4#1^9iMivT@O@0*6odvQpJ3aXm)mkP`*p2K)gr z^L)9e!1Gr;=DlNnJF@z>cbJFy6-|aCv=m`sRzDySk_C^A9~|ifhW63rtqUGh__UH? z7OuA%|&prIA=DpmQKM*IMZeHQUe8cD5Y(PtQcKY?vR27yT z^ZN+6jgO@r0Y3=nx&fhnd_}>D`UM9=ErRSsyjK3`g~k@$1Smj~1+NM{E=C_XL2YhPKNI9zW5tv~|BXT=*_k=YF<2ZhPsv!L<7hiKB~TX@B^h!lOvh^>pc=ILxW%V* z7&3rgIKXfd4k~(F_8l)MjP(OYl5Y8R{{0zlF3~{x$L=ZhwL0yI$9NPeo~ouU(8N{P z8gCL@jcCWTn)7tmB;XD<9W;cg4S01W|*j z_mEE*=7;d}@lB^atOF+DdGhBpflrd}jEH_b*t$&E^$^^>WDOgun*aii$MR118gb1NY-hF%04(XPZX)gZVEULU9)t%uH-JqoySTI|*21*I|l@y$%LP^G%@26Wz< zNeN5$`bRFXSE^V_q4`;LS*Gd50(ISJJMDp?M9Z-mdu=s1)M#LSlkMFeymUnS(zAcm z^x>xBDTR`sM#M2TTmnr=tr)SqS-r8CS46Dn{^FjgkLetqn3?I@-#6@WMW{Y4NS1B~ zvi6n}v5yIcIZo9^myoTBLEIt1Z9Irag`MffDk(K=@25MR^YT}U7}&E534r5te9UyN zvB%fporAE1?+CSEF@`Y2KzN6b-!xFujjzUbXjaV{=QwY`+N)9Tsy*aL=f!F7B(~O$ zdJf(oUVr?z$!sTj?N@Bc7O`I!>F8xewKB9sAp#LHPc-1B<}TE?)k*!#Y+*LKzM7vB zp3jGc3Tpm<^_EIj7=Z@f(+5Xf3>U zg>h<%D?o5}&H{HajyXNniooV7+84Z(3N+nv?s1LuWJ!$gzyqEWxfPypRe_ijH9@^z zT!VRi)F4pFiEXb$^ty6Mm&jp7a;oM~rtBJ{fwyN75|3}UM02?0_^+cWTy!(R+xt|Q zuCT4gvffv}Bv$W3*(o(GUIC)Nd+@Bi{j~M(J|SijXvfOxm`LzQ>cE1_Rb*$8`-ZQ1 zDLyay@vdoGMSM)mp<6^>baLYZa8s@Z6-^5m@#rDNoF$m*lU?3KFRmL-*yPf_9ccITNU10;D+u6*ubdor&|6S zjanq&4e$2aONfFw;$=$=vV5*M#iggh*%Ovg-UAd8EE{pTs!ZxlT>jlmu@G#|PcWzm0U zWV^!QCb$wdW1z9lRhn8r^IpDEjUK2wd8DCMOQQb)qA)FuCaV*PuLtBdEk^0wD*}xl zTIs^|Z~RmQ_2GlX3Ib%&8nGse;R34;qtl^bdu# zQ=smrI(xhWRe>mM>8z#@#M5)8vp*XH=RZ11#<;E^dH)M#c-j5~{CHikIMsG9ay=FxtfgMjW<*8&z7>ER43WlNiu_pRLt z*FYUIv60AVHjFN;8`-rdSA|$TYvs;O|KPk#_kQxk+kfAleivIkHG9bY_zl$z$-bVV z&Dt&$;_2%3jWfLav-rkQZ#B*e?(Ssbf{eh`4D&iKrRFpHM@hDhn$<>2ZqevPpSHyk zJ&|zNC*2HKXHLft)db{dT1|z}x;0f$HstRsCS~>x=!!aPVw66hP;@NDHUQkFMZ%Jw zhc`rp>Z$LJ*KSucTw5Fw9dl?frBY+7^|NYZ>IGF!abfQL(6g@&FE~rTv(aUU032@# zA~6Vk8R;q(T+(0R`sS(3Ozvzb4p^CA<~2x4DQ9`NVoYT$P8Z1O1}}nzVO7B+sRccm z+lyA7$whpz18cszXve)$N%eh~2^ZvWWn-h9_$-!6&WWAuOIbUC-0)Ef23caeWaim2 zp2&}i;ir!zw2yA;4zf?gSjMGka+p%y=93lo+}%vPN;(|pa`wBX&#O*MJz4diWf2#{rV0VAx@OdKxO7_( zCeFPn%pt32sU%isvJTXEv})h<&dHH25@V~{?IQo;a8J~tkYSgQ4=V}* z&&K8a9Qv9Lz!NjHkW4ItmYy!3DePjnH)79*dX&};*p}$js`a>kas9{z;5+=zh~j0p z7}tN{C<<`KEb{6A0;d{y;RZ>AF$Mn{1uew@j!_5mM4Y1wLbeGxA!UsR^vZJfWzd zW#gYm4^4ps3!G$gCZ@;>hZBo9bJT|?48WBuy4e@;yfZx&`{RNQw^Ml^xss~*W^zg) z`PY<;D!Wa}hb8lL=XA8)d`GY9IF-E?h7Z50@SW-vExi6>6zj~@y^Jp(8erL=4g=ku z){4o+r_QAhVme84+Pm%-5OQZN(2ozuA{v+Jl^0_kZA!KdEI(>JWTW(6-9D!hGokF~ zK^Q(#JYzCR7}4hUN{_G78}u9wuP8Ca5Hdc`q+P|pf}$S1?h0=NK|MB@nRN;TkF(!V z@xJ}xuEiNH=RH|3SKqdH`Q)3?dbwfg!c)mXFrfHR!qcmMp7$YE?$1(uJqBiiSvGoJ zaXm=?yv;>+JOVl24bcQ^i5=;c;F?VJz>=j4NU?uB02Kx&FeDsTna1$LRu2%cC`R=! z?2uy4#Muj=Mx!n9(?@8aRk?7q<@;qO^`aZT9N(@*D(2k+BP}R-)iEvZ9s(NKBGW&g zk!fo%qiOx$Zv`ZRm78`|N`Swl0+%l*J2O3bp=&7|ih|O{IRZF=`j>#?itNCin}!4} z4efZIVnW~yO}i*i$ovCURmcQ)g04*AMgYpdphV!)uVtEQ<3Fn9SYAA;%;5UYxSi_i zZU4M&BU@Z%T*v%fNA|0^T{I{(mKB42|$>Q8<&u+XC+3zEXfww zk3}%X$k0mwgoNhrnbqj%(I=YpKS-yT`tUpxj)TjbVD0Dcg@8_1ap`{cfOpbx-~P)7 zS(U^fPtH}H@4T{Oo1ri%pp$ONSAXDGt*BOO23LN#C)U;9m4bsSZw{Mk>Niz6O8*4k z{6zz%y{b4}%*FRA&@8@%lg5uB{2HCuL~z4*psQU5m8zXkhN%nu!4k}IF2^UGK+OM| z!Y$3;wGZ8;YR`dOUc!IL{gh!$JXkimZzf)s_Yqve`3WI;m#e~RJaa~io_=Z7J!>j`zhh{~)kfMM z+*z_Wie3M5Z-hxJ4-dPuHAVdwUZ9KsH$=8#arJ_llRgNMU7hlPJ}wVNUrJMcdB-DNOU;CKKl8i|yg!W(5<@=03uKkvT)T zUx1!;XDsl)-yAd%CYFDNq~Uty6thV1vo@I*1yC9?qIlSu#O$_BM=rKZb_q6v)#jOX`f2c97>OxL2X+j2mXiZf$!J zwzQ*@z(3tz1)&NcWNgfN)~6$DfNKzzVxJdKwH%C%+cj2wl3F&2eAn~TZL>tfZMEPl ztI}0#pwj3Zxh|f@0h!v=ubsX@n_mKS{`?UNldh86ByW#+)PksnLhJ>=Wc1sbX_bvF zimAzPY0d)zQ#!^>7~)cw-xeh`)FWci0Qs2r}vHx4lOD#3qj z(UBoKXt4b07L`FtUAZFs0`N>)vPyBLUGd}o;=5*t<*Dd~<%F?g_RGU+DCzpDSqId+ z8_JVI!apcj&M7;4v{w62M<8m$8|j+E&}a@OXb>FfRa_eV9=qnF*agtzOs4sOh-R`#Vi5D2PmcjOkB7Gu7c;6| zXMqNKdi~8pe6j&P3{$s-d?&-$?Ar}w1-pY^4}P^d8}C0nKBNkV>IGQ4J*6N^DqTMy z7JfcPUEWDTO^_Clx_(U0u)UEV3Q*4?-g7zyR$h^o=Jqaw=KMb)eXG^e0Q#dk#;?ql z??q|dQmE#v9s@dLjF>FAhdxy6$r!VM_jy}&21f~YAuql;SG^M*B&rV&lvH*!N^oMq1&6n zFE}EHJFR8?1G*eo^x(*aLbV%(F@(c$R-XZ+#{!mqc0aV2ANIwUh?&T(a)rhTyGVk$-2EJ3balrqN^AjZxU>cz0 zxQ%gNnX3!NTmlOwwb~VrXw{)`$#ka^upvdzwr(k3>KehD(msjpU-RD`%+*;n>kfVC zol)oDD2|~=y!Y9N4q>aayqPg^+fpXvG52OdJIdc*<6u-XrhRSUYSfrfsmMQE{25B+ zzo&dv@lmxu-=s}0f09w!m=>=|yLt2%=7$+a^y>LoWF+)3B|~MoZ7Wy&p4;?uFuu1l z;o-s_()B(c^ZV*7wX@eG50)w9aB_{O4 zd;e?x;+Z2g#cwk%yMsUU+8*geDt`PHb3Wnf__ny&5Yv z_cp?t-zaA3ho9?}$MPnc)9kWJ@z*?ym3wXzA!8mb{OecTxV`wis+-;KZ2Xe7?w=L# za#Yr^_6e9WSta>(znjv9Y#U$Hd-cN6Ik-PyRDe#L9{b$kH(B~zax@wZ@gl5@l}p-t zG%)DdTH)p;7iR)!*aKo^;^)eao%C_d(cUSDPX6T%!dSr#ZDbpyQZR;Z14MIb{ogCL zBIB63Vu$ySlx1YYXP$ZQ;Q!tT^&9yueBD6%{I(Y5foE(PqF;;t`7PAK2WMCgfh9q3 z2GT{$sH^DIUCV>09gFQZ<2oIFM4IxdMnlu0Xmf#)CqjZakIesVt>{aMM137t%1+hU z)^s1V&-B;O33ombY?1zOGub%jsi)ONl0O%jKReiheWS{B0GyhUY~<|(==Xhd@N^i2 zeKv8@b4$6T{fQOiCbz#87T%s%UMS(3KwB~CzfY=!u0}8!?XkCSP9LnB-hF1HT7I1` zvaP&FxY`i>NH`1wH+w<8BLD;URM7pXKy8(^PrMktg>Ucsdoyd9S6t%fD+ezBbDi=I zC1x7EIKwuOtdB02v@6o4znba~te{=?`d6p#J2}pe34r2j2a)NTYJ>_``E)BJT>J;^ zvs~15V}}^4=f46v>D~Ao4rqeXC84r?=xwd#p03yUs%I z);9}8QmBCfW=2yn-ecEVAenmL{88TR3uXkg?M35@YHt-f_*#|4I4^o6;>f)pu>Ry~ zVy26TRYS9YM>!uhqX%Fj7-s#On{Gt*IzpB`5Ont`K&L^X222vaUeDT4&kP@ip zsG)xNs*O(XD?rb+b;6xkGj`pz2ZCy~fH)Pygd7IGsh0jzulmx2n!NL2o^Y7k9`#7^etER>`&BS7Uny zJiRlEm47yp zmKlt+1=Q*J?9~Uqh|Yy*NW^Ca$^^vo-KaZEzWnUzR-}c4t?J2MrHZ_%SGvnD)9;!x z@(F*%xqWexKDMRorq*QDX8~vvq$ue!e{%Ft7T|NzNu$N=DM5Vlxm(w*$a#`QkQ%>Uw1lOVvEaFPFJ!&5l-C;5_^qH&hIpRHBxg4N)!7zdqy@SjEf9tmI2 zHnLhHs=Tq_jiv-<=ys}OY%1&j^KK4Jr>kgBX1OKV7AYcr8~eq!7ilU!(<;gw9(0tM z>iaAgNKPyrwe8pmk8_k(zStQ z>X3Jm8g#1b;W~CL`RIFbnaUP{RYHIDcf%vSNslL&)x)J^bNK4V4{8gnzSz*d?cbs4A-whpxDPpj*tuFt9jpD- z2&buh>jlQ9>NW4^aR^)d?-bWX$LRjlIna7?895tgAjD+aaEwkzS3gdqSZbbBB z5Z&p}J6*bL-n%6L9iwQeL{#3Sev=E051M*Nr!*@Ukixt?8d-NeKBk$i?j&k7zY_W! zP1JU4CgEx5U0W&tGjyieuBC2IvS>xREQk)XZGwtf-gf_Dm_7GQT)*G|6M#_jgb~bFuc@jROo>eeGZ#4E}CMX-a}n*fLX!S zkvXDXI&=QbW>YD)S|9dZ>3`AV0*jY2y;i$Ao+W)${@>*sw{BA}y1fU9rVz0L8T>b!2^`9t9_thxV7@jGK zbbJI1e^p4l@on@W6*T6GW}F-EM;#Qn&B653!y+N7txN&BRZkPt@wDH&*&5C(A2N-9 zp0oo?1Ty@wZFLP6h0(+s;t|T*Asy>7<++S{h=o-$VRSbDc`>8pZM<7SfAj)@^wwc# zZ%gOdMq*J~B(zw$;Fj_!i>f(-$gyjDb?Q|Cg4vH9{J5z0Hr;#hq(akpK=n=OSLl{~ zcse^u3KLIOQLd6Rv(f^tiU2Mt%%#H|2bB=J)$SLSH;}Ivdi1w`T-sC|(5E(9?+It}EUvNBfyn-qfA|Yn=%J4qw2p;KW|qY*j??m% zCn=Pqt6CkO-i$VcRlWk*M6Fac)YP>lD`u@Yn&EVKcdfMRg4QffR|Iyjck%f$7M>b} z%VvHrv6n8HUfUkg`yo7zA)S-d&GsBXzLSy?9kehdglZ(o72%fvKEz1?LogxpO|NmN zVY&@g(M|99<>z%)#)oFf<>#z?^*S=}%V;6##Mbh~-6qv~7eU%-(CZ@P`NG57_5NSu^ezwH&DaPTntR|D2{a55J*DRT+^SXe7jeWfly zdnn!GvspIavis5Xc9k9PMP%lqv>pBaFMQ7ydwDlkNhtD>sJ-Im(Vxs)>iGyH5Z3;p z#lA1TVO_U&QF4JCX!Yx;a-tHg$Ds$l{Yv7~gxLZrDy7|+7Cn$|MGA3(SX-<-VkD1{ z|MhOGT_rJ1-u94pZ9Q2LGi&7NqBFPb9c3V2H@io*eS7r2pcJl(SGx2@L@5DyX;LP*iOk(OYO?nk*T@Rzx;&MSlZYV`g71>pt_Ht^w5=vJeHxwg5(p(<);yYPal z0RbnOun+cXsHpDtsx31#5UkncrjrLTQhwdT{AQ0IJFzA1J6c%PyuiffRTEeChry%f z3&LzAh0BD2n{szgXn&kPNAD2UvY#q?KY{P+_#$7+-ai(9x#Uc9w(v@PWij-;`lpm_ znojvRUD%&0*YWq3d$usSXqNv9SY@6+Nqhsvx7m&SrQ$nw6OUJNb9-Ivp%a6+ow;drnSjvuNM zrku^<6cAFrd0_f}WF3iqyfRUInR2s)HXVoMy4uFa6yH=kYhygbyK`XwL(9TmlD)j? z!~NO>r;ZDBIiStjAj0U|bxD~J5NqwMxc~JzlM4T6cDD9GXg{X%Kxx$~_>l^>MPQ7^ zXVjZmQC`CTI6|Z|4b6xQEO?|!yQo_&!%@*k>pB(dwZUA(IQ;O8YRMgIKP;xk=#WVO z+Z3uO4~0EFaS9i3GGML23b*Ftt*9=r8HtfC=_#~VppU%KM!L*jsoy*;QBs-mkuj>j zjeIW(Yc4OY+T=A#8JX=EnHE3884oH(invt)6RqvW%T^f_%gspW!4U#S?fQy6$d`T0 zJ7ut;>f#YW^8!vF4xWI{yZI!tQM^CH|F~eFsNK_lA-bH*r2orj&M&hU|TIYf>U0zJPo!d?2~vY8kTw z>JLS&q-;U3plB(*9Gz6dJzI+Q(60SmQ#qNEp=2ZQ8zKH9bRbcwZ#{5q@uHmaEn9E0 z6oJ10L^%(^?m5v=D*y!;se`=&n2e!D=dEXtFz;J#&O9Wj$VA<$jE*LvqFb__ei@Md zv|UgGoKX>{?O0Ce2`b%v8i}Qi-y|w-R-AlEjQQ~H42Q}42bd4yO1f|uwtj|nK0gul zDD#H)5DRVA&z0t}5DftC$`?#3T6~6A)@tj)g`0G6^>n|3=Vtj7-4~T+9`(Ea$OVk-un+Qc#juq-OAfB>2FxYZGSk>PQ1ZPWQ*GU^%~OH~Lp& zxdC?$LyyX9v$v|^=L570juKhCk`|R@K!TS9T>N9jOGdLrfE6y;Zwat#df9L0g7M@eD<{nH>pIK+C?Xm&yF2;mab@UDM_E15v2arQ*Ow%v zUAvvUZ7eiJr-SHY=Se?n({yh!B&B|c=@Mwb2$$%@p7(r!e%PN!@Ve5Wo^Fh?E;h6M zQ_W}d9PNKS46I?E+~ciSEAguklvm``a!Z|me=~m$qaRL8J+kiai~ngbMIGwQZiTpS zWbuaB(IocMe*no=UPC)W4F|;ge?`5(yKANBr*HoETO&Q;z+&yA2Jg0oF7`VC=*^as z7Ty2RGNS0Xj|!pHZZ;Zzlb`A9U(YF6H|Zt^{c(W-QzjCuOhZ)|sP$!k+iNwQNwF)uAG!gI|y0ySy~z4)0N) zAk+L4OV3wLZR&85&F4Ha-?KeP-bg+YN~sAw%XxR(OZH)=cJOWQs^xPB&_Haa&X3AQ zOmy))3rUHcy~jQ$=R_He2ywsWxDrAsaG_dhYM(uBV|hJ^wb``C9~j}=`XG8PK35|r zjlz`)$4X#F>Fjt;<16t6-A4oe{U+ge;Hu}_*!$(#H>i4Lop*2KmaR`wgj7eT;~=UQ zEBHuZqz4QvNRLP~4EhTKvp-0Io4&Yqd6yFzz6}A2;jYG;HMip_3NHqkPe(q{_U*Ls z^ZUnB(gPo_Lf=EU5F`$>A*|?rS~)Nj)KRhgUdmXvajlw|U*l^Ca?N%nr7sEI=S${b zS@82pL&p8vsCOy+AuUCD$*j;8nRTq!;jbf1m2#obGTPN@Li>|+fivq*!+HmlcGJ7N zXg@USbbrn`Bp?ym{0xvRX9iET*)^I1ua#j2`qdjek^qoVJ&WqFA{%t(>YTnPw(*xn*~C;H6A64@weQ#|xRMw;43(>rHm=3V{0*m;D^3=HNfP zTYf8u`Z@n$AKv&*SjcfM!0`se^8r}!uRvmIPnVYM>S7XMn;1QJ`gql_^Nh(Lib^DK zp%$ESrVZKc^?KcE|Ni&opiYkF_&|CBi=okx7i~Szg)niI(zpO^5qLOYo>3 zMOmGU=_D)I(oj>yF&!zyt3)_(4%B&0UFZByjj6R=)vrus!4dOu3bdl$ic7ERT%7+2 zR*D3qM!S_ULItJw9cnz~k&bAInP-<&qEAIfKz_*FuG1sF%ma#GE#RhdcD~eTu;s3U zUv}JrIB5TusLh3*IeLDr9gt{VPm|9UXh|y8Swu{QT#v*WQkiC;x9nHETm1`JSb0k^ zg8cEJW1pFcizGiHxC0Bu;tqw1MhAlPt)|1r{I=8Zox@h1*3R;E$LeVhIJx7%6`%KU zf_>3^AwX-)L0#*OzHC()ryAd6#d&XFpizr+={voVGX{?e{Wg>cd8HPb2yW7@7*VPD ziE~{6 zApyMWmv$Y7tJ(h+&tF&62SaXno(=RvD=26Gd*(wW<(Xhg z|4BiDPxDye@d)|D1xZk@d0ENrrRhta%3i|4ZQxHv zl|KTG+73h`TYK2)0nJs42L*A&NxseGx#xJu^0*^N+ zK$taMP8cX*YGK3o3V(pTJt-5Ff@k(llTVAU_k6)2StoRCbZF~KQ(G4gEAXWhCJX@D z)g@BTYVRd8NT%T@LVyZ?e;Ovv`J0RA%U28mrP}M^KqJ5 z7d!#1ldJfzgnbA!!TV+=EJvmNXem`e^C**~eaw85f-0?hC$F=0$X(kJ;VLd!<7&f3 zmJBFj&zW(dsqq^d!iOS6XRvA=?+6aRa|D4jS^PiD7*YJr1J?%eu@UCS>zns2V`qe;=;O0bUiBj29?66@PZsm#kEq zY&9%XzqJfE^{hK;W$amvtADQw^jyJ&RV0)gqnQ(7ae-p0!i|ulC649SRXNrF&=?)^ zr&iuv^PG(r7G(O^LDZGlD#z!2E;Gd~T-BXDEZ%;laVC`&eMx=|!m6Z`3c_3U+VC_79V`IoEe|lR5x;aGDS46Ro{>C(@GwB{=!*&d|$1qIczsi)B!)re!1k= z$UwH%IwS3Tu&<}FZv$-on0-BD)*RQce_-n4{K5^z`uRPa-xhS&sNMsOQ`a-bS~&#h|^zny@GcEL#$ZH!?ai3`Knso zmJfqkct1Q#LB_zulpNg*EZZ`75$Z@2iCG^`UwsH%G;{DAZfyhV!Cqr{KL{<}#@%U_ z`!X8Uogp7`9Xr#lt^{3*+1q)%;Uhet&C$~{);=bfHB|EjLCkaV=U<6}$7)BjQU87o zxy3rr1+6Q*hH;aQpg$*tD0A!AYWo&$6@M$*Dqi_2<9&;*(d9R5tNC^%A7%*@uRj+m zh^jE)XL;JU?HGfBj>Ll1!_;;mydkVpO)+(xEJEBLBl(;9$jf?j?6fT_>a(I) z8<~}5lsu&{yXP#_N4XOBl#)`XOjPMge>yK8wqd7L5eZHg2T?1fMv;GFkCwb1$ajD4 z|J>n(BQlbq`P}Quo#`o0Ig^?k0D(_S#|66wj>Q;Ey&4fi0<=h;+63_LBh}Ays)PN( zo36qNFRv>FS}G5fUGfq^x*3ZS&OW-VYXxFpvBL^wqm`0QW2KAW6*p}cQZP`V5+UPq z^|nibLUEMtnP1bRhvgjtwClXm-M=2DBp1f&ddN?Kbg^#ZX9~m|yg}Lp(k?91lkp7Y z!b3VHRIE5ff}*GGDSpPKxaN5Y6-4w zu4uC{W~STk`QLRGxiGL#-iRydgfGr$V#_V7JP}fYO6@hhq{{-kS09#l#j}F(EVh)6 z4Tn;90wsS;7PNUrXF%IW+Xn>(m8eG9qyvSteb7e-?^Q9;WBrknED}4&zW2CLg_yZ* znQa;hf}4!&1=Y^rhc~Mk5ce5HAw}r*xxBpKd-*&*nv#}xKXv)(vTq)-Du5#q{a)s$ z)cgy8=1LNhVvVN$!6ZJyO^_s)iE5hzQCGf)?i~r`v}TR}E{BM? zp%5$Mi{OI4s~AGvNNVUmwIe;ZS#3ZswiKJeKt`y|!VnSjw=~+Nn_bEz?H^`TAGjY7 zf{-T+JzXKL1cYPMhJOXB#y3 z$%3%k_sYwZiAmyh)ta@$`$i>yRO)G;jE;+r=vpqt7P@iT+9Gyz!!)lO3*W>ICCZoH z4y#D?w40*uX1K(EKTi96QTYnmu;*0WkY&Ftm2=mD81fMGn%l)dRG+)tqzgxA|FejfJw~Cu~&UAEo7V_B0f> z)dV}1^i+CfB(g` z_I4aSA=4-H_8`SZE(Ee+$mdffN!^YV<%GP-DX+d7wsj@cY1+ttRp_)I@lva)IjJn) zqub>iTHhW)xKMk_$NS?$a|_X6 z}Sq|I-x$DdF;w1oI`upTKv{;&0NN zC1`upU9OopA4&W+a<`@}(`qF?kp{a+_GUOO7!{wO{MsCI3`*{rc<~1`51C85n=Lw= zP+V*EeI1ce9pB|U^`@&?IKf$bMsqBBehNigzRRu95%%QjU6m;QE}z1z!{|vn)Av*2 zdmJ>l#^MbR)XR}hR~g5I%sXoKF~a8z&yuEN81eUoys{Fp6V=z_K<cb6Mah(d|6H6YQQPc8;=e#E7GF z;KX65_ZMG2IEE#@sYcnfS=h_TWZ4wM9%1ioG}eYaZlT5Wjvv!LFm@LTlN4B3WG*lo z-v;4o3e_H{WekL&hPj6Fwm-C6ZF30J($-IojEMv6DCVIap>fS+)GJ{|4F_1fNC&|(tF*@Gn(Ookc9aUgGl2}cGb2G$%g3b-Wi-FHaPv4) z9_fKzd*Sc^1Vm)-w&PS!oyG6^x{q!|u7x-j%Zx)Ak)~eRE&G~Ou!ex)y;>r6?r(;+ zd*NlVw2`Sxg~|bbx~Wagb4E^)MY54~4*;VeK4kT&hGhLP{qLz~lX4df3`Yrd|tg?h_;|BlO)~Zq@R;D5`?$x55F0U+oxbKIoUQ8hl$J>`JGEDHVp?X4HkDr#;y;y~#N z49fEwQTKa;4LP5OILEk5bkM<^NURQxtC%(}@_*C|Elgo#&;%;QzoZ3;(hSYg0ywml z#`-YNcCT%Yf9VnomhUW;>tlILWBzw@A`G7$U)ZKKuzW{fWfY!KSV1TwTD<(57Fklh&PNZQCJQOH)C;z)KHSMm* z?n&do>_Hv{VKTRf}B58Fs@SPp95{50rw~3JaaZ5O$$0p^f$0-v<9G+e9vFyNZJ} z6;GLqE{x&xV_Ouo-;(u=SFfF&#j{z}Ct)j>HHQxm?KNC{o+Bh)4er1abjOwpN!9g?4iPIM4Z9)6eG=rLAF5cxPj){^q=YOXej>uJUbd&y%rtc19bA8|MM`J{U2!fbgAD+EpqA`n%^REe1hExc6M|jHgR+)+4P-6&M>75i)3&hFM zDSCWfzY+GscjNMBbqv0cM@=F~+g$r~+EN{g5cyMS_>}qXh;P4z-gB6pV->(O7J8=J zRMW*B<}NXRdz%p`X=Bng4{&a5(NF)4a)M^F{U*LurdmqEJPRkWj;n$_GQ-268}6Ih zvO0+}OBr^5KKI2BTRY|(nMn!WS8$b($H}`l?4n8Z+g;15AHmG~hl<4J6wdb~FYnd&FP680>ettHRhT>mz-Q0lB<4p5EMQ1fd zgChE)VVW)JfUj!}VLXWU=G#+)Y-HMQeFjVUo0OQv;-k89#dZseeyxM)fw% zp23<3*-(nrua%6z(RhHkJ6Pma-JnVVjdmo$NPaRkboG+>sUr>~8*(JxBu`{GqL`X0 zqxp7q)?1WYblUL957{u^*xdMw%_jNs^h9OHJoIPmu+wJ^P{EWeskDh0wZ_96u^^r% zK9nppJKnSCB%X@P1BrHOm15xq!I9$SvccslTd**t%JMT^#kSk$e&@e?HViy>T#Xy} zW;^epE*~RF%Q{mAY-#DN{ip*$HWGv!SD5JYYPm+n_^;_mCS-N|w0sq`tXeLvon(u%DCWh&5Pge+jk=vkv5;Fb--yA&U#X+ugxvAD{SO>t znGlKd6zwqnU}tcCzt6u$X$8CGp&*!&L4{^4ajfdk$KQ0gM!T|iY)?(1T`aCqZ+Lsh z5C*1wAzH!CVp0v$__(StZ^#2awk7d#(>W;m1u-shay7cPV|zut$q&p~P5-1dx;pG$ zf@q~c8Rby6MR>N3$uzIS%jKrHA8Ne{*yS*1gi;Kxwk9y|%a%nKDD2y2X zE~8z<$PIxQjtQ>;$vNlH#BYBy6zHVcZKwbV_z}g-mhq9Ud`(uX8i@=@O1eS{-869; zemwvF5VKBacYO_kGTfO1!tINIQz&=fSm?p7W6OQ%vSKxDhfAtTd^B5tVEc`3zs_e)J7O!NUkv(icw9xeS{_5!WITw~y zdB7s*Ne{);Sh)@kcgbCw>(=J`-}qNBLdJQL2VFBF^}@TLuZt)I+3z+=k-Iqp_w$ul zfpI^AfZqq_)s%Hxx<>_&O>w!`=~jZma=LVlpkbSmWC28a4jJYR`;wyrq$FGR!7Y{K zU%3&R?<$s@hY1}hnTq)LN@&>O^n@P>P@mIq<*SLXEh_%2sYy^O-i8U5`N2k zImlknNH#tB7yb6B0P|5MROgA*d|0Ff68}j_9#wamoOsFE+Bce#=OET7zo!K3O^0|g*C zkhC$2F$IkNvYKwypzUQ=atK&84hL1b0J7Ux)6IM`9^7V3x#8#|8m;;&23t4L4xP=q zw|D%%Ku7&xY~+z}CduW23RB%gL-74&;`U0&Q2CEc=7x}*N|&}87cnBMvZuboWLIn- zznDP(5}>KPXx`2PR7ISZD6DSEgGHq8``!}uic44g??iD;aB%MIUXaXc-?c8%J?NWT z9s9PacCs;!OTDA=F_#PO-xO7cNzW#DTBr(IZYJMI&oI3*x%RCZN88k`2i(_DQRSyh z8zop=bpy8>p^6Qa#Z8pr0{GF{LnkjOhhq;|yWv4{-26qW-3v5OBuqJ1o|fGh^GJ-c zyr?qt3m78V#MZOI!tQ}^R(!MnE)B1zR4p4jvvz7R_@9d2%=o<;dOd-*(`A|g~|4(hvH|R)+ zh=nP_d%!9jF87jhR$pH>K_Ik5%vqignXH$Ua0vd6^CPmKaydTitOKoNJHTx8*NE`9 zQ*WnojdP|_*jq0IeCsswtyNk9AA{Z~eo=?$L?gpiLAVXC&}vNFFAFW<%WgVG+R`J9 zN5bX~aXQ>Xr8mZ96?atp|B}1|lp*>)w*>0C2{lJ#6-g3)Ae)358&_;v%wVp??ilZ^ zk;Q=MRtUIUXYk^(C`iZx}Q;?}s z?Y9FI-4ZeBC{4#tAYqSWuv%gb^z^7N>D)`uBrv4&WKgl4Wf$c=f`~>fy>* z#WBYjP7~~`%k_5jc2l5e3Y;CTG%v39P@l!q=!ouW%^j8?NL=_VTt9zEeL+mV#PwIk z>2XSXy`>&*%RDOQk#Zs=hJYz5`%1P7le(rO1>g~ct!y4^+3P%J!J41@S*|chzL47z zI6FSJQ5cf9Nx6FUDJaB+bx7_|Y=G8(|9J8 z!BeC;tyx7&)_gGl(Pac=eOF%Q!+P=${w_YV>pQ2*>}|W2eMbeicL=H5l7Zw0IC49H z&;Bf}Y811TosVs@mGtQ0elZhQYoUeq`!_jc;C2WJ`aUJem0h|#`S}~HLv=muSDQVz zt{v{Cz(21GHpKvQb?8hO=JjHD7+q=Z-EP%vmYYP%DbVO{$B7E?Zf^u-?6%egaUwrW z92pIQIg*lrTDT+r?i*bC7W5p~w%_$ZMjt_0lT`MC*{AsI6meNL$jr#X`81$|Ig4`R zVm0K1u1hKRPY)xQGdZlTbL_H!Dw7N4_5aY{9pm-xha85C!D zMA*Wqn@2fvoTgWApPqs|VU#AXN&4ZHhY3ra2@wqO?rvdLk`jt)rkKHs@8VzHBy)J7 z*PgY&HXha9?ZAk!iRZO&qvVQzzFdY`=*3FJ9RdC9Y6Bh@0iK@hyEaIV&eWoO_q`*M z)@)ztXY_o#a^i2xyw7`$D*7g$e`X#`BUgJ&XY^N&X)kaRsmkP8p>p4tvs+;tJu2o4 z20h2h!!@!HMxvtzJQS7*p-JCG54d1vrks=NZ7w~>V^sOHN=1Ad>*V2TyoA^Zj0D>e z8DH_ag$#E!MVmHFTQA?lgZ!rebfx?clV9g-HB%dIlIr58P_GG!I0$y+eSNChd4}X9 zM9=;#spE)tQ+ItU;<~o)0>$!lRuCaeRz>YghD>p(3Wyp~Np8p^|O;G*QNQX^znDhq3czxl_&- zuEM~IJRa)2D4X` z9#;`Io@EBgs7)1gto$%KBCpFV4vpgXmh_EiYqytk>_Iv6BU@a-cd?jJ6DN{aXb0uy zJ56$O(2xb9@d=K0WX=ljw?UyPO42KQ<0xE#)I-uo0P`-D0m~3 zp)pu5;+yJ5tdgCp<}jZliZb4NGc5vx)YZ8a>`d>SY)?lQ(~nD>t7kqTpzxUM z3g>q-VB2N7D0x7LjfOl5J!X6zV+lo3Gj0hk`{!Bz`K5G&(CZfsLq0z+W8s5Z#4AV> zL8srE^B8C5l?1UY(aKjCy=$iU%bnG7wKLL-CnXGt-S#)))HU71{Vk>EW<=KDJIf-Z zwlNQ`uL>25VVXKA){Cx?Q0e`fu$sS_>Tq~uo9 zn%0k)Gvg$3S>YSCYX)z4NPJImnL4fTfw)+6r1PkpBvzJG(lr_T8BX=x_6@0kaB*G2 zQ_Vsdt?YR!B$C=ic5u0tss3S~{T50C7DvYQPg2Bm+U?_C+QvNf|fRXx8`A;Xuri8ye`Gai(yb$`K*! z-6BprDmQ6VqAE6>Ci>M!ah5W2+@YvH>jLTPHLa(Iw3ZvMA|cUG-PH-|aa>E2JWGTy znJp(pBI;g*1KRr!d~ljF2Z@lwW3CicM{>xE)3si-<9dS6%s^})8&j5uF-?4E^8jow_f z&C{oPz_%#t7#e;bI3@D|xGL8`DZa#Rcg?2n5B{3N`H!T0no_&DDBG)9VG^6gIjRjE zm(07Co3H7?6JZGyPoG16#)kNmQ;3FJDa#RxBzf_f% zIZlMpSi2!kA1N0Ix?KnCeRm<>9hXuKGZ0+lrIP=UJS!V7MT>A${0z&|gr2N~p^$IJ zZ1UenQ8aazJ#(<*j2g^0CFSggUkZDZlDq=H7Pq(q3HSb->omKxrD`;x)pShB8W5Ur ztd5!>HNi%`(70*H%b~jv`T^!*CuMN^5^$gznf08|-=`50_Tl1UR@;{K17hII0+Dykiqkn1!gOpxI>$#Nh zgrUHv;vr`~8L7B$th~ACSE4jN*O?vTOK$4^rH0W&HFyzegO9STB%>R}W%|)_!V2wZ zEAktu?D+=(`9S6?a%OL!5J8tgBtT*%5^Bl(Bah+b~KEQ*l6gwa*4n(1&|~dIfj%En>=*xOCmM5ZRLmdvzcB zhrnrqYs=r!IqkPq1zRqakmJ<3G-$>dR+f%-KrJv^g3vk4s{CYw(q}?)PZKK|o|YM7 zSlxIF#b<)Hw$F}G_hEHe92&OAWlyh7)1Qs?wRI<2jh36TOJiJvc|c7mLG<0{`|_Gj zw%sT+Xe9YLhy7>>|5p2jOi62XZJ0E_!Dn{5YSU~!@>8v76sT5{N)%Hf-o#lTmb+dV zgub>=w&)sLWepQ=CGACMg|BQ!hJoV-!0$o1>s<-^Zr9QI!8#2GT%+uqycx>2lc!o( z*5K054CV7!+?a|xK&LSj1gs|7Fg1X3Z?4o1Nit2OWmt(T@MV98j4492!GKiZ{$vm2 zVIy2WzMye#v^fbHVTPPMM>bHR4_BSnqJC&T!?3oE7mpfc_M6oEo?DM%x9vU}jTRG` zSv7gMZKQr(++6ZXc|o!`L+YozrX_SN+$;~_fwQOWhUKE5}A}1=# zwCgA02(D(_8$4L}^-~b{T*;@!F};D>pBL!^V!#bfGU?kGe%SLSt4OUK3=5R-Y@~ta zmPSQny6z95iNZ59C#2(VxmAf{NJr}X@SQKAc|;TD@1=aC%?B1;r1D* zKTJV^;ipfEXMBV}MzRc+36P%aS()8MEanXj@?XNnItF=MXGO(# zz8jR44!8tMnu-(o$|_x_Iut!@IFie{ZSMqA^QimQIXWXE-@+(n5;-cFOW)ypS|2#K zG0-e`XEU{k{xg(E2F^sXL|8*}iW#)~nm`R&ppF}@=Ks~`yFx367}x$3wzXadD%otg?C|E?n3<9C zUd1ydNg)cw)|gy2v(!`BpXNYmA(Xy~mg8(M`Mzs%;J-5xv;E(RrinA3PeyWw=|`%64?RNJB#^E%G3pr#a~f4yQaO8Hrr_2BDy zTgSQALUnpnN@awtxY+W;V}Z<90oGkH%z0aOSa*Oy=NqjjBe1dUXkapU$bRWPG>O+z ztnK^i@_Q#7{Uy7K5uxhati2oX*SPK0JRjWa#w>YcZc(n#CryI(43}4$C$($|gvT2+ z0uvl?9F9F}^7%6*P~;r>jX#g*qp&D9IzVOfYiHD`7`X}=!@zCt#C91O&t;ab$IvTw zLj2J`pivRAL%~GGm;C9xEaqZ^QPl+eXHi$mbPQ9Gkt`=EYSsRF^;b?p#2c8{wpBl zwt({K!MU>Bvw&8t2eVmjdgtx3t$7Xli=}Ww=hvNlrxZPqIpM+lr3XXrZN1np1f~-k ztyp-Ic>ba;r~cyFr1KsxUV5Xf1B?z-IK8fW*%77oHJS!a!nnzLFQ2bkyceq|9Jn^% zY^2vU)n}SUVG&)N_xB=)tV9a{Zit(96XmhoCS|e^Hcl|xHF>|5d!3Xw*4Ogjc+wO_E3eCDGdOMtQM-|4GDnp_`>!#MRZ1J4T+M)x7!`huJWNS{gp;q{NlkPJ0ZU-L zuw})ij8BWRHKWXq1&P=4P!*ZB8BxY|4+Z@~dT8lL`OzKxl$rJ3W#3!%zyB91_y}xw zGc>PryqUQh0M>_o#YXhfVg9oGMdyY< z=nd7NHrT{RNK!In9*{CRrfNS%t$Hk8qKV_Sr-=XW=pIm}uZdc>{~78k95mR|$@wIT zq$2n93bTb;gqTXu8UzA~y5n-F5pnH4#N)Y*N$WR9Ku`p z99O6nz!;DRB*mShWf=r}8tL_`IumS-WV#JpoI!pW#88^>1_!KzYKm)?=rg(jw7IQG zr%5Nx#66DF5sa|w{bRXrqljPzw-#D~EDFqi13=Dft5kU|1FkML3z1P#qZ%wcU&-8) z>Clin<`zRg+>j8m-l^OA%*62~yJwfd96e`*)7%%;KF>w~{I{{BlzGt7d^BL0ztai8 zQ)w#@J`y(0NTcaW5!A4R_jrn0*eLD!k>y4zd~HR2pBPPUcDYNWo+;zItpB$l0zT1T zmqq!a5%yS4$Woj<%|VFT8g-e940RbuDMJ9|NW}CO*gV z9ABSp!-h&fW3Wf1oj5LgD;H?*^^r0}aHA$TW{Io8KivFbIkBMIn%|wG>d>aj+F~|O zaMvVV>R&1P6UE7RuaSPG96oDdJrmcHg{2m|6?w3b)2RjaicQQWJ!Yexsw2g`>Aw>$ z_U4KSdFC4rlA}MGAIh3~IsACOs?1#LM;Z+j#5WN&KRd@lyBwrn2(_bLX?Ye~Mn)?2p&Y0HFpH)(21&hqF5ndOSPVgK}h27LZQX4js z&?@j^?l`Cj0>pV$MKl2 z>8?ggnXCQTgkMHAxzBgS@4Mjtcc$hcD)@_mZ*}YTRgFI>-TE4Gt9p_?^cebrI+Wfl z_=K+c)F|dJ_>}%F{PNn;e<%9=f9MImhQIaro`;MJ2xFKjq1VsZ0Grk?Loyxy$yW}| zJ4s*&DUIih-zPk-dOkwt!gODFTanF*exnF#UnFuWvl!0k(oH}BVfLG!%Z^I{D^8}A z9C4EciMVgcp!+m-IsHSK9D1Sn_ZTS-SEEe&XYM`Y!1Cx1RQ{*UIL5B+8`<}H64hH8 zT2#jS?v1lbmmO7bJ>OtleGmO$;N0XKllcb~#emF*nKr7Yn6qWxs$a$PYI}~sg6m5$ z3_M!N2{(j#`8|u_5-;!+YUEf)a>B*YP^w$}Hi7VA@6-0IRuPvhVb%ADGo@uf$E;O6 z35oUik%aR*C)rB4a>JhWMuR}CL5mv>TFa}O;3$!(Dpd2bsp=#T5rZ?QckiOJB(D$4 zczDZ{ss}S1=l=?X1^*U}TO%s`W)+Gjo=l^ZfsS;B_^C~cwt-o_GuyZ(01%$zW>FN* z35-V-oJLg@xdhGO(u5Iux^iSQ30scH!*;{^##NzjyzH(cg*6i1?P~KwX(T3A7PZ58 z_(MEaa$2RT|F~qN>0-rvYKc*|x4ic`+C8YZF`w;I{B=tZ(4)@XEWY};m6yv32rEtL~qrRA&qShr|m|zZ!c7FE@hhd za3`iD&eTr%txSdOJJ)p*)cre;4RYVyZWWIz52&Ebo7PzP5i6=kSDi;WMtahivblp% zWmZ{4Vs=4~zP3|LxcvgEowjUzYW}pVP-*gMZ2PeDq$uUr(3^`c!wn0=vOG&xCZ72O zVslEEp)}8vrO_b-kt9|1vGWWb;+Kcw>O#5*(TD&ynXI{ZUaf7&p+bgOmqb#Na9m4at9V!q+o?T@81od! zy{a1H#Q&vKs%~oB){=A4W$r~L|y(ik9jv5iwK}6;f7@%fGFhl?t)HNXvJSv;l}y zaZ1kgyM{CijFTy7+7|lw6_VGYSrRFFQK_?Ebpm_wrwu;A>PohzG5ujV_W$eF*zf?< zzGN7WuT7C`008@m3~v19m3N~XL$)tZp&LI>yg_9|vN;T%a%%>F`hMwC5x|J*TU+6P z{is!LQ(3~?6>R#~0qe@n=f1>2C9Y=6Tza%7_0rDB9!$NVf2O?cU+4HE2`)O;C1=v) zhr|Wgw*P`0+OB>N9dRz!P0$!=3Lo2rUCF{kQ0Ecip1UQ4KmIxvD5m08C7nZyp=|aQ6Kv$eKjc1)!li9QCI1 zerRL_a~`;C62nL|!GFo-6g-tsXmOL;U^X)Ubu8J6s@Ucecv_ zAoNl(cX%X224xSihrDi)U`d36d1wrz&zs<^qNSVgB)l!LhvHZ@zSnA0j?k8`(VNke zaTbnMQzTm|1Z!fN^Z-I<{N<_7g9GEv*5mT&^Ib#KvvLgL?n}q3>0U;d&N~x1j~~7p zbQ0G$vCuQ%1v#aLJ5E#=C!h)kBw2n|1|7UN^2*i~pt0u-&su%G-UIpCc7xOW#_bWn ztN*GY>Mh)cFI@K;OB4>9-zz}&5eYeWLbNVH?#pu?jHu$2C2=!@Y&pyg`^w1mUB^VN z+`_Ir)oZk1AeZryl-T+SU>*E`h-TV2U?K7>nl%MIhSF<3jhs&M2`y1J$OY8-UFKdH zyi1G2xeVPwMPBD=49e#VMMBcI8$Nd1&Kv5|tOXk`%}pkmVgA{^7CUtAs53+PIi>*O z<508I7XGRa)WHWIWNI#DmiVfm-elVni_^q`1tR6k4&m4-&FsqJF>Y@YZ=vxkwTm;E zPQImBF0WtOsx{-owv)Zpc3MPU#$|`Oe?6KfLG4~YObr3XLLYPPM_dvEbF?QF0@P*Z znz8mTuP~EQfv8*9;I5bA)m1VR1uArXrV`z@@ANW{rXMlq=(U$lgiZi$9X(=~Y1(y) zpVbcJY*6+ul>EyzQ?5)j1sI8i=WW?sQUxF0-8cdpLOR#D3(yd&c3(WM>H9|3v5=x?gu5O1cE)6dEL~TO8aT{^! z;W$dkFe;)w<%O3r`@1;TTh$-;mlVuq{?m&_2dq?9^`E`l_;U{rgh=A>aai&T$vr}b z0$~4qc@reB?Yn9BSJaLY^-v~5`8!U6l0{j_;!KS?N<>5-NOo~&$I#WmG2e&qVgSdB zWaS?oy+1rh2J_DsQfh0rZeg)llM&(N!f9XX)NcE~vX14`axYctdlX3!s=VwTiuDL= z?|P+(XiofcPK(zEoquVGp#}-Di6&1c?q4%1$f{zh$VNzb{u2?o?LandG>wyH8rX)$ zxDKgnp!_+y<(E|78B%cKr>V)#lLXHq-5B+!am3*MG}emu*jKyiFaC<|b}7@R)Qu+h zF8^?z{yS;(S&<;l)8x+RUk&cIp5lwAa&>7+C<`UZxC&Dz1Im>oW-%pkn?@CD9AX$gJT=kuM z&^YkVfZEK54oGgPk4PLIZ*K`iEUO@`G z5GodAQ4$_be29%Q|1_RI(Cmdn7CzhwO#Us0Y6!T8@vXC?M;G!am-#)E2tmp_wGytv zZf_<{OeK^`2It;GD%m{_U9uw7ooJl8;?DJ@CdJO0Y^9l$;X$v^x2j+G0`6#JCSBW7 z0lyunx|=N9P}&tOv-xfyL@sN@&VBnzYSAvuIhWZ=zOP`TdFSGU+L$He5SCcN6_)E@ zRV5o{z%uV{eJb$HQof-B=T&kW9!+-o%d9OLHaUY*#XV;w*X-+T4_~xS+;8skR0}nf ziL|5q#0KF~5o2$BB248yy324vYBw>PsDH6F|HKE57DrDfjjNP>PxzEapr$b6|2v@$ z9YIdqc9S8=W(Jpf>ohP7lnc>ju$N!`lx*L~iQ)4Y-n!@ik;;JBprmd6UULoa$3#us zxR^GE0Y;_3y`A%;9LS-4s*Z~JvqTV@KJHeSGiWmMk{nhJPY5VEojN_-+O!&%J#HJv zkcAtrPInc-?-&loj2OcjwIqf_+?}S9uGmvr@I|1B1yx~eFl1q1|OZ_pMo~bm9XILuj!oD9D1ZI8*_Uj|l6|Gn5y(gOwmEce*JCv!nBT`v1o7 zIIp2LScUd`yTTz65IWze3iy|{SZs!o8Z~9@w)R4E!(w5!??Yl8{380Myw~Au@CC6K zLT#0iy7{suh2i$$qDo&2MQU*69wT3oFon|s*>WHe$GN@f_XCuwUn@i3B@H3?#!kfP zFnXIFmQ38W&ghFXCO;0r%Z%JbepVhAbPIW;GF|)df$}KhY7l!v4XvK&o9Nu++nM93 zZvn$SLU)%EznM^{g8&v{V?nxSuu5!Qu4OZApz4Ukp8GW0XK2*Q)e0XRd7v>=7aBi@ zU#fanE$)6-*GunNXuo?dTB=$D%J zq0~Hi=rAG{d3NX1tPSK{QiP^|LC3`7f=+d+TSz8Z$d?gsqUb6nFkY+XWa6?R5;)wA`NRqK z=fT1_0Ej)2&TJa$y?j~lnS1vc%_!BB^~>L`tnOYqZMLE@{0mgULm1s#8A_6hQTbOt z1OYXAdn`aKlONrM;+6lb5ml+dPQTr;3H|%p3(_x(o9)tq6o&7sfG72jxEFG+A0b*n zw!SeSKxq>|K(I#$BixQ z3c)_xBYXP46J8Re$kCgkRaXC{{b_g z--`3~n8=1l)5fr=Z`H%^-wmtD2NX#)TK(^y?A+G8cP|_T`)~)vQ!U}xr`MknYf2vfE(%XHJ%+?__dC#`45p!w;yUJg| zs_)NL9PNLUW55`vPC%8A-M|}nLZdltfthh7$>Pn-I=>^(P!Y2C|M%h zCXS5tDSrE4?jF-@+4kAcg+=n}=FlBJZuhs)lycWR$3gOHZ3=PczY}1v$=}9*3ks`0 zR=)kpeIQ*QPvs%XbU>52{(4xZ&mX|Erw z_YJkrZ{#^I%k(P9b=ds|iKi~+ZGIG1@zoZS9f7CphuieNm1%L7B^Aq*b7!Bqc=L^G z;WtEj+)35?{$@);7c@b1g@wna8@JWDq-4f%(El#bFI?Y@2x#~Lo^EV>_|;QX8+_6C z_TG(sL#O&z$|* z5P|*_DC^4|V>mKCgOVT0@?tE=csXpJUGF`RNN*74pY$R>YlVLxGKNQpam zXP|OV?09h9CF^64iO${U<1oh?Rf{_Dg+cw8jfZj%w=8aFb;W6$iE?^oo~9Zd+Us_> zia4roJKU4Gps9LJ4H_t-&Ioksxv*Wjz|>b~{@d{7zY|=D2QKlC+HZ9lr&kR+%z$c+ zZb;HlLfSaQ693q2ZHvc^TYMggbYq1_=@u1>a{{WIU4;$;6AKPj;8^|7xO`h z_{&@z6~#;r%+Z16UejQ!Wl!Y=+}vrYTh__qLJy#C zrsENfKkmZaa+6ekj4eh|_a8>r zBZZzw){PjGD$qUhU48}m$4&A>QJa)Y)E7zY92u7?j4ol`-5{l*I1Rnqs*?}Mmu)$B z!F{stSJoi*ntv6D>C=0}Me>HfD~xOE6lRyr^q;=yOuMlU@Og7M#Xma6X+acsU`zE;gw4)7IFk-|@qPQRXXDQiAAj(q6 zc{Ki2#4gYnGrYZ+_XZX$S~FGPXYZ*)SZi!HlK%BeZ)hTEZrDrBaoe2?0;Fo%@@DdN z-{HQ^*+#35M03P@Ff)MpF!2Vlv`cdIb!tm|xyE43n%S?-{^>U&pD1MBZR7*4aqaLu z{^?*p`~rRT$7i!&JW|X$Knuj-`BG^w@>F|&HEiE7{cQjC=jZS61Jg-S+83;wSpBQd zo~J5+<5LJ9qbIT!02VIi&!aLl!ukV6s;~PN2&Hz~@R`^{9Hv?waH!8Ol9E!sVMfAF zx(|L&3qaJ`O-*Tjd~qZtQta@2c1h(5uS&PVrq&&dsrElISMGTOs&L>oP5dPn5YY+-G+r&ZsRn?;?^<}Bnv zjOZO4r_Y)ptZ6U#Dl?Lv4TtqU^n76xn%*Lt#0+P%J)*7aPaME58%6RIeUqwS7vPPF z1y+=m?FXXGpWulw zzCBJU68Owsb*+#~M(7Xtke^O`$1l`-rxn(pq{bN^I5Y3=TMFOef=A5`Q~$SfUEZC1 zf2Ti4dH%N87T zmMdL-)&&aP7ykH&tEIQdaTfW+1wVT^g5-_JN@gi}=^k5mbLo(rcz)AD*8evuN{iFh zcX4a-N};wK`<3E*R^m*n97yThT$F8k109^33Sy{7{HxcfoC5V?BvR~k8&S5gCLLyS z*q#V$)o0s&OdzD5$H}J>OX3+((f)Tuy~mgpt1O03%p7k#)7=RMUIb~Y_=UXTjTa=# z%>a2s!7)4?S@iXsSM0>@T=TxtIdgSxerpxUEnI2+^}H{=Vc|E7cjB#Y_4b5BET;40 zrJUJ+i5Z(V_V-xIgKs4BfEo$d)aeV)8s}d(%x9mOkbP&O$xL9iQtG$QHyQ7lNA8Eu zZIAL#emv$IHOp1{>QJ83pW>Q#Ox>kEFuG0IYzqiPT(1@W6$pGZzerzu&%2`nAh;XO z1EK|Yxn-1g`=`!l#h^FpG@>lX~8sJ`w{ z6_TuB>I41Y2wHLn#xz*7Q95VfBN(u=Wh+(XD{CnCRzN zuV`-7jLAbR;bvokpBa=l)G1ek9pa%GsLUTK$8!!MlB7#)vA`e$7sw+2amoei_`rAp)ZiAnG==}#uhMzw{wyQfk^Chr z@3;8Ic&(MbD2ZSSIQ`^JejMnGeQ5@d5z}M%# zN6bSM?k>~}0Ky}lWpszuTP?^$*}eUCT&#_YQ0v)pAugo>nGc3d!@8yh0?-e7mB##NlfwxO=?=${zrf;rDb;PEQSH2lI+1s6V zq`Us*m^x_#^qwt`(4j1%9Jkid8d+O~f$3<5NDS-m@8CN|5iZdj=oQmK3 z9*R4~aM#005cE%Gu*z|9fX5#CNa=?S4806ndZ_`FC!f`s4R6{h9hhz6J+@SDBxK;k z9a!}Ikw}->F3AKN(iQNx9V9-zF&Y1ywFdkK2U$gr^!l%pB4P%_6ORi9{?{-dy-*U5 zS<$;${jJWj;P~WwTGETh2c~L83V<)P#&70>J5CxM4%rChsY&0uxY)gL!fIjmJ;Qdf z=elz*`4d8sseCb{iUV^X!XNwN(CYmIfyF(^&o9~@Q)>M7P?1O4mTO{rVPlIc!$D*4 zL}R0#%eI>us*$}K3PL}Ri^9mE0ZC)vfe>SO#$#nP{|zbZ9rF58>w#bQsA%L=6K=L1 zK)Mp(XWdY)e(C@d`*8fX?1QY{zgoYktBmWM4_FD(v>= zQiuO-cmC;KGS7T3@f`_dO004NPwkrPq4mgYmK3^kD0SiUk*ampTnKChJNvtsyR`Uh zSYa=!Jx~X16nk6-n=zGb{Jl25kJr4EkbIhwM>I3UE*=!E*NQ*O}ELf{cte@ zn>f;6xl8wtPR4)veQMX|R}oJb__Nf+`u8NX#^iuTKu22#WuNaI*m@o#`+)2zmTEHn z27IAf=g)xY!jYDmp}C;#X~uY1i#KFaWqs~Cwqro}`V~g{9E;X36g{!KlWV(S?#^x~ z)h4#GF`CI2`^;hccE8eFrfxgYy4QI4H8;X~@s> z#-O5atUUhT2|}8cSd6js>AX;ewEC9ys&!7|UPhLP$8i=~x4=hvEa(%Z)b#DemBGqn zkIPBYs`qPeCiEMrxS{!vv-4X*9%zhrgii9E`xYpq0t$G54osZc*4g{m+jXDD?(Mq5 zUUCllAMe-#lD} z53$Ehp;_ghAlz1ZXm|D~do%7Zy?Ivc*$^V+m$3BnFTlr;sG_~A*G?7Iv{xDURjB5F z;(4JOhGlW74sM#I049&@PRvA`pr)-OIFLAVjl6#L5m)Gk-7pWPm8*Sp- z_<(qb8=drr2ebQn27(3ack5MXH(>x}>GcNdk_m>FWjrxU@W7v!Zi}3C4iEInC%F1{ zm`OQ=EDl;Z@vKA%PJQ2dE&P;g|D~zjWnzGA2ZGSs`NotNmA$+hlMgC+X3)s#z2yUo z9{Dt}74a}&QuHhg{%o!vX)Y}_dG)IkGobBpM9)2`sV}azM<otZ2g0{cNA4~U{EH7-? z4yQ?`|1UPfdT*n~TzzH8ytX!3K`P*ip&6kMI$B!A-sM4-ngjJpmFqJCXY2W`ibQ;j zbl4>s-H6}7&DxQOwaM~w4|(oJgir43?mPWWO=*}a{0{E`TaY5}%T30U9v3!kWZ^yMQ}y3p-n4l8 z--#BldeOGKf^)r0&45i`T3W5CY_n!D519DeO^QnIa-hjj0^tmGae+zwSD$|?o}=0^ zFW)$M_P-MgH)r&Gj(`TC0=%6kfVV!eyP~w&E-hWSBFTHIL5)Jt9yz$J_hIk-BfTSK zN9brg(QWdDh9O7#Kx3zrcVRx$HvkT*+fCid^9)*#-pueu3^&Uby+{6jQbPlLGrh0# z5NQ^tkr(^!$37=5%H5C-jt@JgXnRK<7yYC_Zz!H6uO7<~Qq}M0dGy47lioKd8@7M( z1%dE2)u^zevs-1x3Hpapdiuj)r8Z02pF(QVUG~YHcG9dp5s%zs@Zs*rBinF5qVs=7xRthkkN8CwN`uk&kv>YeFRnQ`195`vPYv@I(Gv%4XWk( z$eEl@zBBhYm$&zp-T_zHi36b*KWa@DrADGfQAHVNIVc7RuM#hdMsY+?jKt)Ou!P?^ zuIwF~c_HPIO!gQhw>8dQ=1dK5u?cV0vYRXSu?h9QjcvV8({CdnzDj9v$v);BZLQT6 zp=Bnaw_g@0ec*7JH1vSH@TXsmDVv96v8=w>#hudiorSvL*$VrLLZ-WxViz}BDQIe6 zJ_;GiM4epk3YQaz*vt6Pq*-5sfCw~jgn(~D)$>@FW2$9Q+^~5Cv^Uf; z7-O`3mXrc*r1f@9{NZb1s^nNa$w$iKwc$ zM30y0L}rs^Q8kP+8KNE;GRRzT;)u-VPpe-`F}hhK!9Ra8fxG2ITOoJBk*XL~m$-7lr*UkwXNjcX~d`F=|K43a+Ye)%>>fQufip+ zcG8yOpwciG3;e2gm~W&h4Z7@$ut4hBmRJ5jYkqxBw@R7LIj`^SSW&}pZR|%E z8wH|jQ02gZeP;>Y3lKNcc$q#@l{rT_#$AsVN_$>HW%C#lR!L)LH#7$l3wKvKG*U8x zSbgYzyoEIUD?UhM^UXitMMM?)QH3#0Ch;b3d{^8Bcc`+4#8~0Coh`FECz)_G zP=cO+NqcNR@g|OzV8s4NEI(83jB~cS%YJ5yp zlKZEcXlQ8mcpqy>v(CVsT%RFOP<+Q)Jo__GeTOQ(ov-#noT_Nk{&rI6Ex)D=Z`#Nv zvf?st)y_E5)KK>3NHMh<_%4bwGE8zKmOEBX$cCg3wujni^t(jjiX^CzU5q{P$t?GN zDNhW~iAP5EQz8{w2&rgb-Y_ve#dz`Fk^9Ta0Xbwad?VRfpJ`HqTuoT)ceS{RS8MG0 z_-(7;|B-a=@l5vrAMcK4W6L(D*_<}aIW{vy+_pIrBIg`7(}%|zjn?8^c22;vu*n>EsQu>8=5IPm+r!b!r8*8e{U%Uf$ zGc*qXpHPfQzqtG{fm**$ejRTHuJ1>{3DDuQvgObxC*UAvvNvp8psZ5P$zV3o$_{)|ypKpOT$NWsp}c81nJP`|Ui)7DNP$(0_OF9_Y?C+cG;0CcRpfc3lJ zw+7=-+H29x9jM~(Q+Ec>xadQTjk7y|4l@XLzp?^DUS(g6I_-IAEJSa|pNODm{vvP;Z zOg_-5ifBc^>hEtmf5MH-JyCOx(ANV7artVjrv;_6w%87N&D4?Nz>M83Tqyr#!bmfuO@jw{mmM=$e zIo3`Tdx|NtBs%u@8zknYyUgf$gI7Xy&TL}9tbZhtj*)VsPRlh2a%f9aA|&62j+*+YibSGV&=FIXi4!y!@)&D5klHp@K}IS_KdMH@M3bx=U`??*G5!e*5jWUAuPu21Daq0-&)c zv-Je^LMzell6l#x|9`J{0e2q%=8TS;8Q!j_?nQ}`R)H?gWO!VHAR4p%u*?r=#WEfq z;=Ggj0?n0otoEjQ)3VyVE3*7e6~23i z!Dqip=QNM2lSxHG^$Gjc8Ul3#DR!7bZUYr_{MfK!RVf;pSOy9=qef}tgTp@$G-m-c z?z4q=4e4}~FxM>QqwGu7W+twi!67*qQrhrqL0Ywk*pSR76&+Mc?YHF2A88%LB0F=N zUHyMLDx2qnLj`<-%oIm7U~6ezf<2Gc+>;r?BG!l)>oRl_V`v8x>i=3rJr7c%_fj3a z7NnOuiLAh?JIEZK!0q#)@hX4#p2+zQMfZ}rbzT@F6D-v|q#jdV&Z=s#tK-3WETe`@ zEhV896Nobe+QdOUwc&mxO@F74kUI=HM|JD9(|g;T0&;Gh%2`E;hIHAiUsia)8|ry@ zoZd_3u@aOh-x;UNX3piYt6=#tn^-`;5#mJzbXuc!Q!ju&pgi?&9oP{EX~iVC0#YLV% zfx0+zL{NcaKUyCt6TmcR-W^b;he*!D!?eX`KiEJu$&IbvVJhuQ+xFZF`6CBF<$0sg zqux1KmKX1sZNDOmlWNvYjW<_>xim(ll7q1(+Csy=Uhn{y$65nj;>=fBtyzEWyIO)+ zGAT@|a%2x!78)BUm|B&kn{vjm04cHIL_Z4Dp{}u1xp`$f)KAo?%R&S9C@=#E#~b7I zY9mk@SeM+j-z(f6SO0}FkAmrDnUd+EVEe-wjpfiS7;L?5PxlTZR(OEW z5$MUe{+Gxq?rJ}n6%)>*l6|J-4JPIFQVfW;A4xiOJ#k)mY(wAd2jZsYJdjG#ln9Ez zV2}qlTa-$O%Sotz!G7w4L8+UvBY8ISzRLZ7tipv4C>GGgyGEt3FqdDTo1%n8Ibu&q z4Cju#`?OgD5F9ACk9#>>H7(&-wwr*}uO5AL;?6UH!G!Rv&VcU~-1!Xn!EN=&9AfHu zZmNsil1IYAwFx`LabsKa%R1EI3B!DCWn&pkGYYcP~Dh zTG5SqGuT1OU*N{u%BtgPSBHw1&haS^;gS~88__X}Gptj%q>k#LP75R0Ix|2bHU&s$AG}E6!0BcbE?t zc6%*}f3jq`U9f4hx6^Nql$)A;_q~t43C}ZQJ*kiJ)PCKKcdWTTy)k{F(sG zawx*+RXr^)Fzzh&0NKn>l)2~B^!@V8w1xJ7*aidHvTy8J75wNa#b^XPo|jlUIQmBq ze;oskmviG7auL*qQ>Hk;)QFB1`FL61Y*ihA^Gak*N>(VtTW1?g%_N=bJF&ZOEv|Kq zSB-=j3@J#f>~#tOZIPejd16g&XYjuR(5yF7`!utC zPZf<;Xr-9r1&~p*5^qNODRX@2oIa~&X>qJ8>DV3%O%j3OW-$0jWA{;v=n3TFz{Ot_ z`05M1WBsrn*^L#fljR=OqYhYpn%+fuuOU7xyc*F2qQxU(P5*o z3N|Wf6xdNg=|+8Q0PQT;Dd{VOaBN`|#~c;J8%$Dz21~QYN{v$OXItrb`3ZI4R>PrTBjbh7U#Um8)+MxEV_r zqu>ce)oSfkh&|HWjb;c(+@19^B!2|qw9_NCdk%ADsI=d?kWCK}ONkUoES08RC z%Qc=tM?q15F)R^?jxdc!i&?%)DILb+uzaxV)IhLa2?=RtOa{8r!ZZpTjo)ByF~rL$ zhAXqNG0Oys-U!RTP7G@yRI4IgWxUIJ#U)X&YOvzfKeZq-gC3I_0@h&X?WFfT^>%CN z^`?;loxR%xBf(JV9(v|IaNWX8EG$zsPBt}GO;y9ATtkh!{)$o(px>j`JXTIt@xdKXpL*2n z+ZMOszxVJ}s!+Q}as<U@U=EB$}@&v6@GXz)@JVx zu+2n#TWN`3mEHh1XI-6BE_Kl-m$k#&>BCF*rcD6^<~vr*_dC zA)Xp2iK#EIc2;aaN+Wd|1!8OkPqF?%YxaU0Wmr0%eM9_K7O?aNc8z%wFcRrFo>ze` z5GZQK{4;4ee{l!FO585HT(AvH2Hs%Z^rld?3zANYYMB~Xxg$j^oHDr^0bWU<;QOlq z38aVv>zEPX#559llc-I}o81v(H(Yk7ee<&F=<$ubL~rZ&@=u56=w`dz z7{1l)-fa*KI1Ap8C4=31l#Qoy&%}xgkkrb)A8O=r7{jG8*3eO&Rmmbvc^28VRp|wYlEMj?glkRm!BvvNU{&)Kg&0j1jvQair1x=f z7x#EQ=C;fWJM`E_xy;yhK5_p!6UyXvaBeaCHgdB9N;4#Y%CS8;;&8-k8@pnv|z9kktV zFyVhmF&1A_%nI-u)Y4jIiYPcx3$eO>9LcuT-L1(jGdAq9*As?gOPSW-l5eiAmfH#+ zGfoNB5id-$3aoWXT2@5;ua<7i9^6WbA0NDwoF34b+sz)HXzzNgvYbPZ^)N2q6x2Z- z^6XbA6_+ngb!l#dPNzbaJj%HiVN?(2lYiP5X_9AWt`>R&I$ElVqB|1S^k&{3uu*Ju zjTYKkT-3YpdF+GSo5r%H4XYlaReKlbJ0VtPF{HlVd2x6|VAg!4Fk#Kn*xmd?-&7ac zU+4y+xmsNv6MxfRN_jH8gXQ^e*XJ$-X=lN!EYN56CHJ<3NLIlC6#nKuFKldYmamn- zRJUYF*2}b0yJ@njw-TQl8Hi#Ql5WWrbu?6pJSrP$vYwuBb-6g%w!zMAlikwkkF;63 zB5Zx@5&&dz7wn+d8*QF%o)}2%6Z@~(|Ew6WQ%kq0gV=kaHc=J%{KnGVz`NaM=T*-^ zTdB7phXr-2qnQTvJ9RKig6oMDnh6>}=``6rb2S5Oj1k4yB}kKssWTo^brAxGUJ20^ zJuN2BII4~FvZs(j35PPs4sh(09D|m~m>vYUF7v_Z`TJ~$5Bjy_M-G&&v$s%L{xbDw z6ZE;peBYCKZ|(Eiv>sY^f#anPfLAAuu=LCAWM{@c4sOQvBey84=gM!A-}`K}mYUli zb5jq5H$7jP;aagf%|P~6Q==8u^$mURZup!8zIPGVPo=R&j%R|V4Z#Q%D|7QgU{!~$ zD=jPR0rN6(wSGghVMxcIrhLZQBF!B|wulLLNj>jhGn^TxEqcNPi*t_F4c&glYjRAf z-9%m!{tx*$DdOM-&B&_zJa(MB_ucw+mvDppZld0;Ay|qn`)Lm_dMTQ<;~3x8SeUrj z2dzbnbfkOD$XD0)K$M1urXHA7j#(MGBm{yGpl-spvzDc*e_#c%&g6@<({lHf5=Bvx zI7dcGN46BC>l^Vwj7jOqyiW#s$wfFMarSbpV5v5c=C6auSu55Izecj`P__+Vm(CKelS>) z;D(sk4o=ZCSM01X(Db9F4)>sg?ATgmB$02xhl2E#g!$!!GzRB~=m3ukkg$PW*i*uWW$HYZjP>&{ys~scDr#lzb16QtZ z2InE5S5QrV-E|C4DpK-Bs{LvEB@zNNsTOwML|fT6r|ds(g-B_wwbS$(#-D>eXwK>& z+@J+T#3!)MD~CQN9iBg_2AT> ze5H=hgWd;$O;W~##&d2yg$1@neZ$RTqch1XKG#P}iUe*%9-B?Aa#>xAjxsQG7iYKM zm$u_|F@&S_S0^iTc3s;n>54yH?0|7NFmhh>hx4%CPPLMQB$|(QY|)~g&YWl2b}VG+ zR?a)4&{sv*vQ-Rz?UpgvY!g=;x0*BA_Z&k`qjnL~P3!^ThI5|%P_zeug(2&eSpl6P zMIw(>J8PfD?0~TL+RmZM(yLz6;`eS(vc=p2OASK>pvUFLygl{^8&a6^u!8&>d9u27 zrfR}Mc6~L3TPb%=rOpx^I?nunpsMUssB~1UtT}GYie$|T2{=PLlz}VI##2f@osVv< zl)mCIlE6v+H$d^gFwIAlqH1W$8;|Vl{T?kN(%=Trmbbxm{=MB{IIuPJ2$ytrf}W$_ zoGgv6em$Pa2u3VUV@$gCPs*!W9gT883m00Wl|~s{9X53ylpYnb^=h8vfn}t+DT)4I zZChp!PS)?Y=eh3gwAlk9`43u!>Bl9zjLH+3h>Ds0^9dzewhnq71ZUbF|34=R@9s@m zY6g{1f1k(Y$p;?d%$%kFjyixyFfLnSIVEA5YOOs{qor22H4LtIq>f#ql?VNOXz9A= zo9x%&PJ2)r;YTI=e{!8*OsvO>6UPr|?_3L^nx2!y|FFrj+-96%huEpaboKmH?s;6NL_ZU6pz*0E%2H`? zm}5+QG3XPuJ4sB1@kJJ_f84eqwGdv56V7_~FZ_Nt`SBt(r7=``DiX`cs}7t-S-2U> zTkd2V<@W0APAv3)f5Hi&8t_oo09*CJ5jY2jNiY4stDXWpG%){Yo(sIj7>n(gK}-*T zlgg2r{x=8UpSU3gA@i03_s+S)0(`i&mN{-;%Q~x9MWKMr?ZbZ@Mfe@u~&4Ze8qtd+fhm@Qf@(Ev#<%2uV6EyH0R(<4{REX2$&m zXHJzRXUEoiS>y}dVbv6~{V}MM73r?PiLnpz%y!~#DiZh2t+@@drMuc zohI>8Kcu#K!jW=F5Fy~wb97SX!{{cGe;?2X15-?CFW{9|MfbX%(zddXZ7 zdiZR1XIJ%7g+7S92h8|{YqY}rx65cg>7_J%h(ZjR8Tm1DK@abOmhP(1GqIAXQ zGBUSqGW`Z4Bq>`PSJBNd`3)5h^q=y@qw_mSSBtletGwoH`I>mzLh0b0ZIuhWHo=cF zAL))uR5(oqZ5mwxXRIXXT0yw2E} z%<^Mhd9w-KgR$B+%N3b=XYU=+cS{ZKGo|UH<75Z z92=DxH9hmmfnz#!?+!Ti6d1=Mk(Q;5G86R^Xc3R@e+meXUK#UoM7S;;@!9kbInl!ibDx$3CSA#}_QfpixUrhl zd~Odd1-JG*=B}>c;~mWI<|OqM?^v4Vp4^RFf1huuvY>6bqs104vQm#IRKI#_{p3*m zgLR#F$+?g%kEk2xq~nAq@z=f=rtr^EGjD9|O!cn0vC99tSt4)p!$9Z&d2nchyrD}C z`8g~?UQi}xf>nuDQBXRUYAH=dxcp#cRvK!;!p)dI3 z-rm>dOdj?JPISAY@{f^>e3KUadp}i?rs&bdCF9<~#^}Ct>gbf8f+A9p!ZnkAeVD+( zuSLHpiO)C)&d|pWD3#K;v_RjN6L@PV`bkD5sjs-*ti$IvNISn+|1_?IX2W$uZ+*U=GHGV4}xLUwWOa`g*% z5}iEYH>5HU7tR`Y;F0EJpwjkbntrIhV2}9T-Wbubcv9wONZwTPhEGTS7Av|d!S`ik^*7#%?T;t=fPMVy+=_k=x+yTlx)ppIT5&LQyLa?F z3hWa<7fOwc$-RzbNR{{s0?k<9`UX>$1EEbJyjCinFP$_XzzaD?EQ7)H%GUN zyKVI(MxD~J57yz5p4v~Smd0ZPHg`Swj6Val3JFR3LO()+cxNg~+ct1V8ig+LJAkP& z-kRLhS`ApcPGj-C4)vE%G|gMPZvhVHYL(cRY~8IcwGzCqOwqDkcaKm5t?fI0>x6>sSOQ#>m zv%?FCMrTg?sHRE!?|C_SF8GzgMyLae6t4D+*sg2%rmy~aOvlO_6`Qe-LYrSyb97>` zCK*|eugyRoi=G>|5?IEQ%=WNblW4m#(fF-q3^sb2JlYCLMk3l{`9?6c} z*%!id$>YY+%K&hVO}sDE{Xa z>4r;rZSsc*F&-or9t`o1j+!$1d@-e9zFsjJ)IfIi)>lBO-+r*>>>U<88h0T))JoqD)RgJKNZ`lk#kX zuh!z%iAjG%T-%&sjCd#RO zBKKlnWWXlXg5M^cI!SK~zvKGWFwjgT3_1yMjfiVC4~)|po*6U?O}9`vmK~vWr-&kLi8!V-1d{g8 zPTP#|RQtZoIocWQB;xt_zk%daRgzO(*twzFt?>y#Fy7E2$qeeKT|WA+eH^^G{n^WF zE40M>K(ol0e>9CesgM8FHfXnN=`lQRmExALVU=z*hh+7!qK!F82n5h~x?w~yzQoZsieBPHrP_K@jOdfMrzyw@m8HV^vd^N`)0J)}npgjf3A=>gDY}fa0&0;T8;EEM?9_BNp5$MT9hW7Uy7DXUU@Ek9z67ME#O0A zEWF7gKHW<|*D!0YTWy;ug+cWndw#%`badGb zgS;-o`=@F~3SPUi%1x6e(<6-EA6gxNiGqP8WO@Wwo%w;7zwHioFXi3OmLMayR!;I; zrdB85p1I?;!M9{(N2Qp?x!%3*ji+xFv|3bWDyI4z%I_+-Ho0>R3syER7-XG_4^|{V zM>}Jcv>{Trv*6;pK0Zj}%4LfJg%?+4K4X_#BMvFXs`UI>-a?ePOepPkd zW0{lWJAK40^sv$F$MnBV)e5r)m9h#IVq=I*&>C^{Y}*meOfVpJf9eJD(xij7x_s)P z9jTGr`YHThgtbjeWZ@4)k9xU;HS0GA`D~o-F7a)T8Q2+;nWat^qm^ESO_ibRChthDG~rDn>mA2W(4V&m+PSyr5! z=$aj<-E-Ow#!`oMOg8nxopq4$>Ky7RaHteuv9^xx(H;7rKTAiyoKx}~y+D);5~Y|1 z)80u?iM(_t`DPGOZy@$~S))--)Q9Z-B`PR$ov_vCjV5YcK@6P7-@~cZM^Y_IT8%so z+UDK03uqci-22=&7J@Sv=xMRF3#OEXk>yQV1QwaMK-s%?$X_FvF%4YGH1c8_Yy)?H zDg?7iX-#hUa%%LrLGEiLu3}_8;n$Af87sp#9bWhsYq_J6@s&uBLCML5+(ryxyHsWM zrb=mQ+-Oxp%oIt592APE>**qpke&hi%`|=TW%iMXPR&^(>D|p}yUfd)x#V>HpQHCn z1q}ceXG9YXAmI8!8>!-e^DmqdK7wrU-_4SkZ*K6P!frBuYMrr+uO$7*ny6hk=oTP2 zX=oV#)ZHvUZYSqqYD^e%be& z$=l2#s<@VR*~^c;nk&#>XEe|Fl>TzWs-@aJBus76XtFbln$ZBddwqR3|C!REZ%ckx z>Sy?woThfh&!;fiQhbq%^_yNTBr?--_v#$<0W!#QIvY>dX{~I)oi2&eLV6eBI+rKB z`-ko^Uq8h^-8(38tlqOgFBPGm-c)~4sv_$RM}C1N;W0h52~n8W>vhZeGSh$P5Y~El zzlMsr^f=#n@5LeKCFda?$KP(Ycdz>0w(Ow4Km2PYIA3s@abstV^_r6oOSC?!(E52a zOJZ!*bP48=#vEEXv}QW8;T%@^u~cL_e5!NWpK)|g)dl4}&rg(UX>n73e-OD3@b9XC zA+C>23%5%(f9R`J^02?%$IK$<*^6cImS$Lz+d11O6o;A@B+)0x;M2OH!~5GgqYux- z-##jc8)Q8=kH}l@4O?eVeeb(oJErxryntPG_2SaZ&IEwp_<8n?Y!jW7C_C`4t`1_S z1^%@==4d7gRkG>nF1o0S-mAw2ku~>}l4vkDm!cFJpmiK?FYPyxJmKH|`wn|6gl)K~ zYP1xF-b?8*(*XtCT_mB~pd_tgL6-cRBlo^ac6XTAZIOhTcDc#do@;BU+fLp0a)VYP zlO~|2=Dp5@hPF`0;$NrYVoq`TTHCdz>)9P@M=^u8;RTkP#gSjV?Y-pMlu`%e(7 zMEnPje#cHfQCdnmcR!mC%4avoF2*fOXo&cI$V9hB9&&#YuSD#Eg>E8)69FCsc z{C-#AK*iub%C!}Oi4TlQU-o`2E=qT*?~z`tzib_D%7t~5{e7?u8ZYhfk$5;tY` zAvs{f;2~(!1uf4BKB(?nl$2D^wd|(!XpLE&9dwO7_?O zm5#WRO`QQ052ARD=@QXCu4_Ge@8}$IV3iVg^EnDB%dA#eS3mjfh|0}M1x@`7D%=8b z{|cn!xU#%8*#@$FadxA)N((8gRWs%FNAYX|R|QECCLYtx7K8vVk7(w#rh}L^Il{A& zqImpZ6x-wGN>tE~)xg%r#7J~7Qt_zV44^n>ERJd;OgCq;O-;8M+p{6_PXu8EJ*WN| zTREJK@k{H2KbFVIdSs=>-&Q|f6kMF3Nk=u*4-RTNp-9DBd|Hqi!w44Ii>)BiS?0!My5NJez&Cy8gQ7PhKExTA*P* zz5DJ((#cwwQ?81-W|-MS%fifn$MC21r`5Wf-Gn5_&LGUTD^IP({Id|S_<`x`8P^v= zR+%ktf8%zvUKW6#I}jJwxe>L8z7n1L->!j_N#A_N$edt%(@LVWT{O<)eac_n2tc1& zNK*c#PNf;&iBGgf$=w;A#?xpz0Ouwf%f83Oh%pi%O73K+0q)lGo1|pT6_0nE-#gZb z^BscL4%u+=B}CF+d#ba?7iq~oPb+5Y9h{d*HVQ>2XOy&RJVNbc>x}WLd2O3D>)BUn zw{DIesJ^vCv@K#@8nT<`H&#`y9mr9rF?#}OJ=Yjo;u>onSv4W-0a+hVi%nzR+&zQ% z%je_AP5*^UO8Uswp>vRC*yBrlgMfaVmOW}h1*_@df(=;80JNuY6Y;hUZW)vidv~^G zo>+hwdm;O?_G4r22siuo2c*@P0*oO+U7t+D*9&izw1$7Cgcp3Wm^QE0H!1{f$9U8Y zS_CkUsKlq*US*plw9-tzPyLv*+G^ZXZOF2$vCaM}Vw_GeA(i;X+$%9P-m(yV^6dC( zy5^H}=yl*lVDu-y)#m?p6F9w?HsH?r%)fH$dJKw&bn^T>M=s!Wq8K8aemL zT|OGK;E}H^Jcs;&{E1tho|~B1m^rFnW6gwSne`nue~5hcF)Ob`1Iw+7f0gZ!&Fgnr zV-(kkvnO?YB%9+W2EZi+w4oGh%%4iPzvY}uUtuCx+M4^#GvT3QowoDS3Dwj|dKb|V zeGU@62Y#f=zFt4^Q{h*~icM)9}8+`jLtgIyhL^Neas@M19gnbcsa z-+?6ZUO9TUYF7G;k*qSV)=?b(=9ey}fBC+;R*^YK%Dp{ihJT)=dFcX{7~Lj)Q>Nl- zS(ryzvMnpCe;&N9Da>LYd@AqE80U!^gy?Gt7q|+J>h%Fj9#>)=6{T^uZ0oNX=VXod z)=@lO!le%TX7YrwnNo~&!q?++8rPir3)DQy@Vu6Ap(nhtcyG(9K?oKGx zw_C+sPfhD`L)ab%#WwD+j*Q}$?WqXahup>NkE&h-6YTL=;=YBun?I)l@f> z%bO036A$b;%)AACZKWaj!dKKcSw7qQ3$CWF(B!mxJ7h#6B==?0N1WFR|FBl-g<+VP0xDbKfe+VlHjQhr8#~AO5>{#wpf^p{0K6Z5Q(!!{)UsiL#K^vWw$526C7X^{^j1L+%erI6 z>yHhbtY4n}fzn!x6kM;6WEZ+G+jeXk^`HXII5HCdQGN#(j{x$P-2wWMS~5IJWR1tB z1R+l*@ilya4HW=RSJ^=?-z5qms{b`#k@!d><`u2?aKuLFgY*L6#C54xHDBuX7;q!+ zXrS%RNYL|Pnj3f=)taSaWqml?+m6N8bN zBID*>v9KWUtIwN|PQ&9ZZSgVQ27?KW38nG$8a5`At+F(yxMKvag&X9~7m3WZt~GKz z>FAq^`4|A2tD)}+gwH?Yypki4rrw8H9^Er4?=*2EPk}^V7K6jL1F$?$LqegQVuMU} zc$BrN9t$(S8-5*YZ~v8vwL;XU?dz&W9rG##gN&Gtp$^i(-M<(wYd5J&$s~_+f)2Zj z+{`Bqnssi!R94%Hlm~n2QN0RNE0)TyRodJ4yxB5|9M$s~1>7adHw`rr% zoW|~p7~&fzo^#wS|MN$OOw<)zXG5R3!DnJh5^sb^K;q$+AtKPzVP3KIL$yFPVyCPf zgQpyBU+3j7B^?Z5+tcz=E%b%=N;B-vubrOy1~})sFW|jGJ$OzOjY2;P3@#6#JI6!q z_ChN42afPxE&SxWnYs!uE^n&D%f*``YN#pa^aN9}3xhi!yQs{Vu`D6Jv-4p+{?}}` zY#yu$vAgJmXBJS?!_Z^jvTwdN1M9HnzkpHNsqfAzDR%E$JRxlQei^Lz%EHJ@K0k6J z*?DRRdEsjN?cU+y+~?HO2R`dgeNiL-nH!T)r9wxI!Fj$~$Zo{TC&FuDud7$$y&O+2 zmzECc6^o~T0hE5xf2BRW`WaF7zFo8UzFM3M^dFep@FtiNxP`#KX%fGpK~L;gy}A3# z-iX(@qN$;B3qg^^W*r0{v`jj6yLc6BCR`BT`e(K8iA&$i&p7h2bLZ{Ist;F=Vs?b6 ze1)3u%TmKfcVl}4^y|~JQY}a!q6&b75Xph-NUJ|iZM&EC4n0t^e1k(|p}eQWhIW3` zL*ju9rXtyJvrUWRqvSV$S>kVYx$o4;xJ`HGnr*W=hTfdbwtuel9_z9oZVPlNy6*xj zU-zy-wId7y(xJcuTJ5T#X&!^^*;lJG?ajH7`7RvqAZofK!>kQ$?WK1$k<$rhVci$F zO}74nUC|nS;)>d1lAza@CaMsN{m{#Q?&Ur#6iVuLw!yjxZ=G15!Yab~L|F;%`h#Ks))=?h~YgUD) z9g3UdoYyGSW4*a(IH;9)z`P;qy4nW*6abI9>EbhE)_*zwQ9ml_S)TNz(uO%@%6Glr zWZt~Ye*ve7%lz^Pp9L`SeLgUPAHnGDx=48jldT*eDP`aeL0FWnyLZu zLS#Oipi9I0&mSZWZnSC6jalye2DruDjp_JC-`3LX7uOev)sfM9_xP2m zUQqVh(PBZA*tL1#%Z6P?T`47#&W?pVxjynCd(u`>R<43xS*1sD<7Sq@&0p_Zca<*2D>GOgW{@a#Z2FKq)tz_ z2a$^9ytx-2gwKpZ1x2GjMc#Wo7xld^M<)wUmkeEozp3dSMLo~`pHUX!;R9sm4pugv zxtiN`=p^gM-SY-BdBNlZ@&hIGhJHc|6^ESKuvvENMh!!`rl~6*`rkp158Bu%cB%W# zSs-o`peX6DNdGJV+DR%yokv#=LdPYvo+ox z*H+6n&^v*yrjhKkdJ?tc0`RS5;ePS!xU+>bJE%hxweh`=Cg#?GYDHix(fwZ7wZ)4H z`Z2THh+mf3?N7!tcTyin-$v1nUy!xR0_OIse0@dsnXd&7Cp!L@YTD{k`B9eJe1&uY z?KDRGy=4RfA^!euwHj!U+Xx#4^k3+*)ViQNSdEBc$w)|)iV8$a2uaUC6X_ZT4UgUq z$Bu>FU7=~h3__1&@^i9V)+SKn@XXgqsdUEJ=`{1Dsn<_GDhl;k@YFm+ifF}~(*FQP zUm|V*Z68#eZ;Y8IGTqqVgmFS}W%mA$`LBa&YefnYUsG3K4e?Ht`Nx2HjQ08@m0x?D)Vfj?n_F{ap*;iC+f z0vlzd*2`}8X(5jsbQ_5{?dw044A13?jH}{aZ5!HnyUHYi4AQaLRsN#)uW;Mq^5;fl zIfs3D?gInca9Pjc=Ub=2*X^oFwe!lVojX0bpTnAQKQL@9$Ecl)X{|7EsT*7#vj&}^qPRCZ2kixPzyXnO_qT(61*uFbA!t$oR_?`6uomtH(arJ!>>Z76F z9haCMidX42JofaN6tOl|$2&b#W}NYg;%Fn#ZFh8{oYH&;_x!ax0PZe6Ve{7*6A-P- z7UQ&#g&RhFQ}K(_#QY>C(?yL49Q))|LWTN*0c&|C@>v=6Mdfw}V?&y*%Fp}VHN1-jz0oDF4d4f*Av zeS>A=@Bkhk+@=k=4166AOn%>UsX_gp#rWb@ma&l_j{bt1jf}{sM%F*PZRvUxth)5b z;?mObPuh7ZC&pOq`xvZOJAlK3&pk#s0;*2y|CG`uX1nol>C&mu%BwwoBQZ~N#}Oy3 zcW)T>RyGp#O3f1X#@|-2y#{LTIAgiA4NfjSg1>VCltPM$vIY0YmnP)A24VB=nn_Q; zIG3T@s7^Vq&f=sO?j6eYr1CzaO!V$$AlLDCg=Z%7XB5ku^3b0EQATE!yALOIoBUIf zm%ZsqGRZtP1l35O7rA=I|Db}2Zt{ecZ%E(L*){f|`hdnrUU(-4SG2G4Uv0!awAuMf4Q1Zypx#2U+=WS} zGh3^zrebDMyl=3> zkR2*eW%A1O{jvHh$JId}6e}{c_Xa31#|#;a2ue*Karz(j{)P;szTV6wWrgJg%9n~^ zx1W%7pGSlhZX*hn_gDYY3B)QSrm`c>Ct!ul%gJbMKm1AB8UIB$6cTZu0IHVPoY@dGa~JWkWP1qyjg`02>#-HGyUQF@f=1PN0$^!#0itEBkJU*6Ri6i zYW^|Oj(ITAu*xtIYdgR9n3s%W*|^8#BJd$O;q%3*m-i{nF24WA&{>8xx%UD190?hW z?ol#Eca4yc0i!`eN^%YQkW^=*H1VIl81{^Z+GAN9j~lL_{!nUq0`;cJ0fv ze>}hYzR!I~s}?ixG>uPVodnMnVr12U#30x6AN?=+qE&8T^vN?7>@b`C#i_Tg7=7jk0A3qWs!Ca#$0{vSx=c?*!mQN(U@m^re?m|Wo%k*l z-abvpGTfV#!YWYE{dUR4r7%$*=;)d$<_n%tO*Q*%CiNc|Ty2P3lAW30G)9*tKrCF} zcP^Sw#=vaWFPQcmMMPObd09fLSsPXJyhTh#=!mP{8a0>2)Aw&pkMoOzWB9M#>8x-?<$c(P^6$+t>C#-GUH$tSsrsK`m5W%Op^c;-GKL^oejY}|g|FoCk_Y z9$<%=KNb+)cAnQS6`dfK3QnDbNI@rGn(+u`Qr1-W81LH`FYsz}8QpfDsbTtj-EdH_ zH!0{??cl3wijw&(qU1ZD-f{++JsFqrj}C$C+zUyqWl535BeQil(>~LX?ed?2f-<+o z$I((rz;RZK3vGsW_^zn*hr&N}d+Dk@hzM@FV6Yb|$s8{H@ek2_Vf!IwlC(??xQf_M zmU%BI!_F`p8F48LyC6)y)$^@=A7a~;W7pYRG@7k;J&?O)wuOBFPr7AwEwt0iu^h{@ z9Shqh8%&L|5Z`Ac8ofJLlsCFz|A>HVp+&Czj!KJ`5e#T-hUfqgC?@ydY8L5CgItgR+3W3h><^rOO?`mV z-<(&=7*W9{puORE@w#9tq(x?~J#b?3Yog|1g`(bjArV{Cu;aq~M`mZL^)S!0z&!Gt zO)+y~+OO(>lb((xm~}+b$Glb0=vx9W)VA*gzJ+Ujmgi+@GtMWn$8603%i8#U2$1 zq4pY{Zw1YRGBWUCvOF(17XilTrgLTUKo!j)LALnYnXZr2$#+W;S@jvdMoLN}jJ{Ji z;MJ+j<*jQ}5Q^&1T3b?4R=KU2YJByCQ|byBWQoz11Dmr`Hy>wv?P_@ zD|0bgT}CPoH}MPkCwq}?JcKI!$5>pXuww}~pbFz9F}@-pi2QvRK(L%nYDd4AjlhJAD09E&pfc5w4p1${q7%q1J- zeS<4=NrTSsg+`o+-TuYZ1@GU2-V+tnFWvv7Yb-O_YUViy+1g^EZ)v1VnMm2crTp;9 zA`VBMZdFvszcd!kZc2u)iiEw?^-`tv9TjDwIPEiV_b=M;ef2usH;>LT^%?w9$5QmO|NOC6L;Z8ol+`K;0$wCaNYy`;pmf@oakM_?P74q zz=H`Y#SKd43Ui@q>Sth@9?Kczj~Go#{>eGq6HmUIoIxTzFh3HQjuiE|%5DwkxZg0k zRecHlbkYS?3Hl3kZV8=&kc(>~GtN{>bL35$$(a-6YH0V>FqP$`9a&h138~ZoJcsCM zJ1=Qxp2(jIOMfR;(Izw-ZOF`2-w6BPKO{f16RN^C-2I2-OH4J?FMJ8L%a@gN8i7VRZZxg3{)$Zk8(&XVb zI-6%M`j;@4Ey=CX@)$>fvgtl`S{{S)t1P3>g1N4ezQF#nLF`E-x4vbUyY~tUO$&v(~sbfK$wHYt#MBF`#V9wKp8n0sekr;1$v`+nE6|5Ec3I-JpPufWxmxyK)_s1)b(avS z9+t-;nvtxTW=*(C#4I%g)EFXD>Ly8jedfSxWl#C0Ot2gpM+zm@CIk2oNCB=%sDDM4 zb*m_DrObRO^+2of)uJY^g_-D=90Am1wumIIHe-Bqhe^i}dKf=-QwpG29=wsvxPJ+9 zZ%7qQc4C8O?ogy6IpWJ%+VI<|6wCuXobHWp<54I2~Q+DLCc>w??IqKv3 zd=S;WZnvsEEH+E@ULiTCmrkI?FZmA)9ecHlfQe(JCWUYHjlbjfl`l&K>4J}wcFx?G zy-qB`C3wO&Mt99t9CHfQW*No~v=hMk+FGqHOc7@DJbXfd$P6p{j9q?uTPoSC^_Vv= z0P@Pe6tkz6(2!U1FHiG$#GHR7U?#fj-_A!nF+QK<| z1l2S%Lk10ai3ULBre{j0@AN4??j;=U$T{=a4j=IvVTn(dRSjnU%@elSx8nH2m>zR{ z=Z)(eZ4GbQgYd(o7E6v9!|F_c0j&I_cY@l+UM%P~)te{uAg15E^Y>D2d{|#(>NGS-;o8wU^iy>Qmai>ZQsHw%f z!@S0FKIAUMaUB;*V?;lUW)y60G)*&kQ?r%`JKE9AsPUaI;nZgX^NDL@llfYUq zmN8xFYp&r|txj@cb;{EfAtu8TrltQjEs%++CR>^0SEsfT%+2FmWiHucW35))1&d=^ zmE*Ar2Jj>JIQNr_Q-$lP^;kM97_F`j_*=qEB44`gwzM`=ns{{{n=s+&i1v_Zs{^pc zuvt3`Cq7Ga+zGU8m*i_Wka$n$ypl^72o6k5-HSrbq>Kfev?FSj5AtL1a+Ls+xiZc= zF`C!h4^n0gfsK%12IK*l9At$Y*zdlYsYCx|v+@dti&~7~MWBFVPU-HrCwyMuXP+T~ z&zvrln&L3&PCe%-rd$hmHx>@vMPfZ#N{OK`R2<06dy!RpVjHA}Cgy@HDd>Y| zf!|=DI!>Q`aP#Bzloc>171kD)A$>2nQL|G?KeCzvS%NR|_38Vz2AoT&XGoNH0=Z_uyUaqY1#o1 z!}1G7gqO$F9v&cG)!dM(GDw~7|B?==Y3KeH?T>+~JBGo^FgNv`ys0`>77AA$F#Uis zOw2OmMw#;F4MinCEZrFGUjW!OZx!#byRN7 z8wTlc{>`vCBCH4$Ah(J=FKhhQgbi(#N*!}LKJ_$8k3|_O*yFF))UW3vnE-vilNF)F zVTA!9u|+~}H6y;AeF~gDw&+iWa~Tvy@{l>2qJ_=5`5c&UDD_>hFiQ?e^-$=C0Ogg@ z!D!ZsyI)cZax7swpQl+grMx^qQAvw-OIl!`b{gaK6*9f*pJHW-`D+i;a!>1m;o67zq=SIQM4VCyH6m>p!ME zu(3q1O(~>oZZJVT!)KnBYK{C5toWOS@)^SFfb`mZDak{k8>mNx8>8pr3xWvA#BPHk z_&?Ql9kF^dw8ky(JMVscJ~cTv;-i+Vde^xRn6%cL|3J(qrDgVMfl@{s&PAEkwCcD} zg$}OyL|dNmo(s#7c3p?Q`A*WKVjXi{2=3G%Hji{^@>xV0-O(;02^~q1cDmh`kr0D# z^;wTf-6YBTX6ncB?Xs?n)vI4ZGvwJ{fdw;%g%P7aaHX|zJQ)+ncDfgt(YgTP zRA!Nz(f6GjW4F4?c?50De=9!nOh#`OINy^u82HW_9~kdqut5p^$l;^5wEgK9OV=>X z-bLLt&HK?=G=_Y;5M$3%RP8fkF0*b{TWr2gbe`z+~Gvx7F7etLE zPXruSbpDp~>!jRWM&vhJ9`^+4>N{Z$+i5hu+3>W6>(*4Kh{||avbxRPTR~_ONXxbB zSuFQ5Qbi7U&TaJMxTp&2FZzvFFRdVj^#@@=*mu-_RZqpBTMW6t2({b9rj{bk$A*C> z1(aZ1cJ&*6z2~kt33rkP6HO6mA=}<8xbW^)6cgn^cXBQ-uSn>aKbxrFbD7s?%#I}# zq*fILA!uuANo}3GqTsCgiMs)?eNFlBXrdhDuyB?bfSY_e@-CG z%`ldE<+1#H2jYcCjZa3(j61~0fy!k~$2#D4{7vJ>8_6`e?Me3^rpK^7(rFoLVep^& zf?}CcIJnL8M+o!Sq)fCmD~jdztxdm1{loQQ#WsVGxoR8^CQA;yRJ^n%n;zowCQdFd z-pMC@)GqRn<`S(Y?1ieFb3T`(ReAm^14b@U$SkCxjnpv=Mhj5!Og6KnJrE~Ql1=JW z>6HoUj^iIu5V4#)bNoFaJ$CMzytN$T$<|WZRkk9zNOko0P7)Q3;ndk}c1WK0eNI22 zpfdfSFCgpbSd$qcvt%L1;~9cUZDh64p#^buLgBvr-R71t5zjk+>Tk1H{k`FEK@Yxl zU52@49^V^>qlIs~i?E-iJUIW9XhiO~aLNAO=~oX94M4d4k@U;|KCj#}b84R5Ufodt zI(;0rX5x;&pLY(;P85j7;NxlH{#ECXZmS( zp@3joGN^c_3vgYIfZ)2W|!qibeuh0+! z@{D$IkI9!;q89gCShA%+KQ~!Yql#sKWwHx7w4PM39V5)C8#4NdTvQsajFpK!6D!gs zTQ+n3R!jZ8NlAN0hqgwU@cSue0W%J|RHLCP4St{b6t8C_8S9L0a@dl6q&T0E!p!!; z&$_N|*14w=^3nd=%_M@fHCLI!y#0$oWrs}Po;TwmP9~x!Rwn@ujZQS@ly7!$LV1Kw zlw~;Y2Y$?oOGx@gtM|hK5Y@6}wQs>bD@f`ssp|m!{v{#H+|DXP`)v9V^fB5;sUlM! zS~|_}UW^fAKQkm^y=N= z(ybGsc^V}RhmscM1}pn&ir@~|sXD3RzD|1EzEW5*#7o5GHi(qA^1+AP0RQdiDZeKh zAdJsGd^0pbUm9@Bm>4;XrqILqX5kw!2f84B17*d%jQ`wtAx?IU1Z+qCFfT7gt0C9n zghRBv;MY&1$zvj14V|ti*@u*-WTbaA8fY`vp}xiXAU(pjRX?y6QS$Ka|Ggdc^RpXQ zsQ5$O&vHDX!?fxx1|P!?760L-7FNg7#$h)rv1dDc*J?ALk)-2kfawCyhxIE<)l9xvAZswL+XXf2Y;BLQlsHWg`9z~CF+DrBTf#9IOLx}? zY0{Rj^{^87a9dW1a{6ja&RMZvUM+wOA0G-d8yOaQy_RfUx;Rx@!Z{dSc3n$~ zqBAwUyYTe{nxWpJlJOX1^h&{QdcXZ}5M>BRnp^!#_g~?J*qq;Q!F5?*@4CdqajV8q z*Q$1Wpj3HRzs$g$*`lwDM#&U5W-9JD34~X=6llPtlTovY43=*TbBI! z$G-FF>5o~w8N`cqhP2DIiisDb?a=|=@Ciwnk%0*eSVdQKth zu=E$CxB}xlUL(5(B6KM#NSzG( zk|vhhZ$1F8KbKnb)kP{Fc+c67@lSpBW6=EHKQPJP9=2MZCn9*oT(PP8&HahiVXr|NTq6}PHcS=1wVbtUUKCFL?BBsP`kag^ya#BI%Otn@f$@|QM zy=HDrZCrp&D6xx2%oJ9Yf^Y(pEUhTSz+Dp397L#PjN06F)qlt}(k&Uw9#G#WoHP!v8RRsZd2|HaNY zK-p{3#r;6dP+@UC?KsXHT{j-WV8+BT(zK2@Zx3LkUi3GemC~7Kn2EurbR{VKrK_EA z*sENmTQ-b54!-d<&#w1OG=EnT%xib*)*(IGt;4X+-7FnxL;h! z)-tWI09T3*qfk^(o$gf1{)_t=H4k+Ruy_2l9h=h#GtD4_*mHnl*~$ zgM-x1xu78O9c$a0^GPJa_`k?Q2RE9R@H;!&_pB1RIHnR1FV*KbME?yAOV%|FKT|Vh zxnwNXzq=2hm2~)I)CveY{+n$U!9MUDfi973j`MK4e^T;DyF{Se^hXeAy4N%ptlt5dY#U-7XYjTTb#^I*o5sW_$4l|47`xo6 z+y*mS+qG8bQ~t7EsKeJX4Qny{&{VvseSW~(f^5c2ro-^otTf#ElzB&7*FsRP4(nZy zpDrO#``~$)^K~Ah_6q~1iYm7%Ozuk>L1JJZL|`*6^B^@@`;JM>s%%@*89lYtc#HC4 zex(Y1q1bw7ef7OccA~W}(l(W%@aTX4q&b!P1-|o?SHup`6y8ddbN95gk(%T9l-_ka zFNd~pUUeil9jtOj8K28y#LqIt8s)3)4It-&qJkK+PfVrd3=`9`106j`NCPX{ zH}Zx_0XPkSz8Q5sQa<@Nl;eV#@uHZmrCLS_mROhe?bvTOoAlkg@_AT=0ccX+`Yja{ z1T8qXmJ(&mDt@K}5$-Etnc%=#C3^UZCzXNBPLxE+Z%plm1XEI}Ow#;VU2>jB6K^Gh z^q>vs65ZTa_Zo<)4TRI6sja@#Vm28_(6_*w`>~O(wQpBifs0>c3{OfoqB? zw4aY~38LQCYud=4K%)awH_O~dAMi8~#_IB5b?mFIC{uNT?Uy5)#Bc8ZHfak77MCa% zo^$QsLq1m@5KQ6EnqybJk{P?IyK^i3`w*8!&&QxW*V?vbzWV0tfAq?03=M4Vh8@Mm z+=?%CoUiAal?;GiMzccE!xd@oUAb*ISm$IJWB5!AyWdj+orHg^_xz*{_H+Nm+hZU1 z1oKNS;nAJoP2CI{rWcrvNgMsW zhcQ2y1bxw);E0m3;=p0}*ALZr#1&#Zb6V+KuEr&Pb7w&C&y5+bfrqryL8#obh5Pkr zZIOcc^LurCb@(_W!mF8!e@joEiVY2^E<(2K;>TYF?X&L*dRizp+hJp;*mW2u?^J-+ z_&acm$W03vP8%6l5Lu`D)F0Y)^vxOYyBJrlf7wImGf-8Kv9Rjp;*sNi!p6( z0ZPq$Pv@qyAoFmQZo?}#C5k`j*MEMO+MNi zYq_xI!sCqxImX+~I!+sCK5a3G=^_{DP0OSSk{;*W3M**yz9(TnW-=GElmhqpjq0uR zd-2`OMu`BeuLO0erStp7VtJ^O=#sw~pC(j|GgPGRvn{cz<&CIRuf+1BBBSF-We*fp zn%$%RrqB7~h8X->jcB-k@2|-jnlCEowWwbB%o$BGX793W_Sn8iGss=pG-n+{=w-o& z%=5UiLsl`-C_0cl!#3y~!kQ>eAx%?$P!Q@T3uAB4J0bcvJe;hZkDcNFVv#FV@o6># zUI2HuHR(&&?Gj^E3M)_ zIwA0z9P13wf?NLqGC|@8^|7hPgn|ib6NfmosInApDz=t4KK}Q?(y_s8htM zkjKy%1u2%GSNgSp_|(2f!%g?;*TmO`Gj`?vY@}?kzn5>F2`>C?wNVFCq_c9qRU7rd z>;TK#@d1s%M**Q~3CZ1?kkn-?k*f4i{dKJ=Fbcn0l;ZMN z>@T>zBrMQLWmxvmH6B%GA1cG;8`RCVNq4cK71pZEoqOzT(pH&;x9h2$FyB8Drl-Eo z1v)TG1mD5FN_ar6QdOfW*J*=b<)64AYt-@dGs;!k*L(Pu4|jd)v%Eri?45BU8_&^o zW55NR|CW1e*)axo|B+XGgyo^LKPFMCTm4r4H!Ludb-emq!@nHYnY0^Lv|n1Zxt{PF z<Y;N z-ru8b45tzwAD{2Rw91!$^T`f`-Z}YslF)x9Hv2c#>!G+n>C>@#g~2z%3B-74^$`Qi z+AJ=gVthS6X}as-4^@WFA5U|5w^|w!fQ>~2g;CEA7&bIBSxwTORQi`&jMfj3X&!T0 zTRl|G;81H*XYJZ$#WG>owKNurjPmOIcMup8M9$j0a6DW0-KEq_e!$Dk-KnHfV<-=V zx4C2#_nZX}KRVn(oC#;ZpPcB9rQMCHgd18nY4RaPkbNf~=z6S;$fOFu=C10oIa2R~ zrI6TbI?$EA5A*f*m4Nx4@0!-`oM7x!;w|GlCxRuLaPCMku=5|tOb4-+ilNU~t*n@W zjF#8bw%nM0ErMnWeJP$FL)Uf24o3>@Duptv^g+)DS?_E>Rm%=xyA8O2>wEL9G49)F z5$cu)?ZDZ<0LgpD*9zsHWj~n1R6U6GrW^Gx6m+gKlaFr55C!4)V^YwycoGh;r^Vw< zJ7*WDqAXQIjln}X<|@|&7nEtEhc{n;%Fv!jf_TMZ{$?%XPS^yaibg9AAFFaW{^n)Y z%LH!w;8pHgh%|~BjeR1N3FuyUUo%aFsHtA<8in(z`sW~ri;Zd%(QBsI?iuMi9Ljw@ z&YaeouqKG*m7PzhkpaA#KH92!KYcUc@ygCA6vMPq`LjGtvi?Pna9A7RTrL>NSEh0O zjeR%=MJ`^k-WMmbEgxT!qf#GlmS9uJ#Gu!$`B4AUoh_a3eG_UhGr#=vh14&aW85*@ zagQ|A7fVG>|M$;tnjmv;41ECSAG&+Jl)LcWr-2`ahGV27M048#r=_*UNQ~63;|uJo z8vVnda{brBTJ&5-F9K>3b)WXJFT4=6wl=zdTpS?rvnug52i&0dw_-Q;6KP-qfkK`w zga&nrt;_9@PIk^_zwXs1nEmnwsr?e3uVt8>9bSkSA?-aI`o+O!3l1UCV{V}+>h zuME1$ok{zPItFF`)A{O``p-?0J#l!ZZLtIv#d9W>y#hlSZ4NnR-1wVl z4pA4&{4CTcJP(XFf3+>H%OT{8duoXB>^Yyz76cNwe@=&Xhp*fuV%Kkog6Tn;-q-4>Sto6>|gMP ziMw}j-&hGINvj`xSV^om<4Z#fTdi34LDoxrG~fPZlQ%k^ zl|4voU4_Mi*`-2M*m;HdpY*92Yosl-TLLm3wijbs9gc0>gXzp0A6@+`*%;oRnpSUK z)unNODRN+}ik#2AC$SYJty@Q$3qH1*<1dd&JFJWULqVQt>t{34C9_7Rb`&LbuvoJ= z$_uelLbex5thsv66}5fDVtiYt}7C!Ad?o;cE>2*M+J z<#@gyxakk`)CE>bv-P6z0>iSQ>cZ|zxYp+k?u@d4oRzKMvow`cqW%` z1fuR~@U?gog}!s8`g{n(*<0m#d%&1I^Qf0*)87)(@7J3dWhdwIk#DKBJ~&lZo?~oP zn0%>kaMBfbVnG&7T4pGuza%@B|jO3lNf(PqWI6EX_t`=6E6iLfV)^GYWJ zbZxVG=*S|cRD$yAXEpzug-C7~qASS{x<0imIriVi@!Xqxrz)?*9AQmnv}rXJEBy`| zb}22zMdsA&0ZB66J(;g4K_O0W!&6H*bIxB1KKqN+2J$>$3Fd|6M>iZFZS|yOg9)La zm!c4Mxy?+Lu|%*&b=19j7hZ0{FRnQ#52cc_WE%~eVvH0LKJVH=s2q|Ah|PAYs9)Sk zdTB>069Kv>hDjiH->pQ=79Xc!V&lsJS-TT+cx~C}cISx-y0C3>HeC{OHpmblQD^3& zH28Y^^`MeeA=@ z))u1GDn26Si49X%=zJYl%@%aMo>cb)>4Wj#ktSeopQ%U_EE7w!XgJ@G{ihGa4U@8p zwsZ^*LL$-vzReK+&COu+_3N4WD5}pU+;PvY`KuT=y9h`C^js@A!5g&p`eY*{E??zp zkv}u{Qld=?>P`4?KWOY1!q;{qj8GhmgFT|*)2ODrCuT|EGT%Z?HO2f2oZHO5<< zuOt(|xk@R7Z}kS>`_&Ar!fog45n_-5$GPn1&f4B6~uDWrEwy?8S(ZQ z6}L5W-{DMtQhZ80ddLgwfy@@If2yjU^nuTMdZBIVHJ39ec?VkSwz za9DhAynNvZot*C*!BCX|)SF85sWUP9mcK`2-~^2=-)MpI%{52wkL}_LMG~#UtXxa8 z`p!z?<&kA_+^HQUhxeYO-mN&XWf48K@G9cSIlD3Vb{UEam zD@C^t7sX1(72scCkjMLB##J<@pZ-{*c8St*iv%~UUI;|bJXwT~`vL9!F}OJhZSHWU z;7;u+oXUxGVT)J;yBVBl876BQL|u_D`9wr+8s@Djf_K;(ud}F9uGRj9nT47VKfUQI z#!3cPZaB>~0v*g`X4v@Vktf*_1gu3&p^U$wk=77*DXTMZu;HgQ6~vli1=VW>rXj6z zruc`oet<^nF`)PHIbBH9wF-xaAFu2U%t}4bk@zU7&y6{BWT4`izF{!3mCeYjmCdEa z0qd(T<+VY}I4*ej`@U#1Dva!fSI-{TgML9GJTa|h*PL0ToMB$Y;poQ)vn)VV=h*#z zTFYTND}H6^qhm{(Bf!2!zFg%aTmOz2j;%q)^wbkoyf66F=F_>-#Ba9Ev)svV$ImUg zg6_F$Gz}dG;Ephh{@9!&DKTgMzc;PGUekFV+a3WJFA`rSo_RkZ6D)+T{cdGH6y3|n;xcqrWCnTPQdMho+v1r?vO&3q=Q zmU+`LTypvNS;rwlpFAM)B2OZV<5KRx6yM`V5F_PuPO)(lC#waWkmL2nJ~)ER1vxVF zdB65B=0r*~>8sw~l3IINdg2B?VvCR%nNjEwf6F*0#efyqb+SNwLV_duPjV*gG8#iE z74RZjZOnCki*7t1xje)JgXJu^x(CtDHwZ5mmN1)7)OaNN*oe3z6Q9}iV5{}v9G6PF zMF!R=n)~{9tgSqLXg*fjnn1NHC341*>ryb)u+1g9!|v~ZM(~bSzHF`4Q=gta>bh&0 z+2m2b`QducZs?*c1Mm&fJJ$tr3}<9BE!@0;5W{Us`RSl#KLyG8!NdJIPSygDjy!Kz z{%AVG(k>astP?uj0d%*m+;8B)uS}f%-2>agA?hWv2-Vq-ibVgQaHZldOzm-m{bNCI z+Vn(W3FlR0ej)9fKu9Y3x-baH_6~o3ZM{Bp3Kjp&r^=J!K)=Vw5vW%Shd=@|8WFvC z6E^*jM-8W#d@*YI05xwBL8) zbb%(yG(tGKq_oJ*yku*>HKYdIW_wk518{26!1Z{G)2VwNT+?0y?%1iMheGM4Z4^Vs0Yr|{Ah2F=%){<0B1PAU0g#M$-Zw+k7U>|h_=E0Y4t zdzA>+SQBedC#yb^r|Of}6AmTL{tN@c9bB~4j@x4^8mevP&-@d>!IpE}Z%WB#kI?%Y zf=ONo7PH@uoToky6pgs_0h_tl(j_fZMW!rz#nYj~WR&%(XoONGd>x}5+`@D1H>%Ol zy$<)9f=h8FBf{Fv&pU7`JBI6%L!4bQC)Q)HL*ug8t-j*!X5ddCm=HVm{tNz3CaA?P!d9L5RtP6-p|0s4f+k14k zJhPC8!<}O>F=AoUw582*e6m>O`h=5pxCc}O3|Ms(Yp{3iu1xv{kY+&UQ$eR*(QKFu zOEa9~Px|7ygw0br%alWvO~a?%60Jm0>dsh#mM$QZsq#6>ENLDVQYm#Rs%dt82HE_q z@S7CP~cl^Aa z{}VwpY)+PY&{se5i$XL;{oJ3wZ`hg$d<6=zr!eaYC>#@GGiHJ8tER_mU zkN$uUr(y(mC--VZMe2iVj09;;A?@gFZ#jB+H`CIfvQ_@cggCg#TxrpgS>J9P;17@& zMnNGxI(DA3$s@E3vjRKTn2_6C29^Kv2QJ?BnIGdTr*B?TfaOY@NnOerW|vm-3A^MMai32(uzSdP#$O zmXeYSYGSlf*^EQk(%xFJmpR(1XN4=Ch}}IAOG}lGfR!(@9ocAN<+vURqw&BWq;1+V);+kU4Qu_o-zp9+->a!K;O~tdA z(WJG}Mzul}wcT^+IR4_?0(IU}RWXcGF!v>B&4IR`YuPjqZiZ;>-ouusC)axB_KDW_ zi#V&@mh#ok3gLzRVHHV%kg5>hlJNJHdN7c1%fo<9iq!L_&EzaxT( z(Et7OW!z^&;@$!;>mc)x@TZ}#8!NZj8dKJSCw0RI&y`dfHj}q&s}DZ~bSkI7Pf;Mu zYk1J+c#!jP;J(_HxJO5xJXw$bQb~DSV!8o+YnDO6{5NaSjU%%s^uC#@DeLd`8?O82 zdF0$pS9--NwQ%I_=DNWwrwg53U^$3^;w!UiM0|yK@=~rRsG2$@-L_7x1I7&dP1olg zE5bn<;whu<)+rHS0Y_G|e8{#$#V=D?$Z$qbpC;CwS;6|?#{MM2{WI@{DKdtHPC=!5 zYBL%mfTip6ye<3;R=AwKvA)TkcSOL8BaZsnhc|ikIDf8G!kYyrL_;7BYntIQ%u}Ch53)Ib z0ibW;gTf+gwpL*B1y8DAW0UyNr_G|3y-t3qt++H&6wm7a{z`N*xG#E8(ZPZkG+fAc>u39wI(ES-ZANQ@5P(jfzFXwri?R< zSslYzGyY>U)sB3}g+*@IzEbQpTS(ayZy`UPZhKiz!EE+%Sr2Q764Ax{ev zL5FC6Naf6>WH!xy_dJVLAYT>!=Ofk2ZHUm*3w@{btzR6gnFUIDQ%KsbEKkRbmnWSi zqvfbGsnlzLOfzMN-Nz@3UU>surv2vLiP(JZiU40M-5AGd;OWE&h^X*r3z{8~`X*eu z-Y8yfau-d;ch_8d4wJWxoqQc*_~Bb#?D~n;Ly8U^ddA0qAV_as;6UeNQ#L*#)tKKNn9eIt(u^Xgr2J-PXCXt?-zdR;Uw2II<#~@xp97d*odL+s~uFuJ8KZkD80>~!q9~!91PmFNg z>e;Jb5zW1jH*UgCy0W|qE<9HpWQ*oJ<1vePPYx{Qn%UG^xthEdxO*U|op05VL)k|3 zO&A*tc2v~T%U51eF?MOD|fkIg&(}IZzjLz9GF( z^B%WRV?I^*^Ou;ZnDC?~m5r5T@gz&v&>9f_d6@Q9{sz$h4Y<0=_NEY_d2Y>O;?BL$ z_;EMdS?hXQb-urVZ}me90+{=>!ot-oJ#zq^C*~?Pu4&oSHiO)f<{T$00$5f)u25+=X_15phm5%@>!3Yg9F3C#cLeUM zF&4(H$7pfpS;E0K0@JbCZT|}z5K8fDRA|kIRiF8&5WnVhQ|TC1m+5O^VQgv!;|GEO zA-15j{s=>s!w<3=eEg+nni6Q?&|vy->{%?piI^Lp?@Zr!d8S^4vT=7~`BMA_OCD$K z>#lLlY>bj8nZ7zj-knz-lBWsmp3S#`HlNAC8udWNGJuQM-eu&(^xhT5U^JF3mbvTm z^Ns6R-XJd|G-tn@*!{4RI!Kn6Er>8x49WzIa1Z&8d)QSY5q1=cKdpp5b0(j0+Oo(0 z{-GM59D=euCgMc1LC>JPJUzMh#fE7N#1d820%mGGU{F5l$%ZZXs#9QRD)mk&dr;Yy zSpMd-&BC7a*_*%kHdpT&UB2Zv6suVTtt;?p6=Y>ij&Zt(|Vr#B0f5 z_P6gNt_4Cgiw^9tMi=*4`pb>QXymi5pxku)y&*AK^YQI1QNwJnvZc6cuUDbB+s|KQ z&z7P->ks#wgSXM#G{$|-YMy#@W`Q*NPZX3l8pM9E>^3Cy; zMQIt?kD)MJo)O@xgzBlnuK^$^gVDSoB(+F1-v!c~L<-kpBe7EYK4@T~?I?3%>(nr0-BEZzY; zg{K>Gy{n`ol>)u8kN_Eac$(7zJ)f_!u{ohLQ5r(76U5tQ8f|XU5B{OI7Dd<(O7;^R zp&EVV0Dvs_`x`UD+XD(>E0mxaQiXH+x#-ULt|6NAr@~dyci_+GN9(^p(=mb3i}Zca zXese#S`iZz?46U_w-wv z^l6NYCBsADREU(!p>&3>>SeJP+E~THknaZO`N6AvFW557h8{Vd&b)M~obLH0LoQzv zu6NRV#+{)A#;gu8Y9Rz$@`)9im*ikozywwKw1Lgw;p4F`ODDPSXOQK>7z2J}re7rT zd%RFNU%Wz9suH+pil1opICs{=yo^`6aiH)E2&vIvnfYy<$-Lt&fw})`d$OrdFjAjw zmehnOh7tP&0`~63h?ezSoDN#f7 ze*GHgEajcRa$E$wue?#)@61XOE` zrLF|o0{!qk)4s-vG8s3@f#hH!_RzgA>j_TyU@v1#4`YtwS`&nL7IOjWS+)w_6YXb% zv~ayQ%cs{PGvU9Qt|oILm9d2=23fk`gcZymuqCQWrARs9MpXFsNp7crOXci!I%1!f zDETa-adOGL z`+3-H0U1$%U!Bzcw5pFBC4j1Aa|#@{!0f17;xPh+64#?ZWYQDb&#ii&`L503>hDQ` zZ~9`HYF`MF5{#T)W=?tj)#U!CO>73#8j6{%8*%{c6%p2+cjlFUNqwu*vHAL}L;0L5h-Xt* zwA__2P~##zFz~S}fbKpvRQ}Dz1)Hijwdi2i)I8XD<%M!oMvcxBx8=lpN%lU$ zseONGG-vvsJC+&KMPeNs;Z<$@&!I?h7W3p!=xG)J%B!IH01N}K;Ld8-x^^}-Ul+0 zn5)gUbVFj{GBuoUM_=n}UNwse#n@clX}}g}|5^j|ymU61dSPBB#9F=Fld-Oaw?MKRh?w)T4^L$k zo_LbBqrz`Kpvn@a^0cNx+D zGjtyQY_4qp{*J2JD|U(!V(*B}ArX7;O=9n=wswy##E890V^b??wyHvq*sE%^YDBB0 zJ*lRuI?m@`$nX8V@15tqp6eplI~Xkul>fUt&H84;{$JB=RdFtd+>1u(-f~SIAu;pH z8;r0m3!@Q1UASG1GS4=fL=cX#c%_g5+#v!>4=qi(DP4}ivh;>bLSG8e)bn(oLhf(Q zfV#c25V#4ImXm-dBLdSAOpzfkG*Z3Y=p@$mtIpUqLD#TcMf_xQ>&YuUe8kiXRmGP_u(0Q5nURk^K6T^;j$(OF^H zF9Nj&-*$1B^PvG21&kRsnJclIPdjSawL0=ANbA(1S4Gw5A@jG*FC`9$w}cF@9!Zr8 zX2XbzR1VnRq`KPh5TS==q-;5$rlO}_VV7Cpxhna9g>y3$+rL6 z$EJa3SH(%>g)e-A{!8hdGU;D%t5FJ}|3PeA!IBZk1b=MMrek|r$LiWjRv)e`H?xcg zn-2a$+7{+^@zEc(JUSQKeTL=ouAI9%54$FkH3|Ql40RH5eTYPDx{kCUOlXfalH(r~ zf*S6RVk4$qyjj1GGL{x#xrKdb0RxQH@Sxt{vykzS?y#FOf|L|@4hW-VhXjMfh~m(x2nUihC}P3&oK=*Yv|R}J#=-y7eF zvB2Pty+t$lZoPtouk?AO5$>c)aj~rB`DovjkNj@x;XimeKT;wTFi*wGcr^w4GIn@P z#_L;ACRs-DO9j`>hF6uO5Q?#ZIf1#d>Vi)+k8MU81>T)Sm3ifNvyO1~NWAz5`LM)5 zgyDC+!bir3^OWbn@-u0jxFn8HeZKkCu&^6}{_k$IW&Bpc3Z5hZ5-CYyrZG@E{+B*Q z9i1Bz$O|!r1pYyl*|69h(Vzb?IRz=+2!oaf^}eB8TqrZ@m_9_m*2|xiZ^(bb#5zGy z(7M9i>&bL-1AQzCi2&==hB;+0%GC9=VdsR-HTbpilL{NZ?Y{?wI5_??I41N}JYdAL zzp1+ah3x=9K#+@>3W&0!XX4N(Q<`|cj4byrBRSCWH^!Lp-4wWHNxe{@A~BPGu#z_7WWpR6cca4mr|q$k?_G_9P*B|PbRx>0J6qB4DU+O< zRHKkS6Cg(Db%-<(?0~m9X_{*Q70M}a!*T0b$H7Pz?k0ydk#Da8SRysgFax@hZ zZ&Xiba1lIYn`W&s<4=aTlgbN^fxFq;CF2uL?nm+>>z$_pE_6qQ^j~>jiz;-EvigAT z6^=HkA0VV6`(GpMblraCnZFa*-Ma{U=Mc}I((PIXR=B^IHFl*De{AuWRZV$Vi*Dm7 z{P<)BH$SR!-`3vs6Bk|pz5&#N^(AVpNBV}|PewaRff14ozmr(!Y$%7@zuBFw^1B&x zzEz6FMY4`Q&wCW){veg$PB_my;;J~koyIj-W0h2EKS644XIb89S$;z9H3yia0>%Wl zMVW^|+S`8^{E7SgWa8AXxQze)rkv7cZT2Do| zqwkaLoT-;8)aBi9?}tXm?`JuR8FQI9i7~|*rUMUCh)wI1=(=Q_RzpKd?j>h(o_zIp zh78=HyD=*uZ3kUH%@$`R|6D9RnjOA}UE-cD}F^_?B z{A6S8X7PLH2AUGuTXjrESL)dtU?-C+oRrHrjnXK`bT8M(RTT7RA~H~-(*+r5z?qG)9VpoU3~1kv-)xu6;NpuJDn3D z+`{mlMKYVNtTB9Nn67oa$J}qUW^)G?@mzqAH)7)mpI-&I?r?7PbsL=P`xVwHvciiGPF?39sJ1I> z+Fx1ycJYl_%I%!TnASo=O*VDB!?ij&(4wg|N8-8IA4|w<%>Cxwo3-L1qB-h0qZeIb z`}rHUNi@~fW`#E0)dDiy$#*v>hr0_us-B$xN=zb(XN;)?43panXDNDnU1CeVtsYn3 zSfox{dHP)SqHs;LC6Jcn+YJ=-c3x}_Be>LM-Hn<4fQ!hr47*;gfuXn^-+r}k7$?OW z9vj_HL0<|8?6Ny%byS`4+zL4Kq>v%RQQLW;DHtH;rP9s1i?1`Qs%nKk+64Inq{o z8?Hw7m;N6ViF0zyQ_Bxm!9k=ituIZaQ_=51ZhadQKLqXnSRhvD1EDAx$Xa?buVoW++?Fj6KC13VDAC^VAg@3Zay0$e{L>@8sn(3KdhrR z12V%y+RrqK3B$XXoy9C0ms=P}!|#nx`W?NLrorUcF%)YcI>;O5!rs;5_gy4UgeT*b79#`c*k`|PJ=H#h2` zf?w=Y&d*GPdaD^pG)U&cK2425s5x;k`+MwL`{L5p7UWjn+7 zcqr%uSf9)0>mqB(kV!8ljaK?&QlxZ)WPahzxFp}xi<`2ftfJBb;AEWT4w|)t9TsG& z{MNFU?8&dot)>P9e7v*W)KU$zJ>K^l!{pPqJ}cO9k$1Xs=X1;9+C_s$>B~4jCQ#$G zgsy6Ri>&Xd0^~sH55Aj+SH=g<7KdCWQ=k{xG}XU zJ-@YZc?3fJC4(S333biBS}NhvK@PfNYE0r)wg<%a5Gpkx%rSnQ^Oapn2zWeS)_}lE z_TX7bccB)yIFstAbp86xP12;@uNOfw1LpxKR(I&O!oy2$S7eK8n%m~v+>%rOdDF8$ z@FOLYbhcr$BZI9kI>+P081>r;98Zj;Ux8W@q+`}Or{24wJ_$CAEIW1-=Q9~8Lz>cu z)n6`GdP`@0gG*(ZVMszERB6XmqZXg7fVX~{uE{`Ptk?-Lquh9clsqERm#G=QJOx!W z?lj(I|E}pk4G84pzzutio-Ji|8=4bio1#QSP7K7m+s+S^aB_IDjHXu-N?!c6LQ=f< zVrT5XoVmNkm;PmLloUZ(0Y4KYJzJa~B7*Hx#l{z_ROG2Tb@!Rpd=tVf;{ zXNTmcfbtDE|JCHqV`HhCY{nH+;s;+40&^cH6ngil^&}kAra(=FTHtfZ=z*M#n zJ(m$NV>d)Ps(Q}Wm@SHQKX`yD39z0TaNa%-;=bx#J=%4{n|_f07kghc9HDAM|7y{!+a)q-2yYadst_ zMZ}%o@PitgQHx=~VC>>t#BuMToyx<(4U}nl8H*fp;T5A*>loruEhq|SpD*r3zzw|f z!aZ@j2aN{_ha6Rl_dBbG_UDw1+5COn`%`V27%{fRgvSwG@)Mx1wIy; zDpUW-GyRjTaQ!(x1Uko;8vuxnU86{8z&fMYUXK{aM^c4GAJ@e!iFd z=jyt9HB&eFYZ1`596&-{avZqo*Y)sF=Iw6>n0?50vuxsNh^HH+k)Hj@!&&Y1M|lXE z-6E!LrZjdQ#C~n~OS&G*H=Y-65&6|m&b{{&*V$_Z|Y7r#X zg|ZusA-?e-l|{jr_I$x8L#YPAamSk(?Z9_BG!#pEJzqP?0)n~T^;Ig!t%s)l5=%oJ zW`WBV(NdFJ3VJR;=|zj&z?Z|SHH|R&x7mG=9Q8fgGEQ~4!`y_9%Iuip!E9_#ieNe?wkKxozyF!^KSjLSjQAnURm0m+ z^n>u=k#2SHsSU%g6~!cj>#{~U%RMahyxdj3sKa6Y%$%pD&xC@mAH5O2;B+>9Q*RXb za{R3EXn4y5hK(;{?73}FaWeSP9m$;^+$ubF zjUaJS6KQ?NZ$K@acy{2<-RECsucovViQe}nE<(QhVb=<=UmsRYKjo|x>m4gJ885V* zYfZh8-&8*+@xgX$&f5pyzm>D?nf9C?NIlh+OS4yXV*Xg*x&ueyzn4zYOeUzqbxxwj zsRP{EWX>YPj^5dxy@*C#wrC{Wfz5BC!GsYu@p^!g4USN73@NahPJTU@sJ@XeHp zyoq%sTz|asJX4F*avt5OrN}+k-0)+&FXNRY(pv%J2KgplY&5h=kLza?X!PN$pT^+@ zi#Mza8qAa^0|4VtGE$j`1%4)uh}?*71kbXl#vS01(Q1c<#EwNsq(3osPxr6(+<*hUA`9pj*FgHJ|vUfkzy$Rme?PCWz+77;{Ic!9f5K zyO*i<9Kqd%4VW{)ko7+V6~u^)mp(H%{{hHb*quifWMdRK=vsd(=YvG2;L4D@qMOly(8--_MDL0d#M1JND`fNxH?}5YGCti6ku`Pkew}YsroFsl)Z`ZtdjVg5?*R|#UC%4m8R_#k; zR1&Lemizc*uli}rhHYNjCjtl3^7n_U-l0N^#@yy>0h!Oi$ytxbOJGMzUrxgq_KI@v zpbS~AbK5;Dw!~c{+J8EaW{d+6)`uZtE%cc)N_dfo%-Wj6S)de?z{2-KqpSW=R)MY| z_*EtpxDWi&3a|beJfiGbiLWY2$0}au5!HZ8`%4zY-eg~i8ShiNCe{uQ%-FN;%O(Y2 z@%YS{{AUiQrnc2bMd3_jwVxu_g}}^G4Y4sK!H^OhWSDd&VYR@dAKr9vE_uS^;1?V$ z6bPDSX}iC?@>oRccmH>$vz`X>qG_|MINp?m|FpdD{(awy{rqEcexZyi%Gq4Suh7mt zxG%Kl3`nouSy+q};tWJ7Rs|5VfYPgq6{l5i?rfzcseJX!%InU$I&DSt7LHYpcLw|vYRkiyZi#co^~D8SCdm}l zU*l_evq)dEnO3~B{3~awaQVP(a?i|1%9IA{EoALOrWp7R_Th)24y-xlEsP=lvy!jy zQeO8r^Gx<<`nf3k-`2oU6p-@8o-38=YbBw>qZ{&Me3Vt%bWK*TParHMx#~u)eTU*n z2wYZ@l|Py^b<4K|)Z0yf)EQ-o2=i9u4!6B`b;>xvAs4urVPmLQ{qmOq+&57QHc z){ZKfzQ%|rM8qhbWu%$mN>j~& zc3SSxyZ(}x<&J||pq3%I{$OuqHy(RjdbHQfdBl4~Ej4J5q-~#CQK4t3fz4a}gQ1ZZuQHVbLo4BOan*`~dXlKn0 zMDOn&?g))r8B_@i4p%?|>h<0Q$DK9d&(qsq%CEKs-nCb0GAraFn6Rm{jLQ8$_j5`}-CLa0xZu(Ag z({Cmn$=b}6gBc+Qe0C}mlSer1c&o-1{k)2_CeFpKc2D}na>lOhOT|7J`HLU9RXAB? zLmXR0`lDgh$K^^TSSe$|Gpa(%f{JeoEwc!%b>{2eoOmmXYsr`~nHFtjJ%6DxM?in#mlaXj+oq$os4#yMFzduZV79bgA3N!`&H5`FX>zK=Ekk<$5 zj5IP13`-gBBUdH$*alX ztmoTat5l~O?oqT&-E{(}S1hNhb8bC~ZG^2+hA;T?CaxyGLGL48-O5PS2OGNQh5cpf z#-d~uTn%4f`JZb@w~(X#Fj#^{`qOH}uV{bv&wEy^r9n6ODg|-=ww;bfT6$^qsk#Dt z1LM6x<0Y1JC~sEX(i%fZPGn|CZIjNeh18ejvo5IO{yITn%G%U}EL{Rck}cA=TcMtu zsh+}3`lWViB{!kS7`EBb+m2*zZ}tM%tuOB^-U_p*4)OeFXn9&3_4mJ% zKA&UbGt0w)Q^Zi;nArr&o$#ql+{Ks$ha@PWh*+JjWrZ_<1;Rskhf*a1P~scX{zaD0 zJJk5ql572qXvB8bwc7kmbEI+3LrnjU^f~CS{r++`^m;SSU~cMb3}ds0pl2}QLj=#u zkWxQY>!$CUn-^hhs+9|9NmB00xg}>T4BMn$u)q}lI+-=jdui|3G4kEI>N`Vu1DL;6 z*RjQMIpyE>LK(~*9J>p(sO zm|R_6d}&>isQL69=@a+kLYPPL)RkhreDDI7kCkUVo=|)7^g*`2H{QW3k%zNSTBJ%p=yd*X?2hT( z1fSF&-E4FXkHeGlg<*qPM$ns9%|E1Qe2gY2sTZQ4!^u4YKRpC&sGX-+GQNq-`)>a> znMt31>;CC|u@G<9Zb+dDg9zzb7Jg9qNLww?aDjBWIiAa@Ml(Q<_XT|&*c0} zaInwc1-*09xMOGn_dWG+FSHSjN?IbB%>qS6nT$M;8EXKe)?KC)PUI=y6bE;3DJGRh1H@>PWIieP@K>~lJ1$2NilhINZJm-L`gS~A_iTnvB z_e3>UA(&lwflIo!)_oJ_lC0aF72U-Ye0-ODQ}064rtN5BZ6*65?f$tNhN1ZJgIkPbNpZ* zBdb1x)L}kdP^mYWQna1P6*dQ^q*Yd#J`2Oot6Wi{Nzzrqr*Q|0JU#I{BDa6p4y8qq z&54OVD?>CEI_{||z^ua1O2sur==?{!5jM7|6UP~= zDbAY=m~rhds8Vz9{ewf2OJwA4elM+!Co7wHbGErupq!v=qijq^_NcN(8mhBymuY|h zaM~292nZTHjUt;a?^&w&51q>rn)e%6QMi+dbs|*a{i*q$zZGKeMBpCR5p!{|4~hlI z1dZu~%DDOkWk{6^(#py)vRP$lRsb=uF{)a{dy}0=lg%M3-0DpaaLf=N`rDQBN3oc& zod{NhO-z_EP+CpMcm!C-MHW#Ni>xLD`+4g=RZ}l3=o@y~N93zm31Hq>^|!)`r6gZr zuSd;G71)j%=;TyeYJ0Lol|~4<=MR{)Uk@jpE{x`}U$aOfDA9R}4DnKR|2?{J zkvn%LIw~26TS196U}yIX>fbrMS`h)vUm4U+NyXPxYm9#qVmPD(h`*(4ni5yR z+_wrJRBv2@V^uOcCY-~f(o4)F$4dyiGfk>~tPu6lJihylC~skEViWYr3|_g|@Y;Z% zcqXRAL(JHR@g_G$pTZrys8YYOM+-r{n-4TFuk{VEWAiO^S*!6~u~ND*aB|^~hN}2U z$i0Lc?DRpVRkei{^v9-YJy(pO(V$hZl?p#X9fFiW3cM7XBVj0r_sY5X7=it=ir>ry z?^At$)Rs5snI^i~$+5;b1=Vh+8TcQ>grrX&6jKoN-C5aQK46!ExU!=k11PbL{$r~=u!wVm#G z!Jj2%*-r^AL+`&i-BYn)VLRGTzq5QE-WzplT0kGhYPj`R9?JgCx$LNaa2@E(54;*p znSpBS3OZu_tscZhcHR24fH}-$%0FOsidwR#2@kI(R+%|ik6(SWj)peYr>VO?dJlkH06~`K>6fXGgG8&Sk zIe*-;UBMIe(*y8Mi}X`5>!qq$+B{ABSFy489HWc1bd;LbfpTFZ2x|t&KIUA%rJpeKwlf9`>)kn`@DNCrXBGKcokz9zxV8 z#(~DS(FWK~Msx`04Eg{t7mhFts0`E%b_$|vO;hhz(=a*%rH`ibk4~&8W?|4<&YQ2a zA;=rL*t2==9=233J$}}BnAlZ6c;U&r2mCMC{kwzjJ!Ic*N~uGiOe>DUOv@R5+3+tS zR2+m}M-9U3fG!xe;4S}c)mxvg?w{SXbW#}%RuGCZ4Xn`kRR|$@)`T6C-q%01*?5qi z7T6I3_7DFzkAFpc9~MzU7*=Q&>{~$we5%lL1FANHZCL&MD~>1VjF6^!3|~* z^-@FiN|lI6lh}uqbcI;9h&EM~ksD5~VonayeK8VEr4G|#5 zH1Kn~x8oko%Q)aLm&mk0m3*2Z((D2*$IU1ERkg|)?w#>Uv$0)O+t>}5PX*aLjDf}n z>`hn~i^1=4d1N@O@_nTj8?=!4$u+!w@+5SaYF3;3XXcvAbcSSVv0NO=?Iv8goQ>d= zAfKj5TqGLBXzY-h!J~KvySWL@SEAw|8=lN8jNJhC;sI#P?^Yedt8g>*V|d4@MX&|k zwy{4j;5MGI*Tav7aucD<-Dr$|!?A(=tBOiYxx(N!3eueC%W7jjpo=_lq zmF5j9+*ILczprRtWwXJ$=|g-EnKQ-H_}-HS!h`e(=&(fg^D$FgwrSRE%9QD6li7!r zPnKYhEv+Cly%<=WFp>9pMvXs#x4qkWEQ8EZt8Z+W)^`H?bQqCb=J*cy1frM8bQZyg zUM?SQH+e`pVD8iqEwF6hSMDg(irVZ?U|*h10fgT%14M60KhqAZl*+CtZZdEOX~HUD z;T96B$yFjILz(l`?m?P(N61~tjhU;Q;{$#D)?@I64iI?g3JsoUvCM5SK!aR1--@+g zd-OqDOTVc`lo_{VP0;@fyJ>?dV+?f=j*H5WiL(ZZAeMW)1mgnJW4+_3bK}J^WD~5N zma%hN(dk%@pULS=u>9+doZ}TDvRB1f+$g$Yx!l&lw((b2VMjS8vgFf|043~>WlL5S z$q0h{$u0fMDtCFkq0u&anZN;aCg-=}1c-KI%8owwI>}JYKbdph;@GIe2>iZJ8{Cu0 z7BBbq_L+FA4`j(r=6TP)uyTbcjh4NS<&)PmM%hr4o)vflWD0lQ71mMp82=dN z)+SGSL2vUhW{DT83?3#xs-1_YU%$-;iLtXJ*`(q(n_*U+EOf`A@5LjlEz*F3c{W-= zs=D8={DlB!!F$`w>C+iqf>}6Jnn2E5MhmDv6#|RB{fQ>A7z0uqGM)ofLW4kynSPY; zevupEuAJ`1rW-@_j9bl@!qDgWOsWV;*Hgou@er)cV&(?>uz*e}&yXay-mPg%!`_^K zDWPlcVX*p}j9a{ql^19J*|?KBmAX62ml$M&Y`r^sbgn(*uNMZGmpbA}{@ayi;#^mI65BF~B%s&d61apWhHu|%{FaL zW@-$)04nrP+61E*3Gy7ss8k<)Q5|~iMC{(ZCl)OGUP520EzuEBsSv0hA)xile@Y3b znnPxOBVh412L^sp`=i##EoagCFXwv#W@C)O2j)uJ*{AcQpECFZjhNaN%il-;;!D1% z4m?zZpFSrPRds(Oj}|fg6l*#RL(a8;+!DA10S$+AnqP9~v@b}jrrB&+ST>;?=ZK3s zuJYc!eC|Z6N);XprAyxY)1(@Jza)~nP?V)Kbdt8HHI8>6=!*6DI~cLqsj5yD>^bXa z|6R_aX0kiDR61i%a}@XUk{N?veem{-XfLUY31gG)fh9jxjrEGJ62K9S!9htEL~?2% zQ1I@DIlgQ#u+4L?i>y4)8Ik{j?;!lx@S0ipY^fRy2oA@|n0nRYBv%GNBGa)#)^v6A zRE1usA4es&27x}6ykiy@Ia&)yr%T+MN2JHz!G7wbhBjC>bP5>RWokLOh2%q@UW(59 zb~T0;YyPi~qXqv&)A8Fe=a@cyqmoCR%0Sk4+nb-496qmcl(U>^4?kQ3}(SK}n zZHId+TDO=w)Czjecy9H63VdoqFWZu_@{y_@A?Linbe+t+)kHMN4dxL_u9hIOb2fbo z3goLAR)~L_oB74kfsKdny}l$jK-@?LV%!=BKb5c`moBf-iQa*i4K4*wIryYPtu3uG zKjbFAV6azpc(6RP*&CVjLL6bP%iv!8ZU4>T)F?kQKpYu+dy4+~h@tIp%hR?Z1>enY z-z4C!R8YZPOiYk3}>Bml4EhT?tgoSs-E0 zlrrvq`qX~x)Oj5E#58zE5Q%iz9EB(;I4X3zs-#bT!S+#lCMzatcz(c*bi9=eF&`Tq z%rpopoGef1PtSb7@S5Gb^DleBUh@JZ5TC2pj#cq}*IeA7A~ROS!o(X!OtVwMNlp`| zi?OOs9c+umOII)X4RYwTQs*z$XJ!vCAnJq=s@>14-_4bEmAB~_Kc>y6nJC>nllx48 zDfS0|3^+z1sDAhC{i;+!cU3x35pXl=)>9C7AJk&ms_rJsW*(1JHcjbB=r&?+(NlGm z`GNQ+$9od9pTr<*7o|rQoxJfsbuGw4m%Nl&%18wLFcWXY3+rUIKWa^(7>ZSyJto?M zG4RF+qwACIKhN1l@G05A5Evy!w<L1+023;!^1@QFq!6Ip|`Q4g~5Y~dv2JvxuwJ9 zT9~XdPxh3VG24^!DdntizdD9(C~~2;@Ip1`sZk+to8KEKQ%nrZ@IV-w&sM1iuSI@l zvT>8yvyqPg8ToLQV#L)y+Jy|MY@o~mjbB6`pHQW9VjZ;RebB==IQp66s)ByjJ&rGa|OEO^KP{?S%EGuatY zX;2&a6owBs);lB{j0S19DjyZSat^gYc@xEVgol`Q_Qf{Dvv#GcQ|^*_Wm?l7(^o#U z*)2#mSCv9i;Qv-Dt`2QQOA3~BTkZb$KcVbh=J{r9}n5(U3#P;bc>m-sVX;s^Huer!Zp32G}UU# zX~q*g6MEvR5FI?m`BJQ8@(I%4ns=|L$WtbZ^GcrZ^ClzE$!7W8vXPy0+YaFP%8~u7 zd3GO*x=)8^Z80gA%0>t&>#>2QKwJE*w?06fOGma~^*qnnM*SO|tA7gVcD`VVwHtPw zcnwOL5Zn>j$WNT)r$aIBWxhqqni4yuy`)DWXW{O4hZ&kX!LWNm*$0a8!-vAR~ zqi0n3=MS+9`h`!I+*U3Mj7XF4!4D%%;9g`<&|ew1NUt%na#^1?JKjw4>aBC4htJNH z5Om1?#Hvvyil@4us$tvoEKIj^&v~SAnxtl3(3p~;|Chk(w`2E}7t44r-F6n$vmZPZ z3wiq#-^p#7q?@t;dpA!vabNA@{Aso9`332`*Jj0kPZKbj#8k0pDwR?1szxY*#GadR zO8^`ry27nz1DJ%F57mAbI{%B)Te`_g6P$=Bdi7e0gp=qMr`UQuFNtEm{=3fmso1$l z37dXAcV@M)v=n?VqX)}b%&Eg87QkJ_%c<@UKa)ZkvIcJ~6{7tKoVAoGZZPGWfCyr+=oODw`jr$OP8( z+mg=SCh#m?x2BH}`@$`IY-!Wil@JvDJL8@~V-4$|xiN#>_m;!*72h~n$p)8eK&!Ty zrg!R_kEc#PRlG0lI0VVK`rw}i7kpi&81G$xxK{&^a$99Izbo07S3`O@FN+R9a@VZ0 zGo#n8F+8x}ND-0EVr1fwCbM6NZGC!s-v?Z!tNaQpO$PinKEHKhf9iiYQGrLMiK|Mi zLEQBGtwS6(SHGBN4Q;-^RWF|?;{ZW*Xt-*MMqLA1d&Ao!&Sg;&mt{;Lj_Itc#xJ9e zasBDH7hMH47)@$LJul#|xuD7#PBwcs@gIG=vX~vJ!H-Q-(i^9#RW95BE7(o;k$Qt; z8o;Wq0fWK3uGHDeUCE7v=4P#uEBVYN|J=Y#6J6tZp#%ix!%v>Bh*Q||_UAn5U<=0i zz+Q!3b&=c=>bo=$)9EQA*F|H9vfcLCS3>PA3n6_5X_6>L1_G0PFF2m$RKeno4)u(xrQ1yi;%2u#_u?Vj`sW*C&ZPXP@8{T zV#h-M`zz82TmPE{W`ZuyPFSk8kdJsxzvqYy22-iU3&A}AIgL|xEhEk#CqGng+6!lt z)w(;K8e&@x#mtyY!6yKqifOcrv4^9>8(b=&hKH7_LMP#y0+tk8dxi&`W|J&@dqN3p zpBy(!9<}dgo(Ms2J%`s^Q{H_4X;|?{IN~QzA4JKr%7^Gef^N)BCyfRk+jYcbH5mHW zK$G64>UcxrwTo83;Fl5-=gRgiQBr5VE1ezXfU=&`csI=+Qt!^x3N?_!1g~5zQJ;CM z&64m~{71WlKgK<%(}=sxmI}e9`FBPzzb~+iFvkGKm*{qqxq-?S@g`I$ZjJGG;~&B} z!1c=Y)v(2q!rO|$js9Rsg6&3~V|qh}OJR2`uA)RfmXVZDtp*$QDKx24N^65&^NRQK{N!?sjaR_!Xct zyJlfK|E+3PWK7xcF~#*lbfpK|PgAsHEn`;IEkqdANpB}0W$af-Pbjms`SA5~BCYEV zZ`OT(+Qy9fM=`eMpTdlmmw@oloCFRzRR6@Q0{9ry-fENC%>t^}wqJxf;y59D&gN1i z@_DIZ-vb!BI5Z^p&42$3(8y4NdrEz$uj2i@_tENiQFmP%zVo$sMCZ_|{9Y&e9kj62 zz}ssu$GLUTOv|+3W_R7A_3oP|SZ+~6bvvBw(VEuBWHhtKCsS{afLI9hVD^+ym#A<> z107cAAEE@E4$eJ@d`@}uvwOKP1~4__gXg@cg)>-Z1V2Y;7g+x)vg|AzDC zE*TqCc&X$ZiZJ;7<;iXey?IaSO1{4bV7@kLA|64NIe`HJly}q{Qk0FI3nX>60ki@ebtY6PkA65ewJe6O*rpCS+pueh9Nt6Tr2D1TiJ#{B9aw z&Fd4__Ta1syB$6-L1aFb68-OgM%4*c1#?!GH*Umn54^5+)1vaG3n0M5C<}?(T5V-5 zHqJDIieCckm`M{p)2)(}?9VPf{=^`KIxg;%D#OpZqJE9hH6xKD$7)g@upYFGR01wW z&Ukz};ww%V0uJWS%8(iSkVaIfTzL}R60e!UW?ot}lnthw{NTTGs^=c>=vr33_PNID zc^~_bcIp!ExOs1UC5sDkKmPYWn``7tp?pG*fEd|Sialre=CBP*8gg17 z8uFH1Gm)aJ%QeDD?YX4|>|TF4$MLDKZ6fzQ5Wdn-im_C4H6D~v9OqgrM%sdWOaWeWcH#FUS%xZMAq53vik`E(9q9EpzU zN?ai)_LQS!tt;EMkF6pPX*yAtH+9By^RNMZ=+g)%ZOzq-rNVz+GTzaA&TFcrHVOTE zD>CFmYVgBZPNmMg^xOCs;`Y9yH^i?-OqUEurfD9qDKt3R9lky zC1$A2r)t)4sWL$8d;oeAhwO~{o$q>x>bfsQ_ktXzI-0Fk3f|e$qMk=hJEd`{9ALDP zINTn|2I+YC?%@E{cLw`a7x<(|%S~zTn?9SpKloR^N{^VhouVx6qI|li@yK zVp23v2O#TLzsUSE-PWrv1E7WsuBwWO0(q%|_v?9IvxU~5dO+K1h1!n&ZloO;Elhak zMd!qw=_uUV>El)Sz`6WQH6!oj&XqJfi3=Qcsn~7mGrRe;lc4eGG|_}}4$+>Af3#&K zG+K}|C)786@}vl(tJ2Vnf>xP7ESa4)Ymh)Ds zI$g#B(y(_7#$d9PmVQ6q|Eak%5Du76h?N}9cxjU=f1tGI_Np)g*z}a0H73jlX^vll z)LGwC4`60epnI&9bvwhmBs_Yq#X9MQ;ZP5Urmc;{dT{A16B~tiQ%b>Hudojv9x@=` zhq-Al2;job-jPPS>C-FwkCQzE^CEXvW$Nv=#N*Nv=Vg!f*-FqGSH=wI>3?+vWoBVt z5+qypb1QIzRjxbfNa)rx>>wUvP&}JQ*MBMWFC$_wxN3ZrGhNFaQ66-?S=PZb-GFrg?Cm$Sd@bSDphAWY)wyLWUl8?kU_vLUr8RIzk zD(QKV%!cqkinB#(Dns@1y|#G^Jb-bcS6x&=`UxTF9E%mk8<}05Y|VkAY-1LPNpAqH zni^xTaWIw#Kltx|E;&ARou2n@tdMqqTxS`8U>o|I+~Xt-g#zXTZkoSw@u6T^r2UsM z&WotGC=0ki#+vc#ut_gW+LJZl-5a_UU}7@%u-EgaD?dlA=!AqBG#diTf6#}>K{GgC zU3`k(g~025&%o^4Nk!($L0J(_RarXck!G#Z{!d@}^dJv6O_Y^C+T3__eiBQoPA9br zTz)BJc9RA4&bce=L=?(#*Z)q%9q%TW!aaj`E%*hEipBX^%aT-{$(sKVF?Rck75aL$ z?rV;XGBQ?+G&}t4I8`NnifMJQDtcYG^0TYJJIjX#?#y4Bw9KU5nXm(cm_3&8Qe~1k zu>Lm7Zr=F8Nvn(XU_B@bIjUgw`)`pg1=cS#S>yIiY#Yg40;A>VNi6N z2}JICpI9@zD13fAcn!PP8L?mDMqSahXEb6m%D)Qz<#&@K+zMtE?W24D(CZkF{buQ7 z48$_p%t-JTmC&f zz=y!3SQm!!Xg^hm38)-q$(!z;vqrGyfIkF!?ky`aMFW^h=Ubo~ZoAmrke!k}XWQfL zf8hz^HQl5%xa1;-F-LTPS;{XqAySRtSA3j@P-nDOj0y2}!-@8r=o#2rYX9a>gba3K z2?7#n|I&&zUt2W~{TidmgR5 zKW$D=H9<|ZJvy1#>sPRF6*w3Wat%EJs4EIws5EmDqcW#3(9NRaDz!#U8Oo(b$R-d+!mW2rV&c zRarX^O;(i)i&9 z#v0z24W1=dlBJ66sBnd1dS}3B(K+X&NErwey5wwH^|5vT3qe~ig(HgYKCM7d!TNQ- z7uD>wtK;^RL@b>L8$-3P!q^8!t3YBK{Kjaw3duWkbXM+)p8(d)to%5b+219dc%{7L zmvz5{SQpKVWL~oGGZZC!lG)4qV8&Fqk?vmc+cZM=!q^u1^5AY|WznNlWYm;~ddMn? z+FJT9U=zUe&VZW#OCV_`sN~R@?>bQ;K?R-^=Q~kU{bM(ycA48W=G<8Wk&2Uj>L{o+ zg&3Oc#XSVQYv&PocKDj85PcQ&B0?W2`-nBif66T@&lj=d5`VZbwdt=$&_W0Il=Gu( zi)OsvuJ9$Qub3c{ixNqtyUK;(0j5NGFmK>X-83`m^C$pmHTAQY;b5`aUTT;R_inMO zd#*&f`UtLf#oqO?w0TLgc{=UiXhB8Rd`=ZH&fIYsRS4YDJH+hhPT6mIGlIsL+B0X> zQ*0zCl#%c5vn|mRJ7>QrQ8AZJa6N_X7Vl0PE>UnK37dZ7O{i{Z-)Xw@c^Q)>tD>I~ zu;C{P6B;d0kYB3}k{MXwe>Z%ehx?MQTp)Tq#xbYNOzyjxov@Yo6*C?y+mnOs)kkhG_D+dYUyW< zd>uGCRRK0qcujx9OL;|e2NCWeJ-Ml<#dWU4g0_g4eK4=(7-dE5T3ncU#WWRNmMc1u zPvL?tXj0Pa;3)T^+8XRM<qkD)Ki{DL0BU@xdwJJmoonREx;!dh{ zb4W9!UgNjE5oJN7*S3q29zxhlQW)}m(FND<*vhkBv1i|@%DSmW4=WwF?C{Lo1jxls z6SUEYfQIx?{rr78cF;2sG6Vz34G|IJcTY?jKb zoc>)UajmuNw=FLb6uB>ms-X7QS}@Iuhm><)6fb9%SKyeXH=E9UXBE9PE}w6tRM(2 zJGkw^bYO)-$|=NvF{CD=sDMZFylgLndPt7CiC4!}Et%^hEWhh`i^(0rLMz180M&2`UG|HE`ns99Fl42xZX zoQsfPdHw$V=OIKBy$*q`>n@Gs)S30F#^0$sXg&Hl!Mw4KD-qV9LWh!YO^?E&*22eWBP0eir0gv@qgc`Br6X_ZqLm$NBiCV3PNcWgTvnWqhWQ1-B5 z9ZG(oCC~9ALB+xh-bg*v;k$R8u?CK)0%_5TVA^sF1MPslD)_d1l(xf(Vz1YAhk4~6 z46hWKv(|soSub>&G3jVwi*>|w)7CqW`b7l%<3o?2R>JLvFpiNf8(V}r;=jb~+KlUyo z?SFqAuFZ#pbJy7Y^JM(2JULO^`>B{BJ-SKC zk(c9R;^{>uiGP6ug>MX`xLOncxT<`{*k~krb-RPAU+?q!mB?blbxQ2fn~~>0@y+qr z6h5qiAtEh{1;N7aPaS4GcNF5HcJ?&HBTvtPB?biR2qlSuYKenNg`BW{4~qUYO^gz4 z97DVnt-u2`i%EI)?Ca9I{3wT|H|5F2nFlX*J2sn}^WHv6lu>RtwA@J(M=%<`8}m7h z8uq>O-)yTI>ACXB3imSVMo|h=(~_ih7k`tlCZ(8^ygE(j(mk+Syp|J5EwxUVJ??yK zbVXNx9Tk>N_{maY-xnFTm1TuilnkZE#sE5`+~OJlb24ISh=wnR9tLN%c9t4NiO>}| zg-IjdrC1=wiID0)I)wjDU-Id|Vg*8(EtVmMsnU?kM=oX4iHB1fI}*LH+#~lQwGh>? zZj&4ULV1Z$<{i`F8{2U)HwM zfhJZm@Ui^Dspp!d=u<2HgZep?@Mu-yZlK_$AaJiTydzjXIq8|FNVs+s@83TenIufS zyUs^aT8!lXtX-=vAqD^5R;Ed^Kms{OhK7TP!$BOWyp!@uX`2`jb!I^6NoM5oW2W6jf=1T652qj720Q8=9meHFe7oeTo`l`9 zpu2A|lyG3CbMVA@!%I3btx{SYkY;*hLvJ6*4?*!$A}Vj~AxmySEUd<8PObTTbHGNH z$$wDn)}?yP4_&$1T1MVv`-YP*vBhI0lF2UT()+Fb@&BnnafQUG1$?GaoKgZ+sbzhL zPPvny+z6KC{e$47X@z~x0xq5EeU#lfy%T3#{o|A1Rl{kfv~p-a-Yg7(u*}z{WTX{) zjOw67zkA7RsSV>YU>+)>wY@8$xi_qA*+be#btFpAW)4Wh?_MwH|9MBv75XoII`(8- z`1TMTQhG40M``pMFwk^vKl1G;_rR4QBlmaYfS4YS+AOHPNM&E^Dvdq1IeG(cqjo29 zIvECJ-0hgjec)&GD@!_S)U>kBxE9fFQ*sL5jB1qlyf+olrmNb_{m00EmcRE9T^Ala z`#Un&F}uAr{17oIi#d^f8~ZDv-|PDF+MoOveVoSRi~9Fr1_It^_JY1*d2GG(cbI#H z@}vha(IwRuW$Vw@u7y~pznpAOCTs7z1v?d>TGOur#v0R2F1FZ=S(jJEeAxW*iPEue z?nG=;I?6<&nA7bo!qfo)HrD$frWC6ksHsU`mZ^3v*m9IVw?r{Njw@XKUF9pmNR8xv z5z$i(+?Ny{r7Tu(t@{7|I=zNyBplujx~>$nUEgpTrXnI87Xl#niT16$J#cUE&{9wsrYLMW5m2l;uvIP9F|wT<*SE~_@Wcy z)5iR4J@%Fw<%(~2DLiT{+X~rp_N2afHtm6-FH&+RGENxwiMLqiR9B#{od zpVKNYl-IQKW2C_A4VyCbiK%tc<4y|jL2`_zOQ@K)W^|USH&`Oc zafflvv|eFls2=y2Jnyuso=-><%cYmlA^x5%*Qgf*;v{h}y`;f2lpq|^#^bkn7?ZVv z=CRoH$j~eE_2pQExy1VKrUgw3`k9%;`zra0zTydr1^O?`70c{~OyK$tEQvKIa{c;~ zV66)5`{aVl@-0Io_4<%||xHW^dPFN4*j!BVNXi^0&76@A*!CqMo*7KV`)T>*ZicK9W!9P0Sq@nLQkx4JSo)IA(qEg$#Z$jk%H~$RI@O?e{DB+DlIwA@p zTAbCcaqmQBCKqKmLFjt>ki3a{0ozI6IA^j@(%khc@HDfEO@p~dX#|+|)20*Db3{{L zxu=aYPns7X4RJDhZ7NR5-NYTwMM zLFOde$fY+WYo25Dt5GmM;n@a}5q zK?;*}fxqr|QCvNoy;Vrcxjp)9gHzwZUBGqN6D)4`itAK0yK;Q|Z=F74PNAg2@8+K% zpg3pwyT{6ZSXjbipI18JITz`sOnb{lyT;&Uj4q*vE@L3Mj)xGjy(<#3paAH03-LWm zS@D=FH;V}(;j>iSXgzUWbW(lq8{uZ9g3YsE+!Gku-C%q5WW5m9pa2Iz5OJ8~9b@u^ zI$0$VlN_kX`GJF|WmfcJ8f`x*GC4a@Dyt(Rl0HP2Xfwep1GvQoJNNuQ~{l4%1C+P_(noQ=w7HGTmH zinV8qL$Fys4?u;ZZoVw};EKPaWiyL&T!J9zBAR?hL-rIWNoPPYU_!Zdz&7Q;HlS2! z}ZIr=}Y;O?}9U~rv})UzTqqKr@CR(jhfKk^HHS~(kk zfgDCFd6`!G!iFfLW8RvO=trVT+W2aA;BMcDRRi-Q$2Wwms^3PlQ01j?AUo=MO=WYL zL*A+}>;+EhQV^`#reW9i&POOhDl&REikZXpwGAX$BEC`|(KR|c>=)4XCN|MNfP{QC$-ikCKdc_ z>pRbDK^BaXFB}ZOhxC?`wrX1)@ZM5Mu4k^jTP0Q;kk?2Ddbh2`BXD&w|s|?<$`I=9}s&~_F^A+wZyi(heJns?vAg!HEhpKaCL%YAY~oB4@v_Mkn} zUkb0TI+V-5w@&XssTtYOmb4k{5}f04LC?k726o0Ci3eJ;T6cPYW$b@)Ps6f3&qRYw zc46%8)ZmQodzB`6nvwEZQD&zJ72-NFbTge?3l<2vNnrXa_;f~9clP{3%KKbZ>EQ)1 za3H@g;TtRkoxi}*EOJkA{}R~!A8Agt8>*q8w6yo_V37$O_AzJEB1Yf_lcIQh$EP=l zjwkj1O}yYEe|L)kD5I_C2*N&LPwyV3xN?RF$w*5TNpW58y}#%OvB;`M|C6Pr$hHNh zgN+G~N=2jvWUdDuZ_6_ixgo5>~@~|OSRsS8|`jG?NzRyeoXEKmSx;*t@&t` z19YR9+hmZ$bknJDnHIw5=ex7eR_MlbYG#Z?sRwWlj+iK+ev&vbu!W`=Z>KLB>TzXW z&l#b__VA^fx`Y31m*hsE(pnhseFyu(X&Om?JwrYFti^ZY8J7`=_5gYE#a^JwlXJuZ zS*y$j+SJ?J{SS>e%%H*&>DF`ku)A7<_m@R}-Fut!NJNW;U2I@U>5|>(29Hgrc}j?D z+L`vNhQ~8ZQ_kh-PNEH0UgRg>pS(ufcONenu-aY7Jiql}7wNnK;LMJk`8PtHt?39$ z{+H}L`nH*U(z1N=3fr6Q$#kx0hHwCVJ|^H=ami7Rke6b?)Lq&iBSB@48&lXF5q#X z&$CsAgtp~4JSN=$t^qOEp%yZM0ocGVj^GHWB*xP zDwXHdZZgMsl*m>mku2h_FSJT%gj@oCM6380ARQzZq4!L!ZQD6* zV}|Ah@5NbMpQ0N|fmEFEu5~RqC0}>~vBI^8xv?E)Km94wN6W$}%|SI@W(OD7M{Ex@ zlRE~&!U5<0qvnnJ+$}Q#Pbbqx?d%PZ#>-Hi8k$hXo1P8n5~HCw4{$xxA6SH0hEy!1 zU*hMGu5Wmv8Q!4}4CyL^_le1hKbYLL(gy2thht`Pq}ue{rAFOc#d)G5oMz+iQ`T8Q zfjoA(QcCP34O{?fKpn4Bro@(0Y2TngxsvRr)=uA|xeBy(kQFWW?4pFx1&`A5Jmb57 zT7Ty0=8PdItP0tBtdT~5A!c}4&_F-^QEH8q;(WeBP&~8fFw=g#hH{sV|yrL53h3; z!n%HyuRP(!+e&|BX{KrcI|MG-asK!n{>KfImmx{9G!xviGQ#A(st9xFEkV@B+;Pp= zqsOi=djuZ2`}TIo(-gPizxtd1#O<=l#Q7RFisS>PUQjE67p%yXhUiWEcdAp_)laj5#$Tg69c$XpOP&)Y*g+1`tp)&|VOVd|7V)uDmn*&0(nLQ=ZC&+A zAMlR<(d`iPa0^sxvIF58RG@nJS%H-=J?Y+ry#~~HCEnPqL$h~P$}W}TkSNyfYu6PXC%kk0OzN8?cnrq|G@N=z z?RvEiXwp}}uROjl2(B6tQd%|B>9hkY&`UwuR*^7oyz0>$gufy&Pab3Bk);3t_*3vb z!i@{RSv!k+Op<%m%u630nkh78c#Y(U*`zGeFhO%Ge9wJeR*Rj?if}agO$M_%kp@$- zZLeinhbB7PKt@hWxf+!7Zo_y?| z!dWad$E2xvd@-iZsuMXro|7|oK#5`NesXgrpO$iI^hAwEwaQr@vH@JK!@FP1hbwuU zryTE-*HOOy*R^T+FA}RAX*8WRKa{k0G^9%kKwc6+* z+~)=B)=}|K+OrNY<_WG3e?auX$vyaY>HVzO2-zWtLEwLXS-;iAtE-&JS>Q}cID@ge zh3M7SU8gU_GeZja5_~0L)1Wo{z3^` zW<2?M>)iwb`gs2hFglSYmrx`ayXSA>@K6GWp%uGJ0wqk-@Mm%d^R|jMqejO*EcRXI z%EH_lw#N=fGmIc>?{{%LbckAd0kFoE2R?T8c+ZLH?Ie$}$1ftBu1;Jk$ci4*&|*Y6 z(7%aTK0oYKrQ75D_P@X4mDLkng9q*ZWOPhL{^l`JC|X{(e@NRlBXw!?qHO}OC_c06 z4b533!hNRov7)?_$##=WHm)J(zG1QJ&N#^iGAWO3b|p&i2vd5=w7lyA5wmq@ayNj7 zD12<=x?-3XHL$#$;Hr}n=X*Sf3{4XV*%3JQN)#ML0WwNK_;cj#Dyu)^i|LH__eaHC z9s}Qw*D(En@HJgsKNj&#?Xpi zmI*is-byXXc`8G&6Q}Kw^SFCK&74aoYBG0G2G@O$-ola~dqSfI%T2Xae_)|3S({RN z%z=;S?rKv1%o8P=*)vgx0m%o{wgIJ36yRdUMoZ+Krvaf3(DIZmKW#a8%;o$3K`pWr z9o+em5*PwmTdMRvrGF4Ft3};Ak;#9?9i&GE5ds?pFoKAD{(hdecawIwG%T zbO9WYSCIh^uUoChMN3OP=c^K^e}8WHuB||q2=fz{bxn}rg0_Fg+u3HCI!>o*E;Y`O zZ;1vg+Xa>-hZUb46J*{!SK_|K7{>TeWF}fLIOYBF@@_&DtHC2OrTUi zippM-FFH0nlS0MT(&#Jx-V|Zz%4BurVcIJeo$yOl$w-hk#5j#IsN!!P>A6tS ze4mybM#dZ8syJ#Bt|Pf_LnP)Yn05lt1zLi>?0#hf!Uk;#>#>Ek+FTZ_2bShz3xM0N zPj5wrQm5lc+z82yrS`RdJ8I`d9;c8DBNlU}E34ZdrwuOp!Xn*&a!#_6M(WKlRgCS> zvI^hmk&cns(*=vrL(E5tr2L9_b-? zR9DtwGN?<1?y46Y>-MWQql2vaS?OZc+5!fD*R53;(lt^-uXzXO&LFG&xRQLa;xZN7 zC8I-7!)2`umWE>j*v;ox)W{^nQyZfgy8SiC| z%81FOPYPsSS@||0&L*xN5cyE@S=Jo^=?wPZlvAx`VdN}Vec(>K7Ko}~AfS}8CuG2~ zO#VV!JM;oi;m$b%;?VaiR5RG8!2iCAFyM!Eq!+Lye;2@fS|KkuF@R6oF1Q5rN`!WG zeh6@sdDwPQYCq;BO)&nkqqFH98B^_NQ(f_(K%2eI-*DMWq?UwK8$llKm`xDKEYBOlC|>@j7RYKQ|lyAZnhfklo z#`tAOxW6i(4YF5d8aQVB^JW)dWx$4QQ;|2_wlGxM2>mXlDIXUSKy*Z0G0Q(Nuy8JY zZ)-nMj}Lf#6gJE_18izmG=Lr03SVCoVJS>KB(Dkrm&I6JcM@>wj0#qCa3;>UL#;s~ zkan2&0bBL7ab%61Ip|f>-|4o0EdXm_Gt=IM_C4bY*su(;#<`*U}lQ z7G%)MC=%AYi1T=9Wr}Vc13z$2oE!ztOvva|ES=aKLfuo)a#aD|E&7b`>)n|#zHN&> zb)$5vi1a@hdE!oYK3rdXsO+6P6D^Q)(|Z8Hc%h=^-i#Am{Z93u;3O6$@g0S-T# z)3rxRzs^q+(!`Uawc(L=!+uQu%(2+0oq{?BdS4-xTO+TOp!(x|3;;uV1ZN{v{3czO7YLCJZFV|?$mVsyMUNQl=#cGNhERglS+IszZ_2yTj zx8go2&J8aKT$4rPA~_F;UYyrTK3q!|VZX-xDUj($lKKkQ$uQvD`b(fsnj1vNjMvWf zROR{jl*wE{x=xjP@0}_>7r8UYtmYuUtelt^i2LO92?Wsxit}R%PCX19p$e+p)bN~ck6Rs3&)J5GOJdK0MCk3~S8j@V-@Z!< zBfrt_X1P4stocf?&d%^@nv|GrZ7++(UsCdMc9dbGe?!*}r=s&VH^D<~!E zMD9|v{8-LJ)EOAnhotDg-wg|RscqbQDVocbKgXq0>$oC$KP+WmOhxPj5sb=wVDuc- z^aV4XP@eF#jQ0pJ)%?2%`5AwlEigbw&LuQ4 ze+S+i`QKl+%>RS#OhY)6Yvej}9zC6!k4UsUL1ZN*_$= zVk#+gUpdUXyrl_+()t{$$8;E^5;aE<%nG@SB~#(43YtpSj0cE&`Ga(Ci8Da4S8sEv zuM7HhXefP#qdUyur$X{ZyA`leT^8~O#K%`Qeyw2|#+8Mx!I{sh3^R%s&qlrr+6=LB z;6GMt0*^;1qc6z6paIA;c_&Zgddj{RdDOdSA|`qN4@lKB>x{frmfl6qD2&fNyiDXc zd=2xQ&ZPYNYz*L;pByu2#iS#4m;f?f1+)VJoZjA*_K>3cIKA$OMEl@~TsIk66;e;~ zm#$Nlp7O*M8|_VtHiH&sjc{aw{>H~9r=_j@lv$0bZK32ssqwQkrhN|7o~@M-V3?J= z)Gu*TB~<}zE_JCaxam2y5M&oh5TJpzKtWdSDUsyNrhM0@Z9h4l7Ai}u&@ zii`O^pc93o*pbpG-qGI;B3pWmI$JD>ECc5rmdy9s7`h$hxjio`=8{y;$F2z2%mbzoXv9LF4*tXp?fpw>fRX!zC+7C1csU{hAl{P@xnH0X1}nz+!Q=bj!tRz*Cc96)=uagE}9%B z&PmS9oGQ+~tuVwvK8_;d(8j#<4uL`!nOyPlH2lOdX@GbR6I9_T=ReGPEPM3}Z7f1p z;zs*EZhO<Wd1^O}^IbOfj+V%NalA2|bwW-&bux z7hKWze=e1%J9QZ*6fnu8ILh51kA-qe_?`Y?>brd*T)<7PpesDG)MK4I*Ajkn<|7Eh z-xc_GD>yjMH#Sp|-V^os?=G&C6=TgkW#k0;Q1iyKP`N6`eo#Z+6!WOqk(0+1o%jLp zng=Djq-E?NP@O1^Zt(w|QL9DUI~Qbjf4zrGET4TJP-3{VO7b5s(WwnGzx|Nc1)HfD7uz)I+t9M%) z_w>ge)J?(m$l-WJ+v`RdS2D8I(99zK@9%<8m6Qa)M1BW;i@cP&>&5?kH$+3v_1gTR zgW$0d^#?sVP+a;>N-3|Kut__L z(H6<31j-5YRqOdamex9;P#2I4@@41B(cDUc2`4S9^L5Xr;sacvw^)8WR5z2&PIiQstY7x zo8tmuwTzn*zAW{xDH9pE=vLh2u}E6{1-t%0DNxMppnWkWzrSjqW;ggZ zSZb+;CXy(h!5H{GbB@WnwhcS3@*bbh%h!&#d3SV|@wu>xB=nLU^;t1_R>c8*9&q2f z52C*K$a#^xX?Egir@Qym&@)~GU_NBprOJjdB7G5np9%ZA(2`fkXIi|zGzqGU&C}mg zM^Da>qr1gZTxZHJPQzcE$84AKWCEK!<{sWW~G2>5L+bLR9cQ!Ljl+)KvU zQZua%`{IUN0q(l60w;<5WH*2&Ej%mBXSq#YbGSVi>1cI)gj|4a1lQ6VY#D=h=|RsGVkWYJ7-E&d+9hYSAN(Xj=x;uL z;n%;iyC<4B57HP)r$>J5SDD3*zkuIj8V=s*J8;>cIN)z^1ge|d7THR-lxM;<6~j?P z>G9x^7C298>WZL|W!z!3w9bUey7kJ6xVTH0xyxOS3kU}ajP-!zY~hG{xSYlIAl6b( zj>UG>BRj+`pcyGl^=qQP7`9Rc;;z;jg$_|ARQVna;o)~XPL^A1y?2bZGge_3>*Gwj z0>j8=skjRjsN{`*4=FyH>n1%i(28nmQ`RS*fm~_x5Xsk-fX~<{d7wj4v}6>`+32kQCT!C zQX6iG-aJw{vH9ZzHn~@801J6MX`N^fgH5^Qq;lt{wHj~ZKNBB?DT>UFkKJk_**@`= zKaKzQ4X=&GouqbN7Ou>&WXGYYwTbxjIJ-PN8?hvU*LyzA*GQs<86&GRBh3U%|_NIRE{f?@IaKUl?&gDgEVW5DBBlp*@DVqBBUHss

  • !XUkaI}r{MKCP;?xL$wMlF(JpLWsW%~#i`-u?IF=6-_8zK~Z-AHhcYwiy95!+sk9 z|4H!=*MW{VloSAxoCmJ6%_<)zSE$=wN9mfp+@rleg;j0(i{r|fINQ^?{1Ed_h&u;& z6p}Z;0Y#UktMyGrx)QRI+m)+p^@R$lRLv!1l?me}>Vae@R6l|<^7V{>P>(;|6Otpe zdz2nYc*+j#hy9bgNW5EBaTQvMDW=e80e-f5EUo0BeNKP~YNzXlNF`Bj=_8a&BJ+aT z?rGk-CwDmR)cMV>E2niHOsS78+4P2|$LAoLPp8wz1CRjkX7vlEdhpgBbQ!jfM~{B`*H;rHjb;l53qLg5u;%=Db77FzB|y4FHddJbos)`r_0-zTt(f*rhdpK7xKx7|8J$vM;uq^)Dx4~$ficTjLC&ZpKn-2h|5<*>?98#W0pvmM zC(JmM;bhZD)AU6{T5NbjTeUFKsOCC|m^7x!Oigf)lrw$vCmA#B$7*WKOCV5UG;4J$ zTF;q{t0fhSMGC&@h_A;mBa2@B9_d~vXGx^aoM>v%4in2h8u|g{O zjb#~gO5AxWbJS&Xw7ZAUb_`zb}-J&i-^`vnDoRK^r=?)DlQGaIP0a#@!VpWf< z3K0bJ%O@iAr`P43k%sb_+!H^6F0PfbI35x=C;&5?|Lt1XajeSG{@0gxk9X}07;+L- z^bA*Wo7}kTKQsfX{as+$u2zR(LO0=uj+onzh;N}5JU&)s`4HbkJwO--@;7H*Xbtmv zY#rT^a^QliRytE>xQ@W&gVFw7S}~LU0>yJ!pHWJa_Z$@d)PD^9qDyRLFMSL^QXkm`_IRrDlYElf(#*Ih2!^kK=V~%f~*6A-mQ){RT2}3^iPg8 zoHpZBGBpo81iRag8`C7MEPX-FyUGeM$1X5@M)m!YmuiS>>!H1@$$VLA)^XP_-=FkF zO|-H2QXnV1{FisgVh))~O^l(mT-Q{mbo=zYIQ3z>QEDYdjnFaZ>98Ap7MVbwIVpBy z=Q^QUc3V)LXOO*P^)xqyg-SvUGKq0qI2urikiel1Kjr>PWp}_&-v3sSd3ezYmjL?~ z_&u!eNZd!>KlHk`(GVESiF-mjhS@U<*tIfTCBW;-tE(XG;RUdRH6Eis4x7~XXM?=MEJ?72bh5!XR1*p}JJL<=~Q&^f0P=H?d_B0?VfiR+&4^+IOewz-z=|F-fnX6bM+?Vsy8Iji{AGs{ty*ITbMfnXnZaJzT)Bg& zdmP7lduRAV!d37{IzdxjsP%rJ0n7U{e;DUY<>p|m!}q=8OSz~Pw8nxDYbpO%=`Cl! zEA5`$nUoFlFMe5AS&#m;WA5hc7*75wcr8%1AByZeIr#cFYj^mIMEGWnYl&I^I&X&|E^Y@Oe&oT$WgE2aIHE}M0=*M zoM*I&-y{X_ULHkpCIU^W#YY<|-!rLHQHmq)KY7-cg4v2@mS^f7GMo$ruW@wxWY~P# zJ6zCw!BB3U+;x|4?l3sQ0*!dBe!Mr~p{vKbQD|-UaSOn?DC1FG&@Tt$iXC9FSSaBN zF>!PDcP_pu?(3L#!+U#Lstuf`LXdnsw`(zefJ(!CVgAd)jAAm6-GGx|O)Z491~c|QE~nGM}P=^IgSKUL;}5i>@*y$;!a!69BUMwCcm%~EoCavOOP%^A4!%k&ENje zoFXuT3QL~(qK&OuE-&01wIZ-Ls`5JW#}p5KEF?Wk z4{yF#CMX78uue=LNR~6{Y%12x`g_?)0P4%XoiMG>761z44yGrnag)gowvL(GHi+rY zYDAit1|X$NqRuTM#mUk;-JPd7405F{l`6!pCbd~ktl=B}JBT7r3`lXrNA_`DJ(Sm}q8k|wmFiUCK|mMoAUXSItBk>T0IPO1q!;Z5?z zk)z1Pg0V)Po1ZOC1ZVU(F7m&QxR#*k_(TI0 zicK7KEdkzJ8;KnDN$>WMn34^uytPB9nj+xV@7*Se7VXWmHa5$j`F~!{q|awHCAcd8 zi=Ps@5YbTou-MRF-w$)PHA8KAw&*h?BI+D=nYtoH+T4_M-n7&nlNe#(%*b1i^j5Vu>J_21b|JJEo z-S4=Z<^NK1@95EO=t##9qj9y3@Q0?bkyfl@hhJgI|NdeKB7QnS&b3E^;8+WKt&sPS z#Hg62QBaWN<~B{yc#Z|xy|hzXwQ=pj0=dw=llGj;X{i8J_Ycp-DMStENGUR(fMc4j=7OD`2_cPV`J z%Mg>5^wOyCIzxTVUQ2-ERD9SP@}946i0ZCv8taMgRu9ba{qii$Ka)OMdZAA!-8UAa z$RB(wc|G-?iHmiDy_Fn7`0->;I#x)tjMdGqDzatO&xz}p2-QssR^o_m5+9-cF`p9N ztpxEIzDT%Nt%7Mx+S5QY`QFeZioEA2&fzx4tFf6SD^+Gwk#>aUcqXrSyeoF3oI(CP z?6Cd=cGqG*FIkA7DdCKcVeN(q8bYIGBxBD`k}Sua;+d-LO!k<0y5BXuWGlA4V8-G9 zM9iAi%FHT2s4L=xuZMF+xi^{o$`c^HnFl4f7v4*;cFc3P_~UVzu(4>+kHj6Aq)Lzs zBBe7s*{m`{%uJCtJC<=c)?K+*LLT_V#_wHDP%vZ<1Jx51^1Q0X76Lu zl<0rsdB~23_T=Z*5xH$OBkR+6RQw1scx?WozUrewak~paADrg3+d)?WNr@h=5@YUT zd-pok4$D|3#j9{m)Tu4O>N@S0&8CGjNf@1VWRe4d+H}P%^}YsanpZ};iW4APKwUF^ zKcmweuj|G?s#0)JUG|6e!$iEYjBiD#Q6ax`6-uW=;-o{n--9VF1_xb1uFyh>q~H#V zcH#$uR_>!@zc0a8z}6(`Vu*$UuUCBCZVTM{9kYq2h|yIXhF>Dz>cHPk0-q1@9_tG{o>#B)XStG8=PjB5zvl%u5Jc>n!n9gJhnHN=2)_FNm7 zes`S@kG|@k?6c{qC~?f?WE@bx*x9uk{O_;o4Nc?NuR`Acr|3M~+3w#q+@GRCQhUaX zy@lALkG+E0d&S6?(n<`?)DxoFl2u)jBZ9_duslML7A;C}Bu>oi29i!9 znX!rp8UL*2OChCtE`T~L(>ZV9gTGT(RWZvQ?gXyF4JW3fVqVKgiLo+-3Ecc4h%oSN z^^)%$b-TXpTb1eEoY!E9Z4b#b7KX_NRRMoPb}_Ygx3F=^EFw)3Zq%issFxYyMf+h& z)Ij__W!oOkaW3xA^m`M>tiEH@ou);7W5AkGJ~CNSv|Ma0WJ6fi8pC>atBZBQB5rYE1uW93&~4|dLo(dpjZ)J_M|B3y?}6)Tkf|jr?eG#@V$_SZ13)EoxZ62dG9P# zh#ion;$Prb2=_19O4~k~%Tg(OP2HV(X^iQ8_R}g zM;GsVA)LeHv}=6-u(hS??Y+O%7X9g=nadt;dwrZ=g&^ zZ+v7rLpDKd?ts&)@ZgS*4Xy5b40}NG64fN0un^;iRQg&h6KMQao#m(Cvtm-LGnyhh zV#zb{^zud8iEXn%`W$BXc<98*6O^FSEr69*{L&{}s^y8-XEo%n#o<`0puNrOz!ov+5hWSl9ZEc3 z(y;jA0HGNt;HtqBtGk9DO26NL58qH$eNFI$Wn>^DmsOt$)JiqnjS6!q#vbh1%R>LaelF2;uZ z_eP*upi%K+JlR6+?+yPzq@;y#WNUFy(`u>08*&&Vy_x0Mn59`cM=g^*wie%9?F^1< zNTOE-#tsfJg|k^iiabw6i;l9wcu*=8dneYlwmc>}Y;Oh5SlsBVg#NwY$JNYfB4ee{ zzZGs@^V0MRkYk3u)o9d$;jzlgrR+MKEpG^B#qJL;C`T3DbNsq%+Do*WJWB5TRfD4 z6y?2QftNmIoZ=F4n%otcfe0 zI5*%9V|U$j*!FIXkS*LOUs2k_6B*SfvBet=k4v@XRJ#7zoS>D-JId zZfY!I8NR2B`x!Keenp?|xxVJQ33da#eza;~d@S;HljabKO=+4hK-j2U|2d+>M72XL z^K@Z{q$J30rQ7urL)Vbym4%RZD|b~us=0<{xQZkb081F zx>mlDa;#>(EHp)BW(J)kTQt=0L$7b1mO9a1zl{D%JlY^m{D2;270do+`4i_dN%k2I z%~c+)6nQgE^0A-z@9@5f0%JWnWDI3QwosWR1U5&$$ev0gMOsTjki@8cYuiIp`AK=6 z^m~vU>OZ&G>_1n7RfZ9UKg8xzEJ9sOo}3NKz4mSMtB4Km9xgHb~*e|-cP-=~!$WZigT z7ItcH23Z$N_ey|noo+(5ka!R3fmG&2p4#Y2smXfVV1OO}Oz4*^aOi6nw(ZVLmw}gg zVO9ltYM-q1U>3MEAp8vEdyhtGqRg3=Zla!lHZo|}R+@5}f&U8lpu?jHsUQcgR=Ic9 zFr-DW|}mCBH^+f0sT*p0(V#6A4tpwiaFRv&&TYl~JRwJe$g zp_-__b;VuZkbyDJD*k)pR`ec5D>paOkhmbC<+h%0D@ad=6)}={Dl*(Tuw@S<3Uq;X{?kd}H#F`ZUp$4sbG-|n@oIM1Z zIkf>J+$OO&n@OditA!9_vD{+4^*`A^rl?Bs-aNv0gW3of85P6Qz>h*-vb8Qr$?1Be zsG&Oj!>-i6!J^VXXQ&t4uEG;Tx7&-{=tF$aPC8y!XC8TfZ;V_mk(Dh1YLPEzadaR^7HQm6P$lNV=F!+@rcS>Z}L z$qkQ%Q5Th_E|T70BcZw-glr`-RJxf&1HbLsT^}>u1BmDw^n}qR&30Km_~8Li4OBa#MP!t{k`$sgJ$;;mYH7c;n~=%^*H1GUaQpi1u5x~qIm_`pSbiF zT!H5-4IFfJ87upaF5eP33hn;h@LFsq!*#ATtP4-3{Zbs5)x$%JT5yB;s-MxL4EiFu z`2M}P#ZWQF0>gPnKh7e`tfD=gOkMWpbNcWuF#%nLi-{NEh(t;BFa9%kT?d%r!Eh2C zB&t*Ig%k^0yj@7AR{^Qer0ptGxo}Xz@4!*Pedj_*(XRbW=*9KK-{^ZrS9BVz`XP)n za8n{wD3Khr%%BATgI($RX6fFtc{8*D!xm`ro~7E1=xdmZY?2b?9B+rRWby)2vdRGxoS-~maIg$P-k9n&oBpNfzH_&L~ z^^N*O-zAC3Fr}67lGt}!Eb|RJ{?nw1R~rX%xsx~R*n%4rXewtW8|bX~$&;RGYHQ&Y zw{X$R4B~nKb+rsi@-QP*6lzKHgL;QQdmv`_eo$#GT(p44W!{{gWtP=c${Poygq1 zUu=Y1bo!tXx&_sEuO zl2GQ^dozA3gRb-woMYC&!L584D~tkG-)CnpU@MzdMt)3>W7$BQC}$bA)K3V^fLEt|eOBJEM+94Tnq$2skP>jv-Q4X+6hImg;m%h&4HoUhM$ zL@l>}8jMdoOR+m=C@to+SnT0pW%Y64qh*fHc=8_>8W-Z*A{pnO#q{8RG%2dPo~s^0 zb9t3N<|xiTX3ri2Oy?r7P8I;S+sM}miW6HXc2-KvFwgZHQ-zO+Q7eKL_Uzt=?{@L|&LlBvYV{7r8z;6X~{oC(KQm-Z?l zQ~J@VeCx19^P-n-0W#+t8!pL`OG!RfrZ}rPvGSE_Q5?l> zG!+a#yM3 zbWf7Gp|pt3IrDvV%cws0Sh|qJ-6DT|OGzC@V+|n*$`Q5WIDbQyXwg|3Ffa;hpXc-U z2AMf3@=xw|FXZz?|9+-A>yo~?AKR6=?+mEL{GGw7Kc(ssqJE)m|893AX#c*6srvn; zk|2Y85H#Xuy9>#kmUzy*yT4!^RfCcLUXv*1RRJx#;|36O+Ba(oJR4g$=ksR5e8Nbv z_Nn|vbF@HVl8%o-+eOjxCg!;H>j72(64%smSqUxvUR8kU+5QiW?l~M-{-vFb*wChl zt~Bw?mvm4JAhncL?8kZkscek^-UTkPApF@h&u~+d9Q!5P-vIttv$uS5n;!I`cFURs zmv>8(=2gII_u@pFh7_ zoYc4vZ}6d<;@95G8?8RhL*zmA=dbfyp4jweZgz?KKr$;dP;^GG!t!lXk%w<*f(R)h zHE=-isS-crK0S(cvMplLN_f%NfXBo8YuyyNlB+KgDR*iyJMRBmP^g~|Ax?|t%$eK7 zJw*mKJV47neSZjzupik--+g;U^M=$EK(|}dRe9TAm|Ps_h#J!K%A0s~-pMpPhnEgr zGyh%*5pB*p?&~9ISMm$_qlEDt5;ZzTKBAg{4rX+UpEmV>&+E22l<@EMfcR;}e-C#0 z)_%|~lMC;Q_JXWc2^5X&uNp@#tbEj;vg)R#%UlMgiSH9(EVbEd)_t(DyTeH0*B$?V zlC0P8euh!7k4mQLyv4dw#SeP5-v7M;g$q4nk7)2$O}TUJ!(iuUDt1bq<0C6h3PC?l zS?4ti^<~(w&S>XC_?Lxxjm(jPU+zO4^$zk%f?!)M$F~-sFBq^m+70SsWN5UdDPB}t zht~9SW$depe=36Ou)V`#YYK)H-W%r}0g^8O)zY=JUek$#IWX9YJEQHsQ@E*phNiT6 zCo}7=Emf>t2$pQW327a*nvJWM$VwOdnf`7p`$5Uc^4t8rWv-(=zm<9eg}y~Nj& zyF!mr-HKpZB8F zHAu^X`<`4>kHq04-0xKNDeM;uLs&jK_*5>sPpH4tgq1M}TS`$_H)Ly$atn)OlWdDH@iZbj?-0|;16VPNWDLu!pN-CI|Y;$ zYn)`6DIX*>CItiVBgoUYWJr8Q9u=4IJD22Unp2{|tJP%}(AejP5jpal5;kq0Bma>A=TBM51Tlx_NE9P9I_hqehzr3RH=E&iK*Wg7OZ;)})y z?~%dE5DX~zcE{FO-lrqTMDt{=J0NqH?hcbl$mG%Gk?`$7Q+V{BinMv{HRV1PQ}uC% zN87Cl9K-C1J> zJ@`k9*R&V{428dw;x6VQ`LE6|j%IyTb@97fjgn!b6xVK{v55|8({c_tt?TgwerkJ2C{cp^kER#A@$|R zAT>y%+(^kn`53axa%H$YXa5yzFY36T(+v4)_gQ~cdIlW(jUyK3&#~bh1BtguV93kA z&i>LG&Hl+ADD0Y|qU;@LlyMNKq>{vKQeDynpUY-HkP41_2Woxj1+jzbHbAm z=CXnm)UOZkXP_8CcQk>FoTTO4;w_om6%kS}Q1*RM@-AISVU}iQVqFLX<}yj%CMD&5fo%%eV$Z97=g$(U6YHq)WV79wS z_hgD>;R`H@a;U4kGyJ-*o007BTkHPmSJubwZrEGFyLJzw)%mIvRa0acUz%v^(ZNV7 zei2m86g7R-ar)9q!AbyxM~F>7`kY7bJ<#VcY~UtJoVH)b^;F1Jb{7&kUXfSL@3j2y zx{Gf7-dcr8KoF>ow(zu0s{Hx@Qa@u405FbbG%jX;m(%*LaPS0KAQ_j;M9kcc!zqfm=RA}t zaC=P6;>w?-A)}Zl-Z;C0AwTrF6bYSO43eXL4J|qpLC-TYPNu%s5G1su>8HQwqS1W` z1ijJq`hO2^`OL7yb`_{iNX3P%7aIX`FpRcPo+$l<)Y7iLz1@-r=tPFDTY9}mLW28c|_b&;QA)QNJlK}i*LH%}P_=oYnL zQS$y;5<^;J(>qCZc!xhgV_U%)~iv{jFKqE>T&fSmOr(J)T8zpJe{sr0FTORuIwl*eLHSfH|mkToY@80 z;$s86OZ(nMx{T>&+5mbU-VQieDyj2*m<-H66Z}&NDDC1eNHIE&Mxg6hdW}ZKxDNE@ z6QHR{*kNzlXIGx0`L&V{9yzb#=hJE^B5Lk*wiQ~&5k~E7ueMvJH6wp>NB`W4 z>gv? zW6h*-AtIY`6BSJYSj~`Q+ay-iUa{4_1w^tCoz58+;AMSgQB-nFW56*NlTS$y!Oez&fmg0Lth=F+Zr4#kcJB4cUpu z1@~y{NZXRlRWMZ-q0f(6vtUosu(W_)UCfz{;M$SV9Z4K0QU9xqrE%*vky6Ez@`8yk zQZwx>SjZM(4-z**72+JtLstnSR@78aswkhX)y7Pzeu-d6qEN$o+U6Js1n_a%1sikv zE4Knf65y+3s=;-Yeo92Pm^D*`gl|pJ2Umu|bGGb;^w!H$GCd8Ll>)2%t7Z%q=SUMy zdJ$NQzLS~pT!RhKSI;e3*(Zse4izHK{N7B7Nwk~5^CiYFKOf!l3HLD%eD{634nlh* z$7D4Jd8~cAN3J)To3dii1-Mj_?yGDkhwJuiBh>wig!p4`GeJ4LRm?YNLgKT4$7ReY z(#~&|nH7eQl?t8z-Z?bgW3 z&&iw1$MBx&z7Oqy5_;R$yLm|}8#$nanJQWBreD`cOxWLDUREvM@JoH!z07CBnN;e3 zt{9wKpR4VQYCKv8Wp}ko4a8|-REl^5B%?)28#vIHtbTdI0z+qP4R|#|)*VK1#u?@s zx=-ob-0W6#I|qTdH6Es{EQC1S(Bu?vfIRKK8po^G6c}`F!GgzB8XtWB{R;9KFbtr? zN~^+ccpfKie0z=bP?Kj_Wy;~ZiQ%-uYQ?EYo?8Qhk!dWy4QuMnnG@u-(z@Oq)%1ds z8E^^_7Q&LxLGP%;>`FpX0pDuai{e+RRYGSrw=(i3yzE-K|512$sISJ}v_!DrsJr=% zvln4QH=M8N2P%1?$vVqThUL!u=8<3ifw>=43Ciq}fIlKNrcSHmowS_F{I_ZJcq~Gg zaWfm3x#?5B?alDh8^ zx>$vPp>KIWvx_sE4+b#e9vKv$yRCUX;X+_ML3)ENXp2dp8M|(N*s)Mag z#*Ag?%Z!G=x+>mM6iu6{Cp%-)Q_L&E&wN=qsk~9Z!l?>9>j(2}VJ*Of**Ws88xv3L zwIv?An8xrAefG;NG*t1?%on#adR%Rr})+k7HoEF4G9O*W& ztSatP^2iCn)K9L{z18G)S*$mDWoBxx0T!G|i$vs027443Gh`ntcOfjexKP~t$r$sG zfl^{MF;JdfW`_SsPbAP~WsMr>QWqH#sF_UniV`TnqQaOqk+_vSCS8$2WghHkwVqqu zgxJZ|LvD!X+F=k1V$c($62S24Sy+K=h}sPz7ZOW>ugf8OV`@N)K9zG} zr-wDV`(q{UtgA%}67~%pyJnP(`QJ<=TgxY%YmYAinD&?x4OW&kp#gJt;WC!xIXCD00+ttVGLr7(MNSs`T3Jp0n{dNqrRLjWHps-*`pgdJF~8T zZ1JNWcO@P5)%eb%;pCl7~e%imSRRnx#DKbBXMWY{Ku!!n(snr?wlBclU(`@FBel z^pz8v+T5{Y^sfhAnbc~V*-GYx0cGDP3y3YVR+`dUt1(;bX7@j=hGiDTU&#Kq7iYfs zG)8y-ArD8b(8=v=SU`#5z=4Aj{|lqGvX^eT|3kW4Gnx7t(Qd@w(S7$3%{aO&CM812 z5YuuD8qEe<&V1%K=mhaON;ojVimeFD9!*qCT5cg5X1Z zn|4M`t~J=}n0=cjUjPB*8^z^rWZ*zq<1^(pmiI)IGKRX-gifzcHykj7no^ZK`s{{V zf?VqKl93UL44m)j9JGbWk1#1&)849#7iqdBL0ILOVtMVje2OLiuoRtWR~0ytgMg_p$e*P`yFj}rZO~ZwHLyeo z1GLhh6p7cfiiFG>808?$2E+a^o%z4WE~=9;oFh8y$Sqv5RaXbI-n-);ZsDxh5-q!b zZ$2XpJMen_jKxYhFwxOi9B{Wh<%?9JNi3#$gtJ#(VeWZYz1r|sYy=bX|=;4e6}G3y&rAC_o+kMW(lz7;e}r^8?>mvZoUV_o(Q4;~l)i5>U+ zB9}2_PHprST5mbf$#PhYX~$t4r~+fho4D|!#lEL&o@YmUMNyX$ni2#)Xx&-#UWZm= zJ0BNeMJQRaufiS(rH(}A%yqiN#Jr$}KgzuIvGR$l#3xFI^6JltW*WZ0K0W#CLl8d$ zLRh<&#Y1eUAt1$MU5e3m(m&42b$Fg@*cn&Q4-CU@CgfuJil&(HGGgjm#j{*HS|gHa zJYf95)7B9ug@@o;DPOy&tjgI zbQbbOlh*9PYfUNI$eue6=)wIk+u)C=v6;I^L3D215I|VA7_-^C&pE;3XI8!&mU@s{ zIBkzmPDrt`|4IYNLe7|`vNK6kFmc+$lJ-uDF~9X{Riy%~ZFQo|3=+E5r!`J5z6(fE zl%8@27bF2q<2H9Lzm2Wr?q~m!FC@+3H95cM zevvanK)VK-{FvuAGD{Pa2?=kNBQBwpx|0YY!a3wpZefftH@X_ZRjmBa9tXm68lpg+G^$UroYVXVz{nIUOQw*m5-Y|RaEgfKRxxEZUaQp zgA5Pvoy}a)@M>Z`EYmU{}Z2f7S zpKqV2QQx_mPDbGw{whBR z>G0|BGqi1Mz(23S1mAw)EroemhSrx;%t}gngm~VHh8lgs2QH)59M&U2|4uLf?oanG zvPZ`HFq|=(>0A06=x>?uC5}vC0=0KVU;_v|@ntR}DStzQ!x_2l$e)+P<%=pZd7LG* z-yCPb%@)V1Onp^=i&iD2CS-v9fuT604ZcXV)1a&$f2>yT|6@{5X)iFL`8qVq{`W?1 z9pk0#q#ZQeHiRMskI_lOzru9kRD6u3BlL}c82kQ~H0KInUy+^*0WNjiH%2vq87kZv zd}8`!{xplHO9~!NpBeDza+adV;4GQ^<_;bAlfvx(NTd%u6TQEcnIauF8ddJG{W7V! zV7^k_U4RV{d|%~C=0?lwIi_CsH&UBPPlFjgcCDT{=4|!-!x!DH1Cf@P{f2w@^bM%B zK9_JHCORWu98bHMQFk+(N66XY58XSkEUup^Q=d6(PPdCMG0&H_R^904Drzh3+|ZH-mCNd21&Q@~XNeU^ zi5o41$y@AHA`Ta8C-OctID6LVSZs;&R4t5R2JRld-8c$p@K2{`*C)^3S!(_vdhYY; zqfxXMZA^Sg1{JwN>7L*hv-Mgb2`K2kO$Dh` zxO>7D;Y18Bd(s;gR?_?~j_X?S`wL+wnIcrUOgDjFk6-k?$Dptl4mxH@XLV$P?>rPF zgd&O62pl(~MHssIkpejd8r z2Dk7s_FAr=Nme;=nM5xnYu`F{HauZSpBR0M-E!!&e!5L>RipW2`UKLwS*-Y+--W5u7^&&Cy>At8vxb<*>tMWz)mA7`L{@t|=){Ive0Q4zMX%<3e3u*z4qm5h+kqJupVJ_VbDK0#817QWxlBlB+-j7j##! z?O}m0A3g7kcBLMhN?*-N<_H}IRB<&saklz{#mR*?w{zL%7^WR-#U1`KS?=IM{EJH z#Baiz3ZAV5Ix=|&wnfr5()8Q1lj$|={W}xVxT}kH(+dfm!zo(1AIQNLc9lkL947EW0y`i0aJ^wQSRX%{*BkwR0 zJm!}y5Elf_mjx#EL7|_HXS4Yu3$Zp2=^SX@_e>3i9~4N$?J_Fw_W%_%FX=y=db755 z{SmD0i1vFCom5LbB~Y5~<1ChSv~V5Sl*d1htAE4H_a;JwRc9_eVtWY zaD#SO1^&zl{82&C9vu1%scyD?m8Z_wIzt#Ds##{#%|5*RTV?RZwM!xerA zuNP)BDWje~QJJMhzA}wewjXaWK!iKOOv-$Kuc_>p37j+^k41kEaSn>*G2DSjzE;I` zQLB;NKU#Y$9u=dD_5k!d+bp80Y4Q+dKaRjHp(kY-!W~8iX<-LNjSqX_HA#>a;)0^X zaKk;j;UA=tI&1y6_X9Ie0R@03?sKw+{g8>`cyz9q5}w?)lBq2Aju_gMisVI3|WGly z-uKyS@+w2yQ`eGu&`OJr#LP5ZL!XI?F1hKN?M>nMN3?$G6P2N)frZ!SP|J8p3c2rV zVW~8NB3;~9Cn`nT%=h$KNsVWkkQ)(IM$)NuVv;Nss&Ja zIxX0m(u43F@8#{nu7UhLku5i4N%oITA6g6Jl0!viK3!eUbLY-U@)XQZOy`DFGKdF! z(}mSe@olofr?U?RP5D;~+28oP2Cm~{hI+2t0_DC7llal64bDHers~KX?wFv_uizL=Yu9i+bP6JX*`_k1*EUQ<6W_!HsLZhsgD& zV=>DLf3`s15k-wDEZyBedGvDJe4Q|Jy@sz(ggniBk{48Gv`F9IKn4{b=sQ<5T9XrJ z+InK8P|fd=H_9=eMz`RvY)Dd^$O`{SY>j!+{%Fv6!jY;-X`SGRIO?lU_%@?RXgH(b z@`fgQ7g~eJCL6aZDo1^zDTN{D7);pCNbS8wQSdyEWN=z#_4X z`z}4>dOPgvTld#3^vwc$nk4NVmIXIA(Pat6cJ=h==Q>Yj^UkuulgY~i3|#s}(s${| z+)|c1ooJ`6M1i;W&9kXHSJIR2q2q@R)_IppWUpK1!JJ8yV(B1pPDmBeP03_~%}a^) zBb2-z(RjTuKKXf~&2Y(`CQYHUf{tZAAQN-k{@zd$kpyVbiTHq$L~@vtB`RH%{61hyb7bs&&~gNGSX;2%D&QcBJ@*)yyYFb~vR4 zY|YLtg8w0#Lx2U<`Oe%S`au|iqDA^-!9@5rtel{aja5y}sEu)~*DQ2d_?-wAtZYGy zZ!?HNw%LP1%HHT3{JOSzaJZ=ep*@ARSdlVQs2_4qPn!oJDSXTsJ|ik+%7A-%#X{UH z>LMCIIrZ5h)#5*(%^VP^11C$v;Jb=H(nWa*Ts-Yk>*YOp_*x78j3-~MAYVh1Ge+s^ z6%(?R1X4%t_3#~*8c7cBXO@6(mHWzwQgn>GyFd%<@42@ye`;PG*usxt*Q&i4kDN_N zNCRb9A-Llz_^hSJK;!3^m?eXIaH-3kG#v65j6P-3|rB8HNDF6*Io zDt7HsF-Rvz3S=9EdVA9jIQ58HCSh}D-IKjj#-TxCh~qf z6!H{!stHu$KKtmwKQX;QMt%;gzNSBSO}1qULwp99UH9Zo7QWEkF82A1Rmq?&`>6?L zAVikE;V4SF9an_kX;6X@@-}s5!;|uUFddD+Oj~2-84L|PoLNZ~tAlE%$kLC4IzZFw zt)52Wf_=U&_#y45mYY^7zjd!)C{7cqv|-rL(QUc1ed4@t}qG+Z|7jU>4#i!2iK|)Q#JXg*Yx1oT}Ta+*nY1?F``hB`Di{s=jF|z zz*_QEY93wS7oX2&kEq@XvCb|`noH)nTgVZ@*{u79d`)2i8V!X_R!kPCd4so3dd)u& zeaFTv(o#}mIZ-EO?=(cmi$sv0)M4Ec%`PHHRi~1rUQBi%-Yi?loNX&(r``BTnJ_aa zx*Uzs;;n&-mMNbxy-}z*eSWmxUqJlsWOt&@p(0Yrsb{JMN{hgJO21|ZtO;CZSM+(! z86KKy5wi0<7-UXd5`W51-YjOx<{v+dHInzY&o@z7jN`cJ*sGay#Z~EYg?G!=wxX_^ z$j?5GZ5VkuZ!XMk?v4tDCynFRu%IVDZtnR95+3Xa|8Ps!6j9n1O?E~(N+gvy8?vdZ%h zHp;s=*9QHZvBY)tu4)H(y3#6|zBag8NYrA@})VUb&AfO=r#b1y6j z7|8E$-!IwL2;CX@ZP%DZm%3Iewhb?vab2ucaU2xEqO|AbdP?{ zGnl?MQXd$>-Bs?#D}6nmdAyrxo?0EJEqoZp8YYGpB5e4r`PxY?QDGQ4JX)^NC)nkHP8j|eFp?Y=s*pQXWWiA@g*jxUQ6%Fmpe!V z1p{Zn@|_EqCoTwKR2H7eA$f%SEUd^`_h+U#h6P&(F&o9V@GIxH-|(_Q4j5sskatGA z$Q^#LzSUFpXl<{BfVAsWc|X`<^abj}A~evfGCfMmch$sn1-EKq(DPg&swYdTlb}uv zL9BL5x-;r+v#4nIMtkT*78#V$uK0%KJ8S^6@Sa^S?|is)QgE{z-@j)ig=Fb51$RPt~(309Vk)Nh(qjC@*76C7`i6yh3>ViFMY=Q2tppA#;}R#uk~CWO=swO#Z+x8aqi>& zG#bj#$LUwNqDT9HEBlOP&8y{yr?8`Uwu6vA!RxuwY!_9`qtsfMnA*Wo0Lft+j5ED; zEK?t*qbXXm40sT{#Ia0%b)t7S8Cgy0mEKRk5ci4WSTVj(h(Z4^cDL4C0~h#9j2RV) zWj!|24f+CVPTx!rmIjOm&}dkBRzw>19@}egcnNfezG0*@(N*H^WyaG6&mxEXvFfe`G^IW(g$3t#Z&0(`Yw8p0bk`5ako|_E zCap7HnG&-%1&8Jca|ph2G;qlKL^)8(y&S*BX*|3|{ZgfLKH!8~&hTx&0F^_|vBSCx zdtnRJs$V>GQRvlBw3+HyC;Yu3F8xBzpagC-jgxMc zRyV@>Wfwu!jrMKj)rIOS#bph=bBjg`j#76AIubO|E4NjGKb#tUO4>H`*t|82aWtJv zP-Dgw2_{YVAFB$L$Qv8H7O5<35odU6Z@*fY^5>SYOg*J`E=42HfCKo4w}B=Fx&PW> zsU-zOdnwN}53mq;D*F^qs_n^0$Y$foIE$1l2$LDyi((yJ7YWKyZ?}h2ydd@G6aS?=eSr$-F0&9^4caOxiYVRtHJ)!)ZD=6?{Z z0Uwl2q%G`AH|HB@M((;Dl)+CwR+fR>1A{hpjJJlFR~PqS*{9-6^B)wZaWQ6Rqg^v% zTcv>~iDDGdzIQgccB^T=tB+eg(#_Pu%o#^~O|82pre9mfy+H}<^_|ER9k;d}g0gc} zXr?|-a=a1U70hHRanhMyryel7zVhFPN@_fMq8IOsFIRQqZl~`^HCiOrYvxJJtx>Uw88acVM~JN)5wT+LQ6u(NRYg?~g4hy!H>j=lXsd|5YZO5h zEvIR#Eso<@?f3Z`-s^gv_qw0^{{8rQJ6?AM`^QfGTU`ZV@PI}~h?hz={VFv$*S*zW zJUYV_Y|=kgqBr%rf73)36VMQ@_E$F|>)$-F^vKxz6pdve1W8=caSNrgfF2yP^EK$J z?zy4hZS=dMZQ^o@B};SBsQi>4F))eq-~s{vpm?I`lTuZrnB221^S)ELJr=Vxq8ou&#Xy6ot3s4mN8g0yrGA1-AZ6OfS2qekHJqO;@YoX#AoCZQAMhXw)2e7n$XB#lG^? zXMVO5u>YgOD?~DFuaH}1F1v4Rr*hkcxDOL@1Kgr{L6D1b z=S!sRb%fK06IPRrdGMz*U*IcPZTDEoTkIQG5u?VGj^Y6|$mRu)>l-#(3xHm4D+|8y zh_QEKb@3)$UVBr1TMi$aE>*1+El_vA%?vo1Z7BT<1f={dIrW27KqilJGFY1{Byy;_uI?}<6O_Ek@PdKLOBCju3n zDTZ|ssn2{0+bG=74$(*mDaHkbLj~f}R+E{Ct6_SM%M2|HG9y#(XL)bWiKBG~32Fr$$)|OU9D##{G>T`6PH_KY6|55@E*bJ-itxJe7Kcr2x^owczl!RA>>ALfto!m zF3i&Xs2Z|&b%;4{Jz(wocnY7~o%gSLi#1A4!9|KoklGBNSsIId1w|UP)CiRG5V6tHiQKg>G33=`zU#T@dq=ZcwOa9X z>}K95hdDzImcU$kDJ@d6eBnP=660;M2C~dDx9C8V*s&@nB{9MOI7gpt6Y@{`*>X1s z0X%*iCAyrx(#CfV<}#OLUy_;<$Yw)-QsWg5=Tg3kL*-4<2zNP zXY0jUVlC5fAKER&zv=q;&O-NhI@b9UlNX~=OYlPVN!mhFlahBwZt|$9P-U7&6W8IH zprC4ro`j{~!gyK&*gxtONb3QoX=z4!)XC8Bv}>dMOdFT@caGKgg@bjqPtJ^Il$QtD&Db6!+^52R1CO}dC~;+ z>A(N`gS{VHpw3S}-bLvA&7ks`YUQdtU18OeqQm=~mJ)1~%KuWd9Nif%&54-UlB^6vD*ob?v`O@lI+R9J8t>A?1P5pk zb}GVE%S)N|g+iBWU2Kb{98f+TXL7u>eTn{;PxU#PP0!4+{|#{?&7uW9&a>w+yD{sL zOWz-R$4aqejG~iQf1B`C8PX)TrtKTw;9PKo^c;B!B4~-+6+2vYTZI+5bXJ5lEXll= z^}^v&Gq(sSZW;P2TF+hjMqWFh!$#rE*E(7~ulB>7;Jr=Z_{Em5e98CqPK1hl z0-lrlE21P{@UzK!EHA_Vt-=&7d#1HEOv`d8t)-p?=KPgB;AHbi6=)dIfoH*wEc+u_T=E6ZGmS{US-tMbq>v(c0fQHY-9a1>mrfAx6MsmP zSLiirCeEZi%pya$n!RR+^Y`^_ZjqO$#;*b!2H;%FUC7?mHaezRQ7A9AytFYZxDnWG z816kHqH+rJ2zs$_{VM{pp8pq-5r|5{=A8?fp;wrx2f=|w*srb%q@96~le^xFEtxJe z*lo7S@VGA>7e2^#Ro%UJ-?-1as@NN9>kKdhHzMZD&$}~40GaiT5((;=L?pzz`REtl zxL>sOor4P?^2N_4^BLw*3yH)e-xY4vIe;J>cZv0#A!`oZP7Q3xkWU8*kLt7mqpK~e z4+|Fhl{tnIUOy}8PoERIeFYkWe2F3r`M*VN)e7sB^GPBqQIN-eaJ)a6ai6Sr8! zzTy9NOX!U+MG`jqBhB*05I$oWU4jCJu9Q^6IK1VbP06WFxspuK^z>l{3YT2Tfm@^HTA0su)d$vm=l-1Jp%seY2GWq8Jr;N zERDDTHFWEwgJt(E2uWWU&Q^UE3zt>Cs+V*;TyP}b9A07_e1rP6Nf_9jt_Pz_;VuFP z)Ues%z7j%saG-0^)w#H-8@aOsr72{q7j8EasMZ(6rnFXqqn%(LOYNR0!Sm!SH_(qF?O%jefJ( z;HnOm-W(z);aC61VSHO$p=+!(Sp+w>#bghb%oVZ;sC99c-PRC04aL@UvV+7?h_NKbvG zHW+T->)M72PIvZ>75`w!Q@0E{1QwbC>?3s|qa{0=B=*X#H3*$!3(2Hv(yGXF6GftQ z4QnUobVn;2+abBja{fHhG3pe%uB8$$TPJ79ylbPRWHpoO^>`assJ1SgOw7uy^z|Vc z^oi@(0qIDM>T+*y(vzLDfX4T5@22`U3=h<@P(9sdot{66bCzP>k*D@EK^iaTw{2%*y?)>Jp~kwNhr2&6KVXKq zbpf(n(PyuuQ_uOgIew*>XOG(?|EX7=j+ALahGl-WW%*k?e5N+~E~T)3@m>92d4q{QBFa-+;m?!!G2ioyEJj*6 zL{2okLwYyN=(nq@%h0liieS^A4#g&K$-EHQyD8$ULJ`kSt`HWzOtDRK9k9p$h8a~CE0Qq38zOHWkmzhPsc*$%XrOQ3 zb0)i|O0{6_r0?H*dY{e8pX@Wd zI<21BWLh}3`e`?0Ne1e|cqGGu@Y$O%U?AtA7*_+ij%4B5rNbAW9jCiFszZc4CX&de zLA>m4xUzbZF+dQ&(jL5EAB+>xQ@dZuz))≪E&Dh;Fp-1&_vYfJKgXaXDh3AK4d~ z254czaHU9>x=?Ti+}M5%72uXROuQRqrK1p$)8e6Fn|Ho=|cDab|+hSHCI3!@E4fH-IhdeY5!9lShupWcHgFi zo3<#>k)3=LcvCnHTGNa$gFT`U7YY7^XP;?4LfiP6HzFDfs~nFGb}H_i=7y*|%cIK5 zc85`IY`4Q|B{6?@_WIGu)1zc+KbN<2z@rtvz%P8q z(QzI1`B=cND`)FFTSChK*kMFI^rkWL?>e3upKT#n(xcyg)X>@>9EC_S&Y>|K;RKXd zHzTJmfelysd5<&|>xDSPG)>EOP?&23DBqDw70d@&Qg=3#z2E7W?(hpgB3tZbkQ5nN zM1RoHp@l6M5x;|WDTv1`uMQLE_HvuHR2=T`+uUQ5b>=IumcKv|1d5`L_ZlfA?Rd0!*)#NAcHq2TSTb7QViWKQ{I?u~NU0|p$E5ldv zfXUZ!uT(8vBe4t7qFs5rfu~qTJ1y~EDYtd~W#3Wga#ir=!c54c1nGuZmV>hXyJOBx zzwYcgKFQ*`m=2hqE2>#+TT+mE9OLB)|6XK*kKqHaL12**q`9^#9w*iR{b2$6Jmckv zHbdbz7~P36E(Y(4U<_XX`vZ*-C$PRf^f~#TVXZ&Mwp_&DDgRt-m?!_SEsM%9a$GId z^$BwQ(DhL~Xf#O~(*0epqVPS#M3T=oS`y?yU48Q=r`%sSby@WT1y^|zbiJOX-=i}A z)GO$MUt;gu$o`um9r%&s-?5&F-Yb)L8t)a~v)71z$QUTZ>kGcg$R&Tq-MAzX*1N0G zZn7jB4FvkO9u zUHkX_QaX1t!>@^)JfjLmHDy9ZuG`d_AKX&9mz1Vgo`gWWk8ogmbO1lq_Veh6tV74^ zU}Y2aN?9Yl!DdfX8WsC}C<$W6t3(W!$iPDH^DtJ5HXZG|)3=74Xm0u(E*yGAU3nQI zPLE!`-0(!3?NwQnwwY-67jDi&-@h#piIr zEFM`fsR2t+t-ylzek)P}(&&?jiTsC9&s>jDKFxa5$2zx5k8m0VbU9dQ$cgn850r;t zW<~_Q+)Bc)>Wn?1L9G0pRq1SUsyZiNlYndr;|c&#_859v^39@bKVsVD)wyIg$b_g5 zri^=3*H61GrLuFp11X6GGW3Uj|UEUq=}(F1;QLM45(rpN2U79pjv zv9mOy;Vb#^SiUvhjO$_%hW1uI-vsL+ul-Rk6|dr;vj84k?pIP!xS6>H&h?(#0X6GI zC*XCG4G)p*VKSIYv?}1peUz^ceCxtF*sUrVLMqUd93S2->g2^=KZ$3U%sL-!DsF6k zD=Yw;DTyocBv#itl6yZekM9cou>xAP6XaZU7UjUb`1mi?mXU$%-;z0Pe=c!)E;=*y ztQe}|-*Rvgt9G4#muqtVSF)I0HzLLmh{(8R7kD2l0Df_8(z6Hi8}~KvXh`d(nocB2 zYua|7VAAx&?{?Qs4AI#4Kl>u1t7i=55Fc2v0Y`|{B~$kNm!gp@{N3<(dv%bpxlYLe zP?H$4gj0etoI4OKzvHFWKkHc^!-8~F+#zf?NX?%qmOPnS|fqBi9o#(kjFEc@ren(xe7=U6|i7oBClyV}wD*_^f{9dP?GWLWd6hm%(dyLdy~K zg0YpcR7v;<)x+{RFTv-0Ry9^>24ee9w7_%SYv99u>`X-l%{}$sEC4x(lt50$+uT#y z`D7S#p}AK}UpsDNbj;ZE)7~e>$>9JHOoQul9(&iGF@fDXjq#48m;WQD2YFf{yRQkd zp)4zNLgnn}l!6FnpoP|9)H!2zV>!H!8cJ{W3Jf*Rxy@e}a_>l9+As(wr9`+f8s}!p z62Z{`XZT-3PiB|4^k}N>&PaN?G{oxRm!n$x)- zSDp1U%sXTY40S*Cv|+N@zqpg1*xeL&9{gwNew13`9M87=>_%BpSGt>3NtXe{BeCDt zTb}Esl=#_Xm^dkqE84QcXdE}l#InC{F=7g!W^*#z=OxUyA$KZKDbfs!)0sJFZFU@o zwG75~5<&zn)w(C~MOg+0f+37XZc)Z1x>)9sQ+dN70j~|)F-}fW7Gm1+pSS{Lg4YnC z-b$%jOb%e_8r`INsVR4#)_;L+#bBt*|1O`e`Q5f7yekj-O}@&K2>LdB!^A-;JQ6oQ z@c7g}_2_f~S??*R4sy}~XTBYmjXk>&0RJ`I2`1@kGAosLs(|caO1mo~$C|Kp8kmnu z|1#)XRLZ=_A6o}+^?NSU_Ani>7IX%CDTBR8+ep=-Dv*(MKz+Jt3KY(O6ZqdBoY~Hv zC&lwhU%C*aoFNcb!J}573hFAL?{I@zw$wZEBYnu4;1$wa4$ zt+5uaB&OCdF-@>nqBB*==~f7o;u%6!g!aChIcIi`^{*0R;T`e)n3+>2C_>Q$DNuIK zSCgIGhYu+`vt9D){4vI?*V>&=SzP9GB*u)KYW04@r0hIPBZ1BG_EX-{ohY>}W#7#F z4dl~G7gC$jl0*v-JKlp%+(4V4kz#L~dUumN9&o8*%1NY3<6=H#iDcODiDzmoWnC%_ zi$qR?A!uEF$P0@?X6F)ptDXz-=Wlp^X5r@hM5kfcwb8XQLCF}i) zS!J6R0G)Qm;K;U0ItDD8mc{>QvMer{=_zEehh-Yf?5 zzy+A6{JDUNVChXo)jO%+CUMw}1qkL+ zPA~4U&0CHoe~b@c445T7{>2YaiUU;qI!Cy%h{HHP-;v|r0s>{Ej6cx6y`u^UH^$4e zK9XitishiVW(~3V$&f`W_|n~PhqQ35m}Ni=c$9}r0UZkBzQL77Wg8DAy_jQvXEBu1 zP9us7rY-YMRTyVucsSd9T>txs>DgOgtVD2hwA|*cJ5s3tNqcoFNb^CGEJHkIpMuof zcbBXEGPD+O_MnH!i<|k8d#5jN{+5LEPW_vSFuKQ_X;WNkN{B0tNi-`e=X?;h$64d~Kvu*Jyjo1URcTQheSSy~#4aVzD2j4PBT6r9^+Jc9MOK z4H)^CE%R=6c{8+#Jd_T!yX54=oP*Rj)kcJ54^2>N25*`-dDi}HB|a2i7fLU+IF;Ja z?Ucsm~hi>Y_T)UY$EVq!KTI2Lmg?cjc+Byo^?0OFW>YCxl@PQ-zNo*`GsD$s` z5Oa!k)I;%<%H&FJsfIS#Cnq-T$`kP)IZ0***DTJp#CV&~I|;eQyd4c&-9<=%u%hgC zldQ3jPep@5NcI}-igUCG8L#KlX@RDj71`(X^TE#;M+L=t>FS6!QevUPjC~!4k6H5d zkBA$?YifItvXNk)#(elz8zx=mUSBKYv#TXOTYM0GiX^3gG6twer(GE23h<{_^!Vqx zIE)k`kW>zJWhR2@xVSd4%D`^*e}9k#yCq)=lnoC!DM$0<;p>I(U^VL;rd-q8#~dHV zyrJ_ldMu~msP@>auJ1Rky8ZS_{Tl}-f?;CT;c)sFHqdbn(?v8P4-{Rjk#TKtilE!& zgu`%f2-FJtMI%DxAY&rwbl(a)l_t3|qRL~(@gFfSe=KV!fqTY#0V5dlzKGM%1*zyj{= zPX7XqMAz!bLFWaQAUhjE$c+}4nt&q(&ZYBOUa^9G%#LnXp^~z8P3)%$ZaOj5=1unV z(V>ns@SR{%e;C0?f)oPqm3imFy&}hVm)f4MW4RZtD7nuQ5bWEiX9bsdz4R3t+U=aNt8!~(no~RUpQlvwsdKS1 zA!o1r=24ho>hx7gEg`w}HQA49)n}T4`2-p657m~7GJ9|rroGgn#eYv<)^6!VmRy>P zPXJJ2&5NH}*#z#Va5}=J;NR(o7gbh-AC@ zGJ9>WmfDMrpdY;v)|GJL!xwuZ>iW1K?in^q@uymDzbswA8BHWZ_Pp=Rx-2s`wOQXYZ+yv0CVzmMk#a zNY-Aob&hb|fmB%JqCQ-urOw3Q5Qd~L=(^L3s4aI=xG?)xZ48QD9Prich$x@xe*rpi zW9GBVNFhXRNnajoK|=1pcBP#6SlmGLfwPl#CXF%sL38TT4#^F_w2Sym{_8OLCi#iy zs8{<i)Xd$b~i@(h0SmgHM4nsWd$b~z@k7%;k4vjiGzH^l!5?8FM zkt2p;ui1YZfQK~rPvj~tYW6-J7)+5=qR?1b@1$hRIncF(^E=^VT`Gu@k}P*3k3KHN z0fP;Vq~d+AzT~~=V0XY$6Pnq;OB%>}CaJBQIvG?++vB5@l?k?ultE(W zS)|K=clI_$<OD`ll<7AY^SKg^_4 zazA!IN$u`dL;rrOum^lV*|sk3VnOexlmV2OQrbV11B2!#IeE8eOn!8JW~qj@o97|@)W-yD80P=o zJCT~*_4IGdDvi!JJ>uevQv45xG))KKZ%#^ci;buR$}* zlt1%NS5%f%Bg|dnvOvyfHl=U4IHjUA-F=x+xdU^~*DOWU#S33Rj6WpJjPBMZSpHND z)0xEdU9C4Me$?t*{p02~ay0@0(!`hn!*DSC4&*CZ+#W1Fk(MJlTpE(^#(f-kG+vF`x)7}t!>xIoJ>k%L_U6!R zT8Ejrgt3%saNO<;AzzOFQ*^BARN_b{*F|WkL&%VZZuxAnzpz7#k8uCC>O%3eCL&w0 zlWN}YsVi^-xqbDq@`tI(LjT75IV>0>Upu~TMP<&#F!}+Ggqzw}BBRp8h>jKQH2sUk zaW{6OO&|(z9>l@#aRuh5bugOm{Z^T5zZuKk3kxauez;MZw6~QY*Skas+jlfF8Yja2 zA2PX#HdJdOqdMEr4C8MYWBh~zvQ}~{=U&1s+b0z}K0GK?emt4vcfV?2h?bLE)Bl~u zEO%nmxc?hqnffbkMLXOnc=nmI+0$oVG^^9|SVU~oGE_lp1h7dqlPt;QL9B_4-v z)F3=5=c}YwRek66hLuGN72}Kz_)-ix?r-dt`vvfjKq9>`<)A$2{fyA=SRC|xM(Rkn z_g6VcjjxL75`2yk=NG>(blf(*R^X$8Rj0jK4 zbsXz&rg)j8kqx$jBXx_>vNhJG?obPxeY1UcszrophDzl}(b#?8EF&mFf5dB2+528g z3t#Js3W|f2tLyt>x6EJrL9~99f0Q)GtZN3u8K$7(#1jRg>>B1>-(ft`8v0Fp`~#Xx zUCgSbZa>_Zf-f6oNH4hXlrmdpIW`%>CS94(y*9U}g)-BI$hBj#3)&tf^bwhBvaOfG zo#uf?o7?UOq-G4y?QzHZIBGJtQrPWUNDL)x}QJH0I2|7Ur0dZa3Sq^I8yepkwcllgmk ze(|T9W`15;4oimO7m-^f$$246-5k2ee92E}rZR2+(WB)e#qcy|w zhruO$m|uLB zTgvs(isQGCky9_{RXlqoZ+?UWhcs%muIjHsQyy1#3#2&;{9Axf=4E=}%F@{TIEsj&^tb{3^X!lVOJfD^X4yI$N9gM7gM(-m42<@Q z{Pb0cuLN#(Of}}8M`@4n0%l$VEU)15t>DgRMuL1UF+F_F0&AH9ilOw4GJHtWn&q~q zy>gKYH#kw7O|%sefsx^T+O_8u=u{>$AS+5J4l%8!Sy}gCJS%*FpMulc&%Fa=stFLq4<{w=^5gQV7|4 za7|$p%Z=M7bRr~UpK`(9QsBJ{3?pco)syXhPl_K`nvRA|>ez7fC0?IkUlcNeN&_5c zIiY!KBPZppnSJSUOHtxIxshW<>`rFyfwx;8?p13SXOoIoFbl7RMQYS68`4HzA=l4U zv`lg8LwC>@vGV4m`jd_-1H;9==BaJilQcYvg)kuY*E&r|8?2!r(w#PGCFEba&CdzW z#8&}5cr@dbl=zBVM|P8@6RUg479P% zdN%E{Li)f7PLi(~)$?L*+h(LsOs^55_lU(a Iob*vC#V~D_RBk3AuBDg2`~HIS zg%xkS@Z_iOz@1%fff% zy$;4G{z}!B*6}nq?1El#xHouK_bsH)?pY2PdBs9TV;O=g02f?}|NXs>iGIwRj1iuY zRX;LNU4nnzl(=MdpjN1aBT6-d!=<)*o&B9wUeAuf$LbIT_Hk>rQftSP!-s@#q<6A1 zlChXySti+hK2b85_D+W=xcy?urJ}grMiBN?!1^%Me@{8J2GZL;uQR`&eyZwmCXsF} z_b^F(sySdUBpsX*VJne&_&H&(hbou_3RmCws~|4@!LGrbtvG%ar)SW~F?W*a7s9gg zLl!sH>wb?gC+RfOz-SuzMA#My&5y90ipye!izBelahi=r??m|Na{{@#WFH)kK=5Qf zm>P(S6w7@9Tpox}Eh8Ii`Vi=i}#x&q+HBulhKEmUkCjx?G+o!)&1{grDh2Z@MfVjmJQKwWUx z=(XIOU=&LgA>pdq^}%l(&`l(jJ@cyYOFo zHW)$>!0y)923Zn`gK>Xm`0K%@OoPa%{M>2E^Bd{t>=^I4f3i$&(4~4!;!u0m$-D8_ zEKiBnkdll*gl%{a9l3)T9kGx?{RU)YF?kt^M=Temi$PweNU7yZL#OZiufVv7%S!Y_ z&c_zW2X@2({+WPXg0CBe;F%W(XEj!I^hgC}4yMU;C@KH%51JaQ1C5yYWaF3TLoAQE zfq5ULtsI6;P!?n4K1115Uw5)`pY4dCU7OjVAJY8VGM2S#vHdl>$^Ngb`#9Mva&N?o ze>!iezYksx!Wez8J4VU)7bigDO#-WGr;|e?6+Hg?TS5bWv^44xKTpT>;I5~Kl_Yg# z~ei zSs0rWYNEW`s=XsKfcktyPO3N8T|V~38}PeRy|z}Iw)3Bcql=eu%WV3x9<}DQ0))%q zUSbg}eLsVIrs7)k(0?KbS&8$qhu3A~(291bf+p##K@oN&4x)FSykPnVRIy5uM1s<5 zA+3tx+7ZRY-7=71DBzy})KcD={^({G^50MD(E(3C-}Lve87lSUaJd4$bfBuv$&pXw z&Ad7GbsYhBrfl4#OP#XHpL0tY@40DFML$kXq)(cf^GwhWWj=a>u94z-PqpUHy0gbV zogkC$xVzA$H*kn?1aU=2oGNjLrUeZ5WC=*SrrFS0fYBc}l1~u*)3j+CK-Ye3$2W2f zlr?HBZEC}3Gkfe#C#Q&Bm|GwFS{!}%moxb%4Aw48>Pe3cUOo3d zMq{U}@RZhQYTE6GmgOCRKRB~si&?5YYpDY*9mfeA!+G1FVe|+32PZ)n7Mj=GB4llj z1cuCMW}_)*TMMz^$KIhG`Poc~>rf4FOYMS5dO;uBc=sONmz*1Mn0RoyY|}obn)xs; z_3(F!{}29PkI_I&@~#~)VCiq+XyE7SHLvU49^3TYY!)$|bNyQbCcFhV&?xctZl%yc zx~2;WuNUgnv1-UH+%Ul#$~VS!$(o(?5mLb%-m^QMqu|S@^XTAR5P3ArG9!x_d6yzU zDM7LSZkK01(|ADflpm`W9#BS7ItI= zZFGO;S-O6p$D>>cW->FEVn*-X0L_&BZHb(c?RIcb~4#ewAG-gpTz6G0fCWa9TTaMlq6xd93cVa$X0vBq5^SS&g%pkVm9 zOY&qEQ|K7+aR4SlgDWOJMYcM$nQHJlya?(s?U|6VQ*Elag>wP2lqI%f*m+W;`h`-MqKO@OI=5Xp7Brc(3`5xasHK_-8zfH)2ylZB zNP3bXRDaa~4?}9CSm1(_RGGX-A)A-@PDk4Q)DiM%tOz&x%9!VxA?P@SDMB<&`x8IB z-WU4MIlihV|0D)+UX?60)_{FC9gX?UXn|a1ZxpjVhjwn>sMih?o2f*u|45Fr>N*>o z;_b%8<;v^JKIyT+_9U(&sU_vG#8=+37-EOtzO#R}B=VZKSn|RXed#r779Q@fj;r50 zX4s@;fm5yJKG2fSV2Vt^Z&M<8G(`5SkFJ$mWBhJ=)$hSObcIms9rM{-!FFlN%F=AY z{0gIrCinr`Wpi}LTf^`{^qKPFy4OwSuZjTqsY?{*`AOQwD@6?ejv|B6dBO+5D0V)X zTUQKevj1L+=xHKi-K6L*Wg`A6-a zJT-e8W4DuZT$?fg>(>_mqN&GxQ>7NNp-I+rM_z#wKgNtfXm;v?h}VAjYq7v$a)o%ZY;I346zz4ppzq2xo%d2RNhOZq?bs71oZ@VBp-$v=mA43QCg^N3_ z3FNR1SCHzvu`xjU*`bxA2wg&0>XnG^J>BS2+hv~S6*E@fA;JKMfVf`vl%0^%&5985 z+l1;`X1bHOxG{Bf8pTxgm^pX2L5>Mmpn@4Yj ztg&@vjR6ss?*F}+v$$7#4ObqBze57X3r>h}3vrT(c^Tq)IfD3LGa|JyNbsci7-UD9 zse*aNYo>=y7;W;?ZfE$&zP#f2q_|zgyg3vynvgCH`MLOCJi5d|SS5IGY@(iZ@#Rt$ zDvoI%@BhqlgFfP@atQkfdQ5#{f2JRAB{*BPNO9vm(6+F4@Jb>SxZMq2PvYd%2MPp3 zZ>KdGD++nU*6eWLAI%=4p zkvR*l?#$aMOkTjSv08;oC-HQ!w%-&R}x% zgIaOaba~cZHjEU*`vQGSt+=cl2`dXO-!Dr%W9K5ngP40+%_%CVOY3bPh8|^{ z1qB84f7Nc6YcMM~m$U1vizVlIISz+H6x8KT#`3z8oc!jJy5lw$3srqPA=q&Q*4eaX zF^g4?$4g^2n*2(3El+LyKmGAEck`U7wVJ8z8rxqv1z`z!VIZz64FJETUo&{ba2dBC5?h9RB*cCH$u z67NA&*5*vtvr_;SX!(@(wVQtY4putuW|&U(XoPk#BP~DGDBNC+Nac1t#2uz>37%Vf z*10}m8l}3NfIUAE>Yyh|Rem&)Zy*wW5@clq!H^8}fC5BgpFK!{O+W_n7`cM-DZDE^ zBcgXE+x5wu^cujCM6=kaV`wF4+Ww=Z>Q;Ai;h1@~jcC`m*@yeSFvf=RDAbLmh4%?= z1`)^bU{Yp~(Te+Q?AJ?B_==<8OYeVBOwYsh>J&%yeH3BWAyboG2{A1LfZdgSV++9G zguPVSs3*iTej$d{n`YNqApQzADUzl96k9aln-I^Ye;e^6qt3uB9IQoV8FOH&jI$RZ zzC8d`X*_v-sX(8E5sD*O?j256^d_H$#3<|z(BU5lE)sEG%G1*svBAFt(J?}UxH8IB z$)h8Mx$N*vuBV-!Y1(9sP7&e*YB-s16MIrTFL{CbW+5vjI7;QZ%#jg%dTJpK=G%57 z4KNj(IT{nkN^xj+#6<^08s_PU^NKNyvac2;^<|z0DwJ?zS;r8xX*DJQX>_Hz8+sik zjK6;XygKTgdvoWn-ef8cPiBqvp7hO%P@UKJn~JR4j8Ac_+`$o&2>*neAs|_-q|~xV z!=9;67}eLBHd<;V_3)TD7c1RJtQKPf@tfo;E%`h3nf-Q)4NmxQd6RiLW(&T6-V)yz zS0dmakLabMU8Cewot@_SaLLHX7*DeL`xHkmZx_%s`);vyKn1QHe2B7v{3KOphP-D| zk}H#^U#^0^{?xGuhpU*aE~Qz&VW1TElnz%E>=FhSvOekKf3RlH@8BhPXjj-B0$e+L z4oC2>rP2nk?Zn0l{~Qyk{`Ki1&D zS1$M;=t-9$C#rBu9)FusonF`SW)droN}j^ZF42ajS|F>P-G8}C;+X4`<^_K+r}2!n z>qi!N^~CFs%Nh`S(rx29q8ah?LP9`-NtbZ zpolroJ6ogOHQJ4Eg&aiqT%uI=?|fW3(()7%76AQ~9a3KAxmyV^rjCPZ9Jm{l3o7x) zL}sJ6sjl+J5gI}7p}@&xdfRgBnF43D(fkBzj=PfXTqW@=N58-@Hroh#^Jb4)RY~tP z=BXPZFc3wn*==l}ZX6ZMsfS9TzKIPHO@B1jsg1Qz940Cw4A}cdj%!c;=yv$`M%NaX zhErHLxB0hxp^LwN$oC}n zxPl?G8Yqmr&OSa9kgPP*5C-#+N+Bu5zk<#4)^XF0gePe)xp?A4-!Jh`6M~2H#Y?r8 zHFem@Lw|Ic5sF{d^cLsI%9fS!P?r4j0?ktL5;%eN5FcuFE4;S?Jv&B@1~W|=g~;QD zX;0*Tk%2X>JB;%_gDC~g{#TrDo;7|s^i_6_XPgXUojsi$P7dXzu}`_EOF#1ENqy8s znOP|!K@O;p2hkCY?c;99ApXUIps1|-NptzISR%v>5E0G$am^RB`=I&zE0P_^DSW}K;TE?V?QmvKD z$yg!H@nLYKri_S3M-=57eZqX1@0i?M{ko?8amqWCnx==8R{BA3#&K%rA=Zg!tNtks zCX%2urQ|@Gs`gqi<0!jS2Sulo?858k9BLzE|J%(q{>Xg47Pr{_q)x?pEQoh^x>9*J zJky0|S*jhCOm@+q^1|d)#7uWRWGMEgd-qixRl6*G(>7Ku7mOR~GsTa!TA4GHqzcGgxA#d$n{H{_E3(M8h)s=k(a%vr-E zw-3}gysoCh2pmS_4Jf4<~I)(McMY}V->AhANea`+5N(QV7mqseHT zI!6Z8^_?>OmBQG7jvL3Du0*(#t>MGOVvc(ak?o={J*MEL;wgBqSqbVePTlo@tAWC8=G zc1$+ag=a|QqfHJ$h1+0=WdtnBPe<^?-t>Ah))t0XBP4}4p(DASG zC&^y=W@8w^S2A9k@JKQ*Dq^zeCHq2`*6bUO@9s>Kf%S;XxPO^S^)E@h%QwIQjXs4D za$rNa#B>k%Z)xoD=qs7!B8+TU&8^@hzP&GtZ&}c-79X~`UF^UkW7RyUI`<075^0w{ zhqLfbo0zFh=LpFk?`Ai7BFg=5ESA?cZ0YlN+ud{I19gD*$9=J+E0wVSonvyY{9_UA zMSjpFCJ;PBd+7orxsVT)e8n`{$ZQ7cS~~CV zgYBHXjh{PH_C1>1L!pcUJ$VWvf`nRYTTA96Z+=G^owOne1-_2*7qoWD+K|17st zGwSNH$NrdnakY?D)hI1zML}*HXm96Tl18rqv-D&cX`IS0t6eRLI8?j;S$NKs;f70l z;j}R?IzkG2G=)`x`x(U6Xw()D+EObJKdQ2s=~Ys!n99j5LCZ#;JjYkPEUCe4*R!Su z{d31h^T6h;v7{csAFW5&+E7Yci#T`7ez9*)**;$PhG-idchQtCH8V<6(bowbfU0%CI;H?*A8CzF#Q4me`&vJHpcK2*^M4P1kgZq7t`a*edY`KJ>4G|A>y~_x^ zdoQehN86kjsJu$0>n*utprq~>SfSf*16Za{Nt|$mbqE;hDegX-p&t68V8)s-(2!>` zexgAUid27)c>&=OA!id< z1C=l2k4lpJB#N+ib(U#Jdkz1~IV%`lwP9__9H_}?m=FuPjjDx=s6~ON3;6zvjNu&T z1eZkq64)^E5Eh7Gv6RBTB*S{)CBa6Zu;W|b0o!|()YE1Mo+0}}`ZY(}TbASMeDhG( zD<7Ep8$SvxnkSi9O&cGljy?e_pk)Cvn)E37%{z$^lgeS~%}SGTRqi^)UofTQD2;-# zn;?Jct=Gqw`^C`kciV!d`h>xIIC0dwwCz%=nU0fN_ix~=ssNjiT?Z|RZDQ9zy68xm70ih7V9fGdydk4;YSDY<@jAx8GD3e@9iC0=#cge;%9Nb zeuk1u{oL9rYRc;lCeyZD)c>DTqx%>n>&W<;Y+T{s4!#X2Rcd@Ht>whs0^7s)va%tE zMTxvHwYJ%p82?Am4YZFTBH-7~2FtX4NM2-#I8<%``{qU?P^m*|&W}5Ebz@^Eany4k zm+~GS=w+w9S7u{c&b4B9ib;*h1af~%##v!=6JMg^TrPuV(ZBci{n&mwY!H9=4A%s; zTG>kR$w}nXB9^i?Z~_v7dxMKH^YXz0%(l!HvaKs3SzM!)PIWR7lZ9m)HS*sz=Y4Dz z;B9|Qw2EephAo9kb9?;oJV`z3@bd}JxB%mPs|(tRS-O>G37f~UIpNV9;f2bv)fuXsO&53Zo>9>|FP9Iq)&u`9)Z;p*kb zP6o2uVtf;a+KNkyXbM(lHr-K{^e#ACQBgi{OlFIon8-*H??r}Ea&Ij6HyU}Vn7M2N0yOAhLWvzy%%Zm&%gM(N`u;K(luRe4$Nl5Fz6v5$8dpY^1lJJ(;2DViX zaR+oNhY!~`VaIxz^1rZ^R;qt=EYuFU$FeE8ZB7QDbdFc)H6_ z%zAQu%|0VSXv9dp>)V#!h~a^nr>U|N-yqODq3bHEv_xObz9`{wIsRjV_U=YH7cPZv3i#2hBY(%5(9YT1#3 zE9A3{B?pNUtjM^YaT4Ff8@sS@(cS$p4!cYBIv)Vsnmp!=kFO1dBli^vvW)PeY3%4Q zG7&|p=lWYz&u?jE)bvMVK1_I^iX>*uyH>R7lV|3gEZ)6pE`-{mx5XizNZv1(8Uc< zyV`)r3=^yL_HK;DOU)AG9(Z?L4RfpvdOmI6D)BN4Sj(r6FzX;NN{H6}lXdo`^C;II ze{(iUuePp$3##wl8t{_g<%g0IoDh#oW;H%zqy_D$&$+sY`%}GEiD&`}nyg*SVxSbR ztIFi1k?De5x;v=0RXM`~E}21I$0Y8;JtcoF<;2*-RgqH;A@%s8#P772wu$iHKmn-m ztV#SO&`)5HZd!0{OSuEp zZ6Y09PFd~bntq)(-e(p9oB zPY;6@7%%4e`4i&Jwph<7WQf}n-Kj-IO>5I9rupd)EQOj9#h2~>@B=+L6!#O~3(SO= zh=@wFS1G;H&iX{N+8uAAW;&77@b9^`3oq$x_sKDh&eEHoyP){9SZf(7WmmLryBH<_j<3^x?C)&CM5@>Ozz`y z)1Z@dN0>gOw*tTelfV(H>n;MtUCH?NI*=6Am<8Oxb0I4~>uEefe5OQk4tJ;m)atD6 zZisTeliVC>ij=H>nFih*U5@H&B-|z7RwAQQK0PS=s1B-hEd5;M()SSM*wIHLv)>kr ziFyW+_e8X^@+d`Rh!K;=AO2&G78|+^j8G|B;pE1M$n*tdHXEa^n+akhF^AY=-CM9+S~^(R z_P2N$z3eOTcPsbp}NKR=}q-oJ?qd&jkNs;a*Zuu zhJI%`%td0UQ}tr*UQ;lR+gLELFQ~Az;Yi)?X$nr9N;Oagb2*a+8&De-Th_+h{eZnk zeo_SXhYki(of<*3fc$pYa+av~1S9O|65e;12eVpDi%wxB9HUb35Xuwaw`-JjFt`t; zPWnh)#)Rb=wLZsoM?PnD|0E@3bg+gIdeey zMtqpKxXG$TWLBrBos$Tm7l{vZgRaslU_=y4085ad5>$?nu&^4`JXLI_#cq{FPM~9E zdq$+CBshEkO*NFFO*ja^mtN*s4=F?jgnFp+>}VQnv z+oq|Rv^1gaH1SwFRcBXR1V~?(Y#|0`9^OztW?E-b7X}Z*1#jwXaHC1iL4|*H;xK^^ zd5BkhBmMtGzC(c_+_p~NF3PJFnEy9geftGH#xA*vA7%=d@q(zU4ix>30lY(90-=-_ zS}zHEOJ!i@jeFn$&n-1B_?fEt&|XUJrM~{O_zw1MAZG8ql9}t$?au0{N@#i20fpcr zihm2X0GP{#%L2yaVDEBr5}X}F!^80F3$Enxk3p4;>Q3X>gTOZ%BPe%T3H+T{FQFG= ztY;qixw)xWl1r!={*`^b+J0il_`FcPALMsTo|{@4ZbpgZ z){V&Z{jq;%5-QOD&SmNpdD|rHH@cBkEWijHt@(wS8gKgdw8S!@*)%Txzkpf@M*B{a zsmmR*LKfpMVD@z`(Cs8ibRoEeuAW?M+Grb{_fgSI4CDHX_hD4WW8wZg`Lb=#iX(^f zaMvxYuYSEa%`K2Ey%gRA`iB1r^QRIR;TRvkL3~+6_9%n!w?xfYFKX^5Y_bb=G2)Gi zmr;UlI$Okxv2ufalgU`(JMo;F`Q`n^u*728SbE~*8@`w!q9NlCt6075DL-L=XkHw%M`>EK|qOfVgkoo*;?TT0ufU{{Hc8y6bYzAfg{gO(- zS80ig0GHxQFe2IJHD%a0sg~BQMubI^9`-jKmlfAlq`j@qp_yk;=};rR1e{=rVF9 zkl@ZxO|IoX ztA{SS=RJydp4@y%wD@gE{<^~ss~YRrW?ybr%=*py8)7Oh$K;^ggcnoi+#rA7)&X$@ z>Xt<1SX+d5i%gCUA1WP&{P$a^?S0hpwg?mWrCp+^L&_q%%nX;e_~Z7aE#vCI#Yjccl!3BH=~7U?cv-JHxte zdMeGO;qQv?M*icO42=DU1xo0ur{4xl>w72@L+HXJ@%HGtA5A0Ql)mb zaJ)1-|M5qb_#Y?Xj*_L~<)e@aCG>DH^5WCAN!Na4F5qqhh_VUbZrO`Jv{_YMlv@!& z;gO}Md4(v%%dE=qcMWhiu+ zj^R|()XKP9`X<*5`Q_4EU52rYIz05?yT!0YHsw%Gy8FQPtJaW7vclZoEYb?yuKdtd z>E4!UZ)KIlHO;`{1474kDm;c1V6ioP3=JlRU0T$<0#(`~X{i-IUPD+#juWr&(G4%LZe>OOOPeaGA3uLf*XcFXJie zOo<#Hk)!2&d6%owWa~>VMUQQ6`Dm9#Hro3a8jG!)^|$Ruu=|9dCxqt?$Vvy9gN$IM zhO_?oOao&?gz;QCb%Onx-1SV-FDZ{+zqwH4F(#N*j!|7W*75r+9z)zvd&!CByIP$t zSnG=mk0A!$78teRFS35DcYQ}-k{@I=qQ)(-pyj}2J8mr#ZwXsd3Y#hZJdr#VAVcw4>qP* z%@SV#U0>}|>K${UI`U)9xl%8P)R|I}M zZi#1*GkLe#f^=;|2tM$2Y&ut&x_-IJR7BG*U)r`79-;;jh(FYvVTyv<$+BI6fOCTv_(M4&2_7x4|TWJY{!JmH$!lNqjPoL|#%UH%PB&VUh{ zPfrb9ynp?x$Ug%4k)?8frblUlO(4oJZ^0bE=9vordR_x+04GEjeS4_xziud!J^EF| z=Ey?|oC~Xjn39u5(n&#u7IzSXw_Y|IQ*eJ|QK2&``1@z-B9Ue{u6Jk`5Kb z4OYhVfJ54eh(}g+nK9|xnWh4n(>Xh|yR@c3_SK-eZxjOo; zHQFidLCQ$VvPv?9MwVQ__X4up5OE7NDthY+{cIDouA1iMZr~9(|=~q#*CZgq4re@<{JBwKr@m{Hl%u)ii zr@u8IU3{U>t&fJa`n>Heo{9EFV$3ZowoM}+a5!Cn6;96k-hZeu6Q*6|wV$x`NC@x0 z`T>&G=tZvAx;%b6iMJdI?s3dnbQ%*)h3)xAE%?8QjZ+T|Bm9QznKlS%;(6=2AC=(p zrX4<%ADc0{Bop%w9BU{YK{$X+DOz#eVFq~oEoCSZ4`CApgD9=4D&wQ4vCCkyR z)U90naDRJ7hYvt0Z%-FrVaXqs2p;sONV~=XW%XNfY+8m69S_tJ zCq#38N!AqTDXa<~yi!t(x869=rr>*C9T-%BBim&CDxc;nbt!OfzYZ7>m07hcO|smy zi#hpmUH&H|$nvG)WBzjN8%3sQ%X=Fx?!Vb|LiY~Dw2+ckxyo;gNM0}DCTx2`YK6s3_#k6nPgj)8=zeE)VmwKpz1A3YD z)RV;R3N(4cLrHEH^Td0m!=a}N>=mOKR5s_HUrl$E7lCX7ii9Sha&4 zDKWiAbIyt0{9l$K-mQ7hCQs|2IpPa9y1t&@U_?`CFumKPV)pJ4lkj5@kowHohb zaCI8&-q9X(6JfGO8aub#T4MPp*kVwWQ7=jOhQ%yRliQ((e8 zl&E1*z+`1y>1Bcd$!b;{_y1F5W?&%_0*q@V(wXm(E^vKo(Nbp*IeTsYdISEJwC2RE z(X0WNA5Y0A0z39|$`*{a;L75O%L`m#52L~`>W!w0%U-57EUy`7eI}F^CHsDrW9cs{ zRb=ngg2$Dimy}tC-I+bawh=9W4$3Kd{V1z3hF(|tRO$>_z9jbzU!EWaCpO##i){zm zwkkVcqm7C$^$F7YCSLy~=A%Px!1>IFtF3Hyoy&Qx3Hpvf%1!%wR-)~&NWCXZxf99* zEcww0Z4Y3Bd4d^#pRut9;{Mw=D)?poDZwqm z7bfE)SXs(A;WT87I`U!E_Q}AL_gh@W^UhTGy$D`EB3;FaW36fO>W?&GUnZ;x603oIhm#k zuK(lNVXtorI^{LYN#Vx~{!15@66rY`Q68ybAf~4*Z?ncsiRDW<^|Q{unm$PzmAbft z^PpA2>6iEeyC^T@&Hg@RbJxcjuoXSOSWUP}yw#7FBSm6;YmQZ_{PPAl zCQY-Z*mDqwE6u3gS)a3xgD8lyj#{kbw;8Sytr0%0xGXT6peRP3mdZ9Sgk|vVj#EyT zIFLP%TyEG02~HOMpJTjAEyti^qI+%~PwY35nA}9b{t^ES^$Ou;q9@PIA~U9|BP>Np z*y&Sw2cGHq(qQ29Brwp2H@s&dh3f`oBBZAskeX@L9L?U3QHpw|m;q@aDV(aB>FTM^ zQV(ttIsqq<7`p-g2VoYi#_^`idy1mX?j`D>Z!Wi41Nzi2Ha^QeX?hyzXOl5tjbF_Y z7?QIHY?a!zDF^5ONd7e1pAbH`AJRHW^~if);|&@%;eE?LlL7IWqCP*I1kWEoK*4X` zk!nNq9@qr2={R##i<-3|*7gZK#erSqa7!t`Ac^Na?z+T;LhNWs6u>jf6R6WuS@V#{ zxgslE(5%<*D}YiPQjNJItV8IV(P;qWc(PaBYqA`il?53t?Te3&T^pTmjUjePJuX)0 zK3K)PM7dbgY2d0*br=) zg2Lw53+JWfOe+5tt&~vkb2nk0v}~=M-jW|ku8`7X<2Ur2<*v%MFmmDQOn`xkHNSf1 zS&>pNXjz>BvqAXG>1y0eg1l}mcmKq1P>uR}tMRaGAzEeIHiaqZ^05ppV(OwDq4qc^ z^*qk@G9!CQD;1$pI~IHhs(1!)zR~E#R`H%Q(qn^lgWZ{?(;a*a53Dzj^Y8$mY8{M9;-L`=(>vg^ZN=*Aik%Z7S4zNUs@cq%6=c<`j?-G&^6Ma?>hnsL!1?zB#Rwr0g zt~@u;e!vD*>kes#m7EAfO=RI++6?Uddv*j&C>3&c_!N9_X-7_F(tvD_^+>SHO9=`2-$^o08Z{JnAAPXwT3MDL4dt*jI&&(A`8>era+5fU z79+3Nj5OL>2X?V7UDX~oWD}c99bKM%U^92` zH}y|0ClxjNj{M7xA+PY?be*K0X+UD+XX;3dBxkR(Q<|IQrtXjf8*-xP%OOO;dscK2 z`6ynKCoQzaVyb-~fp+8Z40Ww|j|oZ_yE%BAd%gNr)XymOHP@jay*qOSflsukG=o{5_{$DyTsNT;W(0xtM>5N_EY9`nD;qiw~>|4CGwH!8I0?Iu`_v%r5NzqlJ z*X{pnjEDdYYCJj-%lw;}A9$pbnQNG&PY5^&eDETy$cg9pvVz4M6PzEm63k^!e^u4K zmWAq<+;{v^!OFje{c`t%vT$uH2&CI0ijT;bPT4E(}Oq{I2YxaQ7=d-W6mS zr|&8HjtEsTF26xbd%Q~iE4g=r+;Ed=EWZ50#gb;ckosmTcF~-KW-|kejYhRjF?Z_@0Y$+u#DF&T+J&L& zf50mqS_J|e3b9DeAx_Ly5c-J#eK z_f#T5(o7a^_G+4-T0nIOW_b|$K64r2cxF(jFZBDnavAm&Ab=q(nUy|v=$UDmB{HA@ zTc(mouZ{=Iqo6V6o#h{!QLU<;{7~?m(4?+>u$nFU*?lCZ_1;;CZDcGRl;n8?ar;Ud z2k|uVhy%V2+C=%;Rulk2zpTpbr304kMNm*+KH)e>07>nJlHv92Qp%V2i8FbiSKaT_ zl$yRfW(^1SPE5#K`}aOIu+2eb-sEZg@7(Ag@*)o$WK4)7=d)PSs5v%4dSRXU zaX6zN8^B}5tJMmC+za@j;&$bUsKn8{?;>)MXJ@~&YpCu*bdI(Atii)$^|2~04Mb0d zpHxmX++LSP55jGaixY+-6Feh~xa%z`yZ!ssPDfXtv(6G%12Az&4pCeU&J_o0-4`?^ zzIH-*wX-#+0qr*fZTSuqWZpkd|G~6lO5n-Mma}DYatq0`i;Pk)!ONN;pNc{_U1Bm+ z2VBZVi^=%89sHI_zJUbCP^r)d6w(B}%u`7N$^QKa$Rc`h&v zTz}OL`cx1(FEiQ>R%|>a1`fqg|6}@ZDcvH=e#zjDM^$6@Dx)W_G#{S(UfuQ5F9vuV zS-K#_^l>WP8F0!K!_R?Hoz=hzSHDExiBlGII&hb>D5`f8a=av`HIMGypW@2EI7u@# z=anAk!i3`OEmg3SfB%Rtc8IZDy6BxP0(SNM*nC@;K#B4?fR{ej!Gn}Pb#!w66z*bH z!Opshc&4?5*ZtBiZ%`(QzBNQ$Rg7U(a0tFo9DDXoT+o9Wg0`|(y{uV{N% zqlE|wQF5bk#ado(P1ds2Y71jrTqo!qHq>?D_S?)hQsdGMEtLzOKUIoc%DG`DO)jB- z5kkgKx<1!~3~7+dB{43RA+vs#j7;GvoyEAf)6|8AvAaI(&T_rxqQ2rNh)2+4zBkhy zFhAsQ;{CH$owsX#9vBYy5~Lv>s|yKYsBb%m7H0o2FR}{O3Vlu%8-bYxW*|q~6P<90 zJS?8XXvgwoJqr&-pw1l5c06)og};h#plHo9^nd4w{D}hU2Oq=*jh$8>B~sR}NEKb< znUulF?%m6hh{DL))m^uQFR550D+j2k70RY(4D>}c$bv7A_4Ughm?)>!RkcZQ0>9rY zXCF|t0p(Nk?)P5I)KAN>)!biUTum{Owz4kUxNTv*j?G)nJ-$tTfKSp|VtXt&0y_X7 zA)R*3d%z8Oiu>_sW^|m5BJwP9a=oS%7I2GSI?vQn@q!#H2O!~v(tmPsV(TtU5u}od z?%(3r(a+vXu?Bny*LTc`Y1HY-j>DJ*hRycIl{R8oXh0oQti4Y0RKi1B-E7Tlk{@IJ z4CGDRaY5RCl%pqF8$HqHjA46yoBx(VeO7U~ZTyf9%a(t_SQs+be`YGy=S8PN63aPa<(ml&Ac$oPI=PELP z2hsey1x6{Qfl=I$;9e=i`-M$IGl|H)tn|;>w~~ZB{NyRe{s&@Omc+_|7pQO8FitTc z568(0*qTjc!+w|?JDjSkQKj`*we3(tCk;Q?xO8{esY({D&-DV56j>EQ^ZBqmC*du` z8~2nqfIIVHjDj;>O{_U05MHB4D@I+40HiVWXL$&}&D)Uv->Fqeg0GvzkAX&@r#@BI zH?|{f)~t+r4B0G>-cq*>lv@=V2$Q1h^Wu5|;1qV7)-X}pwce}Z8>ysCPlMPaWAi%HzThcc)WhGoqKTJNYw^Et>W{T7*; ztNsjGu{f940n$FQn%@S3vBKB0YIa zJ`Mv^1oAR<1lBEONkK!CwLV=1wq0XEsc_{w|48z(7}b^;o)*EBGVROabITh-@XE=` z`@r#D0*VlrwYT~WvHIEZk$Kr_W^Xc<1_F-|;y*pXJh1dH7D#x+r?lwhe~kmIZ)c`V zN|r3yz?iS&jZ_>cYix`qU)5|TDd7h%nwV@vi4GbTn<)Q;o{*wkH|3eLY8tTB>y7fG zd29NBTwf9pLkvP%*lFK;>&LpgDFa#6COx*qO3JY;13Pj8qY_Z3Yl_-%%dAg?+pcC! zQlf{Ro@c5=R2HlUDh~z+JlNJe|GeB>l69u{(COO z?v_Xc+7=6blkQjLho}a&lA|skC}3f;6{XW8Hp$<@7p_}+y<>tAuPZj%Z{MpNedv)( z1sPsXYw`#wv8V(53G~V7@PNXcxt;vgi~^3NEpF)yUZ+xeo)FPDKkz#Siya0MZIS86 zl97Gw4>zt0!X7!yJUDupCurp+k?b^pvJWol`Rli}<$Kh}&T zpya5Vu0uDj&gknEIK z8=??a?d#S3B>Rw*)tirO znxpjtfhL(!?O?2-WW-<-)IK4%tobOmZ_y1obYQYZ+_HShepRfFqr!y$c!RotHTINX z-;(b8qdlO!yKNr*kVI)*@4^2qiZW{4e)fa&vwCFd;Ok3CE~sig+y^#`30FH0@p8`r z8-z-B(}x&JRe(WZ+WmIAE~8O=sWbnRLSXgDL%tc$NJw zGkv`BNV&}l!ZN8x5^)ow-q<)wb(Ee47hcK z zrug%|_%2<-FooG{!;gWPR_I?jp=jezvUX)WBN26BZm2l!}X zJWAy`H(<|O(hIyONTD8UiP-Hf$!?+l8i{tciN5i?g-%n6A?hCj1)|Y#YDGJSw{fa> zQ)sd1sK&~9;V&&raekPgJ``{2n`h%q=@!zK7(H<&^9ijOw`&2e3Ky3$^0Fn#3t#o z(?29$lpZMHQ429}BH1HeTHPq&0Lh)D6l|Ny(Epu-vr_Eni`%EY+QRw!n>?0|DZDud zc|BBe;EIsjCDo-y=(brv4NvaeY}k{Mq5YhBt7ozoNzx{wNjjIr^h?+{ET}a@i%{^} zy7jbL53t4b7ddrlbN(fx*#aqSTXf}r=uY1YQZI6=E8*)wSrnZ(?2DGsLZY)9{gWMv zX<;!MeJ^@46bfI_;SQ zFpFnH&0-7OTd#G~AnXwAzQFaO)8baRe8Z7Wo%WFI)N|>^{vZ3!sy<3bC`R%Xw-urh zOXf{2*T?@m2g^LPy#)Ikuvz zCvZ7mGn);ct~U{&@4Td`?^t`U!8vrn&mf17?Vc-@{1H9!5B%VpZn9S$wy6t9TM*`{2l#*MvCS>w5tRb>oiRhV`e7-@pk&I)p*&H#~ zoJNvHvZ{cZ)qC?0N=Xb$5^opU%bOO?&zRX!+Y&Kc76_B4ZE)wdH68*deeD4tH}+4} zUXS^z&c*L1OhlS(i&cv6!zK7QUeo6U#<-6JOeTAfbgrG}6Z}zt7~q~0FJ(UX9Ygm> zxS9xi102l-rn5IOK~FKH{MfW|tXE=I3vZt5wO|#+Bh?s|qb9|IY4Q(LMnMgt8dU{e z_N|-bW1o(!^1hSTksmYFIC=lbc?#J@3F^k(5hc4PH$puM{tfZ9TpQuEnj&R&j^*0~ z+==tH=_}?l(7>y13}8q{?uUUE0=jEi zM81PsKwe@brNmh+8ZQui9nm@z+n{o+dK*O7{=!#yUZeS7iOc$Z(Nc}|N9bG(q3)Kp zyb)*4YmWA(Vt}^B)yFq4n$+sVAL*tGO#bFaG>Hjw*Js*p%+l=)Z^&f#%DNELMJNbr zwF!p+C=v@_YV@aW$c&Np2J}AAA1$2k4IM11mXye?ZY8&T(0n(>Wgn)z)MHx$M-1rg zMxd7=3FyGXJtC_)Jq$d%5B=nhp0W)V@k2~jaDW*`$8ddj_W02mu`YNCM}IcyrdUHU zII!WjSc?H_M2O+`o&2f|gH`BcU;W(^=#L%u+!Ra&iNz|uOBl(>p=)R68 zs*t~!%X%r0ME(w%x47Fl_<2Rd`glg}msIYgUeB`;v<&0^^gb)bZU^ zz62{f&Z!4S4ufGIDZ_>ZyiWse3rg}C(yG$l9)Xzj`tR*2Tn>ul?>biW?rMVCRmFoW zwj$j*$8$X9*$c&}m04BCH*!Rb%bPzutQS2|+>rN|vsE^#5er5hD=2_(zpQ-#ckZF zS>rmTL@u$v)AA+0a!wd^B04E%-I}FcWE1Js!jVsVEP8Ltda_}bPJXN%m~K(!Ln28K z_--jSJ_9%e0}a_9a*#{*v94|2a=ht&qqpmHlg9xmT$CnI)$r}Jzq;r;y)BuDuzF2s}AEw5;o^MdqtNb#!fo9HgKWNgzRqz8d z`B6+3sx8v@W-8h{MxpV4=Y-(pokfwEy_px;<->#$yGSz^SoU6|U!+Ab%m%e5-?A5g z9vhDODj_@uJ*CI<8o#h-O&@1@>r>XaDy8SeD@rE$njhRqkJ(iE!k@1)(JXjI06;z7 z_cWBOEI9WhA4FGV`}FCZ`M^JRT;3B(MeqJ+*(uB^j|F2=ds?wwA$SeZnTR8=C>zt< z!ezSE28_i0oh1Bb<`@29`?Qe~gpFF@RSp}HEzcji_l3`d+1YDOoUtBjvF}B_!FNjQ zMY2Wjt@~wc0a8;|-4*X|ls5%E-Tuqx2z1 zD@ML%^iv1Vi4fpe%}L`wby|`$J&Y|=q-1OCfp0|mq9#jsG7G?1^i#25p5CV-+w=-i z*(VqMY0L8>p)MAB+a{AO5cwamJ#G!>Z7+B2Z#fR?()%?g;TsqwHF&xGp&i$f$G(KJ z#FsQ#)g&Qtbsb^|?|(4QdC#CIr}-n4JTWP-&v&VsUW9*bN(hKda6^1!8xRwBsFh~_ zn8Ax5tmha6?9-Zl&SZBOQ06Loi68u?W(tFYDPka~Paip5SW7cnX;x`kMDZ_=b^>mc zT*jx!R-!hn5G(rjMaubEd}U9kK(~qS1rQt4BgFGpLU2JsPM-K8Z^A1B-sv4pd(m&x zdRni_n}H%t=eq--W4Tsa8>tk0n`3Ar-xiDet4|_hJgcEFK3A8nuqRD(&qWMR{Z+kB`^M9ibl1 zj`g&+cEd%o$4;I2M7T{3-FZk>dCk11N=RHMa9^K5Fh}#&*CK2)w5H%BCj(fRbAEhV zn?Z0s106uXjD>$u(a3W>1|*AK&~u_;O8N6AM7_O4bm_B(V#OJCwK>~d4gx^_@5Z$J z2)O0QF{dCAlqA5+Cm>X*-rXf<9p0l>>a-t`%Mg-tm~z{>dOt4ufWM``Ni`d*dnqMrFy?mRAT0w7&bF1n<-rT{Rr2;18sX|s}rpS#iye0ATf<)fdM%=BmToq z#kSk-+vVco>A3w6eB~)C3m576t;^XS2xlk8OZ{{72j(+|Xbb)0Rzo0ewak^aRqGJRP~)!Vty70d1m<<>gIeF{Oi zRGAv+C)EiL;_Y@*c**tnu&5x)`>`?Y;GbvG@(4CQ$9IZ=ibfHuCmP&%lFR+evMV$q zzrJ&0e{Z1Gj{e07eyDo$<9p=ffIatp`TGYCIF|_82idI#)e4Q)<8q|y^fo!-G|?2r z$v^3huuU6?cxR%dSMy22OoOZ@w#%lyQBNyRvupU5j#@sZHPg4B3CcX^CFPi4cU%?k zE=L^%$*v>_iQHVn>~jK*UUc5zDH%J(qJ&-`lZKu^{m|;)O!XG z|IpyB^p@&lg-={Vx`LJQdRq(p`GOEJ;dV%t`xoXu*jKz1vn~2@a{0a2F@)=Bq-~!> zG#Z(E6!t8)X0nsIVkyBTqw-1rB@?vo5^rTsb|e&*dl{q{)%@jX@+FSb^G+_3-7GCm zm96nzoFcR02<&`hK;Dzgs4YG>>(I&XMpB2@xBY{nN@TZygt60FdZZl#!fga&^)31d zg&z5nPyAyc_$RVg(}EWB^mk4`SpR`|?c-W{RT|3wal6C5I z&Am2cqL<0Dp8w$T3goJB_1T~OpP^9A=gJH{y?bj5w#|=un;tt@cm^k%@=xxCgXW9u zW{O`bABVSXgs?B1atnNN!FbsUEJqw11K$&e+st`0FV>Pj(T2 zQE+1&oD!_^_Ls0v$h#sI7{@-i5nu`)iuSk#`QN$UVkp5c^|S_e-wSL{m+7|ldDq}* z+-;YLy-fdd;OxWn3EwQ;YTk`T3~8r(C+`z9b+k&2VWxH`4=2+q0V&!LKTAIseEcV? zeQTV&_ip6P-Vs2~Js8ZTbDiuOg7w^LY!nz7E2o`{>>!9S3dfKdFdeyp`d2o373j!%hMsrIrRJ3@#yxxFMGk?|G;5O57RCy`2}RR&&TO@3T^!M=m4d2)6dw=fhzOL(?+V{?yOtFJL z4VjnuYK?7$UI^vgd@Y(s_tB^WjIx;O0#lBy?~mi@fln)_l*2g8YTJ%D14n)*0}hvS zsG@h1igoHr)>6|n&hMzducKBh+?+9UChb{gRE<^FJD0pBS}dEa{ACdsny9ARB6^*F z&Wp$8g>{52nJ+X~9=^Io^7A|cHYW=+GlheKGoy`|QTyo!>Q*OH_6k?0$ICi4J{hj| zg)9SlLiF@&3P%CPWwEo)J52|Z2v?-zfPTGTwrLOhVP~E-_Gu1~(Ox2WO322tR6}lg zsc!XgPIjB!`VL${d)<<}O^|{9tO+4bDPT5vHXN z{1j2h*PJgJL^FRDqB6;{!~Vw0;uky<1-Vx zUGSMe>N->U-(MU+&)1AvcMk7q@sU!U1dG?V82J8-|F>uPVD7a!W8gaQ4%4|~6k(hj z6NkaRPCN~4+s-=s!_HD6h0j&MbI#v=!W}Facmal)U4MHVl?M(Vap-9LUSp_N zyvB&EB4zvr%Uk6u)#6ovGvZ9JiA%ygMy=gJY;2J~Hvl2`nu!mt6p?4jWjYwd&e9J| zmA4SAcafwAmLuRvBLb5kUbBjMxa(O=bS3E*orw3|VdLa+GrTsdfNR@?qMmT?-oad9Zxv**tHu4($IeRJPSQi(>(Q}MPxI6 zX^><&{eO3sG*xh$uJgldyd`0SO2;8gFcct{o#A?w} za;|XXdZrSmI3;>e-fZGXGtT_WWCELR6rq*-NE>o1+Yf45+w^FozvV8#F63?qAvY7B`OExRG( zJhe|g=yTy_n7SB}!)d}>1Moeq*Nr7Vn3kB!tn=07A~#ILgC8kt)~MR#Bm~GZ6A^2# z=*wc2;r@emp8>-D-sc%_0*zk%ld{=TXfX`>$0U8HR#>3i(pVsfV_%=QOeSQm6tuh5SRnz-srU`X-1?~9z4F|1hMDRm8<0Lx>wr3h? zu_@rn$QS`v#i(QN5)bvmJuxN0XS~TXtj2cPvRGA;{h1^*hlPB#+(q5ymq7V>sd%O8 zr6I6Tb7$oOZo%I$WM}$M_rg-`D(2yzG}fX4MMe-2)ouO9>v!GC*qR1OEC#g097W`F?h>WH=4WhxJuqye+(pli@8KG$S zsDtdT^Rv8dI%teJ)p zTO@w-HSR^H703(9{J*28lYB}N_+$6Cc=lqu8$i`uM-5M3Mz0m}MR|SB4#RqYQlx;v zm0q;fjRnLjq=bufvqtB+HCA5??S?QpP2TQ`~aa$gD~l7QUEnUbfCBLoG28 ztvTXcDh5XYiu=x$!H3SVRGpLVSWG2v111J>EoCrTZ$Qw>%K|>D`inQxf4gP<7oTM( z>NRTm4Ekotw8;7cZYzX+bSxKtzfaFGb=rZ$x{X~I3o?7o^v2%%0QQ8+^Su03%1Ua+ zYnp}^@Q3s@JTz1vz$O>g``=u3;h}3!ek<~0c8i?HUY!Ts`nJnGCQTMsDI;43qR5~U zd+%i%O@K;;a081HA5(`?R+O-?7JeTx+~{+cAqNELpF86ozxXII;jGauCv zxk3G8Me^J?sj^>c8D@msrLD8TB`dlWI3%*)ckPbGG8FnaPA>h;FHtE2h5(LuY9Y>Z z@A|tdxiRnKJg)#hkcB+#1Kq89dv8#M^V(J$s_&5s%tK*i)daH+@lOG3{PT0AYF56Y zlib`syaQYH1ORHnQv}&enm)*9QHVzLJOp<6h*|p|x?AE!^Q`wLSK=Isee+*WXsc%v zWC~Sp`Ah0Z=RA}iQY1qMJ}49S(tCg+J~667nN<90RfH&ib43C+Y+>rM6L{lo$s&G( zNli!L`lRq~wQ$Z;X_Lb@KkSXM;eGQC@sZmo_Ydvo32AOy%=tsUD7*d(R<8nkK6>q} zxV0RD(|C?>tA1ZzX3;jdMl%4^;9!|o0u2u99+GVQY=Ld3t7mfNAr&VSXa2&VSodg?t)%$2U2lx!YO{*dUw z#yQENS`|8baLs1Z!O&B9uh113_1Gp_F3`QZQKpIuFPwkVc=Ko0Ez8CD5A{lXQV(9J zm7GD{zL*_!6G9=c{-E-r1J96UHf~wLjEB63m_V!Er>W-5nx#-1bhexNZ3EGlSE#n+BaT{QIYse8RlX0f`W19Rr4VUt$DznM($7OiQ_?Y@0iPy#+@YK{#JDy?dUDFx^BExIR9^%CqB`KIUIEeqa)nyg3`83tLqsXg4IrJ>^`6L?V_;6g`aBOVkrBE@@ply`3HPG>(2TI%0;ko%#XbyhRZc>#Yp!QVch zS$aK^l!kYjQ#6_Ak$RIw%b2V;rM*h$Zst%r?C_@EFFtrx{IJ$)p6nR8>sae8!I_2` zdrU569LZ~ao~N??Ry@qT%Y2TT~ibdlW(HbqH&Z`a|LIXu}8U zeZi#^((MpnHbLMgIKYK;25%4Byjn2{k@Dt$I*;^c-@bcAG3CYC#xY5L^*58X$|LPO zHkJEu>q|YA#*==*b86EjYda`fmuKX3eB~8gKY^=2T+5@#OTQ% zTBV=N591cOa}PapQJbC`M7xj27HD+oOb8R;lhHNfr@e>Ps{}na?XZ5LVDs?2!>Nb% zgA(twSP3ZWNhLLjRZC#!5FP##bj#tcsJMG$br9dp+F4%4HZPs0xwn3DBbOFvkX$8C z5s&aXNg7_9&_)@`57&Cx?oBGq-J<{sZS&_vow%cMS1=!3GG%)J9&{Ys2hkjaof z;>wei${yu14IjAG9sd}Rk6$Yy+3n<8?5!lPsJ~2Qz5GpFvXFqnYb5Wf;&G7(8Tgq( zMO!>-V9u= zh=X5J<&q(kM%{ejT(V#;5i?zt|CLsr&$DD_awzh}{a7gfH;}bQh4Xa)E^exH zw)pDHLrcaDryKr-Of$)x+3eioaJlwrk-MC)SUCCaBjzWPH6S|VZw96;>L%fdqqFI; z27HC00NGw!qCvWJpfbRfv2ja+!GjXLc;!Xe=M1;u5g)T*kGt5prqbW+0P7+F$Mg1# zAxhFf_F7xxu&kl(ch0CD5h-97vMHsQYjEB+A+cyOz?nC~@1is78unt%@L8fhTZ& z&W;QMSC@7JE>X=pPSL`W2GhMYx$XOfpo+k$&fvU;1Yd6^Mk__bd$0_+ z-s@F5HfC{_xZTlei$g6-C(kiE2$8PPT`M9Ak5BV`xGS%&-Mnpm7DR`iGT4ioOurY7ZRJ~+UBf$+rMZ<< zmlH>KB#>YIoA98^OIc%iu@T|L{@nfXm5)4=$el_lf-T<_1z6sKmj*G$RJ#ccl7_MN z?P916TS((Ia-7D?blscK?15ai`-FZst+B{)LzHRB_-=x}sga{8#kmiYnGs2^?J zeJ6!dWH(jJXLe>96LMFeQ5`qW_j&^MTDdx}K`6gJJ)RGeP5i^^@w;&H%;TdHVMb>m zz;!bH9c5-RFDDTYjizrXfWJmOm+!+0G1#|8NYG=n?*(Y)AfBliLQLX;Iz4CR5bP1{ z#u{pMW;6S?{;gkN%_c&4+!G5gR&~!n^y3n9S?Ty&M6B+tRLIdnt^MXv$z17fn$*M* zC%Wd<;}XJ}1UWaxzc@dPT<_^7B^5LUHf#48#KBj7((jf?< z7@TR8d68kup9fibS}rGd)|Dq0XcnX(esdD+Jd~FWYcUJ5uMEaj^u(M>s`vb;_nE#; zK$e*Q338SICBW~c7yYrm)gr8RdkBbsoc{gbHPD(Hr&+Fuj*Sm`C&-GmEY8VTZzw2q zMy8tV(C);jnIGoJgq$fxY|$)sz>6$-Ta1vNaHL_j*F${)2eYr`h;H1r`=2X90a61Q zo}*Cu%ncE#jjl9*`zZ6mQtpNv;w zQiIYrbDn_tG=UQSd*-+x&9PzYcQG=`B`uDa-enPO?MIJdNH zAaG+FcOXhP5>YL~W zKS0WO_5YsV*1LpIp<-VL0(CP`&|N{1C_Idf$*((JB1aDoutBg`u2ZIVqTV=aaxW@; z;+UHHV|96tF(Iy)*#>IhXXhTP7c*v=S(RambU~IIAw*^}&;#lAxb9{m_AyhMW&STk z@zcVT4wzZRqrwxDslh1Jh7^v&X)For5+8nIebHo?CRlm0KM$zgbyV z3@tt@)n*8($hdAL|r^q31mdR43-23%KQT{du+yeTBh`yWgr&l-g z8#Os*1DsET+TMxAe+u`Dp>k@PsNF4#6htUvw2fy~&n#}_)wI&GI_Lg1RINWg6G3kX zwLDF~UOE?b<)442ODn+Ev`4H{w0+{~rIqdbm^Nv(PZi&!fSA;Nk#2qxQts}FGytk_PW!Kw@|Nu>IwE9zC!8G-~q@-nDw@*eMeRl3s~x_n$ww# zu$G7{Q~BabbA2&OT{_Qr|8^ziPCeA<#%JQ)TcnAL&h$8qJ}8xQWIx;@Je#i3Q1!bU z%-kHh2Ugn@Q-YTgR{9uc3 z3yTzX*$fCu!+x2!7V0$`8a{%6TaXj=_-xx};mCn9XbyJI6@j$2~d6kQa@);k0PRf9O}IgW>FqQ z!*o+sNkxPzL5N15vT=LV_zCPJxX$?6FC#T4D$QG42)X?y>&EDmVX>-g8^6=;YA^D# z1~U|jSM-kSGLW`KSuPmp;mAjrS0>{nIas9t^kNKK2y(T*uo3c`G{(N(!d8Jw6GW_ayPhEpfG-}%4#5k6qz3%x}ek4YGXM#nO zw*Ec~4g8%`{$z@j|8pj|jWmrFG{ks4E(((7yd?0-rr0h$mEO!`*rPV!up=Ka(!gnSvlU(79kTtFn>?Ce=3$6>$6DkJ*r5 zIcwibx;KZDGDLj1+5r!i9PKOGk8>&_gCE>6wH2D;F&7VI37n&ng3qO)F(mFdEx4wh zOXX}zdxb;+%Pp|q|Ne4NlQt_5g&)qZto*Zy``=%Ju>JfT{OX_aHBsU>nNNhPwHZY} zkW{^`L?1p6AI^57EC9Hbjs-681^-jhm%u{G;z{ps;QP@GfR{060CArG@FqLl+rBgxbRIwXMzxW(GFZ=_4vk_0H zd&x{yxkN{1xJ)tD5>IkcRt{O`d$TziR1a7qtAxyXCI%rR&~2`i@=|{T?~3s7?qTqJ z#%(&nBg`mn?j&o{dLOUgF3T1p{;@VMmIw^Htd$A{Cf!f+dwL=Bdr?pI5uZv=a*w~y zGkO|> zJF1>gCqFT6s@(8v74`tiknml_f%YL;6f^-}A1>xXU~I|DcSFY-x1ik+55AM)@tJzR>K zx~Z(Wef#eu0p~)FX_qMqa!>IAn~$BD$MF!48yiK(%*9)%D_$_2{hC!whj0d@Ix%oe zz+=i>av+#LoFWRhWnk=s*jic3jJHi+7o8JrehfNXr1BBjgY%{}eOSgZcAg7pu?|p! zlAifPx-@aOZ;L#AS!GbI@Dvrsv?x7uPV@LZOZBH%SJjJ;oX``aE|UWp(qba$=m4td zEOjo%`aJYwJ+nmO7lYXutAU*V{l$DZ-;!Z&z(HKi{g=}rhq)N_qFJ3CmwO(Ja%fzEw%7eGMT(}oWJJnvZ zE4c7A;>@eqPUl4BE#)ef`#?lL0LSr_3z8dbbI)m6*~XB=Fj@S6`4`*@uXapjdk<0d z3gAW`(;JB@C~v?_8n$HFVD6V{<&C48#;(ILk1d0l`q^B<-cxua-f*9N13t>ozzMqhi#k~3|9o%sy3wTz;>hnU{Hb>-XAw0qd!c?u+w>*U$s5?XM9;^}?|18B(2TP%?uk__42PXps1O#pK`RSgg;ucer8-ycJ z_L>o6Ec6$`kmhY)c^SU>_J?Cm`|QlPXzQn%eXTa%6e&S$axFiBj`DtvRgu5J9)@@< z2=J%7d*cV@s<@OZSrgQ$gBOxA6)MECjmtyM@jQoJ3zy_4Cc!$IB*en-%|cg7Q|P+7 zGNz0bmt7f^9K@1lB)7tmxAwSiz>`#kEUk_RH!mz$<{MhbUG28G#vUNAj0-wI*>LYY zqg7C?@}~;__g7XTb8g_UXuxyu?J5i5(iPsZy{v$eyV(zafK{$DC!ynwFT(R~y|`a~ zXfbVzw8n;8VBZF#`Pc@pQVUaCTY4NBXhCN4U8~^|H}`l4Zpf6>Ytu)rb6*HCT*#JD zv30pZWe5Ck7Sy2Sm*)9^-L^w#VfQeEir!t}+_j!g_ounMWlt9oJ=fS5qnN2w37%AM zHMBQpScB6%AaaYz?|8R`-z+|U=q%dR$~Ks#dET(3H#ID!xn#!?%DuKNSBts;EA{it zO1I<+E+#>Hp!#bi%VbN3D1FXP9Npk^9>NWLObCNhbbg-kzVyORj*jP2iP%s*c7I)9 zth0`gz3#D>CF4S^v9XI=D|u5d_64Y~TjefqnY1OWA0ikk&&%2Z{*z7G7fN{`czI}z zK9I(1@WKdS4w+ZR&i?EdfPc3)4mZOyn;(MNYfiKgGCaSIZP_^S*s*T-y&t()tNddZ z6(%u`+GG4K%Mcn{FeAirGtu~R)YXCHk4assX*a{o2M8}!kl;PBOJ}wG%UaXf*`u9^_GN4}xtf`%g0@(u$hb9VP1t?4Z z9Fo}AnM{C=XEKcowR3cJIqsn%t#8XNI!ZP8c~((*LuFt_eWYr^RR5@$k1XZl z`j=}wK5pqpCF2&*YZEyr??eA$^N@@n#ol(@8RgxbHzJE;>p(SM_UbCga^HuP*s7y* zS?V4(@^GxzZ9tm0uV&JyDb8}1$#G{y!+49-2O9R#z6WU=FE_ok>tnVjz&XwOgCHBw zaTgHZkmg)CX&R>|pExN}^02lv=lDY-n}~FFnHnfw#_0DIX-7(S{^4Cm)ITpKwvRLa_RlA9$6uCAvg)LU$Cei-j=;=I;dFLamdTkysr(5z0hXbhQ~0x4c>e@7a` zU;fKvuWvVaI^R6Cm@J^4=@=9J#O@y|kNReYXwC8P994Wp^XY6b)l_DIMj|g==ifO=VrnX{W*{x*Uz|6NR z7F`!IBTuF|;#JyCforo>ZHo5S<%>ec5O^&0w2U;NTNe#7QBy^pJIRGh2{4Hv?@6MTA2 zsJbY}jh>->rL}edc>4!=EHozQ`oy^ISY~Rj0oa!}ZwNUTTc2UTR5S;>Y&UE?K&tM| z+z>`x510(wekZh}&FiU7rI{cNrjP#^Z&n%qkn^}ce_0sJK5=q!O)223@sx(Pq{Sg> z(B$FW2Ttf4lyv-3fQjVT#7ka$I9k{*E5a?+9uBeEouqv6LUJ~87w#@T0XIZIj3I>e)e_^PO8W~7LpGsBss0#6 z4`71g8Mx2lGsFy^Z<8RDYlSJElBQp_=`)A+{_~?Aei`C+(vv(>NNnM}$0!H+#U2q) zF$>daUNG{!lug?5I=-FytxQ$AiBEm;D0qmzMxMFMkE#3q)MZRS2Yr^Rbe%7+3!XxG z2QIk=+k!x~8AE2vpLe2Z?mVIOZFcqu#!hhw#)cf(QlF52pMP{{62MBlJ%bL8{*-7? zE`=$s(w$U(7zJpqVuHJE*6aRh8qSRm43`=umIchDls5TiULSXs&evZX)ikpcc-dG^ z^HO?a@q_<9S{+<*O$m>yM7k$WujKndjeSAIm#f{QR(lTB4sfb{+75pv9 zSP5>%wO*$+DVj|xpi%er_0r&-F=x&)_eR#M8#qNRsm22R>@xI|4lZfpzENKJJWWhs zO_bRSM%!iEi0cZDfk58JXv(YUGkC_Yv*gOZS~Q=8rG%y0>g9u#6NSAkO@LOvkV~j^E+3=ka1|&5uQ4HxdTz1o z5wDf56fzu1*>$BqJMsLblG=qwx6-5hQP3@#I?bl5jj~ z0*JCE?bWe{HhxY=(S3#JaT$+uYJ!Ks#D*S~L873Y=hKw0P#+e~vsH`Bz|Pd+{*@&{ z@Jvgk%7MvTQK*WG%^-{71jy%&>{64o0xeh<6p1;goAMO@Hug%t{%yTN?;b=NE**}} zm^Cgcn&Immm6Ls_#Lb-M{*@jhLyeV2zB^}UUS!?9MGrFnU8sah$oqt)uaU+V&9R4W z3JTOb%fCtCD+|qmNgT#;*F_;tQE|(wr9L?08l^cxyrn1at|(xjC&nqyfs!$GfjD^2 zv$`3yQ)?~Bi@C4b6DcgCqc8f^uXriky88jS7{z;So8m$mR#~#QC8>CzPO`&@8x3_v zkwm!2F8V;KgrmU-3IJL2rAJ5c0$!G#`f~s8FPzEsN$3MH(*3@#6TzNO!nRLv6`Y(W ze2a2U^%^Bs0tlBip-iK!I7xZ{G6pqEJMQ^)DprDJbHAHDH=>}qhX0yle~AefUl^C~Q~2B!wgE$3}t)z_pBjtfoffb?6zcq~g!?Wz5R{dL2`rSE1LL*s=B8 zM)46S$0;&WYk;@Ws7hp7w^#3J|Ma$#-rdFJz^IA=nzrMDz z)yStr#3AObQ2wPV)9oh9A{AmjECP#C@eTMf`=?YDPTSqqk)Hg5^2#TBEb{W016i6f znWaNgj(@7^;V(FFhs*LN@s{uQ+5M*HPW#7c$v~`8Qm1?0;bO9;i;w{%ZB30#BQ0LV zvup8PoeBuJ0q6pB=J6~9tU&_&D+g(qhS$b=11dLZ58QVQuHF|h1?D2U%uF~>pVRh8l+&g9yExJE3SWpX&vXJa>%!>i3m$jX|g=G@lk>8WFsy5NQd6`%Y! zERV6YdI=H8Zgd)!5mAQFEb(5fQ+Z0rpyErVOVwkKpnHi3!Dp16_=^Z-c2pX~r(T+f z1y#-G$75N_hxy0W2dt1j?+EfAxmT(0i5e5BH(sV6w^pSt>=5d=S6?8x+ebQ+5;!AV zeQ`Bff^ajPhf2HQADU~|`EEzAaEtDa^=q9WPo4Y2(;NK1ubtbT253ce@2Z9zsCnWp*0 zCR5&LL!$7}o&}skJ^b}Ri$Z-7>p-iAQA{3ckbdsfk zmieb)<8#SReGw&?5I17KjcI}sW^ozZ^;5MhsrCtHPen?9bMOVAfFSp~usBi$*Z#&x z>W6?N%INddj)#kzpBIVc3S{f}SKamqW8O96i}CVlo@aEZ;ZT3c4?gqp)$Xp4ysQ#J z-jW#bK)8cdN9xu8{whF0`MCk)k4ab~H^C7N{LsvMF2^{u82knA^n(q!T5f4UdhvSE z`s-=m%$==_<&2u3cijFgXkEeHD3nO%_H6|oF?`j@<8-E{^LbaSs-6Qq4N1I z@0BD7I?!|MxMsg5R~Vsgpnd>jYYG5JGK~a0oy+L+RoS3G?L%4B$&WzOw-c4&pYr#~ zvXJ)?<#ptq=f=D-H6l^^_;ec%S*r~1G|qDD;vY5~1?q$_EWy0vDk-Qv^T#C5u4=pK z3I`#{K^W`iayJ!?ct$)Ht0pAK zV8Lf^p}J%ETsrj3@@dMDYq8ATBGvQd?<{8K8h>yK>^~G2Y_F`+7;)seHh3YnZl3 z$pH1wYyq~?Lr`~Wk(e9VQw6>JmbPZ7(VmBoF4PwP?N8DB4mRHJ9Gx} zv9;~Yvy}JgO`NkBVjq`Zd{2!8}E^$LpD%_2)4C zbDIjjG5SoNpGl~6hU5r;gqbnFMf6KA?n6(hdZ@+bTyKJ^Y=e@sA}za&VYM_0H)27i zOi!8ISo)6N2XJQBF;bShW<*2C&>U$pr7T?=PAzraDUB?$S5(Cc#0Nc+%kp8D zd1Vt;EHwYOy5WkIkGe_nTqg%XGJT7qmNq&x$+7KC?RcU?m*FgO|m0I$j+ybB$$;;Is+r(ogkiv8;Cu=*?MiqG5TG} zOLe#%;P-^-1GVbGNS0aiPpE+&%DNSO7A2I^Qm+0qLuX&{r(`<$K+178_$0T9BMG}! zY!*<5_Iz+-MtZp(6LSjpJrptp-5S=O{Rzyep>4Dri#FsW%G!N*S%&wDv~N)6F@jx2 zu@FnrD5sW_KhsFG&C;2za8!VT(S7FQ)!M5S*LvL$r%Ms7`n$aSwJc@hIx|~FDovyx z(Yg2>d*QtCo$qgD_<3?9`~TZ1mcds`_MR$z6iA?8QF8D2vJ4g+n(S)%=rg#!rR0nt z0q4(j3#;rv58nFKlm_{k7xq2w<-x4h_S${5NoWD6Ra~8xf-iu#Qtrf`s+Et&zbL!H z`1O*q!s-aIkx0$u7R{f=C*_~&ou_1Ji5Hq=Yg!X%V^1Rx{uUp2nh=7_a~r1^H#XW6 zwH=q6z0q%Y-@+s5E z=S)$t>}B=d8qU_=fPt(Wb|vf$@`JLh%1PQ2j9bjzQ$Hey7cWRAZmGgDb3Bsy^C_pt z2;XC#@OXQLa|$Ap`f9H!dajG!NhPR=sA9lCuf ztY=hKgA|9SODi0C8Xhr)_di#-c8_B8;K|N^Z=V=P)qFkG>GioLIU}r?9FTzC&tW3J zE7#*4${j5@PG#PurJh;Lwz|<+u;nO;bf_xqDg2At+R!Bh>?QDV>Jdaa9 z>Bv<*7QU}{bsjOb0PX#*F5sT75xm?6bYvQP)^|bK9V~rqWZzC%QETz5Ie|Z!$0d2Q zmHb)4c|@vffOGFKk*u?S=RKpO!d9EW-zofYm>Lmxz7mh1p(5>)K~ULDYhj z53Rl0D~hZ0J{t+<GTLFu{NkGu|1NEfXaqjOYlP;>a)f9U$|~(w0unK z>5bG=LFu)V*U!Ikgv3kvi(!MUat-)wi}~+r2V#sgKhH|~vTs+(oeHR$-d20`I!ak` ztYTn;j`IO0FVZ}}jAyVt!7W(-veYYJL8BC}7waW3pCmY%w|ceu@crY!H->k7#|qe~YanSqglQ?~ z09`xyTY~E6d_RilHOK6m+t1%8UaO#9WCLoaOXpK;M)WT`86D!>7ohSEi;VC+57nQX zQ~M^u84r*{4=LDGzePt%#@v+P9u3{0_1ae#E^877G2%I%Yu*DP-PWPT+_ zwk8HdNh1wbkk&VueXxaGzNQ&cijEqZe;=m$~B z^7!10FSK8Rx&7F3fEkl3S-v-=>+aWLHGLA$CEW0WCx$28moeZLH!wh-(nb5XO3E>o zkh_TtocSma{5a6O-fAAQUB$MpN!x@Q@UCjl8HFKr_q5H25UwY_@tG2HQ&v2syrVhb z2=e!&z?-7wZ>1#BtNJW)a2q6&4t;GaH|(U?UZ{a7=`c@|NwEPep6a;Q66IE7i|xLc5LIeYcc*^< zm#X#8n~y5f@oKqFSfej($4)($kwIkmp@#_eBRjFvH7Kf8sL!B%>DGfNp|uYCn%u)l zVV0mD1usN7|0-91?#-fUf3?Or#Zg(}PpbAM0;p<%dB9&5{RtKm?6e*4iD`wgAp82% zNm}Bfh-;L_E1zd9#laU!lK4~o1%9WOUxdE@1`YT_Adn&(D%@2NfNEun( zQkYK8$@qz$uMC(BlB26rovO*>fITHyeZq4Sq60d{8h_@)aih?0cYJ(i^HeEL9|Ya?a8KZGMUBC#%WKp&O3$b{=f-kh(4eK`Flr4GvjFYRn@ zKwfalL`(R1w7kwKuJRWzo=J9^<)&-%e|5Rje{F%2vBi;E#0-pjj-?3GarhW?p*#^IA>ld=Xy|Y^% z9f*or!F7af3AvWPgf(878tsD{a6t7b3KBuR>l*z=~CKb{THjrr~=!BAG807@9}{RtD70nRWTzm@FN1;-V? z1I~*``q^5AoBUE#{G7(%ObmT-*r)jphtT+`ZDNdGpFXq#Wr_~r)msX5!qJCz&ywe1 z4s6clBHM|3YYhFtrs~MwWn7fX>zTOZbb-u0^2bvR|2LAn2UMQhoO#eny+EI3VWMS^ zyk}6EH;{G(uOjnOQPKxr z)2G=4nxlvi&BjJc#1``;KNo5D7*|+bVe)zUOP0TQjD7)M?fUDWtUg$HXy=ttI9BrbZ>Q>Yk?C746{po zE#-Ahc%V?c(C19!*w=^^#$2dkC7%-(52kNVIqK>Mnp;|DC1IadS0<~%*!db)o+K&q z8ZSW|gI|Hav}YZV@ZKOq;L*Cu)QL7Q#<<=#`TBOh!7|%{gDwG7Gc)<~L95@dR>m zAek2~C({=e=43s?BsJqQo3{NHYLv24n98qlnAN8X^L$`75}f^F#2XY*qA^F$vkLb* zkAUPYu`|j(&8XP=KZef3pAEGQ!{?xgEwO_(iM?vXs?iS-o7!7RgxIR8W?L)7j#aBP zHl+kbTQo6a)vN{`L9}{K+J>s?JfHvJ{k^~UeV+Tit{fXBz2)`i+s%K%rEH%4Hcd@Q z5_(XVS}kYvyUC=tnC#YW=dB`;KTpXWsR8V~PY1o9I%9opt2N%$tRTprm(+X9M4#D( ze}KZH2-b0;i-IsOM0j{&A=XCkG*Q`6Z66S=GKO62e&(p{gKzyK(Rz2xQEa+2zL+!D zPR;zBhjvnA64ooe?04z-+~;Q;x$unMm4Z`A5&3Qcgl;}(xWQqh34X%cqtjhbfBDJ? zR!;8qbZ$H3hv^i5P;kNIE0FPVG)zYMlS$`}mXGW77kc9(mp2_YinFz2Yyygv2&zNd zEce<9|23GNwtMER%=$>NW8p6@#GE?sr+6@zAg!j(Lvs!MxAlJ2G^~)t6MEVu9a)v#!#5<1Px^Qyoc+#?hfp)9fduqtJ^AmCCGZ>&> z%b(Up6$ftavP(LLP^h1hiK*BJSi$V7jve+oiRe-fgxoX z^GRZ`Jh;B5u0mobF#(KUiPvpf0;64m^l4?l;0)TwIv%d|R3wa=@K&Om6 z`T(+bB^hj!qMEKKw*pB_C|id*9`%(T~XN{__cT+f~5HS1_nK_4n%=Rr73@Fm*Z$)<0k1Qcn_~ADF z7{-F`?z{6U&dY)2;Xdn7e5*i00n~KN z=%h|uQt2qDMtC{DLfH&rmsf;ea^=<8+E<8C^agwS^j_m$^OVwOZQ!~xTdrJndDk^P z_QdLXQ}@f%rEgP=yGslDO4IW3+?j=6-F|9X-{TofcN~9(n0e6#RPwd5FK#-t z`mj5EVbzWV)j4M%G@jxu;}$!)O~)clflH>a4EOPkP)$$rm-3J#={lI4=}j@=-Ohuw zz?s4XBNSRoTgb%O##MZI+>TV;?I~WvmRH?U7p*Y%KsEE?$0z6=Gw`Ew?BxN zLv6`RY~s^F2$^vop3k9w?>v0Yv-`k=mpv_~GBQ`kX&ZEKP3LUk$!~0{;x46Gn}qHu zt5mCUJ4n)Alo6jbDLM2`(w~!tq3y$#OAqS#A6=C#>~vYLe4Vc}Id_>b_(AQ<=b0E; zVa8yZ7>{v2Uu2ImfMu#@aOQ8x;gH|^D`)T`czkanbN5N&yR2wvf;zwcoXS}^Ak0zi zs!A1ZQPILi#ZEyG<|G{GfaY2ezW!6iRJDQE`RIQS+bEr^NvPhbzJI|&*NS;sVQ7$1 zIlRILg}G|sYRy!EMRmQIPX`p-<(_RrUIHp;t-~q=u=Ph)-iWfK<@;}r6xr<^bb&81 z%onH$cI}JHmdHnYKV&x*`$9s5YLp16BG?e~Z?eD+p|4|XeQQZ8X4RA9ZYR*3J+HbQQ9x4Q++35QxHEo znw$|9s-qTe8gyD_S=Hqa82co5dtyp{Tz=grI?98F}dulhOk zvSOmpe2ko5DSodkRMgC0)YZz;;k`vm)F|JQy(jma{cOuD%7kDqrLFv0>VTSof`}>A z-d+y!cD!rdd0PEL3cE!x;>;-9;Ty^TMHs6qqEB?cvHp=1gl3imWClRMV$VAUnzLnT zV9?F1NOTuA!Bl8#HXz6{LAfQYhZ(V3bHM_rma&NSEO7xpO4Z#I zw}tYOr9-AK$q{{@t1^?(i!fg#wwLb-Cy#%-C_RDWk6mZPZ29eWanvYDu;R>joOQ%2)~l?~HYWi|(y`q(c(#uwOdUg3qf zik9m-vLLyyq4a&jz-WnOgR^*3Obc%@uEQ_qEDTO>yS7ziSsuF zG4ACnQpyS?+iluQ?Y$At^_Ot6MpFIvcsU$^eCG4m2=*lM8Z%SqiP}2&+)zQ$_!!4c zK<>X^&T~5l4hH&R?YOUUNHa*Io*z&J_hZJTs7hJD?q>j= zHR7{0Qh}>Wk&B`#mK%6xIRSQdH{@xD%7Ne{DP*c;4AFl91h&ao4U0qcopN~j&a-dU zPd<)cu@%kDbsp-6G%{9Ka9Iy-<=BD;vzxcDafR_REroRhMwGrlJBRALh-@U$%~) zTH=5ndY5s3{!Lqz>s1Hn-wz5gc+A2<>TYE#l&)WK+m>qbl52kqJuhz;ex~l3y;g>G z*Rg*rcB1PZm*05)uX3x+5fI7triqN`pjlHROBa-4;8M6RDN(cIHcy?I-MZbRd&EiF z&3i0DUu5lV7$+oqDurq>TdTq7mpKO?_}bn{B#H}dnxn1Is}gyuv?_5nx!T9F?B%8~ zl%PYGKy2B*q#hD`nb!ivCn*6s3H%8@vEF;cYrU4mtyoFV*b=$_k5gY8X+Yr z(Y(0DsjjMK`1BrfKfQqXmWO29r|bG%nosyM%i>j|=KHoC^)BbPFqvP)7fD&#$+DMA z3x{p?NCR))UfIdXXEWsWbcmmJXuLi&+gIGDNKz|s$7m~k>x)%*^UIk2f*#_D`#ZqO zY>cl08vtwWCpCJ=sWpb5D&CXb9U3B!5_9^@%{cNHtqMH9>$lW?g5s}`(l@^AW;4e_ zdDAc1zB$91{QBE<$ts^gR#RCk!_(tmc}050X=4{$ zivXhrQhU${ow9)E#7y#$waad?7Eh;Aku$!?IEcH%K?pm_YaSn%xyK;a>Ce{kMUP2l zT|&D(`Y9GsFZAj8g>t$LN*!vzMM0(3`bP_`5XTmj0|#5P)`U^k9}1wVKgAwI1=_y& z@2}o(=EWqg1IClVzC}2$+d)gRv{h#=Oi9hksvECsx7@o`BRQg7L(Y^y8zd^Bii+7z za4XWaLdj7O4P-YI9NlM9%ym#u{EWAhkns3o(CMTwCF8=6bG(t$sRa+lo4s=Lewdw! z_bO!|RMbK*Ou6kyg%B_{-O|!X7qxiCrL1zcGQ7czWB9WWf2suS8ns9Iywo5$JLywq z*e_O30|pd(GBa9p*pRNOD59})RR3mXB9+%G?VyxODZi;I0BM;CbneOfXs6s+i|?ry+o z{gq9E-15A+Sk0(Ni05nl=n?$IJ6G~4&mZ{Er{=*Fy$g9PE4QHd0`o1^I~z1Hq7u`w za1EkomC+M|@RXPRAr)&oQX9{zICowSIxt<>1yYKkx%EjJh}y=?z#rIG{}L%F9+$LC z1B7jG2}tSzZ?pNtp=z#wSiKB@#mC#U5ngkT-zfcD8ewXRGr!2urx2-3DO`>Jbc}^v zyN~~~=Ph;JQr8DpkP6k}AJnX8i!7EF{h)4s7nVJOFUseo-$(_xs5|Q@1f1<&{U#KX z&a;~tvcvK*wH8<+SG=Rl38{Axbi25OH5qOy0sFcH}WUJ1ZH zalt;}!ad9Sg?gSy?(2r4Y_f4aX0_;MVtKO6zEO)2efKp5B{Zotdlcwap;+ zr*GNKeQ(66_Xcpw)TpS9@K1hLKt8(1i)%oQ|1rTSps|8q&Ol9HW=3rW z`Zd`xZ3Ll9*_&aOUHlUY)5U))5)?92u4!33|EAxsjHmvu`<66#WFSu2dRonb`VC&j z&%*4FkfPj7`flKr%W`i{PyPfWV-RT-g$z_ggS0X_GOEG(#DO1^Zcu7;B}@zPf=(+8 zA<82zgx)5a>qG=Gq7qEbfa_%mPr>i@KVl+a z2_2(6n41ffW$%)If^R7Yn*;yA)<337_DQ8k8DPlu1z`jy;F)UMw4qV$;_~aLtINS* zP-T5$rY)g&Yk4?D!RyvU&_(Di+)M7@SJZ{uAzJ$o0ax^rq-x&6Dd;1qjl;u&VLI`@ zkj6jxkzPyWB)7|Q{E^2&^(5ZDm|{lUx#I|r|NiQ45a6D^xnrDYQsU2H>6a{8;|E?x zw)bUyJQ%+V7Y07;f0qX}YU$^Gsb)vi$xyXGKF@mf-(Ri?W7AvFZ5A|{eE`cTT_+iQ z(p1cdiq$nm=%*L3Y8i9RBcibtckgt^#^w&?swjEhhTwtWtsNY%-!GXjCMLq~8iGR* z_x8m+WBLNEH;`4hZ6gEV6nX~|vit$u>BZwfPtxpv4EEzs9Bo%Ue@hCjldi&%)sQIM z=_pcW-dzK2wT-i=$o%9ohHQXsHrW;~?+53ySB$R4ZX9Lysvp z@O$WC)P*uB9ZjRzyTS+7B!rc`>xs$@e3t>brD!dJL}!e`BeFuO&QAtzGuOb%mEhZ? zQxUbb&1&3A@Ec7%oqmfIt&Fj(CtzJ#U&k-L1n*TTI{HQ?QD#k$afe2`i7HaBNN)QH zi~ia4Jj0S=kzm3Ds7(!CrN`G4pn`Fqrx?-onlogRo%|D+z7ucaBCM6a>UlgS&cYy+ zLt6J&v9ikIOh`QST`NM1;@1jBPfT-vf7u6j%-;3~w#_$hKcn7)A*T{!b@#>!bkk{! z9MMrBkelsbn37TNvC(XnNnn+JSuH`Qb%BkOx6-*+;xkP6=&BI&f=dZ5^^D>8?4kl* z#q{_%7Hi>GxCiSc8ds{w!Jl)IcAhCs%d?Or$#3k@WQJE7(TWA$}|)I_9nrH%lNnM>Jy2`W3!G7Uh8)WS7C@t0t^ zSk6YUxD_>4Pv^3a?uiMT_pC7tjxKEqbkNQ=|Bah+vDnKskKPw6)T5zdoPRa;b{7u@ zmL3FYi2_LDIy1AGinS?1@80%tA6+j}Cay}g`jX!&-J^{~KcaX);vvjd+in>Gy97m^ zC?8;$nW|MzsM0LGg22gE7Yq}gb?+F;3dKfX3{84K6nA;vGNX~ zGDT65SxpvaSL)!y4DFT`s{{Nq;w7Z5(04hLP2dmBOqNovxpaAo5Y28KoEbU0*5n49@M^06GE+>O?kgihHm{NoqR(6S z`>F@p7hnH?AK7f+({p@{*D_lilhv4!)LXU2P32^4wbT{O6}=It1;=Y%m*fLAf0$kF z^_3`p$$bSL1spw6?_@L^zoFtKI~iWV_MMY-tI~Z3fwzJu*1_h&|A<5g5IBwy9hz5# zZ0;Jds_lnCDmkQ9 ze*ej2zXga~K2l8*y@lx0#|#)we~H}J`73+36nSMbl*@v>-6d-0$mHfhuvyq?MkR04 zjpM@$*b8YvwvO>f3p&FmStB0*fGy|_6`uAX4L9WMt!oB+EuKppt9g}ztqf^nR#mpR zl}{v#JGB=3aY4FXM`3zu!ESc$36lhGuLeZhiKGwpQT)oJX0P0rp3U052u0Pt=Em@| zN=WKLZNf51n)t^$&aUQwH*Yp#3p-`0&f@Y6V`@iL|@sF!x=mq$V{Fv%Pa*_RXsu6Y83U2#fvEE#5&F? zWk~wqysGLsjD2SCL)n|Jl;F5=@cqfJu2&1St$cgYu zTWH_cpwR;7LI7HIQXIz&<1~D3Bhhv*FUN&CQ^Y5W+YoC&y6HyPxh+gY+@+TSv!koY zb^T75Ly$Kx#QMh}=WD)5E7~(uOOKtbkEn(N++4Y>7N5ZtF@e!2m3RYE7-`o}Es1!G z>y4L3SXVTjDx|`Q1*#SOr^c6c|7f-MUYA%`$-vs@r=Et$7LY1K3>|oR&f2NQE>Pg4 z1cO`yBbHE7%^Y}8GV_~?G3KH|2M~f3Seigh&;pK4>HeyWd!mYXYvvs2C-jW#9lxd2 zIb`%s$r7!f$nom#T}3cuGQ({q-G+;8m-iQ!*z)7n)#l!b6zW5vip0nqI@*fvi=`QB zbbHlHA^a2CPW(##9axtb^bKX(O3tfEaIwqW<`9Uc16bXPI(dI}q&ke7dh3@glDp~s zcWFZi$&&NKQ(y4Y6&FHLc{k3oa?jd zg*Nrgdpmjm-cY=M*EjO^-(7vz?bEWu&gJw~g>%nW?4|N797M{=SL{ieV;XMoOYO9g zHvn-Et$qlafT^Ud&)zETBG@)F)+JUI!hnyMMjLO}WID!hxsmNFPXm{KCpN}cgStAu z%huiWmL+PPcz59+`c0}+A8TB>*OaO-ePliDR+#%-I_@krmi%apD-3#jX4W+lb6}0# zoGq1dSk*Z5T3x`^L$3b!mnA`a{5`|9Z{UpsaU{`n400r#k*9=D%W6M}uSwqeR6Kqw zS5AR;qYQ1u#eQ?SE_D17@F|a=r9&i2yGa)LOYM`me{Su3W2!@;vpRl6@0Tn2EO*HV zFt@Ik;&+v^b%O%sAsoa~c=nhS_deLW4iNq(jYk1t(>Zmutv)7YYj-YV!JxQnKw$p^CLUa;&u2&h7Ho>qPZ%NaY!j<$2$B={IV-#CT6o;wT6saCd5i5seFoWk@n%@@`GG4G;Gh(#@tuAahR6BFY7%z3bFK9Ajr z!b^5ds~8xjH(833mzgNjg>Ad@L(hEK_O}>#ouI*&NBF^`#`pe)xMSzv{+{zbw%wOi&xA!m) z!kD(J(PGQlv_PZO&G*ZHrrn$=HD0s45Hx3)b1Q_2Cxy?Rnhno+)qIzZm^RKsLMpFp zY=y;YGw${Ic>HPBOIRXqm$vA2Dt)wea19D}{dB!a;Rd}~a@a%grk}aC4y7^@@92V& z>kgM7H23L7WS>=m->as_Xu1QWDugg+B0Fci`Esp!nT3t)>sm>8PhK|LkEh^EvR8@i zu1bx|o%;tXT9*o7>7uO7I#*=QUO=tUpL5r&w#!QJ-qv(iRl=!k)}dD==V)%0DL+dM zl7J3npYYL3);-n{seb-MR%-dbzj%L$Udnx05QjDp%7Q2&gnIRvc~ZDGl46LsB~lT; zi`HB{fDBt`)$yR}#o46~JUdGH_CzrI9lfM8?o#d_GU-^&C`dG2>mtC~x9qP?a?Vtr zgW40{QkIKQve+?8J%};Alm0OkU)L?Y-ynDku#XKWqWH9L*?tgYDcF8QOqO04(XMo~ zhH6NLcl!C)seiwbHN#6}M$Hw1J?me26bm(gR{X@Y{pK=lh6e)gKw(6Io$lIp#MlsN~AVZT#(cc)t0AJ z+sQL-T;}lFA|C>%kG|P?9*O!|PF-V7@lD3a;_k&8H~ONPl0){IYTGs(!;;<>_(w&= zb%j`UFU6y1%TM%AN;5velY1k~1c;4u{I<>&%=jY;pc^c72wd6>NyMJStkJJKZ@er%J}K>&KkM$*2(L>DgM6VCw0@7iWUl-7@YD|)d)Av? zwLVhUCdL)TrVyJ=X2&XtX~OOufGYa|tI8n(yYZV$pWddXD&zmP4xk1~*t`D#GtwXFr{PcPhx> zE$NNWc$!+n05k@rG8_CdT@jc00@!1CfQHkeB}!9oqXp_-ao0=1Qa3NWM_<=rOV~;S zI2PGATFM$LMVu9NcgJRcx|j#CPPHy!xibpy$F*I4T6=4|!;wi(tTQrNA22?5@V3@{ zdkj)G&o=nxo^N|3C-D+H=IKKTZ*i=jWUR~v2DQEz=e8Ty(~->>{;9JPwi=lb9Y}tu z_(8(j0xqv!oz%t`ZSd^6!FSHARz$5$^^MG`D^Hk^#vV~}r~aqOsErUVB1@|=h+v-z zcxGwBmxgbiE$o}vRPPfz3Dj-6&0jv{fj%*cRqyj|AUa!KWf@DN#zB}N)qT=t&G}n$ zx(N8eaT6cL^H%N$lFXHmZ{xbG<4CFS+C(P8j-9EpKOL~Vew}?M>83?ljEzB*tQ;#m z?xA9&ON|MU8aijwX&fi#z#I;7OH7?kOcvwZy&o19dW;)c z*cZ4u=y24(?Hl}uUv-YcGP5*J25kRqY!P$gGVj4-&`W%-;?zaQi^^5^<^_L#~Ee^kj3Z8x?|W`N0gx+{!6xc zZ`h*1Z;3X1!^0LD)7Pi@3teitSLtN+)6L-Prs?%tH_$8n6bD*ZX*oguKnJJCbhWpw z2O3C8m;aP+6F;Xh4mPX@U1TeHGnHEXh07P@xqNzcc_YpF?(uEzDs)JkB^6U%U=j3M zYozAp9a1u4@8_22@d9sH>1it4YGk1Qt*PL>gMOJJp>0(7lA%ibz=&DkI#_fEq_kc| zZaMGr7zl@`nYFndcuV)Xzu4ilh^Sb8J~5i4w_*RR=v*yAx9gPh+ljkXN=kQEBDGE@ zK;lFXgu7X@`k08qdpk7}l5=}`p5)r+Pv8+DNlJm6?k3v& zQEPijh64p>z0j> z-xPh!co?8<(cSEqB2r2w@kPTfIDp?H{c>%HP2#uHHN|*m1=E{MksY~q?#neb4m`RX z{SiV|Ox;VgM4M8f&abM_;5s?0_+|LnQOlN~zvF7&UcMdRzV7N1l5xWF=NW-k3Vt%% z+y4hACdnK2*iQEG`H)yvbT0IpW!UL>?mI+j^UL(-gd~<3mG)KH^cs0!@c{m5QS@IZ z_e80O@_sRP!jaSQ9knO2=rRD@${%S9@w9p{&H4HVsA8qwl9`Ib&1@D;G-VE`I`=)P zH{z_*cunrysscTi5u4rlRR#>Sq%Qp91w5$H(yW?%Q3o~#1j&3R4d7HGFVy)S>z^Y$ zQFm_N{b7z#_%~zx@kJ-Y5{EG5gS6@cWI8gpB!cZ^e4b$)0mZVq^bEC#ohOP!IZTkS zhv$iiJT|61cT=ZN5Zii!OdEe%QJP~Y+PzIlwIUi909)Uht7iSNZ$9QF^g-t(WIR&b z5{rqyhKI4y>V9#iaVg4|FS9`@^Q&UpZ=5Vph^GNy`-zf!Ak+jk*9@41`YvWg3O3NA zzPGTr(~R1lq4bv2(O%BNVC3xrrzRo0_2HC^mAQJ=@ie^DWk$ex%4|QtUZU&Cz|J%C zHkciRh;zyPCbUrC@l41nl$;*-ODE+n`}ydpaUt6HRw83V{m`pETkn&=o))LFf`fx0 zILx^Z6_KPrm;x*hQx~)vXAO(~maiJvzQ~TNSIM%Y4do?+F0=0@C~(^?4_XR2vFrHO zFq)DNh12zktPdM=Znl-k2BM^741CA|FEnBhm$>JbU>aJ->jj1~eg}%2$1Jx8C{%ql zHcJAW01qtpg^@}NzReOXDb#KmWWAC|O{Y5O&f0mwDWUP!MW4M1n~qH!$C0n+UFOQJ zu#o#>k2EQt2FBWeK}`=OQ^-CkF5&9wWs-NY=9JNusk?8p0$+PYNelhp&)x;x!9^(4 zaIEXkB2gPb7rN&Dw;?`0tm3fF4?H<2upFc6mB(Vrf?vrN zgYryDf~PYph=*K?q~hFt=CbOK#tLPcZbzrWJ|n#)(_y)$zziJA~+{El#^P)#F2pLy5Zqc%Dj zq&EQF{%tu?lI|%Z@uD>PhXy~>OCVXANtnLhVK9`x7M;*>uRh6)zvl~>Zg`P4f-Bq-6JC(KqoN1C};gC*-usGm+#CF zH8{E@<@>&{^o;X6<4N|U)WTt_V1+d4QKdrx4hWykE7&eXjahHilq%VA{#dCuUQ@Jk z*5N71Mt{((G=h#aJ=l74?p1jS;%=p6<)FIQ;+6>i^RgY<;47Q{izMy91cTT!x1Txo zt-OLvF;3xok`_55GBS*g{kr4rObLAGb}e7qSc0vy3iIMQk6kLr@Zr$=20(r{VE8D+=7yUex#t6rWX)sJH8{!`M1TxxG#~R2ngr40%^_H)k*u3%#2v$G6afHLPY|N&db7u2n|B0`3MSr%kQkXsz@P3 zGW-Vh-qIHnlZ8@+C*P!-vLSuhp-;_ETvv+(IB{7_^eu=x~6; zhR4aF>S!<4Ul8oEYQgs(k?sn5)`(e{s%aFIcCqv`3I?M3Hn=j6byO?wA}%WOjleis zzngI1NRoYiplavj%}4afRcFK+ zKROC=MEtVvg`d5&X{$xYmwAM;%pHy8k|8i`5`6Ll<8oagbJ2*lp{IrhciRK1Cs+Lo zqZ=Uihq30fgYX*G%W`Uh@M)AdsVaMVs$%y_-?T{?!MUp#1`_ju9~L-Qu5&VWBpV)^kNJs3=J zz@;9kYNf}r2wQU_oc$MrLeCxVA_wSzze7*60+?>k9kL@;KEFi%w9x7(u}QipLt4ks z8le!LS8mf6$A8MEqLXFc`h(aUb60_I)*Fs_`J2SP6Gc}VVWcbe;lVAcR{!54g)W)s z2`@uJwh66({9Uv~ z6Y;`6gKJ{i+AjUKdTw>chpQw2)Y0v$4t=5d_XcT+Epf_=9sKtfNg4OvHMOYF-=v zc?7^8jcx6I#&Jnr?Iu*2z6CCTOavvjzZZmxQY)3b!3P~Jg~F+le?9)raPEbf;-9@R+l_I!*&YZ10OQg z3MaLH=i5mihrKWx*e3tG;>i!(gpCPR^6i?rQ#7yb3Ns%KzPV6R7#IjIMMGx}5+j1g z5%ZVVmwP7mDF2#2Rwu3r{;L=-o6Wo~v5{xb?TK^OlzS{Ky$9ow1$=)Fs9hdCxZ5ZU z*K5y+Xhf}WNvft8gbSd5sP2?ZR-7xyb%M?VUFDqbiLP`x=XbK4sax)d4Uws!YGzCb z|Bi5pr?Pb6kMkLNsC;W%ynw=J2|3D`+xFAdb8#mIlKqZzlgyOK9O_`;#WJOJ(BF^Z zbK1gW&!fY{HCyEG<&no9xx?N!aO+i`BfTV7>13KGz{iDB3?R>VoM(I2d&9CXTezod zXZP*&U?5?#G7{bV|JHRv%5SrERyQ8rKh!CW;Vu}h1dj(yU0HYtjiTrrgftnZE3^## z!$YX|SR{z?3)t`Ncg*jxH)j5sI|T%XF-8TNOsCK2pg5f+mK3+2cywNUt%iN?nWEm> zUJ$d{tfY>IN<5Xc1k0KlD>c)+w53JNR`#1!hR!CgJ&?@15*HiH()sd=>~-w_$Y-Ue zCh1f$yLX%LfiAstMlX%lPKneuU7r z;R_%Nz%e=ejvMN9M{-r(e$@~<VpFtL z=lr=}I^z#(eoN}b{2h3E23Gr%qHTYV*w8(M%bAI$r+j~CKV`G4{ds~o0GZbncl!G* zywNQ+MJwP@D^Ze`F>Fkl$R`?{n8oFTA;141h=TLA>29~O$;qw!$^Z_y~Fs@<(ihQORlR_u~g&5((J z4Lg>t0ubwSf9cl3qn*Gj`Vs6A^s_=kQ{!*oleq!pzsrORFIdZ@@I&OX4v0|mN^`1l zmZjOid5xtNe0)BBLdy#*c3xin)T^bsAMbVKFaj;)%?28dG4$C2Bpz@^n4f>#P>jx; zS47fA>TE%1hMy)ZuNKQnH0GyXY>ZI4s*jj5Pvcor)f0K?_RG2B8#b1X&8~1D z<*=s8(i}6S&r@-C^N5AL(4Pm|XK9+8bIZqqFoRzS(0^%#D5DYG^!UN~fwz`yssmkh zsePP;AD~dufetP5JKz{0B~0B+K>wx|rsf8^(u+|&@b7|uflLK#FH7OF0?kCNQhFN9 zeW&kf3&@@1%D3MB`M$xYp^>9jstt=qGGdSXn+)90#sGU}?ZDx3<0H)rhU8&Tp8B5~ zWh83S@U6VlAYLD#d%HXw0TbYN%lQXcaAmtw2aIx#MlQ58`0)dtLFGB?EuX_*djgz-KH|!b+JMKD_SDW~$x=jXS z!Zj&=^{1k0{TAI_61acD7@La3i)?Y<;RiUqJkprbyRX?tDC);khr$|YTd)B838vMP zw;28K)C1$s&*Go+1>RuVFL3Xhnz?)@r3&YrC~SO_Zoo`|*}=g!F%?bs7d?8?!)o+{ z2teDX>Bc|cSq-Wb3bjlr*@h8@n2Us}6^BA~Ds6VMnQ|JEX{AVSVV%-b{bMAdej=uk z=@!V+m*?4Xvks%EIrpmuXr`#OksG6YJ8wVZ#?9PM5wecWBJlJ4t4guW?F{7-n!B8? z(|Y=gduxP02n2Pt@4`L=Xd#u>z~75Ryqx)ktb}XKU&Bh8qH3SXZVGTt_gWE~*psy+ ze~hD9z=wzjZ*go%kJ81n;pgKj+THZjVeyZT?5Se_R_mVLg6SUHFg@#3- z0M4!Ya!3=;aaMe-AHeA5(Az9}IU_-0l~O3qZmH}pa#N6Yl3H@cQDDjZ)2(ai2K0@uBOh}@2jAp!rs$FEXm_#GJ4+P}t1N+%U^oQkX zaK2b7eV8@#D%+B2?=8lZ75uG9j}(bfQ8f;!2FHa=Ul$nqRp-dAqxF{1{5pw_z?$=H zw`CPLS)j+A)1Q0HTyj_i6`Jxipm?EqhHYtqeNh6s;lv0c^}ZeT-QnQ1%5x&FJwc*- zDHnD_!Xn>8w~AEozc?1Hjw?9@Pk89<12axGq@}XB!woC$l))^5!mM`mDqZ1Uhua4^ z=;b^W+7EZir&Q%wUE9rwma}*bEnhyg^5p}HXyJelY3$&`$F^&7dcOptak8GJ`bsoh zrBmpRs2~Efy+k5i0u1E{Wa&hKM0{+7;Ftvnd_&?`w~2>zeFD=~Gcc=S$W~1EsGl0v z%z>q!Z+xmE;5Gwe`pzbe@kGYL9+V$)ckHj_Nd(_556N)SEBW}}Uu+{sAx)LYO{I1J zdwiG(S_3&OM{{M-TL7Nh98I(5~mM`zQJLt4ui?>NBaA)pCAv;)steaOVHy7|_H%IntVJ^&-F zZP)2#^I(N&4n%s^ajM<$U2x)pe{^ubwCJZAsT?VOH3lMRoRP zA#V-b3wn6)xbDV9>GRLXU-d54zR;E)r73QoHH92_Q zt|K0vq&Zpm(?UwSwQ5dx^9}}~M}8>w)d;v3pC({BT{!IiPLiVwK=bIsQ`8bu3pR30 z)Pv&x(1{Hm5;uPR2HJK2QNLsPVnC$UBxyIU$Ei|J21 zwj>5=($_yy3$+i(^Sw8@n~$Nx4b+Ee6p$1^kvKvh4)vKB#K^J>g)H|ux50R&(f^BP%0zIe5DnCkeZ~^Nj41KX#9{-41KB=W~ zDuahB91#4o28-p&4Sdy4)dSrgXAA@Gd99uasY9H>d$?HWe}9?vZ7l4JkUC2J*djC+ zxY7UI;Km=ck>eKH%_V$n#m=;HD}vWI3-BpQ0}1Z}79^sc9%Ylfk4skH;gMp1B=j{w zB~<4z@&20hn{Ca>2Q?uM+L4~*h?8Ki6H)(|iN_;zfxmnsy38Iibf3@4E{>_S$$P?N z$m3bf&mChhXte)v2P`K3`Fni(LSF>WCHZE{1RcrNubeM24=gX9+v(J9QZV6FD~i>| zY?E4lap`%lyMJc2Xh@n_pKyJ=QLg!~-PVUP*o1 zO@OPQC>@QBt=C~yloZj{05G@Cs;!>X}ecTU7bBg>_n`B=jJM@VGLg>PvF z*H~GUh88M8KT;8gTRW3dP3~&xgMp(QXcl)^2hKpy0&S3a<&+;q=`vF`I*|8rnUs&1 zo_3LZl*#}*txpr-BW`8}q zZ-p5HoqNp;UeF4OdT=n`ZYQaH9TEKt&Op4Gt`Yyo|A)vL_Q4|gS?u7)tF{si?SthI zlAj>VQxc`dYllRo7%%J#qf4> ziW+!n5i+YIQ~al3_Oq{fxPZZcNNd#>SBnX^AIDy05pH&8Lw5)OuWmL_1nHQ3`S75m z&bQsvy$z2dU2=M69+Fq!dGA-UxSIMa5=>cC__5N-fZUVk9NUpohW2Bc9v1ETU-@fV z>w3(aOCzKJ2`}#PE3BoPuqP{5-d_F~FCP*Xd>U2QnZ_;8y8VsK8*O$PX>U~)?Pg5l z0@s}Pl@`LcCWRf&!A>o%ab?UVPK1PwA~$5@rq{6U z!}?{3xVc&&{Z|}?^jqlIlYTPSO%hl8!7V>xQW(@o?`pz$pIBm6H?mzB7qW?3;<0N5 zMd-Z=dv4oj7L)+TFXRm|z5fN%UM0&I_!Zmps63TY%>PFCir|q{8@7tXPH$R=jL7hO zxKpr>4Qn}qzq?^s+|T|sF)j&xtY^xxW7|gLb)XysEPxEavd-{mx-z}gVQk^c$n zO@v$~YrN^cVCyz(6Aj#Ih@TLjy-d&;)(i%|(kO=oNP45Px;7@`?y`d$_zCZ3Z0Q^I zGB$$hfmG*%d4-XXNdvxdfoNUxeqNXwtscs#YJO%e z$b$<`T;pGfF;b;RRmHh3A1~At{uJpeQ`hPqm#zLkLudWhbo=(<`$1|njNAwrV>A+D zG~Nstwb9*-(IZ4fQg2|y=+PxOIwd6(kdY&lQX~|IOhrWgcaFmXt=NC$}dVJ1@_=UIn!3E^}y^{F`a$jZs zbL07_Ec3Kiuf+I)yK?AeM+(u9(z5*`h|pZzO@7)xqp>DkRDxYJnP@ntQA%4a8jmo1 zMe`Zc-Arbw!36s*_P0Cq6M2a4cF#i0=byk18M)zov7AF0NN6#K@NE3F5+-&4cE`Wz zZbg2Pqa5QBef4g%C#VNncWThmYuWDpcphYjnL{}5OJ>YF1V+2ut*~x3Hb1l)T|Nj! ztKW4xw>W;QDHMAgxvgfpxp_N#=7=Y>XrvP}9b=Qr+Abvt()uYRnJ5EHpLno-Cak3+ zaHB!^N*@AIN3q*Q@W+Hq-h3Q7TY zOB57N7&+G#m{vD1%)TI}4>NXoW_eMpiyU5DmN*lCx0`@HEx^lcAG!kM4lCf@<==X8 z6igS+>BRAHnQ5V=vY`Q{wu3x}5lcn|JtxjB#jtHad16%{-(6+-*}4!bt_!EsZzVmd z&a2*Q`;Ng3xDV3|62Ie>`(X{jISHmR99+g=fz_J$H}O#Np*(cBW5Pkg-ETn?+)-J6rU zf^-@V={)h*@0-hDpV$(iJ`aDiCiQ2`t+4~dI_HA&{RKSzv^q}knatCOh^;(Hy zVpHh&Qf9y4#Mc_@GK5^o(u&)6`+1IiigH*+HmSD=Yk+GS6yO=mBJt>q0JWlQS=2?E zXX~l`?Dw9BEOVS>zHJe{d8`vLR&&>LqCrBtFIWZ%e<{dSvznmZ7d++Dc8Gh$gFeW! zd~G6Iktqeff$a4|TjM-d@-gn6;tBN)VgF54I55vK&320@0}t%8 z_7yFPsHNP}a$m%R^A_)dG*eyX$I=5Z1G7f#f3G*_KB!}QA-MNS@aQ_avQZ1un|raY z&dCb^Q>29a2R72LdyD?M&EFSHnY4)W^BXtuMXqeAo{P{M(qz>Pa!WS6{HCP)(;ymG z1b|O3yh+-WDy1fVel6w#1Uz)#UhHQ?H5bk@3&AWxJv$;V zC009L`!KSn5uQnMl^r(q&AjJ$Kj6Jn^=4cXv>^{E@FSmnR7UUmI8#A99HiTT~E zEQ^S^kfJ1q-vX%{eG;Garm_`JuT*nJQDpZW{Zo<*f4os2EMoyPEVar4T)1zK>KIJM z8ONFOE;lg6Y6oItsfI@&pZQ{M-`o3NQ|iY`@2C6+^mWoE2uzZ*xT z#o1B$u`|@ZZ@();Y}q8Gn5SGmnH>J!oqYGE@zm>2#nHsBsM_XGtD6otQrMNQ?x1IP zGNbWY1(tt1)9`b=QXRWUYbXk1WI7W-Aq6``ZcQMICBbqQ%_Z8i^R_DM%EUM2ltI`` zPBPzf)p>GnXZcDUdlp^XfM(0ol46L)yVeh8Ku*pBYdGCuFNpvtYQ^ivY*y9t4%K}* zdQ$Zu=;9wDh3*m1Q)&N1J4c+?f>s_ul(qQbJ6~+GvgMh?tx%%)T;O@!-%A1loL$X} z)GuE6A?|J%$0-ax_-|#K+o}ekRo=6Ik2C!J;e)3h+6_ z_Gm72!`!{N$I*qADsJX&l!n7=haavJaCz(hr$ulPv(G-B{V4&7gVd(p@RFaso-x~3 zLyL1}xdBQl6kI9e(aTx+EQ@*KMe3Wi+0%O-jX*GDYZ0of(=vN;dZGtCLZvA}1Foqn zEmzq4pbf^Fp-ntR85*Ks3=MBr zOvqT$3s$fdgyb%a%Mq(!+Y+A^1<__Uyv+IU&dirsG-L=?unp1Y5?Nuqb~CGeCBc*0 z`3Vv>A-Ci$o|?Xrl79m9YL}M-< z>1heNWJkXJe8YD-&2iuyJ}1F`fJI(q*_$Yum{%4UqUmz()Ui>oD|@dpITGnE$EVV> z`alhuH4@c!A-rno9YGUjT{C(@AnpRQgU{|T)m!e@e}Yn-Q#sH4dTns`foirfh)wc$ z+Kv!Km%q8J8(Q|}wp^FkuWf!Ls^T!D_7ne!920m*M_+a#fW@B&XL1>(a%lSMwO!G&YPg_zJ|DPyaAQl})Vp-^*D8y1=yYDyaM{eBkmDe~l1bH!d|)rEu&(rwN^ z%&5(gH7TVioLUu0I}ZQLD3JgJ@!PGr<}=@WmW51*W45?%n}^SVk>f5^x*6pQoX1`491F4cDm z8bfS%Gj#&FgXfq|(HEkkD9WVi4I+^EEtS>;z-MrCEZ+_?%_ur@!AM1+9N)lPXkClV z?vIp5?Pl`Iu_kkzlX^?f{GVl@3Mb>v8JaIhsl#pc?y3N4HDR(^LhLw!xnRnIx;ZHn zh+WRw`eS+>g-RgM|Pyy20bd(RDk+ydFawT?Qf$?uOy3Qe0l_wPnVL5CUjpa%`Nh)__J#I zNzDH<2VLdZHbDL|Cgpn^JuNVkdDtEjr6?V=zDj0G0WL#22cL-(p0ZnNO?qhW=eFbT z-u%m>_43r*&YYJ?9ejtGU%A0Oi&4u4d1EvGb*7d|W^m?dJ0Jb1ZGCp0#;{73KGMUP1{D9ieiNE6{nir>E2W{JDaj}^@H+PRD` zR4pz#Y&(L1O_UJ4F=D`Z$Xq(ti!ge{{HMB#^-M5h2@nO|jcZto8UnHs3`^&w(Y7gC z4*2u$3~htLuucJbmBk%IlfA4cvpt5176-9%mOM<6R0u2cWo^9GE{u(He{}>p8fP!&HR?}b8=U1NB&s8&kE7**kO(%bIT$qgGBIVnQY4_ePPk9s;Fr#*^ zR>p)bD%)kN>YkH{j9$WhAuEuJLbp{7`c=xm<2#@|$M3MUUp9A>U>Pj#yJ=lRhqHvw zD?3_qD0@R*m#wh*xmsG4Q}k5009Ub64YS(Ag2 zSp2+Z&ruXj2#Ibu`~Wp;)xTvrzxiePUvHd+NQGJ%@A941ZTT+C&McwNq?9taVpG;# z`T5GfSRe`S?Lpp|he)Og(tZ6x-~t1Y=-2#sG~qC8F`j>^ z(lXq<2v$tWN4e$X<_k)Q@Js(^EkV@jF9}k97NZnO4}J!7EWHTL0o<8yr#OI>AcuP$ zZ^Y0vh-yk$!zsIfy%u~It9I0#9m)u zJi_WLihcL_6`}i+rkKY-D(1qL)S61NFWg|hpmZOgjuBf0LcAe;P*+t1QW0b<#;Kz# z)kkmj@R=?np^r|?AB#X|fbhZ_+E3%vvNHTgsz9ZLTHe}~ zzG-apv#vzGG9-5n_~wE3@VL$Y#emWD+~+GdTcMBaWB(f9I%ycU4_?@PLrKEuRW^Lq zR_S{g4GlJxy6@mmhnQ6!NgKMiS>0QO4%pnZ4+`n11l)>VW!MFn?eN$ei;^ zGT_HVt!0OOYWWlwhTYLHuSu^Vei9TNvCibhw;udYRa!{IS~mPbk4~{B4VFoo#vbd& znKi@mq-()~PW~BM(ezu*1G+ONqNr7ayyLAmgYz}7_&d8qh4S25r!rYh<~?PO>>FP; z4Z09aVwDx1@J;{8vO7}7Tr}A~(w~kpB5NG`Tr5djQ7MT;FZR#(;0~RTmOxQJ{wJMC zBTR0;okNmP5V(#R1P@+4g0jex){ja3+9#SbC zRz+9eq#m&)*GS!)UH;CYjJPXkF;6PJUc)Q#;QGo`9)NymR;D%No%@@RX73-;1im99 zbG=J?{k(W{+#)undGl5GYKBLgKL6z(YS8s4QWZ^u1s^ZTjJB#7kbj;TJ z4fwpp`=7keHZ%kms_hjLFFydIfBa)|bB*Rq`L1q+rlSRenfqTM?ZN{y%vpas^qBkt z3ABc&8vRBV{=MNSk98B)5$cFTdeG)%KtygYP8#K!1GqglK?B$5bZ`ogb){7Hy|T;`c(6ujp6JtYB=?t;69`xPJa_N@Q+KkWrgUMRz!E9^BAvYUF4=$| zm75H>p;h)L?wwdZzO7YGeNDs3Jel{%H z{1W$s?|=V95B0A)J7O<^l--Ik5qu$MTeZv@Jz>$9xXYBzZqlZYKv32rougc%?1;hB z7>AxpRC>mT|K@vkzVH2uF)M}@r&6wRE;yk6W?_#^vKo*`}7WNH;E3LSBMf z!qF8wG<<1b&IR8(Eax1cs)NQX6m1*s>6dhz? z4Sw^ItD3e!dsp?W!)thjj9yGaz%m2%}zM=C*_->^sbHH3&2p@f~*PRb#%lw&?y6vm2%wKRDGgZd`%&K&P9`w>}l+4`nRbqN@zLLoPTn z|L!EQR=dHtR$qnGDgMP2qcePwma>P|t2@H_0$v3hI7Q#y{z)Mx1IZ`Dh9num9T2kB zSx4sobWU*)q%xAtK1a!+0#Hw?&9q95*i|dHf($ zt7Vk89?TBBm@kRqO?fGX#&3XEwvX4u79YbVV;DE4g+(q!Ug0!}>UBuuD`i-ts+R-X zaHG;i#1;$}G(8*V&i-M&k1u=?ACVu#fQ=PQpG52E0!3gJar7@Xx`GxzIYnngcvo7m z=f5V7)oEk*Mz)XY)cPqRq1Ve)l>Te_B~42QXNyByvN|SCdRvA8=xhi3wpW}fZY1mb zb`1~6J>XGegY38r;<`u?AoY==U6yP_A%Q3Xf_5m;@L>B9T9o@2;1lX(CT36ivHZP0 zV5s|YzgU3N4CrXkb_>z9O=S0nuvKY(F_zjMp#a&O8hH!J-=g&z! z*YM`V#98#|%KGGXK`Ik~MIFTlChAaG_-9r$H}JJtJQx|?*^_+ zVst#a%>%dyM8lQS@u9#4*om&jEYURo^YKlJratz|M}ZeGPN7p3VY?0H#0L%TgnHXD z+kPQ{nacx8>bSk3V#Mr9tI9*O!Rv7n&2C7`ym$ju=CIn_e-=$HUb|NElfpyml$ahG zMG}>cUkaX-{I*fBbvw7=QGL^YWtrK{fA~=E#&};0_UNoR{uI!^n##qo3eALKoZot^ zE`+ALn?46RK&n>8ax-ZivST^J0yDze>r%(&bVlVCsnbn{h9E6oWeqg(e89Asr+w*) z^}R10EM5Z{HIQ*G>xij1z5;pTPxTb(M#P5@OR;DZzIM!`pZtYgT4Wozipb2mYq5U` zU-^lind%2we@BqfT#P!*W~B@xE`iZ#U+rr(*quH|)D`=09J(wYFz%8htvl$pwe``s zKMz0{5rJIa8t##z-VM+@D4XsJ7tJ<`{=Qi>kSO_tG*W!Yn=9@v*x&C7iav8LV;~lK z!>Qu?o=E_EEw~ttp7%uTIepe#he}8wA)&^zX;`J5tj%)erDRqy5Ot^}337P9&3r}( z@ggvvdt_xn@pms&U4}|Bvb3YHUwe(}MZJ0~uJMRm@PXUCul4XRD~AmKJ6@*3*>GLq z^~~jbGXq5ix-~)vx`Us1;h=gI`QK{U!uKp}h58!~P8r~&-IBu)Ta=J#(20GiU;~=< z0|M{H7&0*AvkDpmoaN>^&DWa#l!aL=v0&KWS^W|oH7{0+d3)FGj0PW%p!~_Z_DfAi zU6!?jZh9&!H5~*Ca79Ur&4;UHwC+$UW#t#BHJK$%-~o9G>pHvVRGeD&!yRaw^rLS6 z*DMi-o?9eNvXHp(2hWgupWr>-hwnaVK+FXFw=a$}InW zZ#VPL1)&E|he69(UI6*ouLe4>UkYwNMSM_+ZKZ~}JM#>5AFMXHhLhVDITfc%J*UIW zPBcY<4Z6U>HO^>@>u{yCSRbaFZO|<#Sp{8T!3FNOZr|3M04u|85xDp;Bg;pNEU6 z-&?g7bm6$!luPc23Rz4~U1`qG>WaaH52{pz_K%8YpFw;@d@?+M`>BPKr=nv@*cIE= zd|TQEH!V$y`r}OzQC0Wy7Xw($>UFAj3Nui^+KA8Mtd>2e0(CNz8i!9GX;$XnpF#%B zDucN*$T~*i0A=r=(UaCwE9II?f^Hi3p4;asXv~KE?p*!|`U#@R##^yZzdAM0F?Q2a zHE_fEM9{(?3iXNf)Ng%_VfSi%aE;h(-#nm9Ixu$qPZ`rPt={mb!eT;bFhYayp3A*F z?UFkoq?Ye}+JGms-yKOQ!dCeUqoo~hE*XK;M80oLe3q{#R=M++SI)L|(&yb85syr~ zq|$gY)3EU{Gt}(E%S(zsLU7+x{jOtIo-r&XGy1{j2+Je`@!2xd!jzp<_>0XKN^_?k z(DxrWG^F2~*hQYre*|Zn`7H#67Q-V=jO=J5l1l@U|7^J3EAsCuX!xaps}YhR;BPg^ zI2LflmQIRC_xHv@&uFCwCMaQJAHhV5Ejs>s?MzB~LqoR3CPMGVU?<*oYU@<9-c#OL z($cpV!9D0TXi~pX3j#ZySXomF*iGmGb{Q(W36fh<86sx4*+H>-^|YNckYT$~pjGqgDpeAS|z z60826I->SI%`sOf$Cqr@k3zls_NJ~u#`@r6g~d1vTW@ln-lS^2-7)zh#Jkt85YVVy ze8+5kc#$89=pab4{YrIEx)yst{+pRUyLu5~UBg5==__P16h9iTlrxz`sjn^Z#0oiw zlN&$3s6oC{#@~O_+6Swf7`ch+B16IcLO<0^p$J{^j$oY^s|1ZU%P2`5xyO3;oQm2{m5IgC|%J8*;IROi4h$pmA>CB zq%mzDYH{!sYA2MA0qh@8{;QDik<}+G$uX2seNa#r(jog4haXflbmu_&DG%`$NzB{g zc}F(4qo4cmg|k*eF58ATa3g##3C;KYMcM2=HK)P#3cu6CIMnNhXhkAS<{37&DCJ_D zKzso-Xft5&Q$VPuCvwE@CR7%p~5BSCUjKNJSs(I+QG$mRT2;tz%(zj0!JuwM0ugcK3I3Sw}R6a;; zN&y4s9f~INz2`k6HlJp<96Rh~!NV#=%r%`dTragtY*eI6X4JM~T8_=c)A~!KOhZdn zzI$qeEUW>xy{(I>=JN^}U@gwbG!Fss96f}LA`klUW+k6~sF|vbr{Qsksnfx0)XJfH z5^o8dKuYC{4!;z~U*v)q9dyhpV@A9F;!OchZ1F!xPnF8Kp5Yw%@deVx=QgXbS8s}| z0Y_o2S%1LOI^>R7^jx5KHozvNI(W)rUW*cghtG0~PJ6lOv|49Lvzk3wnI30$-#SK7 zEkDU)O`NHo=-Rf>xuRUK_|9iVv*p z6AKR10gbjrhOEULd+|aV2?C4%_J!vYOzhe+Pqp5Nh{h-L#9bk2DL39?iVdvOM_DRa zWjAbYM#4L+UxFwZiAK~2(U{1w0SG=Kx4z_S)x?BTPvMm6Y%Bxu9Ux-bXNqv3-gSD% zY2Q?5szi&^(eBwE4%x=)`FR*>D8Cf3>0IP4!fjmMs`p9D?W)gFex<=ND*gAFG@!Gr z7gbL)QGz&$qr3kWi(oJAOc9LtKd5Ys&;ff+k*DO%L7Qs>>X6KKz)y=LzRC72?)THq zrv|H3y|F6(^b_fo(ZicS`T6Zf25ob!*S`?u!otGg!?$oo%3^W0Qeho_r zfa3N_`W6$^k_hjnt_by)hUkRC9)Ww^AlehO^)0tKvKD#fWY(p%G{<@>$l78U65vVk z0$cVDtj46tq9R1Qg~|GEpQFf5pwMv**%7jr`(CMYtKJJ~5#h>mrlJ)X^?iT1ZE6l% zKv&3SSvvEozS?v!v!@L^QU0#t=4Te%%Lvc<)hoQrU${96$gn8Q!g8?NG9%eC=C5 z=qNhEJh$NHZx)U9&t!kaVLx|avvWa@>JeZA0zPN%^qqWDBmHgoLUMNiGW-U_)?!pl zpr?1j*yBT)mK1gO;8`u*#L*CUBUH$%*W0cfc6VsV?g(_%xSQinpG%XpO3XQ=$0u7e zmV!7PPZ#p=q=zOYVt~YROup97tT%sA?LQF#dc}9heeYKLWY&vNj4F-?x|Rn)FWlF_ zB62HPmDYv=n{VE#Q`+t@yL9WPef-O}_M@^f`yH^aBS_2KvG?bj;n51hvB#O3^_Lxm zi)gWkHS>O3)>=kUC@3rM?R)Zm9?Q#t1%!s}=J*=GYlzjkb-(!f)=W4(9RJbpbF6G< zvRJV6o=G}OUj$nwPCLn|>hJ6vIzEE`?=0mY^yj=-_^2f51an@D9pW@S)$f;T7`=mh z;`bG+97S$pz(bD>iud|rgS_RZx1E%%A&KyR9}4n^)TY}y7!g0enN{9hl{{@IHP z=9RZ686OdnADYL?Qlkb2NLd~M3B)Lpc9}vo;S|@g4|-f;=J|ARv#Ra0*=zJ>8qGbs zrEWDHHO8<3s(J>@?&9On$-GwG*y-(Act9MQY$74~riRIEf_r29*nEG+An@C~=>l4%0CJT_0+(f4r)!#-H;I_$s~%CS?ds!zQPQpACK+S2;(~iP%e6zr zJZVEO0l~)Vp;MckSmIURz?NsTZ1xB`2!5iv7O;(QocZ&+LUvfoT_vP7_tS$_jU>W@ z0$^ljdQbJ#R_*DN-WBuo3qTjXTF82(j%G7%>fevooqrw7!}@T!-z+6~HeUW-D5e8_ zOHFlQ=PSgYD*XLh%PQYmXiHsS_T?_;U$V}%ex%YbYVC*eR32gGlC2!oV>R-%lzA4F z#qGU3tGoU^_EyIDweRyJTDSI8T7c!%AZykvk!^J~?F0=6;u#iI701tcN`0QgfAvO< zq#wg9`04~Hg{D#oiPl4sybSwRKHwdmz93DwF6WKLXfxpVKFw)?Vn?>n(b$WT70mS% z`c86Q63xS{9Z_07(G?Q~^`os#%hgHAATmypIh)kspZ^bP&(@UXH+BQkodK1U8l1c- zFe&@s5Aw)k*Od)!s#NfnqlF;LkFnWb{V!waWoTI`_vH6*uDXttp-g(g?}nF7iHc-s zVnsfSt~fwnPed<(Y@R3xv@uV0boOsJmP1u07lx-fvk!(M1mLHxlIcj_c1pdw<*wap z-IEC0zp3w*7)jjFQhaUBz~wpiz=6_ci|r&G#W|aYpdd4NV7C0$=%I(#TO*6cW=?m{ zKRN4CSR_U{zxgWUbk`=`f^heib*%`K+9Kzvs)~+u8zuZpQYQ9HPsS2AtWiukW;5Ne zL(Q}-(Dp(s+s-+LTjr?^e|TD|GZdRu*3P4+@;>+T_1pyrD_F%Gjz6QNLLVD9nmW7i z_*k|#z5+YEm}tEj^I2Pb3U2Vnw%RVY_F36ZXS|sOxVc^T<@Ws<%@AbW**q3 z@DHL-qvsFrJ#UK#{(%jOZ3zH}?5BhdwM^^1lia?iv-7|B6~rMsq{bIP(I*WXt^sY; zycS!~Gf^!dbi%n8b6D{G|4vw6SUZc!HKod&8k;u8*$-h_dQE%Y2=0zA+(mNqJpsia zuXA~TJ+xN=2K|?)jH@Y^ryNsM&i|GaHq|-&0==6v)jcqCQ@VoTSu6(mhHrfoi)1^1 zsL61+fp)2%0S&Bv&1%j!$K25ZfIvm{BLnr<;`t6X)?*#*X1K zc(LD!{E_^fr%5KZ!$#!8?1E6!SA6gh`W#_Y2u|=|IRE6^11?(THVJC8xV$#vwP$Nw zDXitq^2WVwV9oGvO5safd|+4bPdQvI0Q-_Biq-hzWhYoP#9a>}a~)|zD2~gSnyf_e zOR{qYr+H_xXWZq>x*-_nGiSPT6Jg#v8aHuoNr!GBWvu$-Fe_`4fpMEL+tcG)9lC@n z#(5WcIkeGkwU$Jp{7-4!-eQ{v85_0cx}M3$=AC!GrC@j)=nBYV;vtSa!d$@PLw%$` zxcXAFf%XS5937A{sL!>&N`&^h28Q!vCoI+jG77gx^ts~M2+*zhXBhZuZepnHaN{bE z{JM{wpN$l+nWr$RY8q8ENBAx9hc}Pds)GjVf;es2r>NiS$qv_3;C?CUM`q?9HK`JY z#){elk$tWE5YDMd@N3fskeI3RaHFuPg~PbNg2iJqKz8eQK?EOr43t((!WD<)kdi0A z=;o8&9}W9As~*wL1gAhBaMvFI%r<F`T%|3rm!SCvSx7q^IPNP%!iz+WsQ#zRO?9WhO}-5k^mf_VhY1tf*+@Fnh`#Pg&Z zhR8CBm(gEW8e15WmUXBY^qxrZ)50ncX(LuH)p??9ELK;m28_vx0g&4-%S>CWoQdhq z=L9llqC#rzrfi$; zUifLbc9{+k{Yot(`>nLYJx1_ zz4Vsv5vU50C?w+Bl_M`^){+XuV(zB==&MLI&)X$RoN-5Q=!-727WNDq@z>>W(L;N$ zbEu+)1{ajGK@OOF!venA*_UfcoWpsnw^?i47L-jatZ!DnFUs<<#vu;Q0MT7qyr_>2 zp@TNudCHb*AdtDGBccd%Y&vfo1eEbCAQKl_G4iwRVcgXPhuXoMhxKiv0JAv!M#RC> z4hj0?6fM$Pfzx91&sIDlEX4h(+fpQIPzX~e)Gv?;{TZw->RR1Aw~}{K(3jDl>iFbp zArx0T<*suPHN!r>>n5kYR)CLHil%P<;3SzJ2RA;(7G^>Q|8U)&6&iEAJ+Jd@k8_+< zWjZGT*s`Q*xlS}#*A_DkhUzqcfbD>C_Y6Ib{u+*BKC@;f7D3_JtrT*M`^H$6`Mgwp zPN8YT-3l~umvv>;!}W7swLN!dFk4{JeaAD=BDEcp-ClrBF)+Y&Wxn<{$C`k2`_oUqd7I|-Lp)Puy zMx&1}4e?ef$SVB8ZzeI9ja-07|B|&qu7|dfj-*U3yjx`LIT-cvT&J5v40|ZO&qObu zS`;W&%IR&e8;TcS6nxRFH!wBnt$g*D=F5XPYomREty9_4HPX5#e37uBvJZjUNcIOx8f4mXP0%;;)Pnhy!{(&=X}KFsZV zBrFi%+ZX9>EN%MvAcUkN?s>SV1FNV{a2D5$16Z`5V`E?kitT)XGv`00!>Ue#B(?w9Qi74#K;Wex7Mx#KGu3z332(%t!C0s0X#2AUcB4+A*hbeE+4}fzrTAD_yNve037A*)@WGO!iXm_G)>omxyJDXuJi+6$ z#bSp`TGfAqd%bB}eU~l!lMCTquVpqp#gb6(*wpr>k0So!oD}NNlbjtEz3+r1V`Umgy zl=t`6KEt%2)n=V+OX#{Src} zzGo;jPn<1K%jKg3Wz!?L8V9Cv^c?0P>WkiwvDoS)YA;0jFSJ2?#feRg zgYPmPJxHcBl&ADY{Q?&fVcZEIkoFMwh=|M_vWRpLdE~YT0+WvQW7LEM$MTAKIOT%G z#G%DBj0R#O!wVZ&d4Mh6EOL2Ska8>-D@Rud8yw5)xl18LWs+@b{Kw~(HIyG^LxaM% zNb*JD^@`o3&;t9+#JlW`YxO{J86K^}Ccp=0`7;fpn!}q^#=0;hu)&Bujm;6fu+;PG zLLKbxh*~#si2&W`>vQ9JMx20l_5D=u`D<=BV0=M1lh6|JaB}4DssrAa#Y4KVXzs4&rghJ*hx`dx?$YMq z6|VZ|u`(CLr+UK*|Bz=5{};2HEVN3VWZzVMeTfh-_)<_ITIZaPsz|dqyaRDyt(d5^ zma7V8ePc?OP*O`Z;T+Gbc-ynFfO``ClUR6o^gm)Bubi%qQgbC9Kq^qgEX z^!X7bz(mNB7Ucep0SN_{Ug9lqD)WRt9YWPkRB^y5o3!sO~5=4Ra z&B|5JZ{%{tj|5DuLwIUcZa?XP=SsT4Zxm?GXdBG{j<)(q*Wr;~*HI8#eC9c`9)w6-=6ZuWy$QYfMCKPGhW_^R_=IA;F^XS@^Or%z$hI!UNRXKoT zY#`Ak!2q4vY@dG%KN<7{?=V@s)e3GJa9VV=V0QJaZSz?nXog- zd;8=eZv2B+7CrE%;`>woJho(oYa~Q)V*n4G7t}>kZ!d+3Diq@w3&Q1utcY$B#UtVJ zUA66!RLR@f#dj?tZ~>^)1YsugDM^+ke$z!WY879fq@o}Yz}F4cM3IYA73$dO=5iu4 zY5`)-p>S=2`k;r!v&DY9xl_eK#~DEuFTSsLdatmTm(#A=G7r_SEtkMPa8!m4WM)0z zwCOp9nMgN<)GAWEJG<*~Zc-)<*X6bkpQ7(uY4V%NB$4c?Cw{9Ip@UeO#cx^D;s9!; zEY9;tg`tU>Z@^sy)*1n(_1@D#;@d}?D@|2OW-7$IKIehRRZxPYRTEzXB3NyoBF8*$ zfGhYZb!c7|v$37lonlKGOnpnwu_n19*`-+t0vwT*E`(&}Z3 z<@DYURMMp0+k?aKC#a$t!06>C!|QY9DW=iVaKaP_Z#p6&IqzZWI;j9%rFQ7u8-E-) z59+REj)Cv`SBChmP0$ojr@-Lf`6r)l(icR%h7w3(om%$%{(Ay9OtW%lOf50a() z5VLRTkvVB)aKN~;Le!E>Ztu&4+|I8o5^8o*opXLM=i@p^lziW1=7*4GibP?vm(kKwNT?jckS$Yn`gfJ*FwLpo zVCrT_`6WpC0S4+dHVAJ%okLWVKTeU0w1N382FM24hc83MIP$~K1>6iiR~7_wS+f`s zRGD+qo>|IuPwY0zr9_xiX#W5X1Roy2BRrZjyfr#3NA^wZ4~M0u z{j*)>sWn0l1KI-AY8l3~O6iQq|Nd#adW8dLOd#E}8Y~<-h^7>u@-y#_g41vpQVMK3 z1LsZnx?u1=P@8NI*U1yV%KP7v#_r~`*1zV%Zov4fE1m!rIkM18OIjUkEpsleCq7(4 zE_AGUi+KNsNpVEcUfxMd?--E^Bbi8mzI{A!3rJ9(B=8ff&{Y!8SGKUk;Wq0%#EYfe zkSRi{)rX1(DjnIyK{4x9d2CbS8W0$6cI@TI%3YunA3t+0-aRSH-`p)Bb*iaQY-iQm zd8Qj_>a?sPllzxybM^$tFIm8J&i)XhzRzl4o{`$0RyDI)TL1F$K-IkGDko{5e)&X< zp%=@|fbGw!uLktd_r?j6aiJv9bp;*@Tur18_e*{fB+*=voWuTsn>b16yw8=Fht^2s zoLJ9CRbB#AYZ8I^u|`PP?Da#JWA(qYXN>#eMZgmSJlTLdPAgkSV>yDisQAjD^rJsH zNYWL-CaElV9g`R~E5WM2&RPd}G&(+Rrgw$>A5cYK%V9OWrYD?FFG64vXLr3k$XZ`< zxq+J#WpVv>PQpaqzDREy{e~ypbS`~QuuFAa*lMhlOz809FftRokC+isW?07hh5E1y zpuiN~q6V8LWKv`-wUc7`AVK_I{Ofvp-|PB7n`LBf#5g*w8|(N41l%*imozA53-_qJ zQS?mWYR`j*`@iwfmYjL?o~*gZmxUXVNCf0_0lIq;(1wUfrwR~!=f)V1#hrhqSG$&b zHysEvop$AAcXWdLQHvTUv5&;V$rWNanRW6&s%Ang;tQk5O=cLJT8963!fa1edRoS= zmSrmovq}uD;qo3macj4T01(yFIA_w$y>}IR8zdlI9OSEmRF&Y5tko5Oe)!4d zfFE+pdlk@t5&Fy@ftbT;Q)gi+xOM@0J#NeTjiQk&-eQ@8FyneS3n)9ra4P6H2r(=# zEIErM6Z6_oqHAWWe_;wo#)DoNhGt#1y^JE`pk1}WipN;}N_mKDOz4z2pvTLvtF+5X zML91@OQESP71?NGXNCsE z3FsfJq`T zn$Iu7i2>_LNaC^63Xkf2RHm$_I~@S3zAvR7aHXNmez&4dRFWx7d^KLmn6=%T7jKcu zXNGtWs>yas?I6Yg;o+?z0|fgv>F#X(%hneYx|QtP7!bnRFxSX8h5Gw{{~VQOn?S?b zyxy7S!|2<*s$y!krcTwe{J$K^CHzdol+X%SW(Z^C zmeUFXN!RzMM=6kV;0^a-0X&W(^Z=dLsQnl+ucqU-vf?_Zbp7x)#*hyqi0o%FC8Nd> zpi`~me+y3mA%*D81G@+O3A*OxV)pM3wm7{&vrEQjn>3HrmUod?zWQur|3NV*-+m;e2!W{jF?HRB*b13vGtJHvG*t?u_@JM zwf$LTqAeQDL=p7oF zpSEq8pvo4E^8E1)f(q_iI^QW%Y>;1vTek3qW1OUoR4HLW6Y%b zNEK)bvsg2<0Qt=0QvYvfIRsXsGQ}y{`5Mfqtg75VgBavv`@Gy^Fpx`5T-37aMfY8_ z(bztf6O4FH?pt^f?SM{1+N#6a)(J=SUm_KHR$x=QV10~S3?CvxzR&K}28XCKkmte4 zOTkFo3?5&}gmc+8gtC@{X~BbtOB$0L*6@J3i70H*rF;hq8pxR|yvK)SxS*aw!B>dc zW=0`1zQP;-RBW?PJ4xHkY^B@%o7q9PyYbY7^$8o}3#~}fV#{m7WTdLEL4m$ir1KU) z19UW;k)BBVSm5$PRpCtpCPxAMKLrX-{r=+{G%`aP+H^GQ>?;M2W>;_VX~q_f`m zowQPRGJ22{a(&O{hNKk4i0Y))EDcTR@L8@4LaHI7c>I`S<>lAH|c=|e>v~Rp}xFgauBURfV#?D=_Xf&b0yYCs(lZGPDfYu-;Bn3J7=}t z%|r+R<}00??lCM9*Z~BNE2TM)gqcw|GvHAQd1oE_>OFh%!qpOOGZ_37g8fLn%VV8~ zKkuyLYx`Tjmz>sQFi zV3Hgvx1U9KlNbHIU;7+^#{9F@VnuC2>HiY>%zV>AQ`2FfXI8=T4>diuRi2Bb3elc1w4IPN9TdSPl-Y#EtK8!iUjpw^0G_wV7AAI&L4lDL_KECxq0V56IO5k0 zu!Ie_ML#%IVS4d(;pHPES+U-62}cWxmsGHJijkdH>7K(}K4q=hgt>KEqaOxPF|?xD zj;r?&mX5S_W>@i(F_mc>4snrGAs`pMIAEwaTlylWKP)kHagHx0r#pA`-YWk1jEJG_ z!Ysn2V@zyTnN|39m+B$(vDiJMCWL<#hu33e)H{dW&cZsaKthxf%2|JEb(^T{^9VD? z=kD42f4%v2#{D`XHlDc7-{(dU9a%IAC_Dj7|6A$!wh4Rs1NVYzaPe^LbwuDR2wmzm z|31nP;dsH(cTNKIdlCG>QgCLck#5<73P9I1oAtU;i)x#H<7}rfn$dH~=~y?zQ`ctD zW~CgZ=3($>am3w)UPHbxjxkfaZa+lKj_;lMWK34EY@vlpq(r-Zg-E?R9kR@z=+CdA5IU~37T0Z2MT-bXDKHu9V)Oiiv@ z=#9y$ywD}auZm4|{#>2BxR>P~ZgWhig6nSm+=~0ayGp#{?J(@t;lt{G7MZ`Mn4DBy z#MN*$GkKx7kKnciU#5^3g_@Gzv!0wLazD3=w2%Y>KiG=`#4oZ62^8(4u-A+1uve_%pNGyPcTh zZJc?^8z0d1Qz;3n{li~!Aa*ahFLLJFHT}n+N8UlSY*g^tQ0_quHbUSmb0p_cBJmgH zaec8tRt7B)wl~uKd!e1w+1?4xd<7X(A=RI}vwsyaeFhB$6Xmcg#z7j_nFE;D%Yl+Q zz|ZN~vDK5qi#{=X%atJfFUpA;4;<=dlfbQ!&T4zOooW8o=PwG*@vRE;usUzh?zKMT zd?Vr|^R>PXBZy#)0r;d7Lx0MJZN7Bt#6O^nAm7 z_eG5y+dx*Ms2??kbAiz?efc&1=Uau@g?U5cR)PG2$cO_q`}6FOAjBL=#96nho7d=p zw0VUM3}A3BNP5es*4x0>1a3V%3VEMK!CLDv@MxV6!?bN;PF*8uK_Z1y3t z@9UrSg5Ri?+xQ9m?~g>@^()E7(khw;B~zX}(W`QACY$@VaaY%l6T%pLYvmk+U^K(iclV-@Le23ET93htFxc(nG<#L)j|KQAI~xQ~WrB9umi^*E;n(JidoE~A zue_&NY((@#m1wO>-xE-PlSQqH0p3Doy58);ugXyiER!{61Y!3?}p9ms#x zAz0+se5<4m@4MXDy9kn+DJ(&G2TeKaOqHVJ_Szvcso1sURS%lVQgu}!tz8(W&2SNj zNIJAH&;GETEv9n*evW4JH~npZ<^!9k%=U08ME=Z1vL)W2JkgcU3%WgZMQtN07*zwb z@>4HiyM^RcI?CbF!K3U#qa|SFGuLaln+qxbPClAKR=<#QxueBdV%*br={au@;#4Ph zSBqK8fpuLtB^^xv4!GTwdxwN{34vTwC&*>g^~(Cc>EZWpf{|V#Tbi4VTE<{6_U&f0 zbP!J?K($u(VPIK@sWi7qML`$sPp203MDQ`zt=~-+1~Mv5*0J#yv(C3OPjL7ulEH$P zXqd%p+Tcuej?<7OrL{0+042Qk%-s9aHSHE7m|yP)<$0?v@ahL5laWYk&@+sGhfg~^ zd1ApR;sGgA9Ze?cab9}18=w3eZ4&#p%4gsc31^w5Z|p=JX^C?pmrplJliM#z;uBk< z*vGQv4=?LPlau1Bvs1I(=t3!F#HgZKj+gTOHoV~y^IO3KB3A`kFfC=S(wF3P0em-l zj@#rIpYo`q|cGVP&^hmn`1T?WV20otTWBI zBo{U7;L=*I(G%{8IaZAHW5^D-9GDy4FILOgS>(r-K zqKk7QALS*NKF=ofPCVR+ymPgrFFt1cZ1F7E)#U`w*v`+(YOhWQd@@-CXY+tS(Z4E* z#XTYqZTTOBW8BGeq2YG{`~?&Jfm9O6(!vKuB?o|~D zbhP6~C%sbFI@aPCyswBaGz~OxTJ0g1Hhg*mv2QgeZ!xAAOIP5FB=MzyMgCH(vT6>Szmel! zy>CF_qV8RE46a)IUhpJlQru+>p%U;OQwqA zbYr~GO#AIP3oW__Y^aMu(1(m)=QzfS{*sdw1xp9k6e+=PJnR>qE<1a_ZPQg@f_W6` zR$<#&c`@GBf{hW^p{NVvfZ3~{O6hUeY7{qfATDS#a+WHGp=3v?I&TL=K2OMf5& zG?m1Ap0!!e4tZXN z_nZ)WBa8Wp^<8l(;r9vD9Cq`G%D6{YpB(asWN?EYJs}O04QAz}88z6?aCKG$t3x9N zIj*Yu%FmCp$Kn&-U{gp?!&5_0E!Ku5=}FWGt{J5UfRVpTZ+X1)q=yyV3)GK(*ke}nm2n|?HnPn<(;fsXEYA}NRBS;m-l=_X>b01&7K2th z1Ta<8q(){&{v$MduX0vHCYb&BSeG$DLp}?2UoD8s*VHCVI*@m#Z&4Go^$K9uO8$YXG|Uf^tYp`2fe>)loZM6ITGsocz=-IP zGg1k?sl>^Ex~-DUY}9_X%0T?-@Fgvo(S7qHvP@R+=7pKHwSRlzI`D~>?f29L9f>QeO`9Gqao@2}jCNY!jvtL)f^1ef0ZP`_6| z_ZgfCdK88S4P5}E9uhGt)1Nu3R=i+u_KOG_TknqlLF(5%6?m49F@Oy z@x$FVySXIPE2$kj5#HdUDFt%eIlxngEC2qKmdfhTbKArIz41f;$|lxxA8-oDcFfh6 zdpYqqlwkB})mZ}twwq23-kyBR9*0apzJi)9OY)I zLg>XFbvWIScYtuJMEe?L=;_56FQm|FWr})&YCmzVx`u1)U3mLY#tFL|I7Vh*+m9ts zdcq*#RL42Zmo(G^c*1C{*C|Q;5$%49zP1Epex5lz!6VsI$3>^0beiM6m*Cd~SyEOj z9`(6O<20IuS+rs+)$mv6o&lw2A z=bl+gqqq-&24`8lGu*6`n`!dA5(8pATEbBjf90_$4`Qt+7?%~x=Z8o{+%wZJnd6)M zLhx^%&JY}3U%kGTCH_V&|NFjJB%z--3gKEbb$o)C8CVOO$zOE%Cdp-|HwiW7j`j#| zOymwbrg(E5fd*U+uDebJI91H!_ji3S52}RnxLJG~|F4REd68=^tvFwD*k=j{P5E4q zfy56h3kLF8 ze*|)bV5d9G7u3uVLvous3bEqxYHy#LDbA^t9z_p0Xav#w-ZC&-B47T*_2%+-U7|VE zW3r;+P(G`?uk*&9CJ>c}X&IIn4a~5ady$A-(|>;)y6li1A8ANvHX_VAWxtV>x5^$` z*~IsS6Jr<7=Y)IqoLYE3VSM^#y)&asYYLyySzY-9;Gx5Jt1jaoWTFjZc{<_+t4vX$ z?|xSzv;4=tQtotrn1iF2y#Y9~&JDHvO>1s*1l2Rq#i8%O%!eF`sB`K`?G1SB$9}$g z$T4I?=z@DG6i2Wr@ACL^Dgv2ffD_!b6PB57q=W3{W{mcn*MlIVGNGp=%Ca0<`PDK; zMb~6X#SaW!U*a9C)(y0p6w|oO9OV&+NhCh5;-uOq_vUPJX+?%yg8SN*zUo8z!_IH| z;RIGiG6Cfb&ma|xF5oINpe86==Akgtv7?ana?KH1b#RCAJ!=)-b7au|s$BRkq{(`qn9hcR0dYIG5K!v@_;4Lv?F`*z!m2`l#jz7xj)XgC(^k??F8x3 z!Xy2q1G;7QOZ6P$&36xJF@eKp43zI~+YlB3YiCbRb_J{rB)M%idTtxrQhQDAL6q?^ z=3PE`%aE2#Dbq%YlKC!TUt<2j}kwWK65kt>Tw-7siWXVXo0-W3ApG zJnc5e8MNoJThhX=hLA*#RXp2yp5)xxl?eZ2hp($q80)fGizvtL44}_PF%P3AgITxC z(24~dXDO+8LTUGFH>Z-@|FX-n1|q)Ndn(R=JMD_17mMhT>A94h`;yoASv8glPz}yN zS(v5;ajsJ-G5p^j7%jN&`7`$EmK5aPSmLFV04hB<(2_#?7?gzbGAMO#{ys`xmbkm# zM`;S~7jpl+RUIG*FnO99eov!7xW&%pzfn{fscCny z3RMjUNW2zFGc`YOorx5i1FGb9JW=&bu;>?{@)e4ny;QNdlI&gy*6^P8^e4r|NU7{B z#zHuWdJj&;elvbq3t~=TLSp6|;wvU9g7kA3lXf)NtVY7wc^q7-5A^KolmGts zgb&P$Z@0k2(W`8AMUM2sOe`n^ttYWv;?*iesZ_iVL{!E#K)qm#!I>2DV1Jl+w(df! zDB=f6C}g|dT351C_Y#q6KueV7c!Lf%&*ck}2c=r@bHRgWq(~6zwv7+Ogc|1;3Rhnb zG9ex%V_h!$?F~KJi+F&Gr8^qZt|;v9{@JZoEXz||{kb6b@r~S*85=OOmX$skpnSbyxknlYwt)yy-cyw(cSR^t^C zPbPahYB32$Et_i!r-2f!-{F)4!^E8M6_F0hjMI{KqCfj0e4m;mt1DT^Y4M{Ss~9Vs zN{DoQjI}1zk&+r#XC&etYs((A?+9n(BkzOzzIhP22Cg?ic~uI4B>k%DXllmE6`S?c zU?V69y=0~ziq-0o(BnI@0KSKUBD-g**LA~84Jv0=n2y?S)_n5{^ClWr_!(K)q#dJ| z2$fNcwtGqDtSzXN?T_FGtfwhAV?kV*$mSX>T(-}DC(`dMxt=qn+1UbpH9jJ31w&G7q%;HGu;=PrkoV44cj93jsS~J7&MtL#o{GrFBg{n z>6i1v)M=PgU1@>hfx1b}<D=ZRg-C@POjMQ1 zEVefFhpAl?=VjjgS zZ_fZa?_3ERgIZDyEhLgdPF>Ut)F;>*u@2`Ks;2^M2hcS$mddwNL8bKtwmjQh>JU0u z?I6NjK*yXu6%b&s)M82-m^L+;#v)2qp(?p?Vhj5Y@lG8Vlj%)u$4cRFxU^f-3xq8w za%19m$j#-aZI&V0g~NTX-t4Vezd&uJOOJzkLNwG%>r60t^aqnEtuUGv`Wb1SHmJFD ztkw7?H$snO&>lUCCQ3_vKGZ<4tlh2&vh~LhDVrMaX|s|VlaG}&&D__sPI(QIzw|C` zdD70p9a~-!+rd;0!_NJ)$gtmJzYYwUjZBewLjXLms4~FW@!xzVl5Ybl0PbEBN@P#i zK9D-A2Kqv~-uFdO6H8-2gpBqmUFe@gw~edW`Uvs)qUF2W769xf(q11+1Gg>39$&XX zlkq9cMb%WY;e#)(ao-7e79zs z`AGS~=&M~oQf~UbFOs@gr?z&f0xMj^+vaZoHGJ3{DVci4khU&$D>y#41rgKC*Ob2* z;ZU!5uNlOR{@31-Ix&+V6JyI<^|jvj&=D%mop`dcXM99V{8g>+sEwKKyHnD>-@e$;P*~wBKExq5()CoPM+^hRgU-F>28M`NT8xRf@pKQT zbj6Rzv9Z=#py0f5!C)1Y73w=RI)(R4;hb`5b5`!g6SWHrAfVP6;pXKp;lEav6o;Lj z%RR$hxr8Ack;{PO+9tCa1)TR`Jm4lr7lSVShqX^SD3F96;-};6Zm`~UlrQ*^w^Nv$ zTcVvYoJ*EM7!y?L{^CO2o|AO474A-FCoXvigoD8{bfed}n{mvQ3M9!PNI#{D{@{PN z^FwA{3Gl4%dYoBzG^G16pZbUqs2&^q#}|h6oiq2}oVt9mJ=_u8$oS%vKi8)loepjt zq0UF>h#%^NJ)gN}$B-d?TzZB(pUDYu>7I+eq!jHhdGJj2IbGLYIrhNac^2L6CInNV zxZ)v~$?=O$#x?}W$brc35Gm-SgMIwfYQ7}dZ0mxxUqH#!td_9U;$N~Ruc=+tN7M_j z^l#FpsnE_}Dbe@7Lzd+wh8##-N>_ollXuvko;ECuzRhy&89CI!U$sL9p|Wu92X-3F zg$1qTI`tWj%d2o!RM*w3bcF26%GXNj{@qH(p9I4Y=;hQWvmV2)5~XAdgDp&msrWqj zplNV^OPebHK=vl389v%K@_+^pBTG z9$Vt9_rj*_a;tw8l!>!aWE7BfHkuBre4ci`gd@1ixZOe{LXukPfYmq9a=rIcdZ1Y~ z|LgW{YrwFPXx`+tg2$`&x82mgJEklzm+u*oLO_no)AFKR3(4qvW-@mD#VX4K+Im=w zxm@|D=559=&^<27EQ_$P+!UpV7j;Ay)y#pTIjo9KuCIIT}d zXl|zK$Y03ZVje~xVA^mi>_sJkg^$DZ7=T)M;$l#bCMS7Fz+=yYjl?`>3w0Cb|p!ozUy^~`f#x1CFa2TiL?vYx!iFEN5qOfDM+ z$tknOL%ggxb8&}KGZv#e5a%T z{t!w|Vm4Tu&WwH`wNRW_4>02{C|Bjt+P7S-wCoLVn0bmwVp+=WWmLok)(Q{X z%=I;_93K_2Iq|o{u)>wBIeGa~@bH&og-i7u2hL+3-888H6I0qNHyY!zdfPr%yoy$% z3-kzfs;=ZOiA36si>Z%E(c>_BB9(If zEz67nnr4d11#w5i*R$22`^lQPpMDz|Iu`MvMhBXbDc}sD+<$QfZrLY(VwW>gY}jZ{ zb)!b>mgW<=z2AZ-ZNq_H*IXk-%88>XEQFsm@!?wDyI5_61gfJvz?=jkFdm4VgpQ3R z4VEE^yj{}BAA2rAB54hUe^v?5A3v0E9}~-nFn!A|H)0r#Sp7hCl4yAg%tM@Gw^PY1 z@f_m^-oIY7CcbybMx&p>(7&2HA0A@~>Su4dR{#Ao-w-`P(w&b=5l87v=B$joAixzY zp(#e`bj>O{+xMG-5%eLbmELkFw^qQu4j-O&UFYK@3d1#I5e&`nc21zMeP3_6V~c%g zmT_iaWFKd8&*j1C|846R7KbV7%e?P=Eh{41uoYG2*w2Yc zfax{a(X%f18@`k%m~x1&!u1}r?gH7bG<6hI8u>4cGSX8pbv~O%1iKK@Ennoa@M@D< z_4#y3?na%Es%8!;T&(2N>Ww?p7w-~RRDMxnuY!`Fer2epZ7m&dU`=HStV4N z;Ye=XU5-m7f7gi!SU$(KdEUlhJ?1+s6Ep!;CK6u+j+Hpm+`jGG@*$3zcOqWk*4AtzWyU_<6-_Zg*$N1|dg4FQYz zH7flu*?vRn;U@QpTK$~w@6+qk`5r}W|0dx9l=W;Y1;Z`ljYkyDhFr7FK!TTQ;W4uL z&1s(3x%fTKT58ZgLf_u%*Cg`IQwbT(8fSg)8}&P_7C>D^`6mB26flY0WR~f)sFu&3 zRE>_VpL%`=SZ(mZ=?B>DB;{p53rq)3y#8_7Qm(n&LQ_vT>p)w^aILg$Klg_MJK^1D zI$ntNPBxO9CWDQ*S6WCPJ+wl)oHOX36}hYzezmZXfhluu*8>h`Z@&yTjgOB1UGdFC zQ7GX&gKOFHDvYY0w%}XGgT9WuXrsQ+pJOXEbJ8!)yw3ZfCbpl0mA+dMj@RY6DBhQ- zVi+rX>TEo6p>kS!D+TdE;fxjm9E4>hBIhy$pRP;pxfbcjp%y7kN$D~lHdGdaDlGF9 z{THsDs}ZjWnX(jWGWWi+`Z>igMNfsbqNN?mVdcDN2LeG3J32>g=T_(PcEU2U9EQeu zE8U{Q%RjbhG?g+U0#y?%k5@w0$R^%g71RU9nJh>`le+1l9vh$L5@S2#kh^tMz9zfz(6x3QL*pjRZgrz< zVA)Vlj!EBWOjSv9Q_Q+YhNLVDTeRvBPrwV?9&FlWDA!iaYL$>;ugpG-P{dd8RTiX3 zOs+^h1T*k~YT56wf?bNM0+wszVqtfhnx2*a_XpCSE0zh7GCcJZ=`*NT4fR3!Yw8{0 zgi;Y6;FdwFS6=D~hnVF7)BpZZ9$wBzMGvb&nR9&(VE!9|)3wV;uQ2;5BL`-hOouK! z?xK@Jws0t?bSG5>HTSr{B+E_0O+MdcT@&cLDsVz!PA0~@jY6cO$seL4Uj)#^gZRoe ze$|o|(K+EmG5KYtB`K~qcb*yy<=3Ns%2^+%Ar_Dl)3aQ`83Tnb+I56`bK15Lc%-@yC)D<=&T2lz&Ltp7*hQ&g?GxN@If|?P1^9W!vD0cY2lZ|&=zU?dj_OR72`UfNUhNR9|R=(V2` z6C6N4t}v;2yOa+jN9Wn7&&*7c5{>WYTjUs7B{FJuh?Xy6em#rZT z|H+mS*gV!uINiPlQk|^gGZi$yda`fxyt;5?H7g@=L071swi^{6@A51UHVv(?6wYJA z28FB35^6dycq;!WCEGv`LRg*cR|P@1X!tW;k0Zp?F0(vk$ms7d_mx)qGtVLBemJUB zV`g$NWTpWHrZ*kweyMtXk3qd}Y{BIEyQ#OBB(lGAy^G2%0b3d^#z<$^ST5_4;Ede6 znR{{@MqkSKpSmWi=p3I-e#0NE&m>JO8tii6j}zW(xELZ%z$;%D`PvNGZs$T4TH5j^ zlhCt17cHeC_OnICq^g)d9CjwFEZ2NSE9ZGTYT!bt28>MCw+q>2hLc%Xd7oGU;RfV2 ziS+_7o{1HJKRnh&g#zDX-3b?-lDMugst2&x=1KB?D=LS@d<+8$Hm_e(Rd(@mE+ea` zutHgLUz*)n;)leD^HV`*%FS)B&4kPEpI~NHakBaIx~+Jy<%}k?*R!nLX|nqOKATl{ zZ3MMC&If^`30=8zmjM3vvcw#Di+Y!(F6~E>cK5uWGt6b@Pu!IBe;l%TjWrDH{9vDs*l=kTcwxsUX@{{_ zuN66WD}lM=cA5KLpLtc}!+;K8G=|b23b0WKUa5*nVhzGOp6L5C*W*h_a~pzZ;qLx6 zobatHZ(q#u+)D5bH`G_u9wRLha?d0xHDg-Rb)T-@SMEHDHuj&Kc`~eMm<-er zCY&j2*$|8rSUU(hZ1F^o;*>XJS#_T7B<4+D{-Cb9U*b!xr^BCs@(JD?9Wf{}BYb5W zu}%nkSJD%%5Qu6^&f#1WxtN<=Sln`Yx0zSJ@W#YCfA#sh#%YqI*V(=nVVmtA_U;OW zTWe0{(N6F9sDFcaouO=(on4Vg(dmAfq@7$8@W5K`rJY}Vz6ZH&soZC}_oK|M=)4}; z=`w6lntKq`%8~QmA0IdJ*9q6H1<`)YO7${q8v0q!DHHLWX|U;4QR>RP&7p~j-EHHX zc0`C@7X5;t&z(Ac)okU(nu^RM0>?axx3xw79`ohmRkjyIm`8(Jbo`5gBM)$O>RBAw z4fWX5a+@s(Bz|uP)Es3sYOO+8-t&(Drfw4U8w&r~QWW4O5d(dopo<)Zg#`W{`0did zP4(=AQIm7!s{*RVF3YV6*{cCN~! zeuW($imO!JPPUCA_1pVdZgXp~aLCLDZVc*(a7wv1^$ol3%(I7kIURi-I~?CvaIU|4 zB*VY-w#tQ*0_eRb20P4XWTa{*!MBK9YMvAsIm5YvLMDU(ME`~LWl7P3hvcUJbk0+O z45$<%%{n?kg%;K%*SC4w9+#V zgk)u{56tzc8FmJjJ`4W!t^g8l0%9zMkeo8W=)flq&jg+Ln-yilUB>0JI|lOyHud?! zV@x8xYehLeIHl=lcR4r99FZY<&??J1?|B=&7(EHy>sw1nKArg~SE^S{{17ESse8)j z_e|bq4Shy4J1C)JHqIRTxiq+Hk2nlF9{*|GAR?pzur$<8NS51;J669!hu)6*DLOw{ z6%aT%U^xoRGyoRl$XwFWAU%GSf1W@4-f@XpJ{mI8-Updp ztmxOITn`J&6!n7sX}+go+lh)a-dC`_HFox4?SMC{&%7kZc(M9?JiE&{z)pR&?0*j; zGYd`ojCNAdM!SI1!qdiEJ@Ut)DvxqG(Jm4eClhj^oD-t{6nSMvZ-MpLIUKdrs4CG- zPM2Bhw&!Mu#N(%w*Znz8LEyX41LH5JlFjnc_?U!?`FH#3Y@asruJYR@%>mSt@rNo6 zujgAAC#wXZkatG8t$%)PWX_ZkS%b*0TZ>Rx{$?a_y)c71^gvyQ+RVOyd+I`Ms)Fh# zxlf0uvdU)9Gwz|($Qo~d=Sqm=AS+xc29_o>hh*3evw7O_qBoa8?+Mo$=;@C@8X4HK=u@kePLZzNzpv=LTGFm@w_&80uY~GaQnO8J6X*Wv;VoU%oaH z7{Ag~*m(^(@pdFnbQ4d~%(E)Tvjp6+bb@-ZR|JVK+qu1z&dPEl+Ne2EJ;@26&&9 z(wuk0!nWa{OTH)WOO_DJNQ9}T1H>Z&UDrAxHfwQ}&x^a+`{*O=Zl#pM%>-myQH640f@Udum5+CE9f9IXmZyxj1>SUJwE3HhkL z(E%$yizfj6d9Pm=(VczYx)~Fb( zXpC0fO7)sMG;FT?+cxvHFEoKJNU8zPPsxD1CMl7J@rmS>jN0ta1vvv!DnBHsyMX+> zON@A3l#U-Ea4$ub(X!gAsIt_dxkfU|36+x#wKeFP4bewvCP-{W(Ja8UMb$f==pl^C z0Bpy38U&4ZA$Ls#>{q~KD00ud#+9EGp%!~%rTNddb7BNN^URUg>=u_64j?{ImA(dy zl|YJktbz3D^A8WrMQVsDl`!eaQ+`qU1dNn(yzlHpUUB^LSRb-ZHFIN?|IHBWzjn>#VaPNaNXk*1kk84xH zI@A0W5m{6!8WXE@UraX%{d*n|5h|7mYBE!rXazk&?3|M;no}y{*$T)|!j4SYW%D@g z&lrF-ytLcOWE9ek!yeqy7&sugOdK5}iE2C|NocXuf~m)4)(;|-7YY?2oz96iSB|;L z*ooorEB1;iLBlq972R`!$mbh3^A_e3hM?DG9_|>0F(*GZd_7cKe9-i)E=BT^bN|(q z>mlksTS;v?nkUuTJmouk{ZQ$4SOxmKf$s)fdzmkwn(U2xy5an^wPCKk0Z7zuYt%Nn zXWgqAc8f>kO->a*kN@AcG4vwfyI*e1q z5%+t(O!Cy#V@!1X3(G@;lgYw*?YSQyX5%olFn{iubb~ko)-SEIL62Z?@K7a!Q)1hoHucBUJ|Jh$zI9; z+iZH@3Lei#+w8b$i2X!B9IMWq=Ele4QVF8P@d6*e1oC zmqjBIe*JjIVUaENu=<4UV~A&wOHuHZ=mp7=>?*l2pG_5J))Nrp7PI@ z{wob!pc+X>%H|N$#>e-TZuGH$x2(u&_V3NY@t4%hVqwdR7IMo9UQ%%_nDFq!voQJShW{2*Ay2g9GrdYn|fPu8N4rHa)H9~@!i|=DY8i_+?+m`8rS&) zz@*1Y-|KY2XbS!ZW|pAQEi@;@>e!B-Zi*~#GRd0d{$%j((^`Ix^S^LX-Ks&zAi^!1 zY;-%O)T;`oP_Y2XTZ5#f25))BqSOn)Mkcb^zFIC) z!-+f51NXJJ)GJ>C69LwoIf#MN+Nh!6D}27B!#F@#sO|N_sFy;^nwsL2mVWNr=rSt+ z5r1fN3uP1_y;w7Gi2jaF0_{(@YE(Hra=KCa0yFPR+%NmzYfD8YYDt)J`G&OF{3x)A zdQl}j5x#FgcNkI<;Hjx&%DsO9jua&W$RF)rQrGDw)lVzVc2~g!5H6mf!^kSqFo|A zjt!n^${-x<#`mHWX-6+N%)x7~vuc-R1h1d+ko`1M37B&9*sW+db`is!G$4ulqW3pW(|sIVA6J+Fh7+8#J7=pKt7#kf={#- zuK=$cCK1!bzctyTwm5xSToV`w>+RTTuD@a-A(5nEq)C_2Z*9_%q_i(QCgaUG?bmtI zAsT{1bEny^ql~_eS5dM*MXphs=({VcE*UfWEzY`(g-5n3wly}><}z{t zwym_5-KzS^r-@}ct9)6b$*N*Il1ILV*?=UYh31=1S&Dd9pzonsLO)Ws8?CmHfw1YC zwFnlxtd$*UUu6?h?HQ`wE`oJBBh|E#6tjMD1o7f~jk7NG{ao|j_(MJg6i%4`ryMIg zLbDqSqUtxy49v_lzshudH-b2954)G9E8QjLc#Cm%eSIUkdmvu5>33G4k6j(rYgfpT z4Zk;VY!VER)ONGp4im9Q%wYf?qf+DXP7T0gsdZO=ZO8I+_c2)vRXdi{XCdT8!03>? z?6gjMz`-!byT`T(8==&MzN`tAYS4KqUkhRdiGwvVMoMoOW&deC_-t;hVATEaNlK7o{L^KGLV*^#a^`azzI30h zn!b~JsC^G(C#!R(;qGBv3Ts|H7p)sN0~q87PX#I%(GSd(Q_15(y={9B9dd1MCv(x$ zyP|i<77w+jd`E0dGX^_35u15Yi@f&sfmNDaFHk$DfNs$ zW&-{k+ehHJrb?%#=(QkB4Yx`qbR-|;sU{e-4I(k6u;_J@Un#Mm7wlpLwp5K1Or0jQ zNd*JWhFgvaRww?>-*w!Xj=Q<(ry$zE4a+>#JEa|iv`2fa6(i80*pm^|AiSG}C8v3-X#BAYwGX6-mB{^|R9kvVCU z)#6;)t79~{P~oN0BvSpa~|qVt7efNs{9$z-+ZZ;L>~8+ZieG z=b#!AS!s$Ca*=RA^nf0*%pAG_*_@Tjc?w^iU~Jtp=HD>xcK9xlzav8qCvrW4XfplT z-aB*r#;y*~d6H&g=DW2i3 zU8cUSP3QU~qG<8xJb^^cQpN8+6+carqgp4@B5WFaB*4C&1f~ z@A8O$0VaqpMzwF*^096E3%)e-tS(%9G&PpHRraS!{J7X=xc#@tI2CJMk^ln!O`?%M zs=u_UnSWNj-ga#Y;-DAS&iN+-3J?U1lBL(fl2nNSnk7#~nhVl^=y$nSi^g>FZ%>fr zyP416&q?5oOjc)IcBO4IYS+L-BbhLpbF!u0EOV@cjbzAM_h{|@KhL>XDw~#C2WI&` zi?&rI-r!pXvSocgp?F-0#=9TbOI&80Jpymt@S`uc?H@!8V4uUq>|8T*_UN zF!yUF%3P|st5hTTPA&cR`wu>k*XRAZzn;%0)aQZ4yhvqdEu!2`$I*w)qI6!VzVLVH zH}iaVqrU4_M@9E)*Nr`o!x;`^PL1fIHpkm2)^%A%r56g>=?}IyS=>Z?xbe%f>v~%s z(}krm@yqF=9KjybkAjUV&8A1)fueT>0H=mB-S->e*O48Lv6@@Y4fy=y_lz?X&)n?G z*KbGZ5er)KtKxPsD2pgg1J}>QnVpwkNOSr1#0l$pXa19lFhmknN@fMs|8%;(fvcrt z9$z`_O{$CRmI~1S8fTcU(J^^P?(G(@(aR-^?K~vkr}ruCX3J+nAvg)?}kQ%C87T?%fv;QK^j+Umyhf*OO2?RGoVC#qpg3XR_xG2Oy6s!Yd8Az zL>f-Yr)KS9=VZf`PW=&$tmR?eYNEM-kFufZ%H9@LDV^ZAuO~k+n%5>(#cTMY?rTq< z+$`mJG`}jIe4-l;SZ`ETKD4C1xxaggRHUe~3D;B7!REwbLYuWdzWM!Hz zX#T7*H-HrK?HyMBw|qAX!>UmGj?t`I_yDhd6untY9$>iMSwsac8dV_pYJ#HqaeP*A z0e0U>13mibXEBUHRn-C%_&_XBaFJt2d!iH4mz`RRJc)VMrE$~{0VxKtllpWe&$Q*# zh9*$dhNbXvsNY&KtmD98T+^vyI_}CfRONJ4$CnGHN{Ozo6|eFjMvSOt{2jIhR^1O) zyC562=(T#_mvBUL%qNu!Z_??4@O7lqRTNUQGZZlWb7*L`wJr#HJ=3aq&J`UJ7Kx8j z>z`B)w00`KFk-m5X)7BodBwe>Fx|B;X`86jE#PN(1n6~n-`EWbKjYEqm8aw$*Ez3txm&NJ!ZYGLuwW3`@RhQS|(ZsU6k!1N4 z3{o3E-PUUhPZ!MpW}Lr(o)CS&^(3dnFUEbPU+nAq{4e1pV6DR6T#-)(1TWAU`2@B5 zq*<3Ma}p~FB5v!(3Qr!pSsMZU4A8Y9HXGeS8bMr_Qxdg+?({ngiy~Y+#LaJ~IR3Y2 z@FU?fU0vba&VP^Fhlvf>Eq+r5*?T{Nc2D`=TFS#Z^HAuQQ*y3-E3u?5jj%c{T0_ydprLihHU*#q0hs! z5*%H0rehIO8xvmp@=;MW>!bKYxv|seGKUeJbfTMc{}9VBDTJbz8D;-WoTQ3^o0U^HFXN zbm4$(Gj3gGs*EWBb28hq)c~Q}@&yabT^g$+j|D9FsaR_V)|?S&)9Z{j#bU!#rX&;o zT01V`++E%|1Guw!`Z#oN=~)5O*{5&H?&LS#)9DMR#7r;+89{M0cg$mO3031z#LIU616+Y1N!@7-2_Tw(jf_gg+ooR-?wfW$>W%8_tVz*JWA| zS8YH3r&vF5WFyKh-A#w0HETQq*cPa922n@e?43GlPt8hb#!jncj`(+~2XtGJYHml# zthXhxB0IcopMRMPT$|)*`TpPFmfw;18**zx>(tmY`JLcg-wUs{+iPOkV9lQ zNRqq6X>7`RX$xHF8^5w0P0F9qZtej|sBlo81VB z_^oVFI{O)a#JSCEaJA!s=xxH;KQ^ypZ9$zk6T}>fI3$GSyjO_0b0h-^)W|&J z&Gjt0DOmnh0?TbEAQuz&Tl{;WW@VflI=3BQooo+ z=kqc3Ku++o`$`k>brA}|m~$9cy!Ef&yEsG@4wd>&v>)y1U}}6zxYa_-9k`C@E#*Pp zIxKn4ii)^BOnU68{`_YA%+Zk2Or3yNdaQQ36UQzhN<;y8^DxvAZR8PnT22mMqzd!r z_{Yix5ay3l;}mKoRe0|Ep$03A^(D=3bniwlAFxE~Z3RLU?#O5)0^3#FinQ8B2*jx{ zfwge#td5vj-~Fhu`bL%ZGceDB+RZL$rFUivN{Lq+p8!(RBEec-`TvZeF(hvW&~}F zUi8d_bH20E+$xqyCQ2*cv;}f-3GK zb%FC`3u2+cw@D<7{Q8>Ucyrp->X-UU{YtrO-;CtnYNncTo_=ocDDAqKGTO!lhW8oE z+tQuo4?`ts!MUC6eI)byO86-&=W+Bk#r@Sql2{1cSAd$lTHp1?!3J+ zh(Z8qHv7Rb5S-^HJ^K&Y1#WR@eRS$9p3o5i$RY2a`iq?^I1|o{iF37yT%BWL0_G36 z8W#W`yY$T)3@NjX7RW(hOb$b~55NrS2!(`px%*|Ud3UUcY>uvWUlN^27Hk>iIGq$y zU5gg<=5`2zivs{+&oQ-%$b0;g6T8RpVmX5D-^dGEe3nO>GdY+Z-^(t%g6A$V23zqG zsu5GH2d@j;3!HxYd3;IzCJ8<6)QTXa0237hGWPJy`vIM;qx;YYCBFoF1Pcl*Shxd_ zPdb_pAATj|&H`hvb^VrBYjMB@AEkX9%|HuW|6>a>T^*sx^~)TJokT#XNJ5_yNAl_?b02aOCdT(44sTW zV|ps*%NmNYdr8QC8T$NU@_HuzBPl>gA3i#Kq?c8d&Dsg_Qhl-nPD19M_80^L!Sip; zejPvH=xW~sG((dd;hw|E54oSl6N9eIaVL*fzGj{Y^pP>x!;9a|m`P^n&O-N%Q%=TlLOO8gnq4syv`cvMm6E%QH7#g+Py|+rl4~ zOP#>8kIdCfxAUYRHlMWU66ZU@J+rZZ=~pWbx9kBwMQ_AKtAI<^BfEPb;(^4cB|&v! z6$Xmkhg9t>6rBQjuq$Pj@K59&@!{!@N>NxD`@g zbT}5RY9Wwzwc-j_oEd$z(uEl;*PxQRt!n~A`DN)ezyh*W2wG#8?9C(UKf5sEs_}`; zK@hUORk2N@{%R^>T#aw!kw@*R21il=Uhp!xF(k*OS4Pt+d2CDbSQds(5Pl`&F6d0a zY>>+p4%3#G#H$#YEj{_I4VujKOPz;oo>f$6m>c<&=u2MqEr>9yuuNi(G+65&kJQ$n zg6wTNQ{D{r)Rvalc!&IA?X8eIOW&A{ykrG+MyPBuMvcOlrTg(a zrVFkmG%klKd!QPjL>hV~5T_B6}8;FGtk5bKo8Ez{;*x%>|656$B_aH|?Fw}gr5wS4P zRY|A`FgWJ`+9^B|)nV zgRm~<>%&>~`Ck!!{1J0^?=;wcmGJH;bBYe5f?eKOQ&3R|whDu2&p;k{n*3Le(hqzD zyJ7YxzVpgYJ})m(^UhcQ1PG^nnXaD?QPK1!sASf>s8Z?hH*=JOP;)pZD!c?@e;v6x z@SAI~{5U-_<Uah$-WVTF&?Mg7@bh?ZY&x8ucPf3>5%Y1L3*NPT<|$0 zxbsH-Sh8eBW`$jBFTzPp9t6h9_PV~7ujmZIc=~Sb*d|G2ixVV#8;v;$GFO~~P-AI) zjoF>&oJ}J=g?y)$=5OqR|2Yf1O7yV0(b1tCV=9CxnYkP493%TkyGxXH*Nz9JFDg8v zZEN=&oulj&Glk@3s}o;$HSA$ideo*cQi5tMUK_N(JF|Vzsjl{>&X->+o2BEaYON3h zN_c^6W{l5PvNivi@(fU&ANi1<9d-P_SK2Sni~?hX`LT z_JKf|zt^6|C_vaIN2aUD@r^{u$XegmXPFx#6N4<%?xhT?B(h9XHBDboGcvL-mRl(M z@v}WYx9dX03X#Y^ECZB(es<)PG^EBm9hka>-M2t@KP~Z|h(I}*hP>b_8p=4zitVwf zVL9sov5!nsAD{L0PnqqHnMGSzb*Qz%TW-jIggbBb1PWpr#pFd)h%N%@?_`XWKOPqep1DYrPEg$5JPT3(w5{+6sS<uZ!|IN2`*4-0>luqV`1C}8?C+l@1XdK@v!_bvAHxDKgxm0CdH%*-?=U}Ht z#;9>hAz|d^sbXYG0WZXw2PqHWaF5ylCD8s=r5-FquH7(Q>$zQpb;cb-txOrFqk3wl zbF2f%J~ICMAYsLA7u$ZU_qP~0s(sWE(pYkm3tzIAmucg?YG3~fQ%{|}tF-ec>yL0j z_J-11i08>uVKo~ZSce{3@5!5=(J4*ahPx3>g0;*BaenN zV{_fFB8SUCgR|XrPT|LWs&bIsLrmHlA`?Rmr#^&Mbgi#?EO;VHvurweV%j_ep7}JD=&)H z)ihHtIfeTe->4eKiFwd>Zstf`pKPnq$6wyh#Iut&LrsV%!@rkel5Urkg%^})4wmB| z4>pD60>(Fihlc36tvl~(}(FM-b6((?}(YpZ7A(S$M zat3sr;2xWoYOyC1;u7Rustq+$#kNEG^=^k`8>=hm9yLsFJj{w&6;p@^H#qb`cxwey zx)nmb4#OMz-a_SxuH#fEnaGok-RE@?LyQ^^qb9a-Vxa^hsyACeEPm9;FHAwjq2wO9 zrp}n*C?VgY_M59phgIqptl@zrMrbYNQIUi1~%b=9@Sz?Yd z%kq?xdkyg?`?2w@L$m0p+3#o9ZZw~B4e^tXk~V&iWWM4kI$nX6_CsR!Rp%G+E(M@b zt(EXxV%ED?70A$(%lk13@W6U)dk(!bPNoH2#SJl!)WEBLdN|-!`0*>w+I4N!1QD+S z>-b{f^ziZZA`@gxQ)i|RSdFJ{Q~vi{;LvM{Wkyb(LDM=M;BlC9{jcaL(}W=OSkfi4 zUZ)?7)5DzBIH4=I_~%{-X|h9ny`ErtBf=~h@v>bAtov40u`P{t zBh|@Joor_=4GEu=T`)RT-^_cQk#@xB_VMyVokBmV`t2QjC-K*{^b`I5kn#KFHAgO! zzOHhm8k}4VnZa(NnaM6pLkbQe1A@bBBKo_h*GdtYD{rYLV)1G=D{Iz?Qc}4gt$eD# z1i=yP6Usn-i~n%i_kh)ZvU(sN^yRA{VqVPcx4Cxpi^eGp$)W-pHJwdRAEzN`@aghl z^!QpOaNsr`!G|oQJc+QBN$4^`t2Pina&`Y}qkX1Gza~Ja$G4M1<}1lVmbdRnI8Iav zcn&1wJWC&ss-^nMTOXU(^hoiW`3wS`D>L2*{fl@bHdE@~R3S6Poz`<>$zw-rZL2(^jw4~_0X*vG3Sk+MqviWb&NdC2ic z{7-TKk>9HD`I(2@cplWt<}KZ%C}!;|WsAXeuFpY+OMr^bFBvt*J3Q%mU&%-${L{NYf$eNTwronW?m_W=@v5t#R1+q* z%VG_)Ji3h3@SPkrS%cwHg#LJl;oz35gb2UR>pg5~CKfSiSdfsvg;e)U3%1oyKh z;)KlRAa7_x)c8VX_y`*O@BBx?=L%(Ih!G!%KN4fU8W7?wgQ0Wsz5YV3f+YH~>-$FB zdmYVW7X})0N|3udo`llD$5#WGa*EV^vN}!{Jkk3Qloy{ zRetUpml66NE731styQWWv4o?jId z2QP0Q9kj+IiBW>g@%q7LUsxR<2$mE^ljtNU4!A0=p$gx-CaHHOl}?*V zHyb~(bI4-D$=0b}Q&8){(dMK~_`~vKz@qFiQ{ea7PICf_C~`{SA+t)#W4HR>aXNO} zhY{JA*L=;tz|b7=vhf5yr3>ifDx#%UtPjT2==EN3?35k)w)TxIEKMcQ!Gw6P;yDZ= z_fXVC0Ki=PQg&Q>70bGP!QE}I;<`CKh)Xssa;5UGp7e-CLEUqYuU@Y*a&@V=81q&3 z`^6@|`$CB?h8Q#@$1q&rVUn4dZg#MrJwxq%RXOe-@ICcyiEyw4#*N+7Z}KjrvMs5e z_|`A1EO&0lJ&>w7r>9#bweRn&t~(L8hDdfFR-N@VM;sGcz%Y~!9Jl%M)c9~MSvO2Y zggd{KL>TernZGms?cM7Kibedl|5SFTDs!9&9E_e5lHTrysTOPs3~0NA{?^vMUL5o0 zEq}Q-351*LG~NkWVyCRsqp%+eqR@>s=(Mf=s9-+PqMc#E;Vc&VdZhpFC1RIoezkNt zT_5V{sho=#4-Ad|51mdiIrD2pQSld zpM>w4;xYyx$4+hCbDQA;*>lFA#aaT++x}(P#&6}p5Vjht_a0gpR3S}P+ z`3Ss)Nd!z=ZSZMHhm|}bO^RmP{t;#HmwhHv`1HsbfACMPH#=iH|E{hGUZ!*VeDmSr zrifjs}kDfVp!)hWVS^(G&T~EgK@(_H;fRHN*^XdPvX0 zQ)Xh|#;mqy*~N0YStqKJ;~sPr1Q5nfCdL7vgWYm+1D9_;b9E7#ak^TTj+VJ!Ad<~de7fn42oniOTpkY?{_IjRuVKs zhb6dz@nSB-wvt#dFcd5PP(YvXXDMN@{s+IUpP+Nr)|x^Na@ zZR{~aRrMS1bMir}{$i_acq_J5MS(2i!eue)!pO3%H7Wq9%eW({ql{hOD$fnc#3sSG z&HS^z-ATpX5|wkDD8;fjSYsWSI_Y2HkZ!6YO&!GIlZ9&;TC+wS48S1$9H9T@NT9p{ zqpd>lDX;H>?Kz)@d7r`qxa}bO@B}Ky(C+hmEq*|CGjR<2n zX7s@^?M~2Dy_1zwS3ul`r<&Fu)H|0gsjt}DiOofa=|{e#0tSTwK_;$KIjd-qiWJ`% zr2a@_HRJ1k+tV5PMM^sWIGX0xcV|)VRFp9#I?^~3N9e?prT;c)q+c3 zt9yX-er>*m{6U>NqFAf5^K(6%X*H6sVK;>=TZY+s#-tRCFEQJppvO70NvO|s)h^|c za)ma-Bw9Smxv?+*3m@g$q!;*AzpMmhu+i?k*QwMvP5n%lO}B7nu{S%0Oj`$U`kVJ3Pf#W$10 zv~⪼7pN#HaFu%K5Jlw8e@b?)AR-JdH5X^iNV1;T{~%B0+M7qulk!!H*VD)N$V8f zo)ztz*z;#lKEmMxiC48}PZYSm8mv7|gQ4VCfL;6Y@15^ZO+l94syf)G@}NL*e1wy%(p%529BgYc zds=wJ;oLI@R!DI&yEk6J$}lFpMu?(u5{P^g5|_Op>6k8r(`Dk(cIHIJ{IF5Cd>_VB zyG@4wF>?|clajTz|61WLQD+E!;y3}y|HH&!HJJeB+{R||oamRbWs1R~Fn=2&>HRF}YIO`E`<~8hqUW|V z_NK0B9~ob_-ZenfUNw7Ar?n+lOe$0kz?Te?{^xyqD}T^WM`fvOcbzZ3qz!M+d1f9p zGU<-gSKQ5EWFh${vlfKQL{399>=&0D3K@mORBL70xNuNC+sA0Bm9sLF&w<^F+gycl zI_WYuRU;v7BG_ktI8f!%O69)+TSb(_t0KR9OKp!lau><_AeXk4Q&|re#yv-Y`l<1& zE`M-8kPSyAio4T?_#y-TQJ@B=$IjzVDu?q9JT_7j$?9x&Dky`-eCz(c5Y2Z{o>LjFjOhnXbj@bfHLjmg^WmFAwCrK`I7;= zya43kFe~}R8^N9m@=qaARmFh{M{c-PyyzOSD#)W90`277bQ>*C+X{4u3oy^D;mEVm z$yASwm<{k6%=txSDbT&J^Q)sY=zv0wvwLw>MsIMLRKrW(3$sV|PEH-n- z<8-iz+I=CUq&d0w9?B&#Qp~X((_T?Z+Oxbdl;Zmv>^Sa|8%q&%QWT3B3nAv46Uw&R zSyna`u{K(LH%E&b#F#HlO2*8q8;U9vUI38~%!EJuuiG=rIWIuRuJNjeaC8~ob`PKB zTpVX`oqlB$^2_fBor;fDl)r&8BrZV}TKZ3STjm-0CpL1-^MBs5)~<@8n~F+i1AG)A z8p}-whrHk{Wm|Gv7sb{`S8Lk(RC6bT$KuwUFF*uaD1J*!0^LwD8`1OW!bSSp?ZJD~ zSU?yQO0Jg57KCcZU>UcL{7y=z?`eEORFJbz^}FbBIM7i3%3GZ|%Wq8z^M)}uKm}=5 z_MFxtnXv?g3x*;?FF<%VPYOqTP)-{=9gqY3d*-BlhII z)Iou>J+)|R8~x6NM8|XDI|D9T{J3B+W;TpOnwA{kgUU_dfOzQfFIA`oCN|ce3?|U8 zy z6g1}IZfI=gu@qDUBb>w(FG5TL!cXpK1$qSBlRwk4zZ*0xG?m*@=TB9tXimew*CT#z z9{GMHez*uv9Mj(&x&I|Kmzk-^d`#}_)|0FVdBb9lcA_Jx@)5>%;ztsUf2D5#sFqM1 zWw~^FABWQtz8RHNZo=7k-8y?g|6T#o?|+@p+kP|g&c$uP>|{Y~$jYp28A11sq?w*< z{uJW-I}SeTOkY7^D-O}gKavt`|5;dKM+Uuk3?5lq>Q z-UarRe^Gd=$1t)JHz@V$VNiQe$V#$Tot6_nz;*TV6!R*1N~KTf(fCn&xr((y`}oi8 z8KCBh$xRVN`v>Mx@-ih@*My0x_G~ikWI<$@mB*`A?oD8K5XEtdJODu1QIZ z`Yn-0eRc|wICk&@U%b@v!Lk?G{WiqRGwX3_-fQuR_(AG_X!k?~DzIks79Zn0X(7Z!{6pk=VWI)7`O3LEU1R%1A3}uglo3&Cj}E zU+i`T5n?ooEi#I3ev+{FNTVrYsE#~V$R9?d$$)w3^z}rsdok}AJdHbo8L2S=R+Vl= zd!1C-gaECH+EYUv+pue~xOm&ee_KNKG*s>VPA|rB8$!jv@$x%%!p4Qr7aH+3Teh^P zpv4*ikjU=lk|<5%GU5}`@<>E<$^)OsrkfPdtx)97UY)3{qnC$|SUUI44IGG5#-724 z=l@=s;WYtj2zLjfsrtkC7!-A|R!;JT>ax;u0 z@s_IliG%o%lVNU~YUA&C^=4|NJ$9QKu!Wh^a=+Fb596=PA_d-KdzL&!D`#SwVCNIs zYJR-^NiSN6vG?L0?Er0hUW0Djqve`5i}GR{X6G-iF&<6)VR)GRbEgRxVaKiXV{v-0 z&V|svHIq(`O@_%{|KUx%}OV!9{u@vu1QU^ZvP6cbOoE4zNGG+xd9EvtV)=mdUfV@_pz+wj{&^GQ2zRu1ZJ z7zl7&b26X{{|sh?y!o-1F{Zw5Q+{2?{$W8A(n)W7DKGa#_BB&*_Wl%P*C+4Wy0#~~ zF9(8~W#iJ(=^YVm#3?)#8XD6sSsp$4>9lC9lfw>y6%Sg@J zvxnWvN$^nDnB|J=f-(vvx*s9Zt7@kg^RnP!loXQ#N^EPMB{fBbPAA~po|69e60=Ee zQiQh97o`hkh6ZYP`7+nI-V7q17G}6p*fZ6|`k)D7h@<7%t+{Ew0eZ!{ems$pRrxI3 zyf@hAKAfyT{dk^;ORAAIH=+;bCU>Dh`ZE10$;yS#njH!ttx+jgC{=|^cnQE!7^3t8d4Jxj~fn6No)P(F_x{77A+jQs-qFNr1HDVgJ-=(&7-wzZ2X3ae`EUrOwUoOwShnTPM?Jx<$A$ubq;s64xhXJ@tiar1vl=)~hM{9D0>Dor*(S-w$M}%pbd)0G zB)DPewmpODmzq5b#nl30=Yvm16|tf&o>;H{*OR7~-ZZ?2sC zDFo36vLyY2fU>uop&c^P;~v~WlVXy}X%)2`r7V-t*khXoS90UfWKgM{nU{_QC@bm~ zh6t7`)R@vOB98-|xEDY>w&zdKE{qnS-4K70@+wky0XEXy9Sli#Dy@~veJCZ<_?u@j z->Q}P^Y97I=fr^XZYY(U)3*vlVD_8ZS`nNQWk(tnGXdZV5rRQheDAwK@8bHKrY?_VNB8we_QcPf$L) zyu7JG=?$RwMo>ut5qB`{yFmhde^L3^M45uzX43C96B$nC!d1p7I*|dnWMsvmy+3{7EZ#q zlEsOi74`S<)zv`^MwQir8vQ+BNQ>>$^!Tf1l=ExlW!rPZc(#s?TSx2R@Ttq~6y7`l zO_io*yU0_pwcCh_dm}U_YsQq+F3FiTZ6|TPu{P>V;6YFAF5%_B z)U87>_qHz>C-dU(1&UosXiU!o+{&&;%|6t2N=S~-9AEO{e8)zEz0UhF{D{tR)=Yc@ zJc~Y+pM4EoY9cQ{1D|HUv^i%#UT-&xuo$Q*GrbylcsF#vylI=N1Z4B6T)#V0sBLk6 ztK*!@6^M06a-2=}xnQT=jt}lH39Z+1u3Q^fobBh)KQ-F!>KFURNjCo9XH^{+P))T# zT)sJFJL^ma7p788s(g*w1`uAhrY(xoCq)&)<&8DRANP@@YSeV=mN^AG?(RNg;dZ9+ z{5r+gR+-y0J^q^odw_f0Z(h_C15hwUaS&lYr(Cfnp>6^fmu#nMo-a~Nj&UE+sxGJM zXFg~4j&w&qBfI6O+>!xTdp<$kt|72!$rgv-25_t%uWZQK)Ur{!rExriU2_lIv!4SA zVpQ8|vdP8K-t~(_B0!HSlobPTL&^nx2P#Rx3I-k@$k+tQICScGq z+DXDpZUM)1B^G79gfAoX_a;CCQKPdjt_vWdbJ>V{mOZYUk$2=SQ=8`uf8FO0u^-e*NkPw-i}@$C^dkzn4^@rq7tEMY~_C zE*L22HizFqnLg~4_@u|!LQjdFX9cx1H`B}IIO~Q05iW)F-=Jyl8p2O8346?RvbYGX zY>RcD2YGD5x)t~d4+4w|w>WtU<+8K{9Z)iD-;t|{+_ftN>VtS$gK#Nx?*S!cbLRTBHC5=lU(Na{#9hBxVXDtTv{4UtsEB&I}DR3ovS zM3r>pen?>i?IbO&n5P#}5^pzOt!kCZbQ`&ur zH#obIGIh)a2X*+kCVlQM+M#GIgdk znh2eS4|WGo?ng<_N$xB4UNGpLn@~L~9vA$*q=azb``D4SPSvzMju6f2DKG>K{1Haw zO}T7Zxwp{1yeqrHGqH}26sI?u>E*M_K2Cs&4FO!jB-UiklJ0z*|Ey#NE7L zXX?ne2C<2ve^~BBUvB*`^0!5AigtvC1(FQQddESm@kwL9o+)W6Fb#&XKa?5vJV{j|FSLy0!`?sx|fDibuyG; zL2Ja_(}`z#!Izt)yF}Xw{0)oS6IA@)OVxib1<5u{f43?J5h$kRWogNi(^S@sj4kSo zO*oP#JIj}^Yt#Ph$L z=$iT9+Q*l);j?GfJ>(WOTmr#J-E-{&M?Dxq0}E`342cq+8e7sFeYv@6x^<7%92cl* zepatPac68utF}G?O_@;e%zMcq`A-GhHFY<1c%>d$Ns5eVIb-bT`&4|?6wdwHVGjvA z*qiE#;GdFLRd~H%qWreZ2X>vSqf-483l}Sh|9zaItJPWh_Yxrqei5=fkwbSb#uU>K z1g^z<_W6-w!$i}cx(`5Kg?dDDfNvCawh=wV1$N%V;*d-~06TJD!I zi3M^*!Vr(8c~~-$9GjIW!rHD#tu3RZP(o`f zIJO9dMqQ!{1^l#oVgYYR-)lyK&INOLic;5^t?3)2?S3h%jmBz1xk$JPFuw+kj>sA~ zOd)*>0nzA2#H6HB>2N55e3(o}6XS6eHJNLwk8L=pg80QN$V~*vx3M79-e8X|8Lh;k! zCBhk8Z)QL_MP%u?a8ulo5;UeI(R-+U5699zc6^~(DbuC5<3(Ax-eU}rC!T!g(yTt~dDCUTY+$!}5<3MF;eNo=>UuE4 z4jOrKY$8C?oul7{dCifZL)H6EF?8dUqna(de8H&`KfcjjJ??M{&;cp_Pd z$$#_qE?uAKUq1{LH2e1wIwgxv?tW|J=tXEnROzxP=@;#8fHTDVTtMye_+XudWZ7gw zBLCXcQ59H~eKu(*M{W6dGfaJe1zP8L{E;$zLfYW<{NF!1)K_99)auB-do` zK)iL;UAo{(31hSz`s9FN5;l6?AXKWD2TtZHF~V!>71a@a=;KEMt5e3b>Xi^j3UL>& zsvgA|n5Ilu+MB2poDG`Dc{wv-42=?LA`kklEAFBnC&l|lqv!=+RaF&r3e~$cD8#7L zA0S8^7K%MJ`KEaLtI67|V(kpRLd;X8YubEOVK1)}@%{-RnP+9pNN64kmF_)|Dy7eA zj?X`;^&@sG%$IkUk4ZlAU*3u-U%!B&8~<=cM#Ec>6#D3R+;0+FQX2;oTE(&`Xd`fc z=DH0q=VE^^iP5Caqx{P}fSbI|kl-EsX3uhWyk6(uOH8^H!8!NstCaf36mQ+W|E7ml*Cc)tSLBBxj|L*;N;1W$%u4QD=+493$^#biKqJ)o zW_*2ydvlh0%he!;znA*=C-w*TQFLju-W7GMk}9@mksgbK(w<+@(U;3yo_z2F4}Md= z@qkw`A*JRH0#lQE6>B14SLCQ#S0!5yrmfb6mV7P<>B)Gxl`KMo(R_ozrBlx=7U-Xr z!<}yv<8W0TjXZe`LEuz(Ebw8LDSA$-MP33n8feO3h>`36c!9?(74kkVL_K~;q}8tef2o|nT-p2@&?uX(8m&U^B?X4r;O~V{oj7sqvEcaQ zC}Sgap-GOzpmgn4^rS&mUQYIfz>k`R3l3t0ZWNwec4cRZQK`uU;sr?0*jgTy>9`X% z$j*>ovxV;n7Ia*mM^NUF8B?9&Qo+YGjE($-{bJ1$=2k;z4v6ANS)2ikPGP7ax)a`{ z)b9*5;TFEac$F#FB0WWbBjM1Qe*vH?eHntxb&ddj{s`rxJ&H9I|`b=~6R|&>QJ|gjoEqlJ;D$T@CO-s8<=F=}Ys ziA+MG7Njlr{kcA8-bBWnM3Nc9doU261e+~xpeJ~a3yS35V2CZ@n`pw2hN$7houm07c0KCty<~oB z@}1cqHSFUw&SbSY0_Mbh-p^Cz+LEVOU!r;7!xKZ7b9lj_H-+W|)rhYTsIyNNqOni> zy#%1as-a<1W4Ker3=3*c?s)T=|8wRMOKRuU#IDJ6>D5u;wL<;^KnrVvEJmvGaod>t z1Af^4Fy$xcp-943rv-)fO0-CvrND9bGlV84g7=MGyzJC23&Ke+l*tm5jXbu;<)F-$ zjfTe}>#|?vg63oc|6Wq3hqPKhPt8FbpgnrbajK)7w`86Arfjkrsvqy7AiRjw^G(o0 zgFOm5x}3Ae{3{xwmI+X0Cm`w=vr{Kr^g!9<#%&}1VTu%bR`L8`bOt}~iGOlcs8R|k z;vE#Do1~mM6r{cSykH28d4)TNAG_fkeko(I$*czx$?OFdXNGO2<9{!)?`#qIC z&fGiYK~Ygq(i~-uZz66}$`lGUQQSKL(@J{oAIMVbQ_xk}AZxyuX- zM~16@{J0L+b*}Rd=Y7uMeeU;t->>^+@&1gl5SMa&e}LKU9_5G`HcWx;EdDuFC%`e~ zO@|v<`YyJH^qQPwU-CrEtJuzV3<5H~mOe~w!L>D;it9;HRUv`a1{-mB&4dRDMS0|H z?TZLK{jf%h6`ysalQl8Du{wr5ShDg+)_uu*xRfNFmO?O=fvLet(J`qnJ|rdKZ*y3mDdmPAUX8Okv}Md&jdD|cv^}1UP4ej> zeJ&;Dq~T14tqtLKyHo48fS0(Ka>*yLQ2KcE7U!lyyzZkNZc;mpt#<97k+)#4#{$5= z|D1A+mN2_%Wx-?YoV^lt*UYKkSEp|5;dPNGEe|HIn@SHZbuTZ%EM5duUu+lU{2i zXK)#|J^ee%e=uAV4^y-!IP{fWyBI6JA9n1XU1x;>2K3HZRs)5Kb6R8d#7OLW|7>@w z4tiH6>WN-_@}$#15lC<81CWk)m!rEYEVh^VUz&a>+V{OttVoJ*i5DE>yaZxzD_2XagQ;=KONEv5~<8x-y41Y5O1J^{~GuB56$z!Sqwub1Y8 z#rbXOsl#WZ1BAN;bTZwrZzl0sr8#-e90IS;`w8l&j#=b8TSyk9-qn>zCbw#ku3gDs811jaB+Yrm&XUv{pfNdKn zwiMPKU;A@vd2wL57`9pC$PnPB#QKgqh-S!4wJ@2JCC_Vo2Z_g*0NM7#`;PtYNgUC> zqU?d1W9U7%(}v$J;9JU*y zblIzi@~*#K?WYQL-SE5Ugy95}gsaP~Jn}i?8+}QDUUJ{Vw~iJ?>r<13VGuozDW{t!X!tWYhJMKQuyDH6- zuD0GdptCtnk&bxZGGO)mvf^ly7VotT++@6voLn38y!OTJ3&-vUpLq^m@uN#DTb0(! z?5u2i`xj2%eZ4^KyG5oC57sY6oty9j_&3)|;CcnUo6! zG^nk5ICx0bC|gbcmVt2y@zSTEStdvpNn~`gm^|mVtwqSw8uuYm)pW}lpdQCm zwi>QEJkG)Z)~7kGHxCNBjkvK{35ma033(=QFxR{uoz-KBSFD{F@7`ojB%Tvkl zeKMY5%-iLb#RCcs;7|qhDX$Vz4;q<}W5C0BtH2sh(blkzxJ+1YN-b`ElF*)JrxSjg z?O{52BR5E+p9XU$W~SD-2WAMqdmzA^{C89Sk@cjEn5jflJz%9f%QAs%nsk|+sefZn zu_s0c-#FO7ZPuI8;W-7&ve7~s0(qj-_G34RA`~` zEa!bTD&$$}dh<5h=AHSRhPgc@T{B2V4vIY9Z#34jAhAJDf+e^W;X4> ze<{FvX5XJvtaMYktc^eiRWmgjx3_z^;wQy&IV)7l&g<^Jgx*RMz|y4V7lc|lH}E)m zdy%O9sY4OnYP^>2w|roy!06S?slHIZ+NOHpyy9X80n*2w4~1om54~5p#Az8_+}6R5 zFAtVm%naER%dS7P*FV0UQK{peG%+oC5r}RmrnBWl{4RO^uUq5Mkgwgrt%^%uDp_!m z(Rka@i#N#bSUH8suf zSLD=Yhf8(25Xz6Arj4>Vhd?O3Sqc{uEaZWkE72Qvdd|K*eSLVBmN#IRic9ai&?IRp z`VlmeGU7P;!g$G=efef8A!V9uTt=$7_<6|OT)O)~Z2FpGs>xZIj^a04v}K*-bS^LW z02~2t{Tx^h)*tk<%g?$7ylWZI)6xSEk?V1a+Q)wN+lZ+}Ejr#b|G`2$@$4|`CtNrG zlx3sV4Oz0X?URna2$YYL06*_3)MkqDd+^o&k<;SK~I`{&XYlQE!DZfiWmzmf>l5GT?6$63dZEo<>eHc6%|0GuPyUYHY#AQR;oG_gKo0sJ8M%ZPb zP=M33o_=c7aCz74Fj;8z(>3WNHrqsI5;>n=zN%cSK0S!_aKTx#Jg8Zz@~0(2%!PO? za7}y)F_IV9!_dZ_G-1y#w}G@nuN-Sou6MsI<-6GZLQOPVrzwk5-<=VrG=l%3qZ$S4;iN!V70 zrg=O)fy+u)L50wV+ZI~pCDjn^rs-&iO4`T|thEP!ZcfE{ek~jQGGKc=nXhC#)hzwJ zil=8%+RQO5)n>WgNWA{`OhB#Fk z{HQQ>vRe0As@GfA;H^T(Rpd$kxm4yS#{W1+QeUg`yn>h3u4Q4;sUO%e10h4w z2jW$^bMjVc@hUPJRiSnl$Jl{ib@lDA*U8u1)QeP>iWjLhPA2`Jhm;1pPv?dZ`Pi#= zx{(D#-L%`$JC9h|6NFiKb{RGEist8%%;1qXA3v*z z2R@eeJTyO9Jj=@VzL4=>r~GrnZM;M$jA6DvIo!_nS{H43y;)N;YiAt7Dsf*_c9JiQ zf*H}I`qh^&u`bJspsPq?`bHn1s-wwoOqH)RFssDV@q&(O68a{V# zRnyBMDl751G%I#A`Wa-zGyfjZx$rsUBN%lKe|Ma)m%H0YtEoj zRr*wM@{A2HS&xUsT9yd%S|HN+;8%PmuX|oQf`SRxe#i;tuY1_wKWyw9N8d4M5#|}D z^(t-CiS{K|{9%;;>TN}9q9Vbz3g+)$~8KdbvE6=HV~%C$f(yTA3UN+NbXa`L&|!@{Y# zN%rIfF0I8vwZpdxEcxf`Ss|xW$BMUw3q*VEeRP}JJ%UuV(L+<4vSr&xgX)Nng;QQ( zq*r`&w7)0oz9p4bA@W1;`p&<<1Y!p>&hmZY_xhAP1?WhrI2DY-eOmwm(Z8_4s#lGp-fg5J|!T>4`Laf>g zE;y^`%$8Y55xeuk$DWdM<^ux@IA%jh#^nyV$(nV_U}~vppzwzUaMc%cdGADAI4t!N z=skn#_;R&IoSNCeE(1SLtoix+Ej9Dfc-rz!xroP#yQRK?l}VPYx}5)><|WCQTiIyJ zhyIs76bs{pNj%Z@r3Cb(P=U*|0$QVeKJ%@&JqK00x|DpZcXRlRXzkX9ZHR`(`-ft_ zPTUe`H<1Oo(ZAgvP6qV0_D0o^Sa&W;!7~pk)K+AN5AB~6`Hjd_ zM3`r<`t9qB^(abfl3KM_PkZSVqt}PL&ejhnu~99y3{~gU$2;$_f;S;A`o{=-igqjb zwHpoLga1yS;}6Pouu$fy*Yrx=&JXqS=(&VA0osV#|L*tc`9|Z7jZkZ>dM-E+G8dG7 z=bS3e6(DBA);1{5xozmX^akS_Jl(U@8*7KfXp-G6ta;mI>IEO!OA85mx<-X!m5W4O z5zMu5i?mh<28A}73bjS3=DwS-70PHGz0wOhQqZq{6jA)ixA0C2D=6q{A@%5DqP;t% z?}L4|Uz4PtZ0=3ZkC+nCn|EMlSd`93X_MJcQuFNMy^EC__0RY>%0{(Zs`jsv9^_c( zu8qpZabUR=0W^G~?wD&at4hqu$l+)R&!;F{Ycq$<9)fS{eir;UC4ajn8Cm7mvm^G* zE-CpgZno7;q%@#PLd@J&U9uo&-XUI50D0r$%{fnrz{b1ShNvjb;tUnB_l05_8a7G= z(LqY-c0tM)YIwsX?Mr?x=iC;oZ`DxtXj-+nIXRffS9FHOpdP1hxaKryT|bw+HWV@m z^Otmxzqqup&i9oJkt-T5_;YG18idrcRC~}fB4f`AE;!E0P#saDk*;3A^7Tp#6rcY) zcW8D@Bj~B>^6eNlcc`k1nNySY0_Q5;u!hoG_Pp<(=g0BW$?`p!ZLRBEm)wOLTiHA^ zwGeLGw%(=tHp6?qsc+%M(n+a_R;qV{Izlb{R^n3g=kDAtV~6)oB?NeW%od!D?}FaK6$ClCVfL#2nS%OC@9Rc-M7 z_cHnF7M}8%40ydM8ke3X{>5BnSxx!g1AobC6}F1wFzs=R>NE%xE8Daba7CxL_Tj>p zK5>u=x8(odY-{juz1M5XI6^k{zoGB*rkK}bzMTl!SHN~pT@a(*t7lSYcLmPZqis{X zO7RE3{XqM4Ztc>8A_2rLbpCMa*SxEd`OZ{0w385G{v!L3G1^iVZN=@m* zw@a|imwq$GXGpKhQ`_~=KHvslW*JxiQ*iy8AGay5>~HFDNbSCgje27tVF3VGBXVAYadzJb0w z%J7+>(iOZUKr!e0KfhCJC5o8-y**yHlqV&{&nG8&3yGAnm~#V5qpU|))VyIP3h$TZ zVom(a`)Z|*q;5VxGgZZNA>4B4(Go}7FN6HIMs=`|ZGo+gUvUB3>F2rJg^MIaxPcvO z2uCtfeUpjFkH9Y|U?pW^gv>_ppqFAY6`4bIu@@z*yUYpV%1(*CvHT_Myca&Q?GTkH zWq64{`kX};ye*Gb$oZGi`x z7CANzPL?Bbb{DbZ#gA;015J8&hRYCdq|Bxbn2A^Jvd1$2R?oBgGPFWJ5-sli=Ixs5 zO6kSRM{r}}b^0{a#q8ItJezpEH*BGD*PcPs{@t!GscQImF*zf(FGe-Fmvea84B+t6Jl*ExS*xzG>+akd?JU5r|i#feb zY|H)o`@P#2y#@R1^&`a;g?4PrONTzngKxd(V#MKNKCc&La!T_-J!DHJ+`4$O>~>aE zgR)X!>E%n2Q2Mc8!IBMVBS0xr9%4e5As@hSLlYXEQZjP27jssmtaO@*;a8SSu~A01 z)mYU5iJAFPR*78*3r|0))F+{=UPlakoie=o=xXL#kFdB15vX2cM9G=!1KSVFcJ&jo zXDo1zwJ)Uxa0C1s4xi2zXgr>HG%(u&j}vM*z?~0OHt+w|)3)cdH8C)!+&IG+D#e-^ z0NA>2An|=WZf_8UHiHT|UzE`KqN-unVz4gBq}2P0-?*8WnImF&r>_@pt2&+}Yxr)h z>rG1W{~#@?XCykThRvimPtQjmnDwppz^ir=-mUZD|nZ zPAJ-^hAQyz3eUd_yxHmYPwuKbAIN$%DqqjH=fGp9ml3=Z*FT-7zvlPB>&9I#Pw;DA=PdKbbRCx#pd8Td5bZR9XQHHRui17V(Oz@A97` zCihZSra0dTA(bpKP$N7347awY?cxF$kEy2A5?|ib;t++h^!+ecLnlg6u{NRCg^neA^4$sq;_S zY?@rC?Mb>t7|r^Q4y5?*!+&0pC=wHOgRRMi(A~Dn%_x)?63ESX57!0HXp#{UVvfmA zjr51oJj$59jdSjbns-BBUMT&gL5n%*g*}6uS%nBI&sx|& zUpsA8q_i(~R5g~D9ClkEC0#eePKwHByJY3BZXJ=V?Z;b`)J^$XR@E5hL#z7t-~EyY z8NlLDFIZMOI7W2&PyeZlqNh%u{_E^tXU?AC?wtASuT!V~IxQ-4M(iJ;_SuWq#FcdZ zPr?l8=n?in`4ar@jX(3Jc>g;6*I%Z8E&VyQ_Tx7q)*0sg=hUsC4{p#8!3O8ea%zL2 zT*`;LFP}BA8cqKcf-R$(9&nkgDq?{XMt1uZ&+a-kj z0vs#t7pD}If}M4kQn8FsjMu@i7*%>s*c&b;4ol|YR<=Dn|-2Vnk zfogtm{oT3`+4HTsN87~*1`;S%p%#lBWZJ|^+L9GU3ATvBv^34(j(lkc-S4^#OR4`m zu}eQJ+JWDOx)&n3=j%r@C`w_l2_XhO(sF$w8ArUdkm}i2k8z=HR=+nTTwdYbn9Oyq ziRZ=og%N-7)bxkB&LY(z{gOw<%wO*fzT^TbeO4a^7gO0#hgnpi@|N~4nf%dqYu^Qs zY044Ue|57qGTLtMU2JzWi8TJeHgVkyf%D!~q#cX)r|P>)rs|kXrD}(zkr$}atR|ff zlgOj-?Dh(d7>U%xP%N`<{siDTg6O*Zy=0pR=s2lg%KK5;PX%y0g{}S-`2#l9a&UE^ zJ#C6&Y6~X4+cZsbm>ikTP$>ns%;GV=)t$#-txMhBo!a$;897wQC~jPAh2;p=sdT%qeMyBmALOn8a7 zXQm?7ZT*=E`#^_zEN{b=hO1%);k!Md|D(r`!Z?aVMtI8vjj6sJVlZ;he%nAkedt3l z^jX=+XwhiV9G?D@HHXU}ky_jIUCDWUBCk@`;^Wf!elmVPT1I0Wa}@(%i9V?6Ks58vq%M8kX@vu^S8^pPBjtW5 z+UV1WiV}C!Go+JEB#>|^@nyI5Wx>{|Z}%-99=f_noB6l47^W|X%j^EUfUbYsDTs-I z(he4%gL|17kI>Oww)6LUQ+o2RjviTc1?W0*$1368|%^1d)cX-JDm#CnPJr*jy*X^UwC9ci7)2hi@V3sh!-Z>_6l&^oIa_bS0 zd?$Tu)eu(sY&C;V{wl~qiIw|9xTk(S_f@~^vA7fb_4lDi>v<33d3A1Z&0r)}Jy0V( z?O7GS2Kiz4WjX`Bn+&Y?G;Pr$=UO%HGy8BvKS4>%8 zxGtjS$n{ViR#S>fmFP7T?ULDd89W;?1YgSR|cL{BIRZy$tJRNC-z>>urK=;{FG z?ASgQoSIBN&f7~^KIS$yP27XNow!YqYjwAIwB)EgT}N7M*Yo{X?ANLCSpjjmGKeV&Mr=$PyHfS6qx z&)r4)1mCKx0st*QJoqI~I(#Repr_lu1y*qiM{j>m{xfJ7-ll!(N$^lZ;DKG3DjJ%@H?SU?aFxu_j7P_DYbm z!}Rsx>-NOpafeM4S+ARc0yn|yqOmB8O{07$RJ$mdeSF?nRJP9Q z!1S@@_$Cz(wQ52l4VPvN4Mzoro(xA4dr{4!L$8>+&OUuLesEhqP_X@^VhT`IXN=ZQ zW(DX|8Wt*}S$ppuS9w&>LVECanWesO@1M0?OA8{8K~j$FXiP>1m!G}Ym~n`Q@v9<9>9;s=N5o?kw=F?WFJfi!lm9HVfXATnDaLt_uo=kTPyQQnB_ zf$gK+VUiQcgN#z2eZ8xCxBRu~hmkQ9NYmjsQ_##<=g+BCGq!nrSp3Ke6N*N|_ocw` z)3L3LqI6i>yvs>=)jF045mLRbt8~<-yJ%)g6*2YWipi5z{U?2*qD zb@>iQsu}YFLhRLl+VSSqG5?v;AIjwEx5v0Uv_9{f^8Jxve8s%VeDd8#keP1EGG*Vl zNy`aD%IH*djvbYc+2?9VZn*U*#%kJ_lv~1BIBS05G10ORA>~Nxz9sIHAJmKTZBACR zO_6X0b+||pS&w>51ZLsl>wwcc)?{nzecQL!j>(gKC(2(VR$R{c`wsZVXa0uhwke;5 znn!a+aO=B)U8(HW=){eiUZgMaBVP#X$r*A1UDl*r!sjCcZM=!q*FB(ag!>kn{u25D zk@aNMvsrp}oe;Zk;*k3^Rb$yFmqyRs9aGvdz0%^H+zJTp9IEj{)T&zT4At~qD3DM4 zJ{QlBWLe>NhAcW9`=Rs}qc3Y#dAch<xIPk;gXaXqY{WK&vVV30Y9( z@uQNZ;Hu^DYTC{S zI2o^&O17eH`oZ4eG8w61+r#6lv%>85^J9@^dx;2#U|0*^_pOLW*f>~V?8Y)9*L z_v0i~Q@zFKDBb>4DBduzfFK}rbHAn1;%y0nUwPRa4O5vPRraH0wIo_?_@bU<0MwpL zH^P^{M#`_{6hdaJVKZU4h70h_u5I(Zu5O$q%(-vUt|WUQeOR#P@KJ= zzR~>-i^t%WCP{~x5o*~S*qJyFl7c+i$uaGRTdamzIJEVi(KaT2Wi4*-L)87sj_$pH zV=;pWwYkl``%$_-cztTfABQikO3z%C=9Utl_1_G^x5Ci_=02VAj|x$<3lb@a*d~0H zcS1(}JOrRPuTu92BJp=5QM&S-FV`8@YGE3BT!u%h%lA+IIhAbyfwsGe?E`r7FGXa% zFWPEaSY7hdHr&zs?QN}R@w_f9D(#Et+q_&|51Nsi$j*Bd!5H&$iC$5D()b~oP@!LT zHW?-xV61SjI=e&1G$bjMb9>ya?Wv)^B&N~Z-YQ?-0<$B594wc#Qho-z;CiS+282#bs zH@DjS1_K>C--Eb_Cad1ka$Yl~Kfh>@-mvj4Q86&kXF%b*(n-E4pdn|vYv}9$#WYsR$Mik2$4*i zgD;JSICQt!zT1R7@b!PbG&$K~@&g@hPN+2Ut)@)I|CGl&$I_loJe?F)xfyMV5mkk~ zvnsEHNM>o{8M?03kd(}7qLIgHl`|CMA`#^RqC68$Y&IC(CJ-vP0?LL})uo!6>ZgU$ z4-NpC2=35f-0S~kAKC@W3dP`5&7y2>(5|>wvrDYAkfL+~u>{#gtlCA>r&91;3eu!s z8t`8W2BITAgD|zEt>)~>_R+d`0s5^0sG?jGzGzU_TNQ|^(o2Bb3ZQ-V5&2=4-qBXA zChE_r`f2i^8Xot~0M#uj{L^tGgvPuonQ_whMS?hpf=d=KK2zi0NffUqn?~gnirN+~ zTT9}Q2a11}LE+}!?p`IheLE*Y?n&8EGU4~u@^0S7^vrXM1O9Me3kZH=MkQT;A{923 zrQ;IaWZ8q+a0{Ke`$EO65Jlr4&AxbI6}5`mNZtONo@}z9>q>`e#E2LG#}6v9c-s)a zew4ZJYnJ>_1s^{@vfo5+jg=O%-0wb?+G0<SG zFwYKtqiV;!3f`+}6{4HL5b%)Xpr|GyXu55oz zOERDNy!UY-^4W4UAdf0$axNN-b(KQT;eMu;^Wu@LqTI9e%F~|5R$WkA$Pi;KFDlC2 zu2pNlWEbF)d)|1pPPQIGs4fWq55ehF0LANaH0>LMAZyxY*eQ(9_wfmLK3%0 zfL622w9z9$s=lfbEJ(YCwl+3402GscKpY$3PDzo)d2B?kWDYIfrmFQYot+NrsJzk3 zkgUvGJCF^Sr49DPN>(sZqppPpNsBqYi+8B0J{C>(SjJT$0Pjr~<_W)f7*aoAi36L^ zF8gOoH}ZbLl2!w6r@gGB(xX9Y=8-bT zWcJ{mlI`mfwZI>_5E|;?9h1thDt%o7f@VywCX}nTjpj{6D4jXfZFWN3zuM*d;TN8{ zOo$mDziJ?T2rFFa-^RvI^C`W(&{P|7TNCHmRHdLiY%9nCb3}FnX&g&vxE2P`mc9E@ zM;VI5K%lH@V!!e{?bgdCLp{Bfl+7i}8)D{urBjcq@FzCCU^mMzX#;m)S!F3I-rsOV zTS&zHVaI)mZs(^Xtc8@Klrg5}dEH=`loCUE7`I)Yk~UV!uPli+rxVk_>%xg^9SHNn z58BF4U~|n9Zt}*K4FzuvOpgpMU5|Be_|HEBqP)7-fJT%HcPV5Q>d?{(VcwiOYm;V~ z_Kkb)XIjhBwv;XBwe`&4JDT<8DcM~PZ67EYd^ntsh5k% zZuh1>ZP;;+;abOMO!yhGkXhO`?sq;(iKBHZjWuLXqPdDX$C(}(UJ#YC9m=7=)CT<3 zEG-dQ5CsJVNwKQmm45rPQ#StVjF>Ztig5j_vXbrKb6TM!w%!ToQsI;i3U< zmM*mPTh3%TU%jeywUq`fdO>^20a94e-#$1+=4*qTqKX(9rOY+5bb7qcK0&X=PtVT2 zeAQvN_B+V9j62y`OLa~+%@zhMxLr(J`<~6n!0V2G_t)b3!!J41UHxGHF+MS)J)7#= zhOtGouv^1?+in|bshRnH$R@W{rzH~sd30!GYI3wF9NeS}GQ~ly%jRCC+i{c#%WOgs zExW&S^H|_vOr?SY*N3h~>Nlz=--GWti*(MR(R7o@6cRKOYEy=@PQGRSIVI&E zjc+1U6j1!ReR?ynt-B1#vFuKC!miMswsu$lc-|^+QR10Gk>j!#niDTnK$F6tX>GBu z-dS~TpHiM7!B`4Hg21;G6oCktpvHQ_$aE(tL13EY=Ts zo2!F9A4#J{V;^H(%d2!aEucTAL=B`-gXI*S8CX zTZaX#2qkX6cO!`twF}U0T>OZllOv_=s|3;MmZu+19-)|3V+J?m@=7Zl?w5H2VSGN6 zce0&wBCjzd5e~S3zy+^29N;FEvBnNof5nG5yqte^_>h@@Tb5Jql-;x zTdl{ksG7T-_s;7I4XnG^Dkk;0%wFHAgAz{&~^g zvln=vY|}1mqqF0GcoxcgmQ&j%$f@)or zaud^Q%U^M@LCRVb=9lnZ?x#)qlGH3Jt-6LqHDUDU2peXQFV-q6wtAHe_Kkl>2 z$Tr60?}N~4CR3ky*{s)XK?>X~AjtwAtS`0V^GvKGf}!NZffq)63jmn|K!x^pag<4k zY?QrPFGkTI_~-io6$4$^+JQPnnvm<8}%k;?{oammK3o63P5x7i?+* zs2WZd(6ArfS~mh1+r8Oq_bD6ax!9{`oE=TJr0<5l>gY%j9?1%|$wzZ_i_P7xJ3crR}trR^>U zZow%{c2>Ro6;N~i=+`77773xXMx*BAF8ND(cLH66DTZE^&oM=n1^&UG)6 zTq8JR(H+N>RZ*2yNKXf~5hD6R6$a`A?$Ay~)FsP%DFHtl3k1_%?wHCvPd2)_j5klv za=MSF&b3uHEx?yXz)t8HaAsiHkNJuwtba@koBY`K?N1R(G^SC2x~O6~A3-2e)D~q9 zR9qOsqAKaGdAsQcYO7K(o)tZKzwF~LqHGt{SI@uOQ60LyiN9d9_xydmyIXVkjW)ym zLolX=i&C_OjwBqXJz`_>7Q}A{J7^c^`hFX5N=9{ZYuJPu4SNkGbzZ73+o;VCe#s={ z?lQ!B4K+@OS;Z}j9p4l8*H8}7200d&>i1-8Yg~z6U`Uu~^;f7YHK$jFqMJ;EgvUg7 zT?^6-iO2xpOag4}G!SGRp%lR?JFvr-OPir@> zqSE>Yje1_fnIN8f&v z_1um-s)|iVhs@KM3Pruc$nC>+eVAW-){a&4;6RrBRf{$`-0F2H-#)K=W6kmIHDdA*I}tJ!d%#Qwekb&uqWA`#Pf{ZFrpm z$bEV+hw#Wdwu(2|HMtB$6Ta~tg`PYktrXrC6?B)-bpexST~IW#N*MRA3|hqfJi1j1 zDV0Tp;pxqBv2D)EEO#C~i|_1VJaF~Xj!mvXb$`Emref6b8;lSqn;XTxx2XLn#mY?I zZgUIKBZ&hZ;0K3AGvwW$eY&GWAkU#N(t4zoSHlUfQB0V8E_^2KkXr0xvMJ~1WF%Slv$qK*7mGZlf{Iv1Zjg^nz!@$HggX*WIj_xKJVXvqkQyrH zVSi4w20(;`4d*HE^o-$wpIF(g7DZ2#HJNFTe_nM}x|vU3G0byUO>GV760exe{VArV z&(NpK-QIC%b-1PL7Wt+9%=@XpMWDa!iEf-rpVB*YtGg>gd^*2E_a_Ej(_AigzbAAG zK1s4{+M|(ZWJHvOu~1d?FN(3AlE{MA2)BWuT|D09>cBfc%#8~uHpMk7ya@>>qw9cG z=FNjg!t=ILcQgy#pWzBoB?xco=I0L_4a>U14e$HUO0z#Rp{>`0Y(}pHXMLF-9<$d`ZNI~y?cxg6bw`J*XtQ-AvYkXYVd28$Po+3i zrL)qsx%(!td)k4-9*tXAJR9RaXjn;7JMd0-NxEj7j{oVux3g~MT2b+CH+IP%Z+-i?0H(}vc zq~^Zn=Q6hx8*M64v{6Yf$!p$WAH{D46=mv>ZO>0oeI948**o80?L7_4MsK!tg=ZOG z_0E)VoNdK7M-YyR=CI>#3kVOLfuX|NP>bHD>{R8Kpax60^3s9SWH*~51>Gf$_W+bV zO>|xn_4WcEs;`IyPE34X+|(y*H*mN1d2ed6abtgud57^?Js#OD3%rM78vzO)?ECZM5TjsP5-E9U0PdS{YGV0p;wbCZmN> z6DZ5sQgVXsT{4fQ5mlCKtJy2aC9dKmvMArDDNSN>HQQUzF21m8TJiA_I3SS~FxM7@ z2t|brdYRh_7S>IB{=BbjJ7r;sdNlI1NP-E+#9IVMxM>V)NXxCfdLpG5uZ?4G_|Aj# zK~^YQKNHs)=80RG!_igs)n+-DsNr1!KW8%pc<~4FPzPawJY1m>AvArPaJY&q)S9$H z)S{*1grbm}o_|ga;JpjJn{AiE$|HwqlU+P@KyD;Y{hhxj<$U9?MnCik1<7UV*;lGN zw1tZX&${?LsFbN{n%E(sZTXfx3V~%Wq@QvYpPn3wLR*v>M@~qsnjR0~F~^f9Vv3?W zK^s=lR++bpyy&a9Fd$pRT-CV}054UFKq7uorTn+~?e))f?l^V*4oT)Xui9$cDF$G~ z?%hvoqK&P<9NvNzyzwDwyW=L5+QnwV=Bi0G!*_Q6G95GW(5|5oi!a!|?KD;du$+S~v#lp9-xd=xxPV~$JWgutg~*9S_(wL(C`!G1YeKH{ zOF!uMrOZx(Nqj{tppfTM*Znfw%1-M2l!`ItRf!5HRXd}SC*8*rYKf(a`CxMf56Ou> zmS*@CuG%I2{k`}%>hM;yN`Lmr7yIgY;=9?oJOrlt(N?IrPBx~EIk9I(#9XKH>x zaX-!sNUQU?zt!)_R$?D#)~sd5fsPFz233r>0jY3CbV)|foZJ(*%_~^aw4Ik(CX8@V|b59XmlHH{f z18&wGzaSN`B|;;zeBp5Lyen8o8(}MTBGCtfp0K&57F@dX{ow;}*oGsmNa&-2R4^>1sGLzWtW9G8Y1^KJ zfRvS!1qPS)-2yjFA|wwt=8?HlDH4G3m}Ons}9+P5N~!*7QO}KrV{9?G=k^hNO^N-)q&R;O=Kh|Ki)T z9F!bk_L-;7@kMDFi&NqC=@e;(Yubz4LUG*XneH{)Ww1HS zx5WK`k4Q+8v>~)h${F;ZKrMt3PB6ZGU3o;e8wB4r+b{ZiDay1{lypOspt7`MW1Rng z)g3Ohexs^PI7|v@F%#qy?bb^u6a$c9?e3V z=js*B`l}XKL>yNOY`J}02Ji?$lqk<7OeFJ3OO@wa5uQUi?>&2$IH=;(0{)cfR!@k4Xy;hkxSkk)Cs zep8-YbEDNJB_bG)2*s|oVsq9fyQ_cbyKBH663F8l&Mxf(1aDBMiDche&7d@E@_f!o zDmx>(cbRJ&K`djFiK7BgRA4SirA7*FBd|o2t2PRePU+oNP491(LgO)&&nB%3rFq6f zJa_xnTH4Ym2PXkbL?%N58UN?hxI|NR8mnsgUX`bx15xqn$^^RuYI<)qNlabAgGBZr zKxu;otHh<_!v0r-+~OzY-GZ&i-(exuXHN7Ap>=4kfrDfKoH4QaCPhM&$3~FPeRhLL zk1k8O8LHzHxXhbliI#vEj2De*nZ0k0gs-gsat2fee97|O*-3rbix1g@2~>}@ z&EbktfK@oWsCgwOL@1OF{WY*dB>;4H*``>IHg+1oBSnZ67LZO$h5en#G+MvZ*tZWw zL?H>RE@SdSGh{#%F6q+EHczOJpBG=ga3yl!DQWFh{s=f470;-!Fj9I|%S1Oz=I1c= zPHfzG4>R0z6l`hi8amA#L;~> zy`Nv-Dt_)C{a8`yM50F+h))Fs7dn+xH3xs?qqhXCp*SLwZZqEv4%L+?m_^7{@g|t} zNm{b$-w0ve0~pwxp6<3d2<3_6L(ID7n~yWn;s1Os z6^pDy#_|o)(y~s}Xi-+)Q_O>V+g%#P2 zO0mQQG)_4aPdVs-aEWbxQg&1=@C zlemWcQaO8?Fiyz{W)LFJpOGI~{LFW!uHSHMxXu)>UDB%nG;aQvcaY z6e-ctcjp^D)BQ-pvYla6qsU4y<}KHPgeG8{ zwuIXuiXlH)bl|pm^6&A3uFfH6Em4(4$)4D8f%#l9$rU2-offCQs509jPYRNTP9JdW z=n|N9V+Qwsg1!`6Dl~0*@qS~XJLpK)S#;tXEKdvqlk5RphD+YVfSa0hNZ<5E&%bI< z!NfASou7~>XKjmtmn;3wVtu1r23<0Zs8M3y8X$&+GqgRItbFH3o48vw5nSWpDkMqN z&vypT2mLuk9h3-C_ZZa6r?gdPHO(U}ExB;J_Qt3LQC$LwF*H<{cXl?)&u-=7-i?l| zLN_;)(A;H96n!ruvSK&7OA7r`nhVcGwRD(*#@o071MD|8otau|i^kdz|Q29?H9wQ=QoLO%Wyn>K%i zv5x5kFuf}qxo@1!f7I(#{~t?l0uS}}|Bv@6m6RB3xEf~0E-sBF%Lua=48~3uH~UyB zu7oV#6ft9)v1N$NjD2ft71CAq5@RRHR*kLD5M$~0?*9M09}n;M`y6L^JTEnNpd+n3Qr)z-ZX>T34>GgfTBL`BVo%x(T%?=pq0_wP5S#gA}?E}ai6MMg6U zRog|^++$bg&Dhz{Ywm5Dwpk@Xdy3VUUvUzv$z?0kzJ>Kg_cY%!J>PbHA^QE>Fq!sr zAlR+Eu&t=KbVM#V3;#5vi)c$?v$l2iKH!cmLHUr+p;`-a~f#_hRy@x-zOvoZrw<|iFZG< zaz#8rELi)p|JpvO)YKghZ?Ye1m<6-n(#N8n-StFUFIi4cHZo$M?90nGoFNvvkWq|I zYavVdNRhfkO&2~eRf}`3*lNX?pcyl>kZS+<#vtffGDqT){G%~3rC0Y&M@O&?p~6d_ zFgPg=1QZ>S=-JerE*FXR(4RC~7Vd59U- z+WvLywN?Ljy0vu(zgkzRA|Ed!82Q9dExD^y8#)r`WNB%AcDt!5%hM`2$JD%+FqF~e zQ_P6bLN_Ok@jjSw0o-djfeE>Z1Hm|^f{GWMvt9}1u}Rddo;E*Mn6UuG;Jc`HGcPz{ z$~}EvOH(U`$b!caI#U-8yAzXW?dSUyr-?|KxqNBik~zPZ1-_JkgG1^czTTa19v@AC z1Pt^gnZ?VV!r;z`bSAPfro%I-Zpu=WEka8;AwUUrE3SA&OEUQi^Rl^EFG|)_`c}lP z`{?Fu4UUO*Nbx1sVX`@SMeA9M0o_U}k6&5Hq*e@%Tu61(a<-+9te!b))!Np$2zZblRq0K|hRUR)A!4rdc?o zEo#SIc&Rh`%T4;2#XpMi+SDil5sr898OrlzTthSTduWf%A5jnGxrUZ3B&7O*EfQMT zMb(p*%&Z%hH--0lJbA&*-N4$(_!G80dj@&xS&GnW7Ojf+r&;uJ#><}r)U9%X zKG7+<5D{uEPDKg1Nj3wV58e3{lD3W4#C#Igxi9R|y=sHF5;Om5+0Ah`A?rT%7kvDe zv7-Y@liB%vUFpQX3@r$;rW8x0C!s8kgG7101vR-9x>$9m<9RfNLVOcT*(&Nr7kAn! z&xOw#8rZ{s!On5F_FH?D4_ z4B(IXhBOd+-!*=rVOC4l|IR`(Og@V6^8s|}=HsG2qyQ;vrpisj0iN4+DFG zMvWz>VJ$s=(-G@q5M8A39stWN>fP#jlglnX*nHlG{|w%h@bay148ZuOWAq2^9@WNO z_oSO^flwO_ieKFfS+d?&Hwy6r?9trhOFpRY1{8Oi#7MYaBhylYmg^Q~Gts_@I^@-h=y2J}7B zJ6go*RQ)~?{t9wYw^>zHv**__lVnKx>6-Mwiv{k617MJj^11j)d46IH)|0hqr! zTw~X-d<)Ze!n!y6)@Fw%%eNscpnaf+{>`IgWx^-SpIj-*1UxJfNZn;~xbWygis7sA z2`8aDtrzq7pa>p0ANU5zM>H+nCTE^O7+)eBsZ8#^*>RLK#C%P+-t#0Pc<_zI^0E<~ zc`H)&y|HEw7nsp1XEu_Socj&`iv1wDHWa4b$!6F2;aMxK2*u%=-jR)@oqp${c)72Y z@7lnpD-IIS`S7;f{CU*nJ`WCl1$H3WJlQU6DEWgcaItJl3hv>poPfdPI0Eah6aUSB z_j*bkz(aqP=BG-sJ^j^Uaq}cI)*T+3T=5>;bbR?UJUTrS8zwt71yL_Zj7rs6YVXC- zvAYN$+W51?K%ASf39ip&IcM@~U8IVkYpK~t7~xf8>SN;5u0$xkctq>rQvBNRfz#^c z(XJO><~TD_qk)0ylxIXfBe2!eP`O!s%&*+-{Mv&Gs%x%rNb8x;ebTpb@4FnnF@&F- zbMN{rnh&dyT(00-8yekkW`5G{qxOFgEu@~ahje$#k`m?%D}@4!Unf9O%|lNcW@Cqm z3grudeN#(Atl3@$o$F51r&$dZ`R0^;K>Dx<^F=4c93236ru;Vl-XV33Une^`z3E1So zzgmur;-nWkUs!b1lv9cuF@43r_Qy+1@Y|*zY9V~Uzy19%{I;wA@Z-Ac^Su*P8g5rs z%24lvz(}kB{}X3M)b>i){M*S6)6mr<_r27#N}xjkzA z#YNpo^J2pxwCaV6y2BCp?W331WLKoTo0P!)6u+&!gG5tzmONGNe;mS!9eZTFeqi9t z)HD8^E3m*ou_I(Em@^v~u#Oq`+mdg$Uc!+_@AA7ve!`3vaWA>VRgX-Blj|y)&W>8T zj$c9(a8ACZb$(-hV{5idbMmEzHHunZN#!LA$X;L|x;*Qd#vTYd7v4#Iz1p#S*Dq1k zrTS>tcCCIb;hRp_%>*iWJxghX&EdYYvt+h8=T%gajcob`>QzEzOLIL(iM~s$+Swof z1flcSvMLoueODpBj*XypqLT695s-bE*%8;9xAGgBO>MEj*G?XLHdRyFmMo6Wc_}z* z`}*B}QW;ZtqTcrAdr0aEOrEOM#Q%sdf@$A&!7h% zg|plAy$$l0&pK#ZDN@!R>_e+rCAE2;kvH0LxB%p~4`ebEzBoo1|8vfurP zn{1?uqgsw~NEA09}k&=bkjg4iK_39BSWRXdN9Ij&k- zp2>kz@@sS}>F|JnxWs~eL$qL9#sS953;qfo_3C&GS;$+Cr8Bh@kP3D5xGvF90l^o8 zM!mJBq`hOz(j66#EOFL$A?$+n`TPn>W~c$Jb2dh+G;%=l6X1C>(fbN&@k7gJC&%;^ zZ2pK(D1~~dXDO}ir{DzQ6=v*W8)n77ke;LM!Ylk3GN8Rftz&wjUVhrwnwW+=!X;+{ zBMmfrS*Le2-9t_pyBHm{=v+2;Y*jdwSlY>jZ&UrYmeg&_UD;7zw-mg$y}M+?O?XN~ z%&}B{eBFqS%kzicMyGJC?=CgiW~mrT!UN1KnV=O|i$u_{8}+5~uVXY@__kiD6-BcX>)R3+Poq*}lGLczIo*QcTLPJTIURh-(IK9}m#+u_^5hxDjS}X|Tao%H`Q- z=X|lohgWjCrc6eByM&@rBXq(rEjkv#5R>lg8(QAW*DqRIRif*HZlfMg%M^~-D(*t3 zPBu|%=giVdKocZ(NYl_9I#KEJKk5zPVtKrDJICzvxMWEcx?A0S+5^IDx79NdeCfVc z^z~~04_eV#PM*s}L(iOjsmqcNC``$yk5XPRLnWw}q<()&xtXg8c`p0|g$Y7vDT z=D#PuBDWKF9ntGXzov}N-#-)i2F@Pc(1=iJz)n>u2c>;YD7Vdul9P}R55MyusVXey zv=90scIDf~weH-kz}*Y!na0z;mFB>qm~DO8rSWe(o-Ec@s(gS#kek@mxWfpmJn!4+ zg@N2Tt|iweT(QA_;pVsGpG)ZlR@QTO%Sr4i5j=gA983~2G?CnY=>H%|ZEY0xF*%tU zTinxIR;DmVNhz|oHfaMJ)0e>}m|=eh?Q#@WRF@) zwA@FwnjD2c^4GoKNYxh~|kz=f9g26XP9A(Y0P_8jsXqUjO9 z-f^#8nKajw^=y_1p*DH%osa2(0N~3CZ}s2iciDgjXRN^5n75O#E=D|K$-~{`D$(cD zb;a{ImiQ5w8+_KKZmM(63{Ewt%C2M8|_%Ym82h#?5aFmIQ^aMSY}X`X{XwLlP;Vr51S6Odhz)=VHx) zP}p1R0TNA^cI^>Mn9+?ko9(J(N#EUyxp8zRpk)_PUDW$U;V1vavyee{ggVG0O6wWS zT`i9>;1qBu-)uZ#K3Lg$M<~N@E?@MHIRPyDO z=7-QWIsal!A%@kJB%p={EcH_cQQ|a9rL8MG6{W1y@OP@Ze0_FS^jWFYg?ybB1S7pd z`v&>Nit6!Qr zY}MY8`^1BLxrFNqBkY+u(h^994lasa6zjQU0r@iWuBZ>1wC082(Uhy|T2OCzttAoh zpc2ja-iKYtrdl-M@8laD^o8kLclZ_vXH#=cySy60(k2Vaw+vur_)-I?6^zu04nvzEty zZMvZ%X0pS-<8fAvIAe=3iw&A_49bKJ=(dOuysZ1m6fVJK7e|l0^JFhu=7^ikbYE!^ zpJ9Dm80fv@NxvB_j;di0^`WBvqh`hRAE_#D<=vseTDJmA&tx#2v}sqb5sr4b=5Sjiq0&uoSC?#l1?FK6C4-hdh8`IurFVX8>SbX0db zmlJ|v74x#n-dric0VAH=k7=E)a@Wp;IkXYVFJ6Nu{aae@%UQw@;XEpu&)k9__#0 zf?wT}8+rvokBfNSAtIq4q-a7j7Mrg(qBGWkm1}Wn>VTtn8QbLzSRJ-nd=!xP<_)^ zG2Gw37Rc)`6Q7tJG>o$_=Xp z6OneFjfA=vlGf`N5dt>rluE=&IP3fZmcE4Idv+btzR+sm`IL0&U|x+Yc^4_WL&y|t z&UEC;1{h|>duKybXX9+|R?iG7jwDwt3a$Rz2EMTR9xz2aUgE{{mB0dUH{?Fds02M+ z(wlZ+xqFd+$+ux`4qXgY3^~||8Xy~-Q`dQIgb>4fGhy>ZQn}`r> z##W#M=$#R$_N~O0LuYlcOhB1mnyq;Hbv)jvST3m2`Ci4aFjF>CicMK-yTby${s{ZL zlDSc9>svZWjwtDZWkLz0>2B6qeHGEZS3FlK2YJ zM&yQ}_AWoq0>rNRufzJ?QOIa&YB0iltwq+m>YOEsoka@rC$44gr8Z*1wGV<*!QZjO|6@` zp?*TI`&;L3can<{REc-jz(C$~OA9(Km{gk#C#hmM`ig7AHwJt?#P-!#UT_La%blQc1c%AZ8YFv#&Ooi{PW2ClMFv-_C`^54WYrD5ZW4QR zok;e+e&c;J|IaB4zN0gxLr>dyBT)J(hR{Ce42ChsEDVSV7f3)%O)}LxBP>UJX>0yw zd!lPn>hz`It-x?c^?KlxQKiX7qzl_8$6a<;_~2<)8#S0((WoLUj7!`FnxXzK@CiiXmF%+kab%CL@lXRTJlETvildCKCI;6H^f=fX4K(*GD}@V)>h=M zV(nIIS5EXC7YHv9)uthS;v6cZ(tY&;+&A^uELM* z^7M)eiAhVM*D&Y_OJ)?d7l^^CKt52gBwfRHW;5hJK>Bvoi*?f(DpHCYH`dx__4VHw zq1>Zj!=lBgJ;}n^k#r;xXqw6+NCiET5V>g5144^`x!?YHVUUl<;imh+il3PQokkEb{ zN1YQx9D%P<>suEn#qBMkOh$iKema?!jBI?wh?GU=lAZTqD~8k>?TyUPdW$AZ0M9-& z+z~2rkT1;sV1n~n!U+T@nr=lu27N=A{tc!%}drK%xK9mhNww2ox5322A zm3RI;=WG(>7eRQ;^1GY9rp3BQf{%~jRl1m3UX{R+;A9A%-tceUoj)cYZDOWv7SvBa zPzRJUPrxgcW3{OQX>C^PE>EET`JP!-_L0KgPzt}&M|;pPnG8s^eyzBB@I;tHmIF%? zO@AS#pf4=k`RkafeBwB(`I;yZM&EgyMi#r8YuWhz(MHA~4{;yKRO_UwpE=uyJSftg zQHr6*P;M@Q$cBRg|5Dyah&(WNlV+JD<$6EnpRyXfXJB){8`x08aGn+Q3RPhWg)>J? z;*M{?!wVtUgR{5iGql8K9wt3zH3l>ob*4Ml4i+d7Rhy$d-Bb+75qCUywG4{n=f#>A zOh~fN$(W9_^~q}8PRMA=vY0%gD>*EhuPZ!KnFOe$h*39YF*Ppv)MvE;_%l#~Ux1-i z(MOgdouTmaJXZQ_yq9(|gqGJ@kDI+F(xQV-x^o$?>Ri61y$~s+NEqs_0o5b0AJ1+I zk)#DT0yH357Q#|Wl+6Tt*VnD!&mDqs%kZ^*^7Ab_`Zsawi)SVior|0;H#)oQ|EgZw zK<2+?s2^7i)7FN(1$lNaz>212fm7kh@Muz%;QUrZ##@;_saGr&p*3WSK{|NU@4X(Z z6ORD=O2r;H;%9%{@hzFf$N4`lJS#E|HQhq7wt+PSixuna3r`xB#vkzp%YMtf=jvkF zo?d{YcZ&#%D7uFGvxlCWD+5ND=}p*ZHMy~UuqEm3;U#fU1mi0_MZIQtOfbF%{kelFNULWrd66LHt?Q34JXY#G}*A|{cJYl{6GT;5C^PI-K$=H zUT&g9kb<*C{R4|)^FBdu<`yb|2?Buef zf^sPrPsXTm80!S?fpH5P7xcEm<&7~ff{aLf5A zmHJ9fDzNV(aii-G6(gqdr7&C3GL}mF=0%ptM)cz|;Sl%B0G?bqVQ)*569g9&_jj%} zhK)c;`hNemQA|img}m)t=~6y)aH}t^(GbFOIA7cW+?nJ0sH_R1(gP0{% z--!{sOqkn>RmC#FkSguTaXe^zF~~8HenS!2st-ch>Z_dLk12sOhYe`?=xK!YnzB-= zl!U#V7fGmrAc7Uai?TN4g0l3aAt%HOil#&kauCl<#)kh$Ef zme$X%?e_3u*Curz@v^7*6?=$V@aV+=EqHL6l)IcrXEM|~@!?sN1_xP86FZ$LBIL0@9)+P#?p0iKcgR>4ATiO1(0gg-kc2*sBdxPqMk;XnrXrBJV9A zq*v*iK#`yi=#eHOln2KLd59SSUN-#U<0IuF#!_nTe4H=XCF(stCDM-0c#v#D3{E@s zz8_%?^BgRDK4m!_6s}0J4AE}Y=D-Q+iH7odPs^TTi?dml7R73PYTx<8VG6$EiuH*^ zZBCr6YVlP zf)a_yzlrX%3=uNtB5T=f8n6TY$)@;?WQs^j-37?A2IwZq4ef~(qyxg*?!ul@tHChMsWCFmDqvI&N}%!(6-Te8P$A%p%LlOyz+D z6x1i(Y>K5AQ`+IK$OuMB>1oSN((+)s`G8pcX^LS%$|Oj(CQ-zbxZ3gSSaEmnvc8-E zSH!_H_5T0O!5&IPir){^Hk%wQ9Y$pOjl@b^fa{uZZ={!YF$POuJ!P^Lw}-Ne%xu;O zyJA_XhNqtwrqH^?VwBEei?GdxCzds$?(QKH&Y}*AEM0@R+A;F!Wq>7^-LSjHJ?DCU zAMt-20a`CN#9zP|j832p(gvTH8Y81jIA4bmNkGyi=(4PTI$D+MH-exb3Q#4&%bh78 zCK&6&VLi10@GRGWq~(8aB{hfb8ggZ7>RqE3(X?__2scIK{V- zcR3g{f*CPQYV_KQ5k-boVunqJF`Gk;)=w;q@zNIYZS}~3PN_v7Q%wq3|s9TJW2#0h?$J6wi$XJCR_9eBBt!Yoi}r)(ci%U ziIyrrq6IK$9Y1mG#Q%-~BwEJ+5-reiL75XmvVUq^6*jhkX#S1oxh`UIFXTT0Er4dr z=zqVC-8#%lf@~P`fFfk#dH=iAh9(w!zGGqgY%{ZIJue>PQ^UM%pwWc&SDc$085tD| zYk`&7@~q(?Y3L8?PcRo|^ctnBv9wVfa$ot!W5nXGW9W?{)XuQHa&`aXkwyv@Hk~d` z&W;=~3a#S=#byfb6I5;~4`$5b7=;hI@XCCZu*hOR9?%32zZbyI6V!?5v<Nxe9kEFQ(ky0b#WNOW;H0;;?&_r6yac*G< zreB4HrSllOp#0rWt~P`y@D%mh5flmlTD8u(O}86E zFe78Ad6IL0&7*@)R%DeH^W}R2C;eqe?HriX175DE&A>#AnX`S2XLT*t!#*=p#nXHL zY#*?#0CfSeengj0CavDU$$*$C+3i7knULKgAHdPp&`w#DzGh{&Ov`s7DoHM7-da(p zvBy>3()hw88L*_EYBJRfvtCF_ir)`;MIKWu`?phHw!m$n=4V_z^5Y!o^2+KEI@=C6 zRbg+7aN`6lo?^M#9yZzq)FY>X5w70x`{&ensKu2g7rP;@uCDG{O1^?<{F8!R@zdSBabDe!N%UPw{1n9H&ABOU z7gtX*h*+m!2f{Z(B3in6Un0-B_s0lgqOWCUX0Exlnk~CnFVHZlisXHNcS;Kq1=QhG*-%!K%+@(0Su4mL#q+#r4- z!1hU{j*Fy9iZYsZMjG*Z1W+l z2$>XH$hSU0P1TJc00L@V9f{70c;m!Pf7||WyMy}b!-&c2n5HQ!tq52S?qjC>;29X% z-fnJRdgVuW>Dw_bAQ#Frp6NfGH*Ve1NOr%u9Vx+4E|yA$WyS|@KStn`pUV$Wr(Zey zuV9Y<6SZPiTTwOYaGo{#QcP8WR&>18$7H(BI&NRxCM>ia%hh>{(79q+g)mUT^C zYXteqayLxcVqxRnc(drE2E{0p~$#8|T zeC#nn-iKHP76seI>$RR4;^1_DbqY8F-0i=C`#P0=TggWRmegbqbxexQTuSRZ;DI^B za}Y_&bEezQ53@ZcbiwRFtL)>>fp%RJrted=2OmVq*=wybWQUEPz0}ZX)mLHe#JHBM z))zs$M1LK#XjQQ`xv25om?wPM;P$IJOF;vC8M2;g>s0%ICB}Z zi*J@izC~A{cK;@>i^rd;zsG%w3CsLY=01+E!Z}qw*ShVeD1&raNL9A~d*Jq9^`pL4 z$jAhYX*~s7_(qoKdDe%LAG!W?a-cfC)mUulo^SQ{6XLYP(Pe7;NA5J+wjm50!({38}k~Kb`9h$LT?U3$& z|7Ut9eINXT-$KRy5W60u;f;82_(&$+uwzMSsjz z+G+UZeVbN(5ZQR-ByAutea|)s0!*#v{iM|bx*N3yD>q_R6&u}ocP75q z1)L)KA8A5DtE5HWYh_0XT)4n=PjTg?@IpyfvokucPE;6?5DZWX_aBM`hQqGv*1MUx zdU~#I@X3bvRMgvkl|(oamp^HB#Ldqlq`e;fXW}6*1A!$JB)+3R*w~VDnMn7i4GDBb#tTqK$HU)(6mLNYr z;xj}_*hm3y#Xkw)Nq3F0AM93DFjr?d!Di|OaG>A2xM{hK3MaO4jCA8(r}_6dr%hzd z?Ry;@P^?X4(k6(KQ1L??gwZP#f9W)|z8>(*D%I5iFfqYi1zjuhGCB3G4 zPo}2|m<6bF>^io#q?@5#Ro9_WP5u zJ47u73>p8Cp<>JvdUd_@`RhtM?f6@g2o;K0<}wWe*5_QqVyV#%Zl6 zoN{SFU=`W1|2A%gZ(Cuw$co$w!D@jK6rs7;nC-p(0dCUBsO_x-x92LAZl&)^&JPH} zjIq@Un2t6jMrXQ3uy(C_pWJryAYePYqK5v(ZvgLDf^+4SnVYeo;GxnChlbBy zW92h_+a9%#+k5VJKr~30&+0~LiE3jJ?ELq(Si~yWRZ>!9sm>64?cGPWz14XA+}c!T=qJ+oGZWBM0KstikOthPA@HXBK18K3@Cen^lXRQuDB z67_XF1w@Nt??Z?sXkYOJD16 zrN0}B_Nv}p_5}ECN%ePOh3{irU^n`|7sqz)a~(QNv!g2SJK1{JN*9?pR{dPc47p;| z?m1jmygT#GI_HPBIVFF^%`0KB2+~4!dRS zphTtK+88eTM^xz#K_Z7`o2b7`XqFQTz~N*$w$V*c3%gKIZ3*c3j}K~FbEWO4zPyWh z+xK%F!vv>GD-PqW^eQ?3*gW;6C0=a<@2(cy7C-UB+u!c2v@2hB#a~(F&Ip{jEJqKFSj)SKCBM_caZZE6hlS3p>MNpx=Y?Y`#RpJM#fPk;n= z*R3vP*M0UTAT;W@X`^x1BYLitng_(!ptV0{>|e;iWwQTex=;6G+w+%b=g*(#doo&q z)y2XxcbBOSA!!XQw+8gGEu@_e>dG9aAAG*JfnEv-NUM1L7=uIU_2rYd zYy$$-i`x{({2fZol}F7+M++1yCD4_^mcf$L4DDGF$7SC_TIB#E6=y#o(I)KvcDcYQ zbInw;p+obgQ9M!W$zK0x!Ez(9|}=i%_Z)?u-A(eQf_; z7krezV*Zx***Ls-ulZqqjOME=*p}WDKmOGGzr9^~b-`ZJlP$iR6NakpxBMnt51&I> zB~{RGZ%_O9EP29b9Q%fLbC!$W0^6@I8i{I8Gu!n?!PFQr}GZ`QG)Cbb$jG{-#N)`yr# zwXPylf9z`bL*8DHNs&npH9C)7b}&^OHyvlxzVQBd``-b*k0(XHAzhxM9Nvm=_c%md zj%YGj_5_D6r(=quq82vLA*)!!A@rYDH&T>piUW%Q?rBI3x@)Nn7;!P8kxiD2m~&2P z&8lLGUfrrMG0TICbuOMw|ua>a9S zjUJl~?X)Z{X}fM=pOwulHx3rIgDcyZd?j3lP)JK|{P_=8xRieEXUX;`wV{C8@T3{GJWwGZyiK zGp_mlx%-1z9*wB|WDa;q-HI1Fk>Yil(87smY5dGLGQ<@FdxMVji^e^_PxlIS3Br21 z7=>Sl3PZncd_8$2urfFi1E`l%W%OUitW!nVeGccdBS0uM^Dx3zw0$=4EQnUAI6B@D zW^MaZp(bj}>T&`1Y>DfQRDOiqe3d1QF>(b#RH70UvDYg~Y%$Ba756&{6q9syTf}Of*8vX^S1vQ)k!^2>>!Jk3YGtMnZVoT&h|LkxCuZK{8X) zdhhJ1z(}#n>Bk#m4Pqq^w%13N?o|hL*%O?U*Mi1EznAVQMPGNPF#rXF8I|@{qHiBG z`|3??g7eA^fUDARS#bhk?@&qHz9#(yC7Bv2)&vRg!*^hHm{kqP0)QSy6Yn@L@`33IO0_5`HtOFJR?| zt%R_!udw9#!5fQr;T29@SJ}7%B z2bTl4nQY7`M~?lR$+_h5icc!Tm$&R z21FuDX~x-G2gnWLu?4qx#d)9-|C{vz?UlD z%DlCzGklY*4-X*7YYB{-yOfn?WHV-N>f{f6`J7l=xa44IM1T-^YUCFx2eXT0nm}8! z`d62=;ER)Y3YZw(!Qz>M8lf$me^oAP167>5E3mX~LEfUJYlcp`vX+(7hP)g2=S**W&rY9W^_A zd+|0S(XemEmJW)B_=s|OBMV6 zDjoiXt{A<}_44P+e{s3+4Y`0-DK=Te(RSTd%l{sCI*^iuz4phoHP3G93sEQE`hA7U zTTa6!C@W9}-tY|dcJfE+sE@R8AH6p_&rU&P<72Qkq@<(D(#XO%RK>AB4>o^7u*RD5 zFd)@IV7#o)WMN>#ac>pHKSh1Jn?8o7%$fS!4%NiAwoMcJ-L{=JWm23ODUiNYQYJ1W z;L{eM_}nba6Nazms{|BJYev+`ry97VrfzAaOAs`!xrHqI*BzI*cJYtvfTQ>n^{APu zBmt-lzG{!PRRYvbz1YT=K9|6|<=hH|JnUNCuxI82%MxVE-iEN-u&*q-c%exYZu^;U zniT)a@N$Amrujg2J$lnIkl#oSs?{bigw7?|JAI|8z2{fsxqNMWSgE;KI!m)ith{cp z%9@gXV#D6y_R_wDwl$xG1>oqm^UYR6(p|N`WrC7uyY6(~0fiZ=W=7a8jcf)G*RRB+ zU{0SrsXejDrd$6@B(C#--k;?mB>6t=TCwRjxMpA2*>B)Vdp1~w#gH5yb|?&`w?X*; ze5eUswj&10@_Dk`ZZmBzP)&nG_;u`>6QHTMg5^Zbhyd=`R90&KyJ*ynfbWj1+A%2ppNT$W zRFlU^>%wWAcvqgymXj09M51<&gQx8_p#sT~U|aZDzE;uJcKH@QAos+NJ3~bC;PPE? zWvX&Qa6qI?TBS`5@L2ewi+V#@DYV8-&Z0J<1JzXd0P&J>&7@c(JKN>&)JeQ$mSWRw zW4CLSoW_79tLY>XO%tV9;@VOy`XaU-z9NiBHUA@3S8%YZ_@js|Ogms~u#T~()J&t) z{#hW+S(k5er@$*4P=OU9vi#KdW-_FI;u{5xPoBJZ$<07CvhDAFH;ST3bCYc^w1u}x zU{3^b$xY6D@MOHAiL!4^Y?lD#p>}!AM>p`D(n52Gs$2G-w>zZGtSQ9mezs(rk2!_t zIoRQ$Y8EfSBWNm{Vce9jtZlSw%p)anuK3?J*GhACqCyWkTd1?nK`7d6cQ9$Uf4PT% zrrck^yne_)ia7k6=v3Cb97xOa5ji8|bWO(9HrfDu;v&hUxNn2$e|hefo}|*qZSX+| z`vpQiDD;v%u%#z9PRmjqZbA56dA;@9gcKPi=PFV|F99Ict}`b>8!U_vp1+uh&;~NA z?(#K0vBz!{{m(JwEYelsb^8BRS@94JgoAM!n#J=~%$1TMrxN^SDj-*s8mB7-mnEN(p@E(wN~M2> z5wldT4rL@YbWFJ=Ir<>^)+tmmm!bZnXyb-5nbVSU%msDiob{0C|BQZ zfJqt8c+lc;A0MiJDEZeYeqmcAL+hm4yV_&~bP=IJAX(afWD3UZh^`1NIeIAp1>EtM zHb|a6ZGuq77&D8c#2%HmHprB?O##2eZ~bfT$lB^54r>#-fazA|ZCt4BB6b1o@p9>C zk^*hgJs|^Wv}|8lw|6AI8=Ia#SdG(iQxy@-Sy)^i9yfi@Q8|15k3YPQn}UHVg~j-Q z67LDZm0vCE#Q&M!^~70&*GsUrQ^kPN2x7s~Py2+cUTC>cyH@)x55RSru%mWm#~VZ^ zyTVo4oZIGk+_CG`;V6sySdpo0k=fJ6Jo&#jGHq@}hY8`zk)n^f!ef9of6MHEWv*0d z%=H36LFQ&}$Vrh~`ZRePx)!+ElU)V%E->Z|nUo7mC(jPz+J5&Xu@oqsU0%1($8|^6 z;jR7*)&`8QBLUjv`-m=L!v&yuOwVQQj39J}+;4M8mmg^ju&zy~(wtjspAD})1s+Jo z5*lt(VO7k?_q^Y#YXIzB4f)-sA^ws?{T0#KbDpi@WH*u`^yS>Q+QVnJS>iG3Gcl^} zF~RuOVWMCi-kLVpmYrvl;4ABrVzTXFx9Uz3U#dfKxd|5ew0kZoWh}o*renC1C(y-x z@&tm*aef)le=yHbVMeKI#kUHJKBnwUw&v3M4wHAPHk_6`5vhMTG1|6OT2+hyhP7-L zCQ;C{w$^|1_$=PcbRTo)J4hSdQ|usp0vf|{%l@?c(`R>k)alD76v7;Qydz5e zG_}j~<>@1ru&XUjC9rH=DdjI)1V;rwA2u^gU!8EYwIj|bVoa_`qpT%eP@dJ~sDSU{ zlvd8Cf9iL>PI$)U8>$8cRniNxElXd>WsNGZnSAg2*QeZ)iSNijUx#1GQB-F&vD*1q zU0j(Gfd2uP{4f?qIs+?Ukn1rvtIAbMEw_{(^asFzm_hjio%yMq6yU-FsIu7#%#wnZ z$3ne)R2`%q;vs;l3ZRH>Kt>^~{Nk;t=Rk6w@b6Od&GKL=WFZ^_xc{#P#s6+#A!Or*BvC{{beu9q2s-mKAj0dpH6oD{7zwE?}-(9YFEj==k{b_q-5Z!b*Ih| zN}G#L`3YWUZ!wQF)0jl_`@2^Ynk2o#{#EfwkRsYCCrTRMS6-7nCwkA^q4Uo^M2?;4 z!glUoqB=^LZ_tV*muJb&TuKfibHME3vgm+$|dfhB*? z6HxWcS$+?{>%B>W@sIz{xNGXC#j^D;ylsb;D!``c%c#BQaIxume^Koq z`&uxpc=FRs?^K(40aCzc(Dr<*+M~5&2jH)YYrC-LWtl@I4`uED9{`s?XurSA`=tRd zZnER7W0bh4G3+6i9Hn20EwyqGs)M~H#lkY<@i~W7usI%Y!N}Wz9+wkKiY^<`7G(2tiBu zK~L;ahQK_560hofkwv2@xQ3f35LyZ+A4ejM)JGF1fXK*oEQYp?iaJlK6munusbO4% zXnnv*bPkG*F?6Nn!j6)LyC_pCKrViz#)tx*;mpN7iE{edj^7l5RYXn0d)eV2&SuZLCJHCo{mK!GkGmv#9-6Mu|vcU*;7!W zdoB--M%U_KNEKR7DNkmVHduBR#Hir$DB4oP9gWg1u36V65CC8p+2pz#l#O3ylLuxR z#2tYYTUPd(@bOFL4SA%v!q zD&|WSTw`JuG!aV~1wkln_BW4-XxcH}L3bugmR{w}8DX@v(q$gY9sFCbgBTT1Mij-f zWy@^&pwb`ck+tUXQTGzLHm{kJe+xE~uNKKM(Je)2n3Uv({cJp=5f(Si~uU#_2 zivqw+N}sTkq1Y9&p$xb1BRW(_f*+dsC2x=tl_-e65TlZ^i9`fsgb|1g3YQS~q_dGp zN|ZKGl?UM*7XWq&e@P7g05ST2W$ZHigA4B^s&WIp+(L3662b_;xs+DMh9R36PCx+G z!F`o=Y*}j&Q7`GbiI%~(%Z>nxv)9SJ7cSYzlNpC*y@*P>b_Z%E2hZ|ZY5xFafhR0=Ot9>%`>@fgHZat1P=0H*c8i`#)`b+XO1i421 zxI&05Y^mW+fl-RdafZu`YY|GDc?#ws3(^g<_Dum+%u8wVDh%R6i)BNwELuM@uh-13 z)qpM8fUBw947RI?HHQenkC6(Ma3WkXe&WI|%NWLL1SP}p@)c6nc4Gc6rhY1hb}Y}fR#mlKF z*zPFpDhjfx^8;$jSHX}}HCbSmFs`M;z?CK3Vp9>a$w{rKgm&8JB7|yiAB$E@jcgVI zIhZy8y?HDr#7uukH8ljvh`p&vl?{M|VF3RC##q!dVdC0`&h7UP2X z3cr$E<4|GtNgivSK_93nS>!QQI$|lmQ^rju?;Dwhq_l+2C4 zM12_qwGdi^TLN&KP)uX&2x@)4Wnxq^i(QuiXqd=WR2PBuJ_&GK4AK^Z<#|qS%TTP~ znwfZR<4}-aH!f|AJ5f)Xf7$e)OoI7hLcq&zUhSTC$H6m0arZ2id)bo%^>Mfma@X(@ z)(oaV*dus*lHW)Rs{>Bg%q|E<+Gi>GPOe!|1O|ve8d)t`IT&kotG{(Bim3aeowr|gEikwNUpA%1BAryI zc*TAggQ`vK#aJQPQlTp2*w>`(q*x=XR}4F0PvK2Xex-^;imHr0yq8FyjF_w0s~`xs zWt;VzM6@O#KG3WTGK8C#GObrg#Y`Al#B0FveGw`R*@ zFG$WtYQ&#Xp#~{&SbiU`#motE{{Sx|`0`)p+=DMNKa8A=TJ}3B@?gEHm$H0>Cre3U z^5HEGL-scGoP?nJjOVaFxC`zg(F|&#V#se}@K#rEh_BXl6a!HsthaoX7Mvnueg`Ez zsG_D+Zx#0t#6|dpiNOsnevqMpvd?PHGn)1-6v_)_a0JSblf+yjc__ODYB5cGni7Z* zH)RE~s8WS|WgeM#n=P2iA(TZK74j)N7{&xtQC`gTmYb5dKO5Vf5{0RxK9hmEmkgJS zqTC;hm5|pyG{Ad4V8TmfjzAkJ7S1^?{iTsW-GCQYESZcRkrzssMIWisilq_?9~T7B zgMqq)evGc6^B7j%O%*L8vZ6~oZVTqBVyG&rQ!edcPK+SgQiO;UaW(8+*sP)u`-bi4 zL|RVb*`8#5C&4g)0cwRvnLa{tkSh8i00<*dR|1d3sJCAw1yrYG*_Mi^wNHGPc9&&J zP|ZPx{iNJQij-F7)jj`TpUhFv|GA*4_j3!vPnjTtQIp32P7Plff1n7m9O>PP-F zI)E%i!9NlR2c)2zD8QrehESBbNl2N@WCjR+6^Ub*NCO!d1R;#MVp3fn!C2l|5fL)4 zCOic|GTw@Uxw3~>iBK+vxB_Ud$`M0PCbd7v zfuvRj+Pa^q6WU-!n|I5EDyhhojkk#xK~mM!0vIEyXRtvrtOK}~6aN5PFr{NztZq6g zaUXDMA&m!FK{!D;hb0Hua+J_HPLWN2A%iQwg4-@vZ%4|B%rcsVU`IvPPz7yNBT)D1 zEv+$7TJbUgR&NEKL%a%`t!`~u2<6~Bx8H`sm`{{A}3pV@zh^ZcH| zY$J0&DK`HA6*4f5Lgf&thq0u{zlzw;)E%083JF}lYc1`Zg_f33a986MIaMsw$9p(V zxBmbPuUIEH8)8(um%))V{KOkK<;O+B!lxeTC;r{0JKD z!h{0+TL;WR1bW| zCag9Kq9wUSFUAOR5MNj&?5hHwjzeJl1&lBXMU!JJpL5e7t;6On+YyvrP6!PvBcWu> z1#GcDNfvdD7e!krK%!|?GfC@FNJAg=MwKegpgFM@W@!~Q8U06iAQYMkmKeUv3P7-= zUes#3g+)Hf2wM4sKets#w7Nh+&d~ssJyncR*xDQpLbhL#VUGK+S`J z)}jn$2(YEDPO@Q2Ua^QEHU>OE*~#GrMA%i>nfr#_#*klxXm5nQFhuYDmSjbpW#R(9 zU>k@OZzj5)tKuWr@7p zVjG?QE@h_w02GYz1$A=Q*>B)euyvQI_n1N!WvG|3EcP@t`91zCsca&HOi@wE!`bNA zW!s2HMEfFLjSZ6Z`vNdF17!$4!xsZ#5DSP>i4}sO{{UdqPLPV_u@MNze2tS#5G)5_ z9oox1J(lb~R6*oFQTVS=)DRT|Pk|{Ixi`wPHSd1ru`l1isNz&YU38U8LAX=yb&8bS z3n|=Dx|kJw$8%pZ#XfTamQKYKpY~-V0)hE9$(xNsi#u%h+z3Fsv#+!lbaYC zmrwB=9@+SZ;lIzY?4mu$RAv4)SU-Q2KNk!9AY$!)20Z@&@DZFN5;r}B`<<3gBM7nf z*=PR%gPC*sfFyC{%O6pi?7`J)6D)k=Z{1~n0CSW5Q-V>AORZR3%1Z>G#A)Qi@Oh|x z!>N=<59~qxrL%E>^_E#h6h!!BSVfDVg1UbSvwlQWaFxCmrWBA7hSSCs$hAje`bE-_ zC=OIeZzeCYwa5dKww}cBOC9WaVF#-A7K&mzC6E0|m|lxujf|yQ%_GpgfJ^{cO!6mi z4dmoR;%STxKIe5qyJASK+lIQ2U2(l37#B{8|>Sz#`CG zh%A*Ih!Kd7taH}qpTs^;v|>qgGKiJ60sYG~#B10l0s$~-i(UL@e5PWp_=syPNkMTd z({=?#X4|NU9Gy@wL)Zsp(c27y#79wgaHPAb{GT+qhG^S6yp<+eN8F9OS1|oYv^fn7 z4)lczO`2iwom{hrI}`XJE_XmDcd)cSIT1?c&Zwy^{YwngBpZn;MO&(a$r|TS`|K6D zDT#FXL@$X>ZHH2DY?4X?&r zUXqrjhO*9^atKNbED1(1(92nBBsr#tZp~+ z`L-8w_FQWhSl~5s%aM%GarjsvvEWo&Y`c&T)U|F2 zM(iW|e-EJ%#sXGNAcip-kT)($p1|0U%2jT)=0>9VIuR||81r!o!HWPZ$*sa^yWhIF zCXEkeFVbYF^XV1`AS#eOQY1?6utrUVCY>dL4Fon)y656_nz2ON=dysmMwy1i#4xZd7GlxZmR&EsruVBK`)GvZq622 zB94_PKII*NvMUuC)BF5HV~^C)pECh0DhsiD1xE0dBB*H|lf9%y9x*oWBfa);;l{@diNpA#hA0R=As#2ECVUL1Nl;VYDPPkxOOvL0W8Q(!u(cT9y&N!_%Lr z%4s53X2%~yGP!qP1+Xo)Tt!rB0GAGXZ=o#fiZGq#SN?!Z`el}ue{{Ems(k=1%w3|D1(9{P^Z6vPmSEe11*g? z8aE?^rujise9bT*uvqAx1>#X<-Af-E1sw?d z{r)KVi!MBsb`aH-KVn+hL%I0R#ie8t8w+EMSk+6I+@PmoIJ$%jD6m1c75J%u_$8H4 z$T!LKiMU62bJI6;hkhHmm4_)T5*rhbBvkI~-)NlYS)*`LPE= z8?(uXexpgq5k@L27_{HX2^#=lUCVhKzrhMd?i(SUl|(d)xuYVBP>`L3o@=oO@Ztr0 zN-1MkH)t?g4pg%M{{Wd~EH%km);cK)gRx--;hAMwDxSiqc>uR1YNlXaL@hN9KT}b6 zJ;pK8t|bwEGJj^vgHPj=r{hL!zrxPXCC5qA@r3eU;C~lTgkAdlWlM}{55W+!R8PR3 zWa0TCMmuHZi_+2Ia1KX522nW0FpXC<)y}0{K%^F$0Tr{1d-nm2L@oe{5M&V)te`xE zep8_~T@)J>E&;pN5|WG@-t0ozWAd`~x3I5#f%ttZ@DUJ3)Rsh2#xfS{SY?Xhr;7 zytdR``#hr5Z_$l4cQjn7m9>Ip${s)#&hi+MkB`4GS_x5wS8ze&C}0Lqh@Y*($wSH8 zM)@&4BBCe^+H4DZ*ZqD#*jUp{NcqDX!i|%%Y)$RkTzp zVY6&3r)pY3>KCPe=`P?dBh@w{n-?YU@*!L!dXHwM9zxO*sF!Ql$AcRYfPf{^0>pht ziyAzLP}3NKX%Y)1rh6?$ts?0vBZ632UyL{+9%>aJU^Ksp`Z^rBoGPIF5-v-l@ld#0 z$L@HS2WPM6WwQSO0&I&cloLdu;xQC6HK4Hsq>jt@FvwFOLIA!nTT!Fgfy$J&av|`B zbbuj5@MTtLO0yw$%BY~DdkW3Y1;cMp;SuP`N|V0Ebl)aA+3W!i`&t`@AIVzAqLi{+ zbuaFrs+Qp|)MFR%0BVwurINu^$6nJt12Js{F24W=qp? z<-?>cO>x*N>TX+*X&bJy@wufXEbKtWt-NAsrd#2i5}$)6RDK}8i$Yz_O#E!SWn>?K zi87|n%=~?#ehaC$2uJl9;TV#oO1bQ`{5Bu2!lN!+QPat_1$~QI#5pf`l&Yo0HY!wE zJcCV7L<_%|)m#7)0;B+3ty?cBL~PO;uaE`6E@Ti`;PT=^YKU@}{lYlxZn5-?P< zs#@Pj1_HiOD95eFUBhzZ!u*L^t$qLk!echxWTYf1hXE`(b8IT(XJ$rOlTWC(vW#ey z43s1okKj@JgJqR(h@}VsSlUAMk@+17%kwoKsMtzJ!#vCf6kgy%*k3MbtX3-Op;Zvh z3*U&Rb@Sp-$aJo=0m#r}mueaSe`2wSc_<5F9~p{zxOre323$b63m3*|)D>aIGwC!E zx7ew(5H3-|ANTNXq#%uz8wdpwzobGn?9_(8Nn-ImSwmV>{-_ea?7 z?UhMbn^KCDo&W>^78O|-k5F_fIm<}cm2E*YuxYWPq^;DtQiO11YqJDbK+Bo7jC`0j zU$IM+_;v`dTs){mSNpt%DK%5>mSQqoEtgPsrLmrV8FOW`v4pqdWvUP^RooKtU%QrN z8)liBxAj79f~;XEZO8-|xK=XPV#SpH6?2auWg#mbdazRXk&`g&|AHP`Q-_us5UuPVY%+<2xRC4gm}z z{{Tr=hwdO>WT2-i=8PNSy`Km)hF42Lv0$guGu3^Nr4(tzf3m^3LZ}nl4^10HDXy<~ z5EK&4{{Ykn+wYKuj;|Yn(tRo=%f4sKB~=hix}W;DiPf^xI4nGmb!h!Z?q7%k4NYJb z8kNWj){i4yl&IR$BsC~Q{Ur>!D#0#2+j9$Dn*2;e9-6t-z=H#@E~F{MfjbO)DH4Ny zo0Zw$!!}(@{C7VqgZRjPLHME-0-nOF@?^pl1~UF)#eMwTW>?_Icj|D9_-pWF(<};x z*%+T2pG$1!`HZ2$HNDJ*^oT*JYW%*yd9X#6L<>fZw6F4L`-wGh0bf^dpH;Ww?qi^tpM$<;}{`>BL|fyOe|yrlpehDcFN$PrRi$uujEG?fx)}nsJyR zznN9Aaw6~bmqJ)bV>=Q(O$kj+ukbV@RQY1JNeg5&f{BwPORW4BsQ&=UU@Y*c?;uho z)kKGOTXATuB{C#Y`-NPD{^bTCSL{&I8-%!(Y`f3Ig%aDTs8wuXd-d`7Q^625u!*`$ zOGSPlrsfBN9|)KL(E{noVPp+3dcVMsdlN%r3DOV)^(t{hNp?PBP}Ry{ElQAZg(amc z;sA)%kfMaKX`C*_v2upN6T<~hC56DK8x-qs#voRZ&CD)g6)Yhs*w}zCa#R@o_rwdx z!j_N$aIQnrApzqB%apEgf+ML@_bwn_!;i9_%FvrBUPT&%yC|!G3dkPBI9JcyAF4do zz$V){I@(mtarY5+tXe>>%8>E(DTe5%2W}`e1K3940dXGg{vx;qj6ziSnGT8}-ms@y zWlLv*0cK3myn*tUGZ$@TY?7DgUGAWqDfyNqKkVBpfm1LOu@?4N-)E72K`MBQe;oXF z6DCclN|h>zfDxA(hD&=xSg?!^v=yIXJr^zY`iMF=4Tz?or&U9c1$x#TVKrar_$)#) zsv%ghZ(D-{JwP|lU>@(0Y>t&ZZasmE>H=)oGRwsyD2oHeKpnp^uSsZ|$b~MMdv(OJ z5qsozMF;`<@svEFudYHEiBvI$l)9D@@gJxrilxxQ@!rOoG5v~V;~nMHqUIx|My}j? zmtSx80w6-zF`oo?Z&-8oMQ-%1XSWNM@Loq!%&BS}u6Y%1c7>_&C|_EzjR5F?tS%pM zjp5W>eRe672wU7@WOC5D5kEnSBKb!UhnTJ<8c8&RR(^y=r9Ef9AjXXAENvZi{6Gfq zy(%kG><<7aT)Cyy)cBFt!V7eY5o7%lp^e6Y#Go0-+DZzHtS8I>fQcOPJujv@G2~H? zU&CJ!N`$Fb7G1GEKMee$85)(bm9W@U)UsJp{{RN=5sht45ssahdjx`P5q}kt(m6Qn zXd@UhQ?Xis_eE`%7u=;we6d`9=~G!ogBM9aAeXY75`YQSZAF+sJ_+*?t6(nF3W&bP z!hVA0Q4Jt@3|j+Ve3me~7H$n$jz%&cxk*&f;GQ z$04DxB&qNvjK&vKy$h%UuwzEb5{SB}QRD{NL16{_28SRB0})Wj7CAnsl+~{xU2w~` zK_MVsqBVf(Czo-;^VzUstcL1Znu@HMp#!_*b;kYBA6hA4R%(JqcpUD zqClgB>x%m>LRa-Fh*CV7Q{Si*Y6SCt?63tm$CaKfxb_BCnQx4OfA}v5Uf<<*Ls)Vt zb7A?|)A-AsM7h?g8>UhLPMU=xH1g}31w|bOwB9z1}eW!G~#P}k-tn+Hvo?eN3BebF7C0s7~9S=Ei2(8O|3%1+X zYee*FBH4JwDRqUEHu+5hA)~)gKVgn?Er=6B9kJ#?w+sb~niXVgfWg&$`eCpa{!1o6 zINHK8Zr&w}ka`R+`!csfk#h(5J!2RU*l=jNhgH=Z-(VW|%ko^zzo=H2f$34NWnBlq z+$lB`sE@DNVWub~{-PhlNT48|gobz7ry^+IfeDxAI+@njzS} z<%R=^#PhPt0fh1(5!rXEjq#HnL~2n}RS8o^#P{93m*GuDPqBs~p|vm;?p)71r?4O% zyqwEGbBhLFT8t=wl_(%$Hx(jZWzi6B%edMn>`#F@%Y-Fkaa9624+pVcrAmaSZHj!^ zV5i|MOm8#DWC^>J0;hec(wz%nSru=ITR}cQ696}I?k9iP1K|;D#K}Z)2sSfeIWNaO zi_4T&ROAGp%54z0$#`bCVvb5?mmrdx>@T@gl`0 zynzyvl8Hfx-37}9N~J}El^pg2Dk!B5!n5uaCDz0txI=`h)sO+n zMCY(X(J4e)DzX(jYET5b=5U{KtMMt3wxBg|0Y~mNX(h%od?^mNN0k_sI8>@wP~boy z_hTC1kK;aIVpB;T=#0Ao7)(ky65*2UrHn7q0-2EowdAQL?+Ht6JqQ&Ja)^mI9sCKh zJ3sIORd!_v!?6+xp)kU#E+qGIxK#}=(r3CiDlvkj6{nM(WyQaX$aYn-t{M2h$pTck zv1flCveE0=DIre2Vbr@?55!qq8hs$I-a#?pDG$T5BMMs4Ji@+N!7PWR*?le=1&X{% z_EP=M#*RgVI&ioaHr60Y1h!UOekBwW#80BUhJt4dK&GYKM%RmB6_0b76HPqXVyXZe z>l7BU#m58ll)wW6#f9F6o_(2jLEjA#dipl!18y(@KT>dndsG8;Y)U?n_Y?La)>%os zQplWds)*@D8y+jz2nLYW?n~j>Do?F~-%YDv3vH5u&+K%;Zep6vzy)l1D`FfzlFMe< zdhmmQ5kBQZZAX(6i_fW1jSH^SFjn#9%J{i0HtsO3TT$^)<0+c}MI%W?YVtYM%|Ln* z0`AmFZ{)}AGfmFKuBuj`GFa?&JCLFmQ0o$&MG(a{$ z#nTTN24##Hgt(@19F|~g!Y#<3N3eW}v4Uu-AuVA9&Ps2YE#asbIdZ8me&xJkHgAy@ zvr!RUYB7T8i&YojQHjM+YEr-KTD_NK+o`7RP#J4%P=*hs#*Cyg(Fp+%RZ9pmy@2v< zX13t)LKr2N*onIbwFT31gu%CQ#-mW@c_{P0gHxP@i-tzfKH?Vt0K_b54_;0!xW3Di z6>$S*5n7b{Ny3t%u^!=4&?T;H6=$-F5LhrZpEFy@L7Nptry#;Sqp>Hfma1(5DTgP zGZRNxE-PSw@PZJ85U24rflfh`pjxz)bnF4-4GyL|qi5&{9h1yiQ9tAnPa&~ldnzQX+Yt-*m%Nx=nMxj13IZ=1n?9JX3=7DA_*!kvxMa!l%DI<2 z_!?swwL1i2O@Jx)CXl~(v7(`|OqI5dOp~%d6YetQmnm5^WZbDmot8BMs%%6J+}f5Z z6;T$Aza=)d*xexh<5V^vTzre0V-80jOawk+lG3H*Fk@J)I<8Ft+AMuPAl+PiJcQ^X zpSysT1{BK*Kah}>Rn)yghm*iyQSpEi8z`D<`i*f_!UnA$mHoYh+aVvhONStgSnK%Yzh&I^CQLCO*%O;vK0$m!LI5ZPRSyuQl{+hE zE+B{xkuc?c7RCC7BQ9S4DLW_hWfzM)h7eWl#36>rAzF!B0tvBGY9YCn%`Pfjz{J@{ zA+B*Yv8&4Xfp>9wc~YVyh^sFpSLzUzDBa6-2FZ5~1vV86`$~?$YT&lq+va;z!99fH zjjxg)u<~LQo8+mZ7Q3*i8S*Sc9fq!=AWN)7RuZKG=NdZJ%|4M;PzxdmUQ7I3sID%} zN10;8670D*1)Io)UP`nrtH{ZF6vgle)VcCy0 zH+4Y`bRCSH^tp3)JS_NyngW}%a@VzSkZ%L?71Q-98kYkYHlR|Yh0h9prGnKJYL-;p zY^k3JXahUSh!MD5{{Yy#)*SFAqHM~hOW5SMVBL+HegwKZnOK60zZC?!9K}Qy0TFtL zTOPu}_?9gVg%y*dVIxBfvN@cZEXZ185T7oWPd@;d)cz-7qwW;2N1>UxsJ3!r0^A4$ zR$o_<)u@B9p;%15=KINiN{PL?$OOg2zo~(YVZ4V$gdkNHATS%Z@+}&uvfzjarK+|! zdR5O?%}){`?xV8hN+t{P3l$XrtAmBC$Xbk6V|0EciFTHy=$OzzTLxUVw~*5@H5Q?V zhCOG{B9uXL?ZL{F1+`?hz_CeY#Z8uMS7KkWhe#O+BYAPQm3`QuZi8`Xn{@m(Ao0uD z&YWR{{{Bd8+Q?il^Vt4w<+j9!VQP$OCT)_E$K_Dme*mQUf8?w_RJ3rFvx!pu#3>v| zg^DHHVA80GybD*z5mbqX4<_)IK@zoWRISK1YIc)knT1k-6;|9-o>MF%McquD-Tv!p zJo*YBh$WOCVuw-S{{SEpT$E7nW?X>&pU99T_~c81=ol@b^)3}h&OCKEfu$9eD(KsW zb4j)_c5;bF;Sta$Dnb2RqCZF6q@!hpc{HlhX@s=d0J?5`%i} z4O+xGlhx@gaM%i40GJNV3M z23DUY{gqHmsfeL3Aq=7@d`4)t7SSlZ>;-6w6n;99*lVj-VueRm_ZLV)9NkQzc4&M1P2e=N4SPORB5OZ0SCKzI zQq+1KRJ8oxXVU16KsJ;tqYbqyF|C0BRMboIXa4|vxl(FvpZ#n|UrK326ZLJ=M})Yg zfDAIYo+5Y3G$8^!ObQR^PiIs2G1jM(@f+K?!lY^bbu+R%?Q{U}6IqN?#6zY2~{ z20t9QM2Jqdr5eV87J#EqAYcvkXQWB-v3pVb-jE}r0bnG0K&q|8v`5@zP4??0m;;um zKTh>Uqaz)lAI5on0o9*G04ysNV(xTPTR8-q^jGpLt&%wv=wUD-2zZR4z@ruFF zMPj&OC}%k=FDh2gtOgb!P_8BIFd*1cT?PYnrc3ZX<`;LdG~d<4bZcFTxWsnmn8dbI z<}GaqJtD=vRYzLX)*c%ude$LZJFrmUdofj~kP0akA>_9LjF(QLL+2BKnM$csL3$B- zSIprlen%!VUuePPNnvl0p~7-eexXw5EeJA&@Ahg1jbU{FDaP(3serPYg`VGF?vwQn z<@Qo8Qk#4RT%e!4yzRx*QvPBa-?{H4g;vLPsBTwpV(($~8oPxJyB3<$4<<$Mgf{AF zkol#~lWdO5tYIFNHYeFhht%7UY<=7K06!70i?asGB({jQU9guf zHsUSqM3AuJOyJ`-H;E;)*+7TvmaDl#-N|r0lpp>A*`(MREB^q+Y+%STFuETeW> z!Kp=gaAPJHd`!D>n*_JVVj^NZmlGm6Kk6zCej&oYnU>bUfq~Xg_(~2|u}FyyoE?L@ zg4>XhsdTnjYjdER5{9oLvHt*5CAJKgFsIA|Wv6Ze<*EonFKS<+iIladp{jW-DQ*DL zr|T6TaKW#p^_O7|(Ja(i1elE>-Ly&}3rkwdw#t~$S^z;Cu8Ci?X%y1M>_t^uISKKphTpYhLAeCbC?jil4_({uF z^!}qU;Tg~Y%#`3l)UPihqe^G`nM=TLX4r+w@l+fA!i|688g1cf?~y`?{jO|mcjkJ< zns0j%(ND}&aOQgvdKCe<;9s!B6dfCoFW{>EM|4}XL0O0j`-&@(Z-NvuqE>2P*d!5Djp{p)lL!sl>$Dx+LbFo<+oDXsP!4O z$f^A?PiYd`FS4GN7vU%wKXU4TjWKUQ<@}YDkPNEKf*w&-XE^B!dKs`0qc8ij2KLrT ztd2HC9-!bHjmEBvyA?11(*B4M*Q=(Ys$E*CWrn>Ql>PE;0ox216#~#}A6LgMQ}U7J z7phpkUB)C-fqxVrjaA|Q09hGgkW0JBDC+%)Phwdqfw5AFEcBSgw}CYXA6G3R1TJ6T z-@z&$p0ep>PbXMk;U&wRKLMyOq^k09I-EY*$O|_13<%jaUE~`2j6;~Xpy-#&W5ymd zw3KsYSJ%$mN0A1S1F?I#{i7vaJPs@t4D63kzduY_x{v#XYrERQ6tz%6<-TdZ8e-SC3X(^2D zZ4iLnsGnk{%7*#&grcvA&WUt~LIg<=m8+%!wPRSc?ZN}aR9SAG33S-g{{V=8sm2Wq>2i z6&O#lS`HkQHWkR{W`*)AlgHW7mtip3NqZF`_zN+f{yWeOLo4C5N-rp2DoyfP{?r9P z_?b~pOO}L4hvMN6!w=1%%feRNwodXqMkM~~P>rI@0o&PE*p(Err_$756)l@=x}NeX zoj(Oox`}i6o{&_+TI8mXls))|PZ89Au}EqO^-_Z^A|p{r5Q{EDg82yF8)n8dLi$OK z(?-stthM2U`A6u2;e#b3(-cu~(CjVb%gI=XiVY@Qs)7BKF3W*N{$;Ig5URdsTI?wd z3-<$ls$G(f#4T-17=IQL`BJX7Q3uzvs_BD&fN|t+W|*LdypP&YNDEa&(f0?RPuUWN zL0_>7rL}j-MabB6s))IvrP=D#OcLM)ysfxpy$I7Er5$`r z0#}PJTqRO~sv$y9`F(|HUC0Ri&h1y~57dgZtsj0=^OeyAsl3<*{_14Tc1{-TI9N0Zc-SwN5M4^<;#h?55w+cEkCrpI=y0)IE`0k zup@*204gXII-_?GH3X@5kyoi8@FVQ6v#N+ywrd5ltD5nSbw}RD%a&>YDM79yI<#z; zkPZ$LB#T94eCQF{Ds62vh0B7MzqBH(zh#!OT_(m4xx1n+M$%lO8)z=3PWd<&3iZ9h zXwfO81;&STOAUm}l&HnR$y*bx3Ti$H@sbbHMSKh+X*&?PRXN(i0w9&yd9JVdEXDmf zM55OR7Tx<3>QewV0dUqZQTpt2@2i%Tl3uCHgi<#u_WmkZrMgp>66r%kn3+`jeZ~_# zB~%WYv4n2^ySj?HAGq~bRTcjL20#|=nmHc{t-wPF*|}?O@6^%7?c~qX2rBa9L8;E8 zaIt<5Dk)gSK`#>4`Gq-)PG|ogq~|0>ZMRH>%%~Lf}RM zT$hldqS@@MLOnJ8kXqEcTa^!%Kv%C}XqLEA-HJU=tw&vza|27^5fDSwR6@spV(IcP zYFdajz^v^~!52A``X>*UAQ3mPrQ}C{&?QdS1XiyLSQJ{{Yv?5s3}0*^-bY zrdqMNyETDPdJ_qCY<4-pbe)CuWy=X#b9V?8g|DjwrsE7Oc3D=WbxtK4PahB|QOkK2 zl-Vv7t;ofakg5j9T7{Wil&Y3RXv%^Zp|KCt3i=8q0YyEPQdrB4WkkJlEJ3Mv`1Tw4 z%KRi+Wz;Xfk0+gi{{Tb-OLBFn#e;Y5nrx`fz zQLNQZAb|O+kg9T`go-;vL90QiflED&FrYgqBcuNSh!RHjWh4q?7^J121TRVkvt5;_ z3+e184`FysenR0iSrpQx{=@2EMgEL_R3 zrm&R~w2nI*^f4n%xA`oHxWPUJeTJnv&7BloQp+#lS?2SR@u>@~$dDA}%CVi6^N~dr zhSOz~7P)V+Zt<$AT9<*8?}A{{0d?r4ERnF-9K4(DQx|{I;&E{_xQkS#B^7Vh{)SLG zdXG;ZiKPu7%eOE=9G4qjRK|%hB*~XA@&FTHM%t{Ikd2kDiW2c1a+JAPNA0;_HmK6R z+lgCviFFmMHSmz{Hyi9r2T$r8EfJq4pVXqk7Fe6u2`Eiuq44$#MMn1y78Dip5ogUZ zgITa582GqO#54rZ)wv5XP<5y%hJz>AM=YxiOP3egSx8n2O@IDn71XCH zRPARY3QD*H2A`Ee)o@>l;bfo>&9xl)9%jlbY)^CIDhlsO{7OhSww(P=>pl2jjcyrP zGI(1;t|E#RqQH%mA%Y`H?na?3BTTN^seL^gi@X81aI;Ffth&5L`eZMXQ(i!uVI?nr z2~HB|sn6yKP`C#YRImdv^B#vW&~A4dHL2Wy5RAHvz5HwNeg-n^oneIRt^6N@=3Psd zvnwVe6|s`RsFUs#V~#$ckQycu?kXn?!h9iFbyDU=B((>_EuovNePt5f#ft91Nv)bb z<3BPA_HAk)dWeTvZtAKvxq)&r%~F@>1@T*`ei z#cq-~L@o8HL@$F^_xzfw4oF{lAD3rv6pAgaX58$_#0Yt+)a~t&^oJqpZQ~6`2dT zj)bwCh=Vfku#KmrO}i^wUlAL=!2+4R`~f14l@1VumX#^G7Hl6n+!Pl&OZcFHFi+X3 zVpYsXvGlCT&=||A$lztlN)n;UiRmhRl88S9D3a2KP`QRwjf(afX!{TY{4tYzI^X+o z1>&|^$WA04s~_>OxO11i+kx5(LM=ss~oRj zCe*%=y>9jvDGu3$0+xwp$CLOY!7W()PN%|)0YRp7>t>mUiBnr(*OAdOwvgSb z{8wg1G+$~IZX$@{C>!R)be)BLJ4^t5#cUOns~cNvrEKXfD0G6_!03+Ck6>DQTm=9bo>g6F2JQM^p$a}6l@1L79d2&4hdW( z#6IIL&G{^AXCEO-QHx6txpO;Rbf((?i+som$tbD)E*oOS8C57#a-gJ2GE@wM^BRu6 zz9Pni?3-PFAIe|kLL{^MJdH{Mdo%w4#q1c*AI5$$gHjB)VYzfwnJ3{dC9z1ask%!; z$06$)E((mK2f*wV!#-fM@xVoN3qr+s~voyN6jM&jPU_gnG0QB2dn@s zKadFy5`YHMsH>1A=@D+Hkc~jvfkCGtmR>?JbsFtoAbV3swKM#rb&h)i!%vAsgQPS) z5wL3*xxZNI;Ui4gG5f>dmfTN_fI7a9#IDL9wgq(;krIvGL#SITC?F|FC`L;PW7)ae zmP}ygEX0!jO9I!#v5Kse7d8s1K*;HbQ?lx&@}tXh(qw0#qxDD|n_J3^lcj&@C5y`h zOzy>!{w#PmaK8?~eT~j_p0kM9JdeXK1qmX&%Wk004Cr60(0hme}0y{UaI`I<~iZc`kZ5WEUL<%vyleCx6^ zc7_o0$h8);NZdD7ZAG~JEu8v7g9NtaB>n~%h_TtXUO-g%Wr`<}Z3pf(ptZawO&J!~ z!4YX+{{X?UrPZR96F@5e0OSEH7Oqn-025>ED>|TsBy{hQ6axI4oa-LTfi?^xj-rdL zxz$Ag)AFbbf9jzIx52r=ViK&f*wVJ>C6njm@pRDCX0=9g>U88asBfk#PAhaoz169dzgCNq|uehb9D)RdRo+V~JQ{;1B zc6~xOX6oJfluip{`jjk|Z7{j5nNv7YDShN9ZE`xw&tfc~wju4yT;xKSBleXjm{m(# z`i$1$y6KJUPXxvN>k>VtMi%ryMut4vvUrtP6oOr;hxRNexQWtM*8zS4&mS3D7hcIV3_TH^(O@VJhIS1O>G2-IjcAgIG~$AFH)3K~Pn zz8BH}D*g~~pH$0L@(1(o0K9;fTc2^mXmopZ8j6$bNm{Wl_b#CBr&!Dei#Z6$-=cg$ zb;tZ=tYse&o|aitA+uR4H!mduw22EsT8cY3qFb)y2skc}OvYvN_#7F;v(9a4{GHQPphfJ ztP9BD7d`o&7%TE6ltxT(yH(2qnBTW8h7$Gm2MZf3DqKHZyOy^?RGC}kyIvu1Xu2|> z;)3DfCbeD9DljEF^r!&tN2R2r)VvG1N0r9)SmUTjV|X?vdM|!1vw36x3ff`v3aVpGEn=A1SKFoeszIvLxvgy?_R{AqT`&K}(cH$to*tsc_PgojIekH=Y)aasYzt(oVXr0zU3oc)Q7>iE?Wg^eCN11w zA{Ao2D&Q%4hslsq?fpwJ9hx69%5WOzU@vyuh`fHK(ieNMK=q5Txdo|CS0xAZ?aCzs zrhgF_G|M4?6H5FrU{^NkC>qH?6zowcy8i&gW!MFgX}pWWE+ESm7cBGmfE!WoktJ*C zI((N#hsGk=dS>-SnZhb5br1>8Q`oFwU+9=+m$b_%^UtVk4dkF-gk;rfSs$eFn+IRq zdTH@H22OTttA60mF`XwO4$qUbKSWu@@-{i`QLrj8ljq50!v0_@g0596x`)de(gHjL zUK-xKm5N=4&@ahAVjqMd8k`wn-(f0sjL*Pt=A~4@3#bsOQ2Za0e~$b3Ziu%B$i`ez zRJ0jvSa0DVq6$9ddf=XsS5RO@Rog-&(zS_NXcs2$&W+WL1Lin4Tz%l7cNy- zGP?)U;x%ucO9Yzj7CPiZXUG1csid#;$+{Rr&2m}?8Qi%`xtJ}|0NeunLJm}|LD+2g zs+f_XeJ>9sLtI0YpCWT8%deOS)X-a&XG}IK00%x~P3EV{lPkZ%xs1TFulFzNdfZFW-Xl2Lu5{KdxBwTwf2xyX*QiFRchG~Nm=b|>`p_YNQbCw(~ zQ`W{PavbMnAOwQ5b@&;BOlsKF6{s!W#3Rx=*-I^@2y-Ynt$cG(AQ7i(#9UW$ZCPOErH4rH&h1sDh!zM$=7z;;nlrUOdW*PYtMA{{Ue{A1rMYxqawI1;7x|str`^ zORWLOG&SE~61>tGhYFj-p~L@D`w!HSV+_=a6(i?Z@6* z5@EaTziRu$v#l`Eq|JpNoEL@llV2yO%Ow z z?B56@1(07ZCrYHo8b47l9at#_y^l=9laItOu29`*lA%##u=R@rFXK#NH-^ikdve}Y zmoHkjqlkZmR2J9(_NBM_JWzkyfLWE(pI-b=QY;KEMIb!jZ$grIy&&&A5Q$U`|-Et;3r z-MLq$%No64ih`cD2{!)#1!cOO>nbG!$cap-#a>1fUXb~sE=n60u~S4rS(DvLYxHLZ!85SO;(CNf3;iiq1 zorsIgEi!m9+n*DLFhK6z=OMpKUR0t6vymz*Ljl!&jvBQWD7L*m&?3C}inIvbe8eT< zX(>Jh$b~?r*;~20v!&D;R{9Bb#CE?Wlb+77qlpb4Ig3iV#*p(_7_)w5s^tc?7Z5hG zF_q206?rPw{7SJg4VE{x5EusQk*@3LgBRJXI>I!>l>~Zr{eWrStPyJ;GqSBmjWUUf z_EsN* znVv)rV;mCtl~o?9_t}t& z3!Q+DCIdF%^kvN)8;l1(MlCk)w-7hWkJ1_ntpzni1!Ch)oXM3}0d$%Neqj_m`~d^m z*e7nHC2Mp(*32f7&(+6;-oUzaHORrY>6`^0xSXt+LjvdOa!_`w2jrcVD_-u|zs11U zDqZZLqtUyK!YayuA5x&>4l1w7WWGBFTp-GnGbW=!#QC-*nua?HeZvkLTwD(dPa#bpNR0B47c zF&}Qe;T}wsB;yD0P=eui=tVXJR<;J^_7&LNaJDYL#!+Q0NAN#`@v`Mamq*~lKNSTr zj9NteFS$&j#)e*X$i+dklA-t=P!@~ol?65-&O(MulT{%oU3?PJ zrpP`}2@nbbs(n+e(9WHyLRxVA7kq#@JQ}Euc>o}??6g-h{YU$maxe|Fr-$J|11u3& zjP^jclE?*dE*9895qomp85*PVXIBkta!?V0B_S!PLyz(rm25Pm!oFZCWtUr!MXTrO zEPXA%+)>r|IKmxrh=@ksMW!O;Xyr19!NwGHm45)(N;@4H(L!%Zd3O@!wbXN&2QDcRD_GhFN&%}Y&cwek^SWH;~ zYNeSL8U|$o1V8mAPD0KS@an?gNudO)60cjo@B&-ugsyODGyMf`4rdI&2_l?3ooG~ z#MZk|6;KM7HGB|4H9nXmATF+=*`k#SG?_;&{i26hk2S8t71Ya?FVz14#7%Vkj0~lY ziPn0eVjVzM_67YQSR#9bSGdd`R6wXy=dUT6tie&qg;EFi5NCMG1^lW6Wg+CUtoW2G zi#T-_o2WHs8bl@*x*H`!mtRZvZam&w(jcCw9eRxJ8L*MQyN-l)9LRvM75sP*679p_={Db)S@m2XD z`2<`12$$H`1`^i^Umbxee*XX)2}Z?@)GAyqqb}|6sr@l3euk@*X~||N07--qw=_gqIr-?Ap9wv-14*H47*bE!7MjpiH7!c z9r6?mTqxA27U#n-S#f`9VU(*KjfY3T7#{?q;T&ArnDOmoyfhe$vpt!;q-4wdR;I5<;HBY9q;#92e|E-8_o;E;^U5 zW0Yw(>RC?A?Dw&vkYn>wl|ZaH2q>);-p+snImS_qxAPL2VUYu1aMMr&F6h|AnKI>6 zPr#_uI^QM4N(H5naye5wQl4Ea@5+n;RFx>C_wx}?)HW)1Q4ht%$WKj0MYnD zDlRggX_>^?N+Mis4ZVTKlH+oPgkJR)WH8fL5>QZv%Yy>p1<-y4pAZm+kg!})Djx|( zBpjEORm+7yd!MMNM62v6dzFhgM+z!jMhdt;yt2D2MO7&qG`6l(UCZM51NCwBGnWd? z1zcFfrOJ$_XHiejmo;%OHM{cJa#bn)utv#!Tyk1A7kxe-NVy3sV^?l8@e#r55D4!7 z0I6nnE6#0x&xRKm#Qjg1)WEMHYi(4iZrtgyUyo&N=Sqw!pGwP(H)s5m&ab9iT0FT+ z8@3M=w+5DV<;);!s~Tl3`6?)8FVZL*MMl_D`(S7Ro=Rz#U$|$VYAR`Axpi#8`X#)^ z+d5vtn|$RRiEh?P*3AyWutQx7m3)fiM5Q3~OAhX(T7?>kP%wLyF!@7@eZxsypE6bn z1>CVSv#+vTBx811MYd4r_=Q}SnX?bnb97roDxQr*q^ka01FqG=ntTaV>^(bB?1haj zg(9~RU{M4+5II-&T&ewBRCDA2{^JU4JT7dks+jw|P*u!ZIQEy7=m zOdq4jOOzh5vDjq+EBh6}W8|Pv+gGkpUp`-1Qr3WjWiIUqMydsEk59(Q%{{XNL za%-yOZ3|vL{{R;c#>0%zn|nSh@a%s%Uw@I8@iq~Wo$Pirz=BT3qD}k`OsV^f@?5qv ztP74yuFP&Uiies{ty0VFYd=uJS=PRCFBA!98DlkhDlGUT$c+vd%B!L=1AlSbkDp+p zPr{7o%;li8yV$5otSY7jR&klwCKc2tG`zV5Yu9=D#RZq*RIF) z6XD6h1gTHLlH4xHO?Nk{%^fSUj|S1-iAQ05iH7SHF*gFrDV|i&cH;w=EDKub)a0?K zzmlUx_Htn@`E6g~<*QtPRlu!c<)#p-X3$7DbUI|nj%?vkyIDoQGn2@7$ul06?rrv6 zP&~+*V%-OC4aMNKdlKY+#%u!W0SJ~>S0w_`l<{CkN9G6soA(n97P=*7g{Xk%-onH& zTzfC~;qAuxp&~(WQU3t&OJ=eLl3Y}2Exqi~5Wl|{2u!V6LY+6yB5DNvejcBKAM7q( zK|}IlH!&G=#$~_DJ}70}B!$u*!;6d}Bn?2Rr|MsS0hN=Y=VVCbHpqkm%3mdG%e2Y} zY)-M`ZCm}KI4~i)Je7mD@<;1I?36|9a!cprvS)Jaf`wqBi53?#r;$$NyrXb}2-C*A zhym2@B?}pA+!D>%vi@YzK7KN5#Rv(6%au5*vkBVEV0w;Z38(k+ z%7M#`)25qU%up2n0API<42mn8^DGQfVQ>pQ=FDl>UV=*j;t$+E{U8ee08qx#$;!WZ z4FaX)p)YkQUB%rj36X0_j&xaX{vk&1pY~Z`QlwBl$RPl_wr=@EABuq*`HRKFOu1sp ztMXi1hhblic3twKhqD$i6I^mz@nsxqpjV7(rVOk3Lh%V`T#yFFff zOoiaoslB^1#YDXy7|`#b5x8UumuyWzWkh#Q161-8x5U~=pHs00B%=i!eY~HbkqF&w zhr8>Wr+6>#+uEa6e~=EKxJ=}3AQ^YB)MT4%h7K+7OsT2JU=K2Awl9s~gw$@?Ke zDhyvGFyoO&55r+BA+@u&1MYDRVv?4~qA1JAoVAT}zJeQHfA=sbpq2_|{*eZyc3}lz z{{Uu1ymE0bv?Jj55HU&q!A%yl9<+T{3AqG^h!87pw#2YhIt+HKTBB_p4L3|LUw&m3TqbU`HT%Wifg(fFN zbOogILtpq*8$+}LssZ`{c#QX0UDACL0m_6B_+U&nSZvgxej-L`gr{?2mQ14~CB2@Y ze&PfcM{Z!2+bD3!^gpRcff~2(dOZaGq8_@B)*it+$}Wod9z z7P1tGWIq@L=z*o1C=eT83vVC`15&H^i?VWkRC+bJ@iuXv6S~B=lMo0!xIn;D&P++I z9n;xoO98*!1!=4@y5s`Fewdx8EgtSos?7dI$I*cUO)-K@{{X@O##IVsTq=gRe&SWF zQ(S$x-NT;*qhwzEMM9Tg+_Uj}9;jRY0HWnnVF`Ct5H9KlHOQMo57CP#SpJYSg~Zg6 zPimRA9~MU8#ZXnm5ms1NSl47B6^EUNpi?Siavif)5m&d-HfYSdEEHh^ibv4|vISj_ zibcc$?6A%gZIoF71&Ed~*O9lKA}wkX9NC;@QBK@pqQZszLL>Z#Vzd|Y5Lr!$lql7| zXY|=YRXG-le(xZ&X2UO=#taq3z>b0TE1NRL{{T=bfCB#j>`+}_;yCpHj{bvVb(k4* zqLFvv3)_IPYG|p1(!HOe>|HY~v^&siZ(jWyc#{3o(nKaIVm71|~5bq#-eYNm(^v zu7BlFHZQG-_9|%rb(TIREtNgMC9RuPVr4(rVV~PB4(d3&OYad1h&`37Nl+jVFr~AM zutHKGiHnvQ#a7-jma5_z7ip-NBMATu!32Sie}|JFKO6cnijEXQi(7JBXygl9*Cj7I z09qO_Mg3&%t|}!{i`cY5TF;E_wLk)iXZ0y|DpX}WxK9GwmB?}Q4ln8;>=weQS>&dR z z^|_1Q%56QOz7_f=93lOn(zx!a=IB4IZ78*;vScS%$`?VO?@i1sMy~+msmxQrfJTy%8p=cKt@+>?0D326G%w z2I7VbFCx`YA*EK!%E@c{Oaiv@Fxl9^m#yrvL%5n3W^06Pfp40LWU2Bzl|74Zu0)uw zZo(I(K19IEs(Q;vD=2|TG1Z1;X&a=Y>?LXBU`h2|VZi)N?0&!w%Edi!HY6xVnaI`f zH)9tDT*i=mSi}I9!mI!`>+F;kmG9iDxk4$i$ct?}xr1s`QB!95*-QNh)Ubl}eYi|j z03lfsZAxCts83J8-^ubHgs5UHcb-%b-_gB`QWgBM#n^tL9=F}bYpflRN<1r6i`JnR zExeU74Qq5VpxIH2D+qf0RJxsj5s!8a_&}cYm-qx8f-fh{(~!T&B7xg0j6mEbS}Rr( z!Mco$*%mL93{{U?u11;C|Eq(X)Vh7FlU5GMYGjrLMt#<*b#k9?>knhvOdt z;HMxWe&+I9w*+wClGjAqedc)vC2VXr#rC2rcSrFlYelK_8!ne7gerZnW3cT;#4p@? z0?5sodpIdP0wKfj+~j#20H7!NUCImhDAEA8Q!s9O5T#l36O1}kBiV;DRu5%v#)lrF zX)IdQcT3vDxLt^@m{idhHSuIRezk?Fv4U-hJN0B&y zuKo~e>Nj~(l&;{Y*2c}0V-4a_jedm$OVj0nI}X(-y2^l1m2oQ2DmIqK@M*23Rdbvc4+4mIF&y%7z>TCQpV;e&vO- zI9Xu=fYw$Ge8pP;C4hZGLz@a##o>8*EIXD{OProdD6M={(3S_09!pmcg|~@u8$wiYMX?xBA`}bfvf{o7BV~D| zRJiFBx)|H3lyRDky;d|TP;wxz38->X^sk$WA;BvB$$4Rzb2bFA_e>mBXf7M%5-X;~ z*`HFZ0j*V1fpV3WJ{o})V#Sh>6Hu|JY57qE<|U1vd*=H}6c-JD8w{k%#>5St>}?3P z3D>W=5 zM>^d>{{UguTs~#rRUNNoL2syUG8}&bB?V3KmbDw@OJj7#`uWOZ=#v|t{{R&6s`V^c zqwDY&%c))6hIpmdB@y=JZ9JAkvXH`jMKWFF(fFGAvWJnD4BV8Py9eUos;p_G0X)lI z!7$t`s+B_n<`#NDG^h2IcBxiA7ZeSG{{V4@j{)&01hrEE7`2;`5%nT{I;hdYaFsC< z7Z|aBzDA}O__+Q8aw%}zl?go+F;eaV$E4X05x|@e7@9u{OPMmD+&as$5RN3s%YrH= z@tx7R^%z~)qXw9)+@iNgsy2Ud*TM`RhNWG=fl+RKx6x!Iw2wV04LNE*gA96lgqx7K``syX0GxYGknF z4`B@%0JS*-L$NCgpQO1i3j`Z1xau_Fr2KK+fpRhBO96HQrAvB^8ghK)kK9Oz`^&N9 z7-Gc?_01}FqSbuzQaP6{b^3>EDLVj`mcSJRLGu6~H)fPk9+4*JFVY7b__>6(o1)l& z$8Fb;1-cf?k5+?a3y=$^`72>%62)yGRPM37ZgotNb~K6yf94C94Z^S^pwRl65ADyZ zS|U+HF4ce7-VNum1&~$QD7aNemj3{G4w9}2LA!mT28!ZF8W@iJJe6lIT0f$TjSt*L z)#k7-0_F&d7v$9p0sV!4I=Gt4fJ$^ch$*eAcI74SA}i>TH(q?ttZbhWtT2Fl4TeG8 zN+`8nN(_pPRH{O$f>5Iy$yu#7lLa*L`yCy~tV~?a!>i*R_NI|)UqWDZk(*U>g}VBS z?yr_K+FVWmT@$N5VOA=sDtP^JUjVR><4%8fEhjLz70NNZh)~DOdRfX#$zrLoH%znG zg;d3o=7^-tmu|#}&e2q;`7Y%pH_|7QyAWjc0%NrWqJ=q9jq048abkzDH>L!X*s=jp ze-J0jh}~qN1!StYzK`W@IfVDyygpE1=+IK&801IO=u2WGIs#>leP@TM}LAO~@&3p|rpS8$Mr#vcL-rE8QOU77GJ)JGJ3hwNU zNvo^^c3eS~QrXxh(E)sD!qO9`$wLAtiPO$xx0cU~j}J*$!T@rHz`lh{g!Tw3@po+E zqinl&tmHQr_`??;C<&2ovaC|wPH<=@soKkT&O z1^Y@YVE5VIH#y`$xxfBBmcv*HRg=kps`&YqxnxwjLA8&eE(sMt%F9tuN=4JyT%>B? z%YxjtT+hJ%7cWU(Q6UC-aR^ls-Z1eMDhsNB6-7XP;}^h`Lo`nbml&c^P~rRrypDQ8 zBdK-Ffq{YbOkfs@RmPx+V*q*uK1;U%+o9wkpAbaksr(AGLL&K@^E4f~ble9JDCNqA zpKP^NkT$)GH*(-yQfg7_EU8T~@8!z|*7g?NX^qFLE>zF9Wi)^6JE2#N!jP(K4M)sL z+guz~rtZ(uWxW3+DUvNu% zbK)f{yDtKS1LsrlUdnkXf%+h$W@!S5JgAg!Cep1ujXby$_l|CA`Msm z zRpmug_Kk)@g|`-)DxH+NxDLwvODs^Ds_vpwvgRmNq%rgXgaYIM(|7biPnXTg5f^n5 zS5&Qr1$8I9mI4C8SIRB3+G0GHwW;w0y1%g1@qbc}0=W&0r8Zq2p$7|PA=!A)SRh&I zSg&Bx0t2-V=2n5Xkx^E%`!-j6i$(B$$!7RDa>yuCeD9Zd4 z!WVN$NvE0YxxbU*1e)Tx%!&fNH#4ukE9JuM?LxXI7>{5^i_S&|C?UIiGoNY37qYNaUj4&cQom&n zsX$L;yq46c!T@$9pC{G4n91&at(j}CkEMS-OCuTd}OvPW<_Fg3*=6ZMq1jI zRkskHOqKrB66QbrA#Pj+Lj`q?th#bJbZxRbeTv9rDJB;HRu(JYxoETMSl(Q;fK)*5 zuxTsXk9PMfU5FrN{h?44c?aQn7KALdZiMRvt5t2GcG&4*g>np9RHhy22poUAS$1 zf3P~vD5z960{RhHK18}5V73vg_bzCMu@Rv0c#IB2NSW9t5 zr(#%8Z6QO95dN9`6&n4^9iM&>vSRp_RJTT4YR+5<+Wy3NsK(A%{myViLq;fNma7&; zLu;DdO-8HPf(%H=LG*@;I)w+JxTYem-pieLv39gvXaZZky|>u->B)VrzDpQ+eWA;#kif3mSm zw{}?y@*b=y&5u$J4MNqW@V-RwH$(u-+(r|Inf!A;s!+GQIVhQ2p=-d zZry?pOxv*_G>@pUe8JJdIXVN8#aY>3dyJ)xJtOGXpog`DMNERVKSseF&z4_<1*#zH zkN*G|jFZ2G7IiGRAVTQAUJrfATLhKDpS9B z!&b*_;n{j}nb4ioSxW*qT%%NC+_&F0Usmy+61KFO)?g!3H$}10U=%AhlOZ8fdE|J? znw14q5DKU}1_5P`egUgRZ+YYZMl8lqa##_qW&Z#q>_w(}LOWnVNuegBV|L)bgheZe zLbQyuR?tE!`o$l}v3EL~QlZ~d(lU){E=%;TT_eL-Ruk$RU8c9o+KYt_(snkrUOi(S;H-o(k8YxE7cs#vvV&K0!NPk3M$3NEfe=2hCMehBd=VNYgiT!= zoT0ml9(0s}Yid}zfx7vhavk1Eof5^;r-VNl6Jry9g5RKlnkrhM3ad%RBz~NoGx5QW z+;0B>khijkek)~H{{V(o!u}xGG_|4*gEu2ADe#4Tup06u@;thixB{2SP%#i}CVa>D z_%HCIv4q@Ty+t8=l%S}es+CE6O07ZCfh+-5?exFx*3Q(*HsU=pRtwPGM{*qO8l@3Zk17j&Ec25ZgqDg^KXBxC8MO@^unzaw zG~e#?kgjeKE~7<(>*gD-?>=1M+8_HAA_tDj-B(L?4Y?a5l83UToRne*TRKn!HFrPM zY?f!tCQ%oCvo;TKzFm||4Jqs)zE{DMu;p=;EfYVp##91slNm}H z*63e7>h=W& z=&~AF%aw2}it3QMmtv%Br*t)czo>$?Zv7r5Qa`Gtr8+IxDd^_|g4q<-4#AFEoi;JA zwM%xDi~VI+VjnP~BD+8LHnLz@1Ff~tc_ieW_R1&^G+W8uvgk6NjNzq#@RGqCV!fmtU!AVClTxPxY}yYmR2OJ7f!H!)McXGls_vhm~U;K!@F01QpK}fSOl|0Tz@>9wUz*nd4TSB+U zMO;3eI|Nvlkd%jR->5n~84jIJM#MP3=G00W&hi9oH6R6u0mW(hl*6u~eW~uj)fj9b zsiYFMV*dbP;i{+ZP;c2sb_2zHjqPjcg%yEr)kniEJcyY`^loBmmjx<|Lq=H;*y!9F zc4Qcs>cu^mOkqGSuUM%z4GSS3fsaST-4v-$6NN?!(FN?ZRoW5i>f~tw(Ys|t06W`O zY5`&WvqMqbxk}2Zka1xEuZZ67%9jX3tcUCcTnkWY$Fs=c*d0bX2q2Ttz4Cp5g!w-C z4u!9k7$6C-68``o^`|OY$nPQ}rE8FfD|XA45zYR|XWKuYn~WX@hN74IBBJy5AWDxa z?n3*o{eCK@RY<1Lq5w?c`9T8JW(ZZ`3mZ(LTQXktmw{G`mIkGi)NVU{DmQjK)8it= z9*|=7B7<##jyV8uWt4!`$POn!;d^lD zn#v5+Rf zOdAHjVcTHb>;PBEEyr$J-6|S@KM*EXC5AHRx%e4|3g-_$2SHc`So>0@gJMn*H1=Gh zc`17gVRF;=O)K7|UcjF!dE)ZV955rjkSewQp#E{ss{VmV zSda#)u4P-tB|_I@+iv^!^(=!w2R-&u;o0)aP$8Yv_x}LN0}(pX0$+Un&i?>7Wya}U zfMuP8s@h`gHsmlL3xGGqD02#_Yg~mJxmJLYp&# zQj7NpoMMm$I{YQGZR{XCc@*dNBN1Ybf7p}u%K_u=qrS0F-n}}+<8U`C`Z+9(FreSub4oZy`ixCjIEsR{ZH55iK zQd(19aRa?ozg%QRUB5T5B#NUrCzHS0ibgl@vXNIe$=8vl?lPQ>1^4a>(vsRbLv518 z$UrQCaT147xI(*^n`7Znhv0O95*@RMkVRtYCQUUW*UV~MX+}qNBX;kR{{SMZ6e+vp zc!y$+CBf=FppOtzrUz$g(ylUH>z7fWFAD6p3(Dhw>wzjH_(oP%0 zo~k1n#Z(1lLZo?k-}x-ag}Z2pYW~p*Dri%K^y3sad5b(M0R8g^s@seu(z<@s%b;;c z5La8Um<3&eKsHlK$Z>y=uj0hFbsp?OM_;IE+a&=jASxASu~}AX93_-_c@~DOE*_Z# zvg+d)BXw@jA$2pyfoki=5 zmPGMXm|$J|c^5%y*OxL{L@F!@e6fZFviT6zkNx7dbn+Wvr*2tS5Bn}yRuKk^m-;m9 zCos@{E?&!n^C~$10D^ENyI>1%5G%M_;W@95V*G9@VO?M3(u90k|BOln;R}=S18FnA(etn_8h! zSvYBL$U`|F+b$FON73}+y&ggBc^b2eS(kH9l30K0e(?4D+@iBUggJIE zvuhVEHxPP|(|gpXD^lFUGNTDM(bpq@`k7a8i<>w-VGaVs-=Yh1#Ra6JNcITfP1V0> zlodcLU*GYU8OViz(oxJ!Lf{72t|h3gF^zUNHsNgemL(Sncj^NfSkmjiR{=vM%c_>v z9|c?>mZ$~oRzxlCUsV}ZdH(=l)mNWYQjv8eRht%~{f-reSmv-ob$Ns&x{H;o-JOSR^@2KFEy7$u9A!;_c6%c> zfhq-4YVl%<@`CnVqkWCQ2}AJ}NVtuIscz!GRUerKZVCmQK1+I4AKUSRzOsCBb9{JC{>K`ey^Q~u4>xf%g+QUEfl8mK2b zn=YH3Si*gPfR?Xd9r%E-`5}scHEUNo0)pG{@=@$`P`qh#-AZwdxia7?0T&Di=+G0~e2Pmp2W|FR2lqC`yoppOm3>D;`!FdfJ&X|4 z>x2?8GHv=nwGV{3rvwmMK^YGV30yEtpx;Dm+U*Jx5!%{X1Ykz%*ks7r5wD+PV6A}M zxuaoEEyr{PDoROKH+02R4#OR0p>&wb{#HJY%dr zRu_%l?5wnZQ%IB%XJbvqZ{n<6DIy~~IVcf;q5M`u_4q=DmyjpeU+xibEEfT`Rm%Xa zmK8Xmz7Re+ie~L%!c`M9o)-(fgH3z056hc?vP|Dp@=4(>mBR*j)?7JpRsZeE>-y;L@534}bxof|aWu*jd<<6X!KT#0z zgyc%143O3ZH}GnXQNPjezOd#8D9d zIlfFOS4TT7+KLUh^(;DNjFw#BS#cVu1v2X)lsD>o$?-%7h-L`n2e*l%13BzSYe%#oL^pbg&Y`;24co6Cu8B`^BBWXovoY z%nN%THJqt-ilg-%;3__T;{c*SUB9v_kEhrwFp{iaYX|S zeP@s2bFf@hd)6I7g3c8ZDp0jIj4gOp*xHhw+#4d655>a%(T_~1#n@l4i*-Iek&2d0 zrqT}Pudk3`tI@w>1=9j&WTj9P4rBvd@*zVlidHjatHSbVUf@VLl%o&WkwWi?f|eX* zNrJ7qiq3#4ytu)8PbJb-P)UqPShia%RU`fq)s&iAC=x5QTt^)g{lK-}r zr9m+PG{Eda*ySEfCaMCI#qc8nFUu*iI{Pxkul%ELYL;91mILm3Q*CP^msZ^#LZ%ga zyEYcpvyzZsp43l}Zn+6v?n4>M3t&pFC=!#(oo~G^iD7a|z@;$dF6^b0pd=Xi6HqVT zd_)6^DP%uzi^+1}jH{7wQ0&0Cl)LP94h9=PsNgU!AU|M}O+$2c2(3UdR?C!9^^O3I zO5dnrS#Ryd!cXy3ODK4#EoEHFf#_GUxMW+z9F`P?&kyoM?_AtCk@%fe@Za9Bbq#;wF8=^1GlzR?bR^D9LZZ%k@ zpc2vfnw|^`t=f4T_1>r6mDU?;TJly2N}+#p;ALE(U~(+ue6>C`n<@v^ZI>2f#hd>C zW2L28NjM3Se)R=}0rJoL77m?_cQ#(coQsrLtjr}yMXiD(Tr%Avn8Z^s}*ODY)C(kclRU$R&nq4SN* ziqNRmxoe6Ut}@LGS%df^W+$28<2U0;$YF~3Lo%Ne2sIzVmk1l=7PBsJ9j6&Xi-?z+vhOZm!U7^4ayKl95wc;hHiyN{WKF|Tp!tO^091AV0I79W zxMlSchtwQ(4gTQtvo0VkuI3a~))xm)p)Iyc*2Zf<034s{5I`*o@DW69>?tMu zc3?-u*Xl03bM1Svvdh=C5f1sic*M(&fVR64$a_p*72K2`I3GTkDi46jR?tWJDqh*mR7u;rcsp?_EII!G{vu-@ z`b5cjp$rp8rT&NRZ^0rDG!9+PPvw95$E1MbFU#@;6=Bf*N<~nsV$vIjPq5G$iC=w; zuqNDEPl;;B{{R`Ab4wNagHD-Mq}v(1wh$ZW5-U_5r}3h2Fm z3A6mzWR$mYj`)_kzu4s?`OC8H9(80nq3aAgzws9gstr`O*7VSh{Ww`)Hxx~HSyH^V z=y_6=r)_`g3T6RY11O9QSl7>^0T4^cN!^LhyBG?Tz!KcKzr{EG5sxS>u?(aEO9#Yf zx7d07Ga@lah zCcGWP$f^N&g0d&(UesANmV{v}xnV(T`x_c6tUd~w0iB06?W*LoP%JohI9IG-g!28% zjXCTBY_I}AP#1HYElPHHlqv-cbk6l;8h09GSmo%|L2y{i2wCi5!5s;GrqCgdi|6V- zgGEmofm984CTuNYh@AG34)4TiVMEJ_PpB-efC%YA7ilib$$Qy%-WKZbu)B09Rr#o( zT&j=R4_zQxAFLUuv`Vg_Inf zVp2ELD!skFmk^>BA7nM?ZUC~UE&>a`OOypDA>breOQh6k*bQH@-NPSJiDFo;_sW}R(h$*&YHP1RR0_Yz>AH;bmqFryM&WuB7+jeZ%_`{8vtS+a-e>jOo*+*4-1zomZ z5SluX3v7xV{{S^G1^|i5d?I#i-w-MbHqiD^?L32mV7hV@R#VM_=An|>W99f5A#PK1 zD8?7Z-0th}iZ4>odnsIO=z05v2JJ(KPn(yLwig8HXUyly`MGHfHkAu+?hC6}?c?lW z9x=&wqV|1Q+ICzeQs?5$%jou8WvNIVvI3K~TjNP>bPMV-r@(S|M=G$HHs|CM_JLfW&=wc3 z>fwOEebUXAEG)aw{Uk4--5%IChd;<4P!G;%hOA_!||X3;;3-*5dn>hi;W{iyv{TUcm!d zur}i2ngLJAnEn#$;#qlTJS;9&i+yVZTT#x-017I{)q~SUkDD#1U$4vn+{k6Q#997= zSD(n^e?Y)iR&oGee3nH%0yojO`b1VSP=!_fY_~i689RSKSzbXut8Wd{>-bZQ>1neS`C+YK105-x2iWt*zxWQ3ttDGB71u8C4Ne z<_37EHLP(UvRDmE-_#4Ou-_#bFi&kt2IaL3_$3SSAySeiehp3_h(>&^Y8((Pp96>- zhe#Cu%W3%&!)`G}RwHzg-5Pw&A#L0gmu8F;=JLkG=+*XcM`5Ctt7N#wL$wPCuBlzi z1^^XNFfP~TQo@()pfvk33jud@{Kg9}Bh)BzU09h-Ly}Q@pD5?BFOA`7XNeleR6naWY|0cE&L1MC6ac&MqUaUS*p5w&JPSW3ys4R&3m zqST!h)zmAYEL|%YA1kNWDuEzc>nR}XkNqDK>!<*HK~vN%mG~-OrC$(GS`uoK>Gfen z9?r=5JWjCt1^lt3vb?D1y4HCSetu1#sqZFOh&Jiir{0^uLl>|%=$43ssK%h-3fziq zM|h?oYtHp8L<8lv4TQvDKE^V>M-p(vTmU0osEo4uTUP`?4diTWtK^QWRsD+Gql2>R zxFgt#3=!;L2eDs;8Dv*8DBRcv&c(MQsJhGX_S_X4aYD+$!d?}VK=Gc+)O|2VmyfxT zWl900Iv%omo1B35NHuY*|c9suV$+bFNC+InLu`&B`tlY#PWgk zORQChhj55rU?*BOIff^@QIz097wz*9Ng*Akw^w5sPQzXaZss5LnOl zN-ip@seRZi4toF=62M5jZ%B`U12x%pKq`4s{Hf`3!%L(Ql`fi3)TX!IMFSIFMwo#} z?Uh_jvmQkPH!X}f#4UC8Dytv?LJv-22Jdh$z+D203Ce<+*RD(JpU|RaSbV7=195*L zlA?CLFOX8jLwQ8;mHYnyAW|0YL1=PSJqQm<1TNGJBfX4XLDgK!-Ofj_u`;iSD#0WL z++kw`7XYa#*Lw|>X;twwC7%(Eg87G~SuA#{3E-Y)0X1eSK*x}1zQQ-DXYdpg27F{og%Q33ofGE}H*uc=0bR|~bW1o|5k z;RBbq^d3Qel}i`6AlbU_38L5LhE_*1r~RlEYxx)o)eX4$ z3aS`J8vO{xJj^`xupO zeqV{9>0j<;A-uS%{vaZR&MqMA*s(=y>~_%pqnBuLjQ6l} z$#?=~rpHtxaBq;8+~#$7d@=@v7Yk)fl@OFNpEMnA9+7_nOKSWR%GdosXi#;i6t{NP zQYB1h^n**vH|Hf9)#}e^Ew^N>l@7AE@njvQpX5C%rHFg7e`#@EqmZ_5ZgeQO$Yik; zi?-6@gfg1IXBk$a!w-lrXAtrlU?FXH=2%^Rud&rI64!OLC7xSg?f&Od|9fEWKTk zvSg!+2mm=QjgB*~i-Cet`Kqqh^2}kT(VI8}V_-4NAT{hD=Ix8E6A0z`b38{0+M+x{^M6~B7=SZ0LjW0K}oPJ zj0@A*c#!}{%b7;xG~HctW_rd8eDYlY!%o0IgC>$Hl*?{x+Hx(Zk6`J_p1h)7h`_pF zTvxT#1hW2Jfm;&3BL4tDYBmS(^~gX1_6M_=X@vmB zjYCg}nkiT;V#kmXwNZ*vjZS7z@?xl9ZglvTkuU09GEfxjD78cuX(hI{-<|$zD@)D3Z&3u?%g(jTYA z^|u7jRes@dRm8l;5(>awFWePNid_s9%5T;gRP&LA?B+4VUFcMrGs#wN{k7mBiOfs2WAzgC$io^~3H5(7Ob5U$C|i zvk>MisO_);02l5BMa#CvSXpJMI(Yz>(?(omgrVrh{XMB&#O5j~Z&mw>CvURa0_tQKsE7E-rNOoQ7chh%3@!N(v`4sS3d>N` zL?A*U-kgT}p~-jd1(?&2R+s1=!TuRlAqbsxeL+(H00BhL!_!Rc3UJ>Gs!Fq8Rc)|1 zZG&4P#Jib@iMKxZcWnVOkEF8AlD(9@aHT~PTSawo-`q!#0JSZMg}pRj1bRdMAjBvj ztl4b0rZ9g3h+}oE3S7CxVM%jl!$nVFZPReIG3=(tB?(vteX{GuT7EGyB- zzWBw}2V<*1DD|l4HqKmAKy1@x{y>D)Pm_YRFE%ndBF4)Cv_Q22^#C{AAgdm!{{YM` zqc{Xnk`rJ$mF);R=^60N4^TGTp5(*t?scewdW@Yc3sMv)N`p#mE&>1xjpPZhkh>TO zlnzFdC~jp66>MUvavB+Z)|HqiDBq2mh^mc20dZxP@z%C1Uf9BpN@|f}B}+9#7+Pg< zw0vYBE}DVtfL>m;QOrD=;c?lKltA#)+n9stfdDy)9$l{&<--Ab1inC^*n6{(EA_}& zVR7tz36qyDBY|p17OA!{Eyo8b{l>(BT<*ef;tN+T==!%U7E83W!QF{pRTEcFO+faa z*u#O^0NOxKL|O~&ODn@_YeG2LGqKvuavGac0w5i~c36Jk9BS>k=j}HzNw*K;3ap5@ zcOod|`w770U^iqFyh-~RDQjTR<;ubT;3D~2oWv}bV zS*8;CS#$@GRGddS5m*kXDkJSQtK?r@vmX7009n6CKcreK_Cn>qWChBWrQ9owPuY7^ z*lK0X3$TTrtV zQD_-bQFc*83#gx@LdwWR*;69-rOFpo8a4qxQ57+`{7_skJ2oBwuCA?}tnXZmo|Lo` zCV0AprBqIcBK9~qHuBpA^h;av2l2RIT{lcmU^k`T>RQqE-YGPg3JteA1(d1{0fT`d zS-89dS*rJ7e%0;y2}bBU9K-UvcF(_F6AI%X+8uhd`IBI&W4J>8!(q*JzD3O zMgXzBAszgmQs`Hw+=8NuhtfB$0uf%w{8U|a6&|n-s_S30uc2jPrz^*BOc9aUfBXpkxRKFsn^^nIkB3aNDkw6PrFRPl(fK`Nmp2xH23ne7h zbZU!XDA$@oGhBRjXqr_zW!TeM9PKz)B95EUj12EU!V2~u-@w6uwa<}z@VpjNE!i6IAf;boxa0Ru15>h) z@c#gCi+2g4Xx}fXPPcd4ldYV^^)-< z{HmN~f?)}*324Rolv~Tl=>hx7V7K)K;i+}~wO&d&`z!RmWnnC_s1)fBhAov{Tw)+pw9BlEMX0rLN}nq`5LI7N%a|~R0Gk%b z!k4YZD98Fsz8O*f0Dlt4D!rE1Dm=I5OjWq%&tDP50Jsxyw#{G=rlVzvKTHAbKB^t6 zo?pykp>7V)G^M~U)w)#S7^C|&D~%=fu0&PP;vKl{24qyf6=Qx@^K(P-q+l*Jc1 zRADb)9gGql8wv5jlwv#rqExQXT)E`(nxZk!VzanwI57qo7!1-bX@wBv>^Pwl#8``xOK^^ra?fB=*4bI!+f*I z)0flO!riZu+OnT2~k*nmrmln&( zXa4|W;JaS$CH}{S1aP%(+%`5;9%X7ADfYQ}hVLbcRJ*7^zRXVFCnma^ODUBrmbX<4 zc!XO4ya`ddse0{f_M4Vj$f3NJX*TjAFh{>Ra-l~}HxVV+*Nt@ffHn3Y+XXGH$_QOr z&H~1?NGs5mf(P1_1l)0VSu|fa6S--imZ%rwQ6a4kF4y+N8GM8SsVp#DuyZJMgx`qA zVfqjc-zon9c>@A2cgTqa6|=erYV zq%@9-W)*uo6u-bp5;0JKzCyb#aERMS;S#+@AX_dIM0O>TzqB-v9d>-qM7@~9YyiMn zdi_PY*Znvrs^};^m77gN%8MFN6+nbMT?%O*YUSLABS)1|-(kkl`29+HAS_uZ^dl&P zN*{>)LRQ9W1O0?{k=joq^%OTsksQZsSvqfq^!>+Lr-EEqD|clKqiO&l)54-M#Ya&2 zf-Q_c~}xcN?DY`|E-Rb8+Vm<8#YgKhdiD6QKiPiw zF*qf$2yaK}5(||Fkqd&G{X!8#Bf7N9IBmYzgl4r#aReeiS+L=J3xRZ7uDkyLxX~0b zdwX%vj04}OO4eIb0))qDWk*Zl(4=g6#&HrLYs2POVibHg z1?<(|)Vk=%{am?fou2rBP^!iIis`FQ0pz7YS}S5J!P32&3*xLL7Xpu{q=TBnf-b97YcF%JA&aO!;7dpQF8rrSPY?q7OAA4@ zv^5h=h(O%bSylXEX%rM!YKd(V1KDJu@c8?dD5B!92^JiK%rzgT8-N-n3+DyoRrI(U z5LJ0(s$&4#sY-TQXZXXXQnYgcV?WvtRz5@8T@Ajq&a#YQDmoco>1 zyk5jHh%4?T1*%e}=?Fef+^EB4s#W@6xdOQ#C5CFUOI5IfwXbEOU54DMe5xsEC9b|^ z&2lP!ZXpJbh54*}trKGr7^aKs1 z7X3;XHS=?0a^t@bw6c|F1EpbP^Rb(R+K09Dp+QtTF<{ol}h$5nN`dMf81fxj#rTeN2t|ApaTsb zv?(QFORuP}#93M;`a@Wf-H&uc?3m(-+7L5`ht&JAWww9eOkXe+%IQ&k{lRU0OJ0(} zz7W5r2o4N=#{jXkUCWs0?uoQewnK4Xt#vEwPEULaSzivyg57{kZe)t(_#xb7JQ${1 z&@$eUS|0i<)$uAv`hU`2)4iDt3KrjFU@R8wi)*vDEQM8Ft4M~^%y^`w;EoNElsYz;6 zX`@&^;8%H{abCs92?l0BK7kwXuALL9v$G=V87rNMtqmFsd8O-?eaA&%4o}2O=rqI! zYe!U-gO7lApO$dDP^k zyTV#v???5?N0}4TUlBAF7f+H>l?4${=bP}#76o|$UStrasV=1g%p!vH+4jInAN}Or zeytZpXKP^!uYrpNzj9GxS{-`z&m=XT{##es-b?XFV|x(sSomBorNGFi*83fTBt z7{$t08F}#%oM6~)7Ly9ySA@KV>MgF7Eo~cw25Ia~H3W3X-(rg4Y$ECQ73{PsTGRAS zd*aWG5Es@zT#2{H8j8^Y!ub%*(qQ(eQE)GdJ{1yev$=00Dg(7i+?^L#9219 zKah&_tQaZYRJwdc{h_IJ2!NwVuAjIDmn*#>Bb{Ym;2sTI5n2>-02h@7D=Y47T}&@I z2SYUqU-II2LRsr*TOy^i`na0@Tc5Y;Up4-=_7`9kR9w~#RwjavO8)?mS+xTo9M8Du z_>X^NO2N|N8tT=%2r`{zeL?!tI~7v1Kr7&Y6#7jie9b-d!UeU+zsc2|maUc%#PFmej6x{9unOt!zF@-h!4V`Ww3#3Udyv!s0KgJ zsMYm9T&2ynFxhU*C4=; zB{1#F1zA;C{!lUO*$ z1aW9VWvw>l2h#<8s1)R|i;kAx-!2SYicmxldOk8-o-qQHo3TiEP2>p53=e|`B5T3= zt$=mV)@9bUS-XIS>a%^838f+IP<94G;ieLR(xPL&Gz{**x6MSlnOEzwios-We(bPE zoh=(ywfisbS{Pkw7olaY^G=Kd>H$>X@}1@OP4=Zn0f&CA)KeqGNBe zzp9#n;y4ah!?2reA;P7M-RsC(4@++Q!T~s=c^1lTTXp0%{9QyhE;6Z@%BRa9py*en zd}TmwAxw)JdiGeowetSz5L+s>Q4zOQ=$BGG9a{mdVTA$%?TDeYghV?_N)&vuf;p*# z09$!%yqG6`7!c7{{FJ0^ZT_V$bcsQrzvNNwR4DyprMEZAmUo7am7j8 zhMfJ}NXmd4M`r{G0hHg7v&*XfMh&95JEZ>LrHx|jmGVs73^ zga-Sm`G=2w@K~&7`&g_MaTWLR3xPdKVYd{D&IO3-yiI4~f+0ytY+!|}&@)$3>~{T6 zM23k34JK6RFmn2Q|i&D+$l@%b8znX(G0 zygvjXwLSto95CH7%_2`W1h)~XgiZvl>A!ysmQc$y*o8UCrJ7r5tBSXsR60;!#B8gB z+Knc~@YtlFs2eoJs#`=8M#0Scsjh1@_Q=^QU4>WSgTjJXdLnY*AEgXZ;j<7B3w(;( z0o!6|Tz)kbI&1IQdmVx*mMe(@kJ5Tds}03$xhU&#NLf&TZ+0z>)Or1&uua0Ni>`GixG>^I&dN zN|ga_c3?#|=Voy|d2zwGP2P|jrw$D@|`0FL7OgoLa0-xa^=vifG?=kP+ygs)=~47ck49U?3}F@WCYq*v4{c z8bE+v0$1)REoKst`XdeKXctn0-%r$0H!)aROOtFfI&53Nk~JEk@4_OzFOZvU zx|`t)S&B5Q*2fR9qp~q&_*^Vtfptf)7wLZ3_9ehVjY9k@_<$Py!o@w6>OoUnl)sZ* z8S?C`6^saL?Hn%0;8-DE$NI*psHu?^yq`>>MAWeCNJ(*5Shb2-lH)6kH%`Uy{lW>+ zh+t9duJ*JQ9$t;a4ypU7Jr@4kj1aUeopdahP)b@Bl`j2(HC6XM0dOTDT?c%W&!Mfr zRX_*v?6Bql1nbq-JNu5Vs_j$)m0nf0JeuN%9bdS{3TMK#c@0~3$L1iF6fi=ZG!~fI zgnA6q198sxe%TkZzp?#|4QQhe+*#-xU6V*K)TQdR2+R^o1zbQUaaO=Dv!i=41>f=p zmy?PLt^7tWCB?Il*Ui8fs()Zz10v}ShD2FmQ2BmcOrwm!28d`;TxS6qqFGyYG5b3T zTI%Qf5lZoZVQ4mH%GxYZ(eX8A=b-0O!~&d1z~3%jNFkB*hz(5!mqcJ|DBrPkP>3b}T9|3T;&L$^Dux8y0gL{(s6}`U8oz$QiFRfz0JJ=(qW?8l1N_q=y zSYSi6gA#E%kOu9gse*i8NYLSFA~5^ovfuvzMr2%T{DR-|ixhr#{{S+w_zgh2ZPF|Q zR_DZG-9rjQFPo+xiC;|EXPEB=&&`buA=(37Vi0BkRXd_+(*dj^`d3YwRo~y@;(YH zXsBgU0aaIUZ;b1H#ppnz>ohf)L+<65G@k^abWgTvglV0afW9Rb!U0df z3j2IS0nL=`qM~fN%RqT9D60xujf4pbTjfp?Io82x_cIh(0AEkCm7RdU5Ly`7grWzX zl_;n%;#4g%J|e~nKnPNCa(RyJe83tDh+E)~UE^RN zwf_LbTcwq@$B)#nlz0Kia~q{ z^BR{cVR~CBG(UGN5xZ+bB?ZH3`x-V`t@aE28H^WKP${}b^70kO#t~^!%Rks7QV{@_ zg2c#Y?2R-y#nd1as~oa!Wb=7qEl^2AP?w6^wnX=inW~L>QKLNRV~|N_KYSh7Jw-U1u_VznFhs5 z2T&!Ww_m7jGME+(xT?9o(l|3%ZC`C%w??ouMLR21TLVD`=Guj9BAQkScD;U~>K(sH zM4(+_2dcARg&cA!ffawq77j5?Rb1uNN9;^)t_4EhUPgt|{{U!(VxkDR%T-O)rrJt5Zg^^ssQx6sZs3sier&5d*okW(hs?JKJEC23;JaY#`u_vE3?o<-I{%f=E?yLT7($do zCsAEqS@m#7?2u8azsxQky_yV_VIp-bs%2%sKwfOzLYRcBnny)3v2Qx;>cYl-;LD~_ z+6tc;EkKni5u$$NxPA$$Lw;Q(vH?ho7j@PEEw%&;E+%3DQvFL1JV9$*FIa*5ZI;OC z5gdeU85~tt2|hZiq-CB&z#!z|8A0OiBKIdmZGN7S#XMrT#!h=B{*ytezu1Vr*3?Q_ zL|z5|0MxqZTE%^Yd<(Frrip6L%%Owui9Zg&;*f0K+I`C?IQBOfekb`$8!Ejkvsy)v z1njWVJX5d;5m=`flotX~bxlQH(D@93&d*d0bWQBGeadaYBy&lL{lW`V=W&tA!r`tx zsJWn2+<`4S3Mpg49?b}pL-hm|oYOWj{m!9jk?3K_+i6X-@+wl)cvwbCv={!E2Bj^} z@?09BWlAhLq9Gw!6m2dT;d5m}X;E)5`Xu z5JOcBC^Ok@fA-4vKV(g24 zqF+`D+EaBA6k5VK7hRb=CE-4n09--Iub85xV439HgZ<)O(^NC7meo5QR&MCVF{;X4 z93}4W70F_<`7YU4O)kGtC_dprK8CIJ2wtMwck2HDaTb1PjfZuKrYWySBfs@T($-XaDTRcE4{j(_yiwL0Jc{b#yA%WJTl$DX!d}2J-n9WA zV@dW|mI%K5s6lPUvAT$=U`p-S8&MXY!xEWq+-8{6DE3t%{57uYD?C5C?B+zJs+^Wj zNkE|$&xvp|jna#iJj6?ikc3?gz$--s6*Wx^1hf|4+)ZcHDTLD#U8B#K*Kj^o@&;-r z?RjlNE|XvisIuMu{&G}(wSTBWkTUk!78^I^AX}7WJgBgBd}|vn@N%1kRJNRnQ0uhI z4Yvd1urgY`il~CuBNW&*UWJoV!S}3Igm19aIqk#^mAJ}R-NF%KuIeRYl{{jTqpq-VqvYF<8gQAjp*^zz-k;UQ95o)3LljQ2x0sw^V;oTrrc_6kZO^5F8pS zhnwXPOUy7a#(g)qi)zhqh*4nUI=h2A+9g+6KV^O zMx(Xt*ACBVK^V=p{Fi%L-bz+cBgbD4$H`ax2 z{-G5uzE4R@QuTxW>vEwDKipN=Yx;}W_aX|m+!Is9z|3`P^$yhhOFXH60}*j8Z{&gl zR(RC>Fap*amKOFZCLfq)PjhJ~ z&?TGXznoxBHf%orswJgOw#B8t>}8Fp?UP7kd)n#%039wlg}q->Y1fFQb> z6d|l^5~b&{&oWa0WImm!H#ob7+zei!Z_En^R(Vq-Ev;_WWERCWa}(Wsz~u^;C^8E4 zpV2Ka0k^W6=B7Y@a14R^t%U`VVdQ9A!xJOp<}C?m><_=dmXNZx8nFXn{vUFrg#(1G zthUX|92#P!jhS+ZX`F~p2qD|~lvh^6KB|J3ePfD_O5M7SglK}_eVmg)BaLn%_Awk9M3#>mG$ z)S>)Th}BV5*=-dOZnmz>q(Mq3&e97Lg!@ZPM*J+UR^bxCg8u*|lj@yI{w{Wc*I&7E z+@Y$m8HgglT@j+#h{WJ~6(+K4_w%!*pF{kTP~56n~@MaXwYJ2(b>K>|?G zl(opHpbZ892A`~ypnjW$A}PrCV}Fr8{t>d$Md7fi_bE|y^jxOv9C0j)xP*Yuh{QQ! z8h}i?meU7dADcauuQi44E~Z$Bt7SxWcT~U`0k}YJBioVc`<8B8YY^GLBbu23_m#-? zs%}vPqzf_xx5U`&1#)AAMDlS6A*iqR0J`K0k3RuzBBjT~US#dVM*|_i5h*!QNM1pX zErP58pSX-2!gisv!D4Sib|TQ?dOU^7f~Bh11-n@mKQ6TWFql&1tRT8J1ufwlEeC3W zE&Y`TK%%u52%r42*Mu_4eS)l)PsZX(q{hpJA+v98n`%~vW9WGty?S$lyT zGLEGKIb<5@>Igm?3r2wz7uS($AsRC5nwF%MP>P{!*W9bjTBTJ%ux)E}#LgexP9UMY zq7a}(d$jff*J$tEJ{y42fyOPFu!I!b_@+ue2)k>fu?X9zuz&(EX3IW$5d?k#bH)#% zQoKyGTZ%Pj?kLwgk&3H#zb^esiR(T{eA+zDK@@NK5YltpfkDpQAJ!X2&{VYisly!D zw_a4G8wS5~)+1hs*U7LoEqg2{$#V39KjKmbpW>pbu;o_daY$uVMb>OEgT$iLex(rH z{9VU}Iw@F-QiEOyV`lq{eTQ8`a38W&&1@5VbOh!1HEf8jd z>*i1&nEwE9Ym!Obg~2Q8@-J-&qi#7X={P=o&q)u1v1OC$YMA(_0kgY?th7wh{{U%E zeA$Ms%ZFdMA~G}DhpA4rR~%`ZJ`vFQgIkM~cZ>*4mK4yx1xmq`Qw$FrWr$L-s0~GO zQYH9qQ&0r^Spv3neq+a3eMizMYl%ytSrb)ILI%^=HCa~F7j03w{f9wh+66*d;m^1X zX?UddiQK5#V+_k>G4T{0dn~4A>>yf|#VX>BHE zX=i0VwVP7(iXTaH@f9t<)BzuHRTy-sQ2DBt!mKok8_J3Oq*L-2b+4gS$Ss1p8B$aHY43cchBD4bO5Wd=JqlytTWvlLQw zir&{_J}#j|9s6pi<3~l;NqBisbvu`Nr^vvx@tsYBz59y5qAjQOA3@&4`eSwrL)cSW z*XmFf??_vUb1EqGGHnsR_7F7f#T*@SwFU|LTx%#;Y|lww>MTGMC28&IbpGRqQ|yn# z5ViqcH30Z)7$Kz<$zUD2i!`rK+yq}Sm8?v~%2|X5l-$7b`4Sg$7gEm8;L`k<063Fq zGLg@TR+JazwXDMWqiMcfd_F)_ZuMs_I+;us>SG#;kE>BMzQDp`p)e)l+}Rj1)dIR0 z!<4e9ZDKSHkSEl--b#g>zoX_+7$=r!BQ#w8N zI|!|*)(R`?%80^bO9XcbE+Vc3ZCN+VO#c94otHVZIaEqeU(Sd;OIBS~>X!>|AXR~# zUR?SwtOTb}89?~{J)bgjzxP8R2EdjH_Y@UqiQ$ zyk$enhUisR64?Xj*cDLzDpDj1s7j^)ZKCDh%AyNYeP3rHpq=Jitd;y!6GKpT2XC%H z^sntZQsr!a!v$+*mX))NsGf1L-GVNg!Guf=X&jZTPzyoz0$pTYqzVacGie)z6*gk- zHjN?|!T=2y6ap`#RhS>`LHdN=kC4HO4}?=~hFfc%N*e6*7DNC?U(`<5{^G0T{Zw0s ze!1+nQ{=UgRY#;o#p2+l6Z-%uLVz>C$G)<&p4rO?FZoF_xVy_MjFSLg()z zi{)t!-E3^D2~F^J@COuDT?pB76r^L9j(eO<)^yS1rd%>6pT#SW3(6Cgru~V;lzP@QTfdYq8m^QILXz;gKAV?3%#Z)?B_v z!^%p5R-Rihg)w%{`h39~cTLo?0O0*H`9_BS07TfJ2D$2JY(Bw_sPvbkG#v3NX$xHa zL>_Y{G$H%=Fs7_a_sW)KCBkU3KE#bJK=Pte5LiZEK=M;KWg_o|f6A5sp!IGsn~7~$ z;zAKpL*^pU(Kc04`VWwD>B)5u(^FP*l%kvLN?$S~Ly_N#1q(HT)Q7YDtm?(Til>Y$KvsiZ9$Uvxkf?AN# z;ZF?YhuLvX)Em71;)4l$DCj+fP9RH6Np2wRc@RCF+R1ez)&*52=OzB(>lW(v;QR#S3rgm4)%Aw-PB;ub#zXN_riS5g}k5gASWM z<0o=7Gse#A5~wlog{7c7hq9K16|r%|b#1Ob5EUKwVvxFj>_%8)4t8eMKt8$bbv+CkR<9JnTkdL*zm zdo@=uD^gExYZXWd0Ji0h#kfl^{bNZ;{V+brcHfCbOP?b+)?=yYOEoa6RO|}FK1o*U zy>49q6IFk|hn-l!`jz2XRBCww?6OpC!dxGB3KYw&fCS7^+VhYP)CRlpGPpgJAjgcn zhSJ}cA`R{}Uy@j@SdB!XaaB}VQLOlu@&W#$JZPJj2Zp%|=-AUh+|MTbFh9$788QYxnz$#zbGIVi!;&yp@>p_@+=kVGEQ5s6&hmY+X)Q{{Uq` z%hJOw^wx->z+F|s=!-2^?DzDVsb+}oX7hVP~;Mfl&u14J~3i9I1aga{q^E>idC zghC3XDsNG~_9?d|sagRTUg)(T56jrmeP3Wjgs`eTj+-{FpsA_)$lzq4zojr`btB{| zOT>#2+QUV~{11iqFClgS*g{6?rR5aB`tyd`Go+g^z06(BX4EY#6Z}*Z;-pWG$;vV1_A{sr?(a> zw;gO_iZHE&QzB>XVyS<;E|y=F0I;Wx!XllOMHi49-=^br)Nar~8ZrRy{FK+j$xD*9 z746hUtoW*ytEhK}@^*&)*mVjbEZaN_fDA6;Om`B**;*Yv$$H64(l?>3Ax!JyVABFL zfpEq}IT5OG=|0F3?D9qhN}!)Z*;ZxbuxsBZ8~*?y8c}Vs!%Ine%X>7pSj9O;OY5!3dW*l8 zVyM7fXl2IH0X=c|4|>F|rwFQ%GAtFBqkdo}%W3}r*oh5Gx`K_OOCxW>B&E1(@GQNS zZrBXj@O~y_D-^^YODD?^)lnO9krmyUo){$471`v+n)Vp|r+N6^5dJmt7X;sk)phzR z0^q!eqTWXST>53?Y#%rUQmVgk{q_mwU$soY+*wxRTqR`vbclls0Z{w1O=IpPhRZztRn+XMSP>Q?4d=wc`ft_u)Hbc zaNP+(WJ_pK{{X2;zwnn3wZFLbsBY7+h`KK&8x;DKJ`B38Cl*pY6&yZLv2F*M6P!;VoGQ=y2w^XTKS8LbgF^_h2-{{RtE?pw}(VN2};Ly zBHMZY0P>Q<<)i_NyGRB7+4nGZTAp2`C4^9)s>I4&@9I!{aiOltVtg#s@}q`qT?z9R zcATcYqWp>+FDXP4^85xFQLddKGjmW!+rjk#@!HqJ6}?Mg`Iwv$@g)gxJ8H@m!YL-> z4e8mBPoh+W)TPwfmP=4_Bl{}cq=*pg1Ic-E_F2!E3Cf1q58QX0iU{q&vcuoP43$WD zWhzls+DgDoH;C_?53DZ1P-YcU z#LUPCXHnx6@g59-ucJMe$gf|Sw2o{06Wts462cz7;J1V}TBx;Jf0Y5ON{t!@0DYRf zffl_vGB zez6T-qKj@qVeX>*rJI{ke9zkv{6$K^UOuJaM!C09fmLFKV+g&FOq&1)HeyR@Md_je=d8@lC~$~qIL zZc*FmHlam_w8k!kb%LoPBl?83nu3G%E&(Mt--%zsq(hFFd=9WAC0kK=fNX)o)-RO= zxR4t9Lj-E!@vI}3kRD2W?Rx%@YNvp-hJRoUpM5nPKHwYahm)$YVyY|o7WZFsP;h_$ z0JDd&S9A9Y7{xk7wF(u4oOT8M7ZbBy#?O%fA7)J+idG9BjQvcDA+$csF2vW6u$zPt z79SoZoJ1G*1;MI6WGF4WpIy0=!Bgr~sKzD5U*+;dKgzm)NMcvCxwC@x0IPg%qX;c! z*1(fmrC5x~iD?J*)`pN(3>8tE9fiwdX>byjkmYP$P9G*wi;17{IC!cQVRel`b(hu( zBC4`cCVhk#bXBmGvE)*M%NFqY6!@PJvXCJcy4**!(`z5BmZ-a=J1Q{U>nVH5VYb6e zCB&DM(Sr*!a4Oc=iiz@Ih~31X*G}>TYiB8{ia1}?SMsnIP0D_%QH{~ahhQ#ILxFa2 z?9n>6%84K`;j*rvRTP**g0?Jhs}jc-_QN+?6I(3fUQC)*dNq@a{YL~Tyz@w+1+T$2 z_l3)2wm08l3*)kv+4f5%Minio_BMk4jM0bUsx|um0Mu$|i+swLwHC6HwK1UyrmYrq z^KXEID^jLtUE2x|A&XY@p&bRwkYCu6mMu%d{$jR5RH?~X8vH9@fs&`%P{q{G%QZfd znNP&oXeuUJH1;?_gK?A2!`h)62Dum(`fXe#Ax16KLTjvH5{E*&DZBfG(%n>~%$iTC z=`>~Lss>ogfY1a{sV{T* zj>KCXIQbej;6o?R3*-SyR}1oblnTENcFm_V#(5~k_!8m_A;z5pjv}^+@V%uk5MLsuv*x@ScPjs^otsR zwU86kWAH6TFPkl<)CWME&=CyWYJJJM&qy@MwO;oy8YavV?NR$Sf$hSh@@!w zTM99^?lb{obrx_2nr)>-!KGmOl|@XeQ&kw1?`qOecqzPXxmUOm61O3ZRNztOa2UqAir;EpDmR!Y)@KLA_D~Ru4(Ec86(tM7&#i%c8Rf<(Ch~ zke70)g+rG)k-uvE3R9-vvfVa-C6MLg9xzkM!xV~f3^0i~_<4~$j8Y)2{Cf2jB?~O< zCt%zw^vs_#Q9ncwHdg-5alZuBM#kaaVAVU}RP3c;AcaI#&CZbqJ*FUqNBx+BL3M1f zy&^nS3pnIu4_Jcc%L2##X4_TUe1d`)I>H4S3;iWWZ^cJcrPGzjthG6*aDdxE@fJVx zHHI_~xiFwpC9l!h;{16M!i(`O(X^K7EfBglu_5=YxmBf-AUhL@epERqFBth>n4@EA#bM+CrP|gmLz-V@Qp4C9 zsc2TbNWt5uu*#(Ahyjp@CZijh~b6qWiZn)I`Wum{dB z^JBc*ZI8#d4d=eaeemr>y)_V0yRp!Aiv30bRR$n?bA(AMh5(KIasooUfBee$AJs%5 zsrW_>hCcz@8_86zuh+;61zUs>QK#Jg;IIWg?i6jWh`xZP_Fup{T}3Oc4eJvPX~RsE zo8X=?7YiQt8m11F06c=#M#ef<*De5PH+)LW96PU}-`2^w%dLTg=uuu<-j)V4g;Umk3H=?&8?lc1@397uXyhwHw-5LfL2DJ#z!x z57i4o(D`#(5eJY}YE^rtWh2!-z)I8$tYtH8Zp4<9M0|E-8{oP0ZV#rwfS8OLWKdry z*V+DSXHXtmL8>5HRm=!hW8|z9w@+o{H*eTngIwbjjhnS1)}d_?=&QXQxq7vFa;1yV z4oFrFg8G{P8i;HQ9m=}=UuW_d;qh?*AgqFKFMwHu&M$I{?z(k zW`^2XQPf_6U6eO%(wEGZeg6P5fHice4pkcK*-vXg1SXvn8yD)-wPm0CjpA6B<$TUW z)I0U|mPZ=@043O>P73*$7kLzR7t~bmK+04(7Ot_kP+){Al%Q(oRq0CrqPt46S7>BD z22{~?oY0T_$z}**p2VVn*1*T45VLP?S~y{|x7Evqp#Y=-o7PJ=N^Q~h5CtW#iEI{K zBjRZX;^tshzp(@Bl_*t@P;9i-IVu%mmL4!7V3nF&3vFAJs7nV!_1J}5Cmmo47Fo#j zFn-}I*X^v>;Hj$E=9^-nr03$l58;#_aQzeXZyJli0Il7M#{U42lBGMPpjf!MzB@Wi z&_i{oOg{o>bMTQXkpmt$N*$9Q{{X;jxLSrrv3WmO31|_vE4M5*0!<8|k0lsHTq_%< ztd&CFMAd0{5Ci`JMB6z;Rgnd@lC|W_9V%HYE>jk}Z-6kO+T6%ISc|gYeSonI!|Dm5 zn#+oV@gi6SfQhvj_1?`Jzo=|9o#jRYivG*&2anvoN5L8cK>~%bQj$!7G#}l|kD=X| zI&5rp`hicl3+_u$1`EhaUWh-XM`o}?`xc@IDa-7z^na{(Q~Hj3rnR(o3WaDcVGGa& zL{QjeS{d!npYmz7qhobAD=baZ3m<7_l@ieAPtc2+47jBX!2bZL^2|}3UT@i+#?@}> zr3ZW=&chT?GPvOb?r+iX%`p5OVpRVCw84f-xeM5B+Qq;nj{7REVqfsDmgO>{nT4+j zd;En&E5={yDK`ir$Auk>`$*)`nqPtlE6X<6m_|Bs;%#0B*jy-$91K=aOIKnv#tP&i z?DY6Smqq^ovVcD_==e|qs^BF69Z|dV3wj{=r(Zb_IvT}!H0q-w0_Wuiz~j>zyGmYL zQ$#viIzkXp1Sz2OCW<={I18?l>1wHun2Rm`1)`je+`OhIa;(JgSI&qf8s@=Hus0WE>L7_VjMOk8;^(-L9E%=0$r8TH&G}%Wd(2tsm;171E za&wm329&%CxD+i+wC&6LmqIQiH?TwFBP&bQ5jv}VLuvw5;pM?7(0dc%3CFiOV0BTO zMDohGA29T@*ht_t0sO2(O28{@8RW5F!C7R=rUr+^#S2emVwL<{5xBOH2y*d)bIfH# z_IysljI`VAtqSr|MF_}4ffvBhQj2Skt>nbi)Zz4R@(5@Ve0Q?DtBNWqD^s^$U#0pBdU!Gsc_@l@;vwJPsA2?Wx8R5qI6n*;1KNB>ar3g-6O2Isf(L+ z<-l;NS&_o#`zWqEG1@A*DIA!NLV>sJytV+pdX|^4t7Y}scmiuYo}`w4A!rl#U>FJ^ zWwKpv4ka-ri?{p0m~+U#MrAU);wl1WeIP1LjhP6CvDsylDQsm5c#Trfy36yV+)G8; zOIABynW9?aTUY#Kr4Xg(v7xGbpBhKbMl!qvQ+QRuC{6cX#faj4WzrgRUlcTyyDQ%+ z5i?kSvy2oZ=Yt-bDWlC_6|!HkY)h$3f34R#^urVG?#0G z=`${9M;V}KHZjTQWv=p~9(N**(Fbk;ltQKN?%=n9E&wx#@0i$0o0#Mz5Z)Fp_*6Jln z(J~h+ZGLWamm&a#62El=fSs2P~Sg%uMX|m2Fm@S74t?xOWy`*{h3g1-xl2 zJrKb4gt6O;rvCtq6RTlp(t9X{ko+|QzUACM{DiHlnva0(5zrdPehinF663a!S1Bl} zK)G2xy1gvCB!|5J)!4gG*vt&AN1p|mY`0LRmio}(+}erilWu94qeNATu+kwzQUzS z&sm#*v9n+;uS~MF775X)*h*?s2>KbfvBZkBsBB*)hwfG2hb$brnQJRoPyYZV?4KeT zr#rAMVg*)%bM`q;`XaXVHsxFyQfjX%3W=S9jTdzh`h!x~2P#mS9*c4n-??WHH&9+? zLCS)~-M}EN^@bHf<=d?Jh&9%Z-}VHp7w6(Bl;Vj^M-?$}*+o7Knr>9ZEOa<(1hxX; zab?9!U;hBTgk`m08f9lnpF1unq@s%mYK4(GQ%{P>Qg0XrMmWS)+rLm*L?yct&4oAQ z;a_T9w&ge*uUcM86^>-ohrX!?iA4 z)X{9Fmwe4+1x}0CSwNcg$Q)Ah;T$8nfKC*FUu0H_Kom>ASPCE{EL!6c0Ayiwz&Ll< z2)R*0e8!5~gwQT&Q%i~+{8=^u51+vEmo3vFe5iVw0Y2lw{ZF|%!)z>n>IpW#3SSp z92;w)U?6j)^>F1m9$yG4H&|3ns8}O;E|)iKu$nt?=tb5#QF?-v0!jA?WqxVMLxac$ zzBz940f60p&7pUKKs1!&?7J>j3Wyf6#{Tl6`ia0JBo9To3K!|wf-O~5R3U;<719f; zg4OF1Rz^@2vxtQhRAaSJwSBQP#V>{YN6XI##44`zq56vZ-dles*&m#U4%R0rf|jsa zBQ3b=j15NdvtYmv;jz&f6*B(-agm2XPRGL<2hU8-6 zuR6~5j+K!&*Zt}$sG$DwQjXUKqV0KBzfi0$t6!gDZFNBj-Jlj*2c?F@`enSMCg}pTQmA$KWlB-^ z0{#|MC@<)M((r^o)O))cfU))^JRzn+DF@(`GkJVK3Rld(%D7Q%c-;hU$U7)voN_f* zTw_Az*1Cb2eIV}D$1*go? zOHv%g63Q3`0}a(bNpI9cero+eccYgGEGyz@+te;#fl54sV;qN1gRzG&Ywi+XWe}f4 z-zwPf(!+ndmIb-NjB8j!^SQuJZ9Ix<#HXK#hf0-tnGJ7d)Wfr1Ck#M>7kH+2z}&0D zYA1!-cwV?%T%^m6R;Zj5eI2yOxP^K4EE8u*I$IC2+Jz! z0R>1MB{(_Q0+osZ!qgaj7XaB$%-k&ql9eJBwNXK3LF)wf${C(2{{U+RD)@*L_&^MR zQu(-O3wX{;jkX!=PzVHVXds2!2SaWU4bb0@?7}4?t@ zVKfKo%#eQIUpNm{lgvXxufdgkms=>s(y z*~ydgq;%m1B8`~+1T4(UdTZt?xcGR>QX13Ol`L^K(-E*(hN>B6SmwUQx7$9T0_a-& zTQ4Zt=$jIVELm%PVOaTIh!M7wTcV{q60NT)TQJ0a4HDpdpwgu?xzN&Cizb;yN(yQ4 z=`x5K=?_M05F#bQnO1}VJ%sm!WWRb2HRdUJ9YAjEXd|9142yfzr+!&n4kBrWtWnZm z=*A?9Lq(Ub34;2e0CSB1vM>d$FS$v0RqzRL4N59AF#SN{MM_JZlGh#HrJQBnZJhL#H}5!lx4M&%SyHIL31)Y0EOir1vhIVsx}2upwv(x-`5D2D;?N?X@wm!AQq6h1z@g^@TeoJI}jzy6?t&O$f~eaRQZceaD`NC zD_89xWn>iC=yWLf*gH0==Jf+47M8020J&zj!1;3H66s6W>9vO{{HwUoRY-wv(7A#r z@Qf&-YPtJU!cdal8j2RFsvBdG4i0Pv>$lIGl};CNVy@xv?8Uu|YX(@3UCvewVtl8c zraRqo9zpo!*YwJXcvZXVLTZPN@*%EWV|O*|7=R2$&4drR(xTX6-vLZB_vfs!D7`<} zNE^wfsubidu0T+H!}?gjNDUmv%AW%%h`4?lNPdiFnP@zKAV4oCih>Mn6&zWmSjAq- zJRlOTrU&YJ`5Qn>C1t^Y(dJ93T-ef3A-Hm6D|hBxqFhBTF8wwe{{U%5iVm|4rL)D- zGsscNQ&;x{n#~LPCP25|Tq@ZkSgC#+aR)rugRmD{eNtdL!s{8>EGesMRG$#SGzC|; zE3EQ1ohr}VL0X&{RT%-jkJD8Iz3n4k!vL>h`^cl9mTtev&9$pnAwx^+)L7~85RA7f zmN?7J+!yRQ0!G+Dwi0Tkg4O&bgU_gy&?2ETX^YFtxq;aQ)y9qf5kPhdILZxx3D#Q$ z?_K*wqQ`RoPScFJKN^@mNYr2A)$p95zl%LT-cVJ!4Etb;-m`)jTCJ`W#;)d{+Gs zhS2{2rN$=0P^jkH=2c2=F96+vRVj9kJrPVdZ?D7ZpQa3`+!L&pn`3F>r9l&{TmwC2 zZKcXt9|pu?ZP`n3+JQ-vnX;ZZ_Wn#6m#esl%^vX{vk78y-NHhYD1So0E zCCZ%7h=8)lv8hk;544y`P_mxGL_;+B ziq=py9NJVa77OVq^DR@zL&UJwJ2YJg z;B_EcA22Ns+vX4je(ER=oP6h_NgQ$pPy0gdiiNU?4b_$ygei(%iv1o-? zDha2>GOw~he#f}7f&N5Ww@x)suaVVFz^@7N+`mbwEb}lf1BU!g#y9ySQEfN3k5*N_jn8w$m??($X1=XVCLReQhO)GB>sr`xSQy^UC?7#SYpqFgK2$Abl< znnQ&O#1B&G-AtMaG)QL3?Iw+h4kmU>e< zQr>9-(SQ9*?kW!z0o7X~jTZ&^Eo!8oGN*R3+kqF_D<-g~d_YguwEhG)i*JJ>;-s3Z z$XFG4O-oO!m5tUO{A-Is%3hG5{g4eFN3+7#*%g8v6Cwk*mE|x5`6^SA~?8Tl<(lU7{KYWF`JfBZCMIkGU%~pZJXsPOxlRv=ud%9ytPb+k}YF(j_Ub z$H6B6GpvY9x(cWZK=?-|0VcaFmZ`6A2r6AwRJHFG=ghIjrRdfk4#!QsnwnQ-%SA;{ zdmhEBUdk#%TzE`tkEldgH-6ViYK^`USXpw-{{SWxX1iAq&6#G9U>=6SSeQI3U+r@f z(|_YgJL7?K=T62>DR%z=bo@d4S5qoj+E&iW&PrCxEWI?TrGP=ZeHtg}-(@;u(rdX0 zmZR<2`XK1 zvuK-LGLFF5lEqzoB7WGDcC@?tz^dvAPiHuKxnR{_GAFCZ^0am)*#(smdR)4kI7mEp z44@{}#_l%;6Op=2*vC?PeSK8npx|v)`-0~v&Rp26F6Kg?sZlk;;|&tvZB^Xjd_ju} zUG(xBveSa)=j{g~+i>hWvi4!XOtAz8PlU1G1-UQL?Ehjs_oWirq_JJQd`)bB~2}mqER* z#XR|{Y$o+IclgRhf!=Eq+KH+Jv+foi!T5L?fkwynK{fy{K4K?t;!y5f8V8#cJWCZVTT~T4^gyPy`nZi0 zt*h(@PD5xK=Ewde%P|Y6#WlP>AiC#1CBo_d4PgRZ2Y0KE@cft2Y)bQK~)? z#+(VR>}wkx4bBBu?;5nG^X>^|I}wJ>M&u}R>z_Q1?XucEW#`)G#q32Tdsar*Ht&+* zoJGXIReVx_)z;?0o~<-BWoq0lG%mAyDGgSoTo%>O+!$gpY~Tji?LqVpm5=~$`z9C# z68weT9|YJrZkZM|!%eMBn!7$GrADk{2Pv*4QEht#f#NFK%4BcmrixmBso6}HsD$(% zBQziN{7MwApeQ^L_TfVdO`4FK zwNdO!LWQkzIQZ4|eW<=5Ft12^75wr5HA2>q1F_152UB%cf2aZ{$jEa;!08T^x2fLo zOLbG>9Ya+C34LjI12%BUU_Ve7LZ9CyCIH{ysxn>+=V5po6cruPnmiDN z5oq!!>Y0-bSCChFVR~iTSjGN$OA@U`i`~hIH!@sCN{3W5bGu9MP@lTP~ z%k|WIyn`I9z-8#Dng_7H&zNHqi3ls$PpCPBu^7|~!R$f{R;bQEb_ydYnhrjDjS~rB zIq6*biC>|C5*Wdctc%xGQjK!E7v+&EQBJ_ce1L6ht>0o@C2jy{X{bK>tN375C_sGV zK!UZIE>YgMeOxc0qFkE4y@T7=>ZPe7sCwi;4j=Eca5QJ>gd0(e(-E7%h4?oB?P4?k z0GNTApnX|1NdLdjj6FRL0`DGD%{Wyh{T|hn0+o#2CM)vLm`7;_=bn80e~fb2>hLTVEx0keD1f@ zRIncP`j|FU=zjIW91xY^_FSPHI&V66R zdYawC{xYJuS*V?cHb$3p@>CX@*r*!SKm`J(#`#jZHXkyyS}>$QA8{1dV?rgKlB5$J zs`fG+GN^b>nZ<7XN(%}Qp}~MYrIMnM?Mt~A-MD~$yALSEKR2?FB|78~xKcx{Dae|! z5}8Yz*c|fe zL=|mhC~CectYyy#s&sE>L0Ej&M^cXkL?-xY8gG>W7pN*<44k(B8genU5xAP<0F)-U z?Ljet60Bsawg??*n@!&vzq*AV)rvYmE6AK4O6bA}UA(BvP!jFDG!6QSHKxOURpbLf z0PF!5wQ#&9A53k3CZla=RAi0$8RXWt7Cc?%Z-$3U))88nyZQ0{mn=3?pcjE_BK26B%~c21>AloKL{5*nBu*i4buR- zDsNJF+@iST169^q)YoR8wmP&AWhKN0ItWB(M`{;mdb;BXFHAZ1f$GqS2+hf0=T2JBu8?{rgCG$p9CvwpWDio9DZsr4N|)a*VJekTod6a0e1oQIz`t7 zxa_59*?xVp=c|X+F#~k|qHETA-vbm1+@it)ih!tg6b0`gQ~6F5&AOJ(o{=}Q;H?JJ zV1?IFNRD}PO@IXbka8)v3p)!c5V%_qLM5!EY}rXd4_5*kmRv$&D|N5o02-W6&mcJ5 ztFX0B$=%f#OR~&(Q7IZv=Y=1j$9Pw?9({vXR3Q5}RgBQ={XR6-8X~C1*iW zb|Hn5hJ}|Bsin_}U?=I}{Rs+xABVw?nY)DiD3ly_T<|9dg)M~mA>2M<`yXI8sYH0W zWr_gjvcKWKsLb@c8T+hYR3$D4l#LC?XJ`s-Ko^&~*uJ7xF}p>u2J{a7%p9=RAE3@v z%4{d5pZ0x}E4H3oZ$MRjrR*RtkRc5%PeM>7Drgomk&>x0STC^(qV#eH5Qr5lwxtmM zgwc>37vx}5$6JxB$c}P-4F0Rgf@do4J%~ptpt-tETLIpBr>Hl7`gm zYCmz%v2e_MBWYp|5CqZ|h_!#XmMXo0%gcXUgNb9^sP-GOQroo!R!ZB0r17@yEN=Vw z$R^>95JbAQWQy|s$mLi|Z=CASl3qA2lD~unqQkRKC)~TDY&%*(+(X^K6iu2j>)T9^jYXmt3;g(CS|;W@mf#}00nd+ZvRscHxQ z;-2bjP;0aljZrJ5f_#VWONO65&g?WNZQV04S}xO_2oRou`N zpDY%mi?9$bJ2VeQAZou8LIS<3579JO?ZA4YU%25;zF_Nl5z$Y?qSrkxEzp-Zv#bqQ zb&v~s%TjZVWxg^}GB)7UDgz=guO%+GWt6%nMT=i*11?o9{6lY|Sh=x9sb4S*HsC;flpoYN z1}YXYx-knjh@<1;0eDnvI5|;c)$E`wML#4NS2}=TZE9Je1-F+7RMjb$X5~*PC1nCH zOvErY>f$NR+HzPTQwX5Rh-`ojPy*BI3#?2yZZ3Dpej*D<3@YN&bAVI;WDOL>gm&Tt z5^w4&*yB>d#>T@d`U#oQlBivmNViDvXXXtuwpx##!`B!BHlH>=GYGMMO28cjnmhG=4C6|8G<{PTHP~}C1 zmdZu;<~zlkXnSXZJpaxI0ANuo$% zaEX8toa_u5ZwvnblWz|YB+}i+y&wl#^x1F#ENQhsYqh`<)+DP2I=bJ5RP;2a1*~q3 zTp>$rzGYM?NIqkKt=EcN;?|YVAPf)R`4PpM!j3~EK1gVnIY^qC*BDirr#IbHek@iFakf3cq z!DnG!OA(8%^~xgnX%?}Wua9FR`-YOjR7(PBxPeQ)%LCfULsIYi2~!AoK^$-QIK$w= zCI>%XQnR(oheJpZ4}}qS=cMp~LQ4Wwr|LHcFRSb->tb%8Zn6!k6h6gIzg6VQ>_bj_ z`-z>$5H!*OwYM@kXioG~q@bfMF^HGsAUYs{Znth0?*eed0lI<}#tB+ZpG;G+NdZGx zLV&3Pm-`HemWtXX8Z&0MlCEgq(CksH5ET8Ou{qe3wj&9H4&y(irNW_j3f3?)S|#>? zuKr*SlCk$<@K4+X-#L=4=_ zS$E@Nrs$ZJYYR8MSV?N5Vl&CbIC5bbY6I72 zB{lGfgsuJ#{S-IST0GHTT#cBlerh@hsp1=ZzYI*Fs5rLBo7(>X#7z~juVbhI)Y}h< zN3y~C7-_rBHZ(1R66fi@^r5v*zyb0hUBtcq%{fLzLGT!&XiJ@=6r=&45tuB&?wg$N;fnZt^4L9DZUnYcq?BHK!Vg*6zQPGRtsc*5!vK{9|6S zFcE)UmKqO>s80NAYNfLuuA;AoLrWTVpZ@@=p*K_j@t5A_Q&h&$x)&L4Ri7ZECM2~$ zQ|c0`{*x+&rf78nz}?ZrNtUr|c!dtU4^u)F^nIadFR*$VN5}U7rx>Vl@NUEk7R;^D z>Z8r?rsc{PwDwi=OaP!jU%%zXeYo5;2Es3?dyE!a{7pWf5wk@psiU!qI)aQmA2G9a z?fRGdMJOMf-k-88w-9~)B2xpbI4)L^mfZ4jB8P}vtxIprh%&3B;F+=SRvBwh?Zgt% z#v#jt61#M4rBNudG&|YMGf|AL)Uyr?{Qbm+tFN`&`~duu;FS%2<1V;1T@SMyLE(a9 zX!Re3p(Yn$^{@bZjh5BX!^0PuPQ&2XV=V2gqFt zLuH`(IUn{`)wl$UAe!6A4*{e)u$2{f2Hyk&-8w_Andxnr6sTFtg+C zE7IV1)M3lMuIl`KKz*_S)i2vE033S1WD8IUn00fark6@LU*zK8BD z)yOa`Syuz$a>@G3lVHxsPjQ?->|m**)0Uo=56v=}!!$9rOIkm1c30s!?552MgZ9J$ zwxZiq{{Y-TvV>o?J%HbpP4_ot$)oUGSG$46zG9jb*!suMs}Wm>Z|_q6?9AX-+h!--M-)KbY0dPc{R3y&A1LtDqK^IoXJGi z?5gAz1|AEAf`b15Dik^_)xi)d*;(02U-E-pbLpv1xl1@7&%If)TdFYrM0r3&?kWMx7YzdSnI)*lxRiG8-Xli}fn>LD^rh6!^I6sy4=0sum3(g2`5b{Ys-6+m{WL(<%D(s>q#T zDiEPwz#&UkJcUqyPr%1k2v?*!Bwe7nVnG^(EvF5F7kq5V&h`QNdl7MKl?fG=f&GJJ z4YB0s#L&8in4&MD@)2H+T}5@hC{+^eH}UK! z1G>EssMliEEFn0DfDts6;5I0r_p<*0?2I|M1R7(2Rm&v{EjNhHU%9Y9qfi@V7j3~c zx$_d*kIJcD#Qier_*-QoGH5En66;{{jJ)5sJBq8TEw%&J47Bk(4k^sv1b7hq9-$=` zBi>6s!4mf#B^VBl2`s|>Og%>I+ClPPv|w9TZpc&zHh1M$k=Xz?@|!`n2oX~La_p%} z=J^zWYlXrY5LSqRQ*<_^wI~3`^#QiyIGiw6Z&mEF+B)6Ey;CdpTMiYRic+y`T|l1X zyJC-FCG6M)m}hn;N=CL>1=D5CN|448&uc9)Ru<5C{{Ymedj-~)3?ts<| zm5*kZFWSmb&(kq(g64JjhNN{tyq+qj*Z^)ktcgbMU~F$<2B~!9M#+6&)k3YI<~=^< z(PK&0p@m9&E89drNG>fus21MrE@A8;g~h;MB`SlVOH)$GXzTX`c-V^7S8$A&mJvd- z3LQ4D*B}a`wKn3hb8|)RL>|Cb*-22Yli?`sMb2#GL?M5aC9jnH2tlGyNOxt05LiL4 zV`eD8AgCPtSW+nKA_4n8p$%RV0SgkadovPXkVjYQq2%}X_R2cJA91d#U7>N2$k%lZ zEZ0>q=}$&JP)OZ@Qv^V$ievo4U}iF2jYkFTatdcrB6$y`Y?9Gd)@Hjs`E_#C4NLr& z%cGDl<;j*UU2PD_PQ?OQQ3K;8er&P&oVOIFWk{9DR3dpU%TGfn)j-`97f`E}JNW2w zf5|GPlCVpVUI2DoDx#JohoS-R;aHDja9lDHm9yd|AiF%%R?Jr1ThvFFm`dv#Rv>ezE={sO*0vGB1Lscqo`zap888Zw7GN4yb*PRiA z)d!I9LXESbIJ$}LO(Rm=5{A)OM=C!cJbMLt`;_ts+_(EJN**v6xD9Eoe=?|B6v<{{RLwRIopB_PA4hh(aZrt`ObI_CBv-4%qS#e~EsRLrS8ep6>OR z5D$|mar>IltjIL@I{_yNlzc3gPmAQJY`%o0o^~9l-om0;zQT|ZzCDB+?D;HETISHh z+R1l#Pm`ng#|is>qN-SA1En>v18)BSk>9|mZb|^y-C>kOs9G6Ozd5|w<0-}D5*%s5jKpu*JE>MHV(iPh5p)fIbkSS?w zD@9n2Vyr8!#EyBggzH7d2ApqY3ockQO}O0I*oUjhO!|WMQGZEGvsKENvwmWRf03MJ zxe5bY06{_4Q1ujH;FO~J6vYQC?qRi0!89wy!$b@Djh5fJs#otJ@|0~*^=)Qyke27v zacUI7r3d6(WZri8`i3@q^I-nzc->A^uFzavL1oyb{;pDmS@jhtzt%#Tpcef5CBU>* z2ZQ$nUeYOMINVVsI!0J4x7n0*4gSm@W-G{@$CoTK@z^}ZIE~r)VT$2bF@{SQ2KxbE zpquPMCPtcp4yS?`bbAB}KTxP!lPPW^_*3E{-t`+Gf;5wiTv*Voh%XkZDfwu)9`Y&^A#PyrBY^OMV0$@z z2WH7kc8ThCVBppa1RG$$8BnZpw2Hkj{9nM=Tk;2X*@(h(NS3f|NTdO6>N=}3ohm*cXPZ?Br!8;P_U_iAhz7#;C`-!lvyBJ(5 z$L8Y~PQGQi1=R?}5w&1(ux#|9TjVjod;b8)B?;s1Df<{)b8C*bB>^=$el}AMf$*E271l>8LH+Jv^)c2LHQ2&B`+yxD=eVwK%m%nFsZ;6YOR zILHm{jRxnE;ZbC8A&wD(i)jOx#nq^~-b+N3Aj%_IJNt~e_;TBEQG^F-VAL&PWhO%5 z8KxDsG&1fo-pbmFZzbGqVQ!my16PvAa$vzcr_{^U-51Lt+psKER#7Yqx6%u#I;K;_ zaDo2*3_lFJWr+y6^ug!io25En&DUaCwSaEGavxlzjJG{9uM5ULi5;;?7SGgW8*2V zmakOgt^WW44N5nRrjQP~HYg0^bR_5E! z(NVGXqdkq(6+iy~Fe5;|Sirwv17TpGcE1C4dmUIL);Rq_ir;1e-H-n2Dk~+b0gzeFnNTgWMGjupv}0@#$L{Ju&f?g-NL zPl%2HV^Gt!TSLC>DFW9rSkZ8cibcwBWTxWkpMuroDri2UX#W7oO(?LaV^suf4hjOk z$zI2ri9pmr(Aihmg!)1Ava*s~wgCpR-(Su|QImK!gZxgkMsKLK z@hCFJMsYynV}2eTq%{f!E%B!AMaBMYjYLn+!E9$8R^Yz^P+=nyroWAn)`yv6jV| za6yF{8Q7(!xV#AG?)_W3%utiCAp@N6Ok(gnn@5{WE zL2A|ZGEgZ=7$2d4z+cE43N}@D7Z0`Z1)Yvgz~n=Bwll0NBBpdZqG}pTaXL@UgQvS8#>BD*tBfu-XBr<=(*B#BKCqX5 z%!xHrp?rwBE|MXv$-ewesqD+FC4d?|s1($8!x(^oZwI|&8H$jZa|F-@ff=* zRV{%|%85WsACe7j znzf5D8Cy2PJ3q~iyUC>iw7JPM6(~pOj)}E9{*TmlE#Wat)f7HkFsGz+*eO!ql@yGH z8rrzdr530)eI+j?*Y<4W(1jG8o=U-V*d>=zS@1x#rK7FH9%ae^p9m?FGqCFi(c*^Z z)T*dGiA!*qFoV89!uGzx&5-4i3!iAV8t2sAisuK^xYW(2=RjcJ{zlcLG&KaU8cR0k z-1!qqFg1apeB4nWdolqkSuXT~pvDwa;#;;{6-LY-fEZIzpg=V}MZyTVfNFK24nnr) zV<@J{Vgr8@4H*u@C9*$JD;aYo$hNMrV7wx_5sk{B5f%D~!BlDcmgVaM;=^x~6Kx+5 z)aaB`#i~PK4AGqOkru5=7!AT?YOC-+$P4VJVfFYT96NxgBKZaxsbwQ_C;nS+jak%R zyOidLxA9O%U4kzT6)9e?V&B4OE?y9cC@biI7lYDT)x2Vx0;N~&p997N>Z9T-LR%(U z7k^-Ylxm|?vL!Cm-W0(p1&j$@()eLG`9`Aj5gI&GMF8GE+i@Xlmx?|Pr7O+Uoildx{VIBxS7`8L^{Lyo{iK^Xvsgz^;c!X~S}ZE$TB0BlC{qQ6Qa!ynF|gTty)M`S z@;V$qTd@&f(`2#-q7MN%jFj+==CMGX+`*j0RcUj8tKe2UX;#&^CUm z2xN9yz~~+XD+9qB4MGZOQ_X-Y$Sy{eE~(WWmY3ULXf}CqL~J5c*`qr!)}E7{yX;e3 z(EvoPf&Nwkb}Fb9F5jtod*l};4wVKUH)ViOE148jsWaS~Qz{D+^g`XI;Y4ChAf5Ce zHN1;A$BXS{&?!om!r=zq{xogQh;0D*f7TLwDCAPNix4l4+(ZXn63dN%hwy+lV#808 zCK{8&*+gLhXnEhnx>D&eFQl+EZbR&?Ws>)Y)Jths`hk%4q=e3~^0ZORt-f= z`IZ|oHYKmMnxI$MR>Hm*F9eqO#JzopBj9!kS8bltQOA^}B`sw3c5Q21i^`+z#8m~c z5~`wr#Ee#0l(-mip^8Qpye6S{7PY6$pBwfR#p0LYY`cyc7=Vg}UPOp4AE{-rqNE;I ze+lhMg3v|S+LTq|9^Ex4Po{H2=t?UF`3tARWzIJ~Dk0H}1MKrZk)`Cc+Cf09L8rn2 z8&cTfuj*OAJ|@NR9v?8&-LW;@mS-*{cVo64itJb;Pkly4os3t4s#r(XsK^}DATW}c z#(Hn^ZX0#8U`KC^uk9Dsm61wfYZz-ucqJ79N||(F7NCu(S|qnmsE{itBhf1^qhc&q zY6xW!+q=DsX2G)81=nUpcIytGE%=s{J9Z~=HL02v0LER}6_c8|zC0?OBKb02R zI7B7rN*~W57<}wam7uYHp_lVe15aR}WNfl}J!~tl4np>1>^0d&nM9>V+{Paa?CNch zI{DEV(S;I)e+!6};6vC^0Z`CAhO)6teUK`QJ*dr1kH`w-T}Xix)~g5OVE2OGyNUzz z5BMP(-7~?e2SSrte&RDxXsC^~+MI@#+V(d3mIv8rpt9aACV^}95^A7q@&xG2&xw@; z*jo2QN`pm>Tme)CxE_T|OE~s)W+65LcDJzT#az;Q>-8K-a%zK|U3Ua`>y4aqOYUiknb+AS+^*UGSC^ zyBV(v3@^z4}sxUqmwVh@+%UAS805aRwwpe5cgumBiF{{T_BLC#BW`i_@a#1613%~Yf`<#YC{|=}z_!OsFrXv$3tpDB2(}o}sezU7~mnL9e;-DN$Ok%Qf;#Jcr@5 zsEc4IHR8qZLcZ8M!kcWW?HSudK)9u)-b^WtC30V!{Ea|F6Oh!VmWfpsl6Xs+)Y5*P zmYsg$-4!W&C~Q?Mqyddor8bq%Vt=F>@7w_>Q`?P7{v|b1R-nJAeHO;)p%Oh#!43@& zO6#`gAsCe@z7N?6<<>o>Cc9GV?Uy0(uLlHv*VN2ykk(Y>YSj(g(noRRd%*ty+_KuZ zBA|9C7X5Cbuy9(D9YfVgQDH&+l?hQp9>#159iJ)AqO1G>E2VPuS^}fUyFrW>S ztP+Zyvys?q<#iC6*0t<0eYiB(10|MUqkAsX>f-@HG{CX*##>8pqso{^Dem!%Y8jpk zT{<2`%Z*mbv)9Jp0QH>A*>^Za6tI+c&k#-Nd7QYfAq4s1D#xK#*C9nGU{%TkzbvVU z2dmji(p|O;=M8B0g-hxoq|^FMzu>Ro5ts!^lB&4=u%=Ik z{{ReCKBKDZ=6V8|YKT-y<3t+!60@&SAX88jC9?0tuMDuVqSbsu_o-ko>zh!}7&{o< z_!R`y7UX*QeZ;uD2jQteQXNvu%Yx2;ty(bg6+w>j_9cK@C8f;U8-w$5vjyP}p$F{) zr}rr;r9yEy$xefcSdM}|!&eHpuZd`Fg|f0sS zpCSM;g|xsiGKkyWOwo6QCVT+K@-OND>PETe^^VgQgiUm z@divn4CFza06Y7Ds;$h9Zk6f(0ANyt7ucK8%JeQEXpg~_(oyp?U@Xn=Dh=a!-p5); z>4j>JF7?P8qIx+9=qLt?hhppj7&28bK8`CrA5A#S@V+aBd3y*uCb!}s0wAfJ&qR< zO8fx=t<70k6rinjAaGXy00QMry><(W0I)!@p?uiwBiev5!c`kS5UoY$>Q)(A<>@qJ zv@MgYtDAbx7DS^`m7$a^TvnYs0vf9=ZU(O77gOrzXHJl*7QgyLa8MtBUjvBSq4qea zL;$9)2-N;&FfFqt!xb$o^Ay2iD{SczxAT(hh51QWEa#Fi2w|Q3U_q$<=S)PkXW3E6 za76?1eB2TEGcRHvf}#}6UHm{ZJq1k=0$KO90BGzG?M1t&g7)DPa+9 z1ruINSKa~jEcXv;Rz69RWkqXsAQ0N{S7ikhb{lI|AMBQKD zSj9a1Ly~T|2E|a)>JG^B<~XVvTlS>S6^%sEXZ8xuh${!!#6J~n8I!3@ zw88f_-gn{&)#0M1Lf&H9-LS1Z-7`LKiYFi|{!l+9PN?1}JiS9wkbp6y>`CA9hWDf{v%0u`9e3XiESQnDO zIRFLOr#lt@05Kgw*o~qQlwj610!)wl6TPV2MVt+fMR3RP-VHV_FP(t4QFbUN@)1W# zbKLxV1+FbK%Bi_5x(Tw#Fhx`yhgXK?aRpuY1gLv*vDp`qRj7EiupNP+Jb}~XtbXN^ zEk%!F+k*&HV(t85F&~YB0L#DD%hZn%EcHZVIm8hjqf2eK3l!-axTz2S0IA|=L@#As zXC<#xy4<{K8&R7+=()K-=hu}G$|9+|X8LE$5PUR?oJCdSs2xd5uprWx&zWPVlHg79 zDZdRRsil77KmwpYvE)+uTdhnnNfe+ofLOGl*zqrZKXI3vYUQ6lsGw?|;MLXvo~{** zHe6d%PooWVN@|5R8i6QUuPPUV^*jRgI2}|R&lLKpUBDZIU|qxF`wJ+-`Ehea!Ncir zK|$)d6j`e;-y#!gp<@1KrCv@R*`>l8UFw#ufXtu3n*<(E>(5OT0SErHH5dI!{fg zt6ob>nwJ7u7gF5{`zE24uFW4LSN&z$+yGD`_r(?qEB5x`UoH-z9nPmeYmO|BtwT&g z-$ZN{_vj@xP@x1WxV|JzHf(1#5Swa%9o(Wmlq3c zBY8bm8u(q6IH_PVm7;h=JX|og3X=66f|L6;-izzyAOPs`;W??UXAt z(Y{K52w_zaimo(t>}a^G(5YZn>mzbmT8mG~h#fHg5E1DCI3|i(@9G*3B=o3hJey{f z+0B4iPwN1trme;)doxqvp!V(_>sJJhA>Y_bVVBZnjC1f9H5;f;(0AFCx}@hWP`i`{ zDk|i&Pa>Wd2HrQ#h1Rx#Q6ITORc>wL=2WRoY?~blT1N4VrAyZUKXIT0G?{HiI~-|o z)eoSeHaw;R3bLScwA8S@Oeu$K>EKj`yyWGPN$g`SAD~+4)aqD2+cZBfPrOQ}qtr{CXUPlcm5d>IyB3HpFTblSL2! zc?6vR8qtC`P&fOPv}6h!O8vk+kQ7Z3QXW71sb99^61|BJr?l8)*|+sX@bQA)-P~`o z{EA`Z%qYEmkrvl$h-$AWfKI`$7>`)Ung0Ox++>Rt%AttB8X_h7!6)!Mqz}2b*@YMD z?CXxlPD3`RP~dJrCMdn3G3KdOORV=X;S{{m|Z0?^ehA< zij{*EOS&r!=>_2RqvBlnL;HzsM=$(}TsSr@;APii+S?4<5XROb?fA=;5IGtst_=bl z8*g^!0p>%lMV>I9V&B^tw?%%T_@fe~@)WC56UY|esvLv1z&WHjO2iuUl?GI1Obu*G zlJBtV7k|S-MTRoCu1g!2d#{M9$6{GAu$_SRAmkKLQN=d`uDjUaC4)!+^^-tn%nttm z8m?@iEUTW@ds!Z!8`8Q;y*QR&Bs$A#UPVx)MlM)gOO@;*-c5iNV77#hW)}P+QHq`z0D@~dSaH8p{Qpznxv94%C&Ecm1 z07M2#uDSBT#5nyAC4;E1$Woizw3K{|?WUcTe<+is9as-`vQ}Kz_=kK>5yS8SeaneP z)ss)^7M|Pj4XUE1rjpF1{{ZGc7PHdkt*wO>h)PmqPymiQQq6>CZ=VvV4Z+@AK_9|W zDs%>@is(CXz#AY#)00~a_mKxg#hEI$Ca@H@Jmd~e4goJ)l^gA)!I2tqees#yA~m7YGQxEJvTD5I4qY%W|F zD?51!)oCvAO#8inCI0|q)Oahk$N+coD3-{I_6Dp{G^rEiKyqKWC(mU%9S-cgZlGn5 zkRm_+?_nj#Q5Q?^$bf10E97BR^n(E%wdRrvyotBO5>c_gP?Pn!(i}L1EXbGMjmCj% zx|9d|m~r})R-s{MB8_UJ^baN`wk1Jaw)K=7SsrnUafw8Vb`!IZt8y+7t}tQgb6c*5{Ez4>$9CzrTlicoPrh9ly-Wj z^BdbnrB*VXwB5IIfxy~ThQ%H}UdmQN{9Gj+EM8M61*(`6kp!<%{YKE#+{wA|ET_b3 z+}Io}OgAvAdZPdyA5|$~OCPCvgVSIy=@<#}e@M@?T}LiqZ!^{<60i#PR2%G64LR^! zM+G7e+;0jS-a$w1UK_viAj3hj!{aDiy+n)pW1OUa&QGKWFhM@-4)-(eEmcGqtRQw( zZeK00Wxlco3_EADt(aD9H^X9iNBme{5F$$NH^}X2d3=>EPv)fo*&j~IsD@Y#FKCW?D>!#|R6Ui{ zu2UlTU9P}WYi?t`xq9ks^RSvZqp(%0K;O)|AkqvKVUqBoBI^d~@s?_M2zWMW$Y0n% z5&olNC?`hi@X@o8OG1bW`JE=FnOLd%l$N9k%Px`n5Wwr1a~5~7=}grp$wr_Z*H{QU z?4=3{an;Hlg0H8Oyk$2TsR7+J1!I%z@C%48F#iBzVK(&q1;2ndu7!NY3-f)|O9WCt zrGyfV)vIbEiyB|B_{4B?a^>^${i1-TD)!->u*Iaq6zJ~Uak4b|@?OzlOGnH_qxwrP z`&qDy=h9nf(ur!)UX*e=TOrtkM5dK24`vCc%t3Uoi_cxJ{XwVd59pQ|sE!(qIoFUoq5-98D5Bi{L8uMUQBf6xQMT;a z`IN;LY!-lAMdAqw3uFgQSv0R;3S1N_$$xjAAwH!ZYbAVh$n=8fVP%!KPQPM241fKN}#s3b=(-)=H=U00e0H z1VcvLR)*@XrGnl(C;qn-yA69ZR~xUsF{SxJkYsIEZ|(@eY4H)FmpQ+P@o`r^l?3v) z@J5T-tJ~d-<*sd1jJAVgainab3k#3X4T><6fPrN-hHxU5ZPX{kLhLfF{nT26 zV$oni=hC1gNApnFue;<(GUyvFUbr@!QoAmDKWR{>4)O!Gdeo}<>x?u+aB|`yL0c^S zkrd$DVu~;=eSw(#A2UrI)p;8bwNpP#O9C}p{ve)#!4Llc8&QiT1x0F1!0-;oorm=n zP!>ufMKG~`ATqXoCW_sCrCro|cut|uZNK*;dV0OsC3yg&JU771>UZ%s^Q_$Sxp9H^x*WYl=I86t>if z;*3{F1DpGp3vMhvB0B+f2epcO8tXD;UPPwZ6GY(D!R1O^^@E3usUUx1uSjz|2R~w1 z5Cn1rk4QnVJ*Hmo%n+p{Q9!%MD8Z0&ypA{!CAJ9kERTiDW9oqxvnY$>4!V#4K+J6C zfXczs&yQeuo_=EaF2(ouge&@(SG7H0D&<%mO*V`mNWcrrq8mSO)^c7OaIssY9+tlW z1r1^l{h&2!`D@`U(?G~N`Pz)IJg^Fz0Mq%mldwz`=dilYOABAj@%0Sui8JT`c&{71weYavYtB@!V+369FEti zYq#C@Zqfsi%O)9JxX%|^7!R=Op*qM3#^ZEtFPZBBffSyo#omTJC5~nQEC*JMEi&>?*3IFNmNqA4?ra zmfdq8z!us(A}%DkDk9K!EGmW{5LrS6G45Ce0Ay+3q)bcuC^m$ES@s+U`7efMWOQt8 zX<(OFI=Hitx$`WM@~iS8ZHFr|shjHm0396+pxH!i?Zi*S5{f{!I}k2OY_dyLRI!DX zHdyQor@%(Y0)+;*UsV#L0|9CJms&K~aq|ehy_;aXIFUk}AS)t^zh-_K47Cr`?B*)r z*lOcl`cwqGx7>vTKolw?ziAc!0NOOBQ%kJU@!vl&)+*Cz+ex{0( z>)3?2!RskxIcB1x{7RMF#>&{(3k9h8r5iu zxT$F#Z^SmTP#>v$<)_IWDTQ~*YC`H+lTtV08yRinvFG+MvbhPWQP`y%`G>`e0CF`i zxB&t+vhp4(FMu#b#Ib6>+$rF5Pw_T@FJtMxN*2cU;m^VTMpb)PlUDB-TvOJ#Qwb(h z_P8Rv5B4zK1NtQtMKG>Q8hI%uskd3mfWq07#H6qJGqra7^YGC}6X_5BB~-0#(tM1G zV%ZQe7PrUB>=bJ#t)%1!;%@hmTYAS+3?_4BYK1^C4@76nqBovF(~ zw~~rUS5mABjj8CuZ3KwlG5~hpJD5z?0ZKrZf8 zjPhP?nPdL|;l4}8fIXN=E7Dys1Nh1P_EIhps4ZkI><-QiO4VT+rGJp4rPiVIlg_}P zn?>-J2&X{)Tnrl21Zu9jl+*)#t*U_7lgV1mh^E#|x0N}P zyp&8LsyK{-Ce}9w*%4EhjhHAGx`D-UF8=@-`AB-cf7!mkD%b53AEs4GEf6>h!fD^+ z0Q|${k+^I8nq9$f>T2^MW_{Tx;`vZf`(g!DDJMfkHZ#!IwFOfrQ-C4gV!w9|=?Bnl zM7jf_x!AAq9fqx-W_*0SxL9bZYiKUG9WC+q(CBWa17{M2E6BI^`q6D?V5?!!hyr^I&OFQ;U zsp9ss$&^FMNx_Ct7Q}$`AM8UDC6_qQfr?^@60}FzX@P{FWB$OWc~DEYeYj<`7i4_4 zT8h&zmjEvd;FbQdr%fsls)-fY6H@a9%IO7mK^T4vEB;O@THZ#7A990{P}Bnhdc>w% zMv;1LeqCfoPgLa=<**Lj^1H#2=M@=4d>YmH2Hs zu5G7H{lhX!*fxWCjwcYXGS)8 zhwoP_2fp?Sd=6@yL!y74cCo#zFVO9qQ7u6ydEG<^W-; z+MLAWutzUtn_6$oxk=)xqWv@!*lwvzYLqyUVvVBi8!F&vwFTT}40dcNUix+>Ax-vJ zO6vU%^EeWxoCt81j0eE3s*~D3Liv6PUSUJ;CkWMLWxIexNGf^-|c) z5i+BRnp8a@D%#k*R95{vD!tfat?j!G>&4`#R{sF%SdY-`?f1ZfYOJ~Pm5?)6-b-aJ zRrX=5N*3kniG8l7kO1MWz$Bnj4Y=a(W!F(%!Dr!NDtMD9K%ue|>H$EoTeC!=>`gC@ zvDP;9@?2_+S^h+^fduplE)SFmi)(y|tJcTnTO?GqqxJPMX=V)@30Wv(Ucuq>H?agF z%x-*4h1pBCg5n*Ys8#Id#b^HjhwzIQR}d!hK-!8;WmXweVl2XjIT&C+Hug}R0y#*_ zCVWMGOrKqVsED}!#-v8$kwUJOY)C0j`i7^AlEZ0gY;#KXAz1nxjewQ0U5osOLlM#s zlyw2QbYmR{#r)wLETxNU<@qcAoDKWK>b5b6d$!jJ_~1MI9M&=sGkl7p^5V?{ev5pFFP{=%pc z^3!TJw-ugL&viEOhM86lv28QR`Kh-ArD!Wf-w|=-38i7qY%_f)Oa)@uy+{hdj{EFj z)FB(#C(0m*GIhG;{{a1)BgG!VfTcR##@&{^C!N3vqvi>!_$ZVMJMuvi#>PsGSb6m4K||DhRcGhVqUX&m{{dU!KA}9X!}S7s_CP8Yisg3E?`t5 z*|iQ08cMWCSLdly(M!VeBA@{8uWl@qE6HK_VW-?o0Z5^#axj?ab$lL9(UNG>+gfiDf{kRP=+gJ*jr=fxuv)lHj1a(qN*5d2>4t66(48O4btvvL7ZM5!ny&{X+XhdLso}iBiKwtTib{E>Q$L zM*gE3#Vx#xnM3nma)_RP$4E8iMIoWb-9)S`y<-@0(L}Q@%iYsc6s$2V- znl@&o7Rt3pvakb_cwIjkyvP4aM9AyOaDP8mO#-zkRffu0RTYpK$d8tT@YShPf?|!8rc_C!rSr zPncK%cULLhRa4}^09s$(r9)>KWD!*zm$xv|9zw<{S%hZe&2vZ zb2)X|Exm77e%{Rw&e>kvvfrwWHm>46mu_2iQnc*r?N3KMlr}Ae#e;2K%Yxds)FJ6Z zBAZam?#JjB&e?Sm!FTqJwQi_60K1Epx89%y7d?PlfWO#FfTaZ&D^Am0RDfN711oL@ z^@;lEl>s#s6q~bxQGe<#;44`8CF+NyVZ#1pih%`Qz*R1^@i{Zec7N&`#KA|} z0b-ngQCbQ$=k6?D8O82FOJkHBs9d_-w1Op9cgbKa@E?ij`d(5JppSV3IylHZnr?d` zHMA;Ie#ixR=}M*Lh~T&FiK|If?)elj6AC<(2DC1s1p&*&7Xr@g{Yz4{&@xuW0K^*gky)r|1aMiw z5^eHWTs*s%QCd+2?}#6W@ohzQOhIj-*n&dM3r=JWN$-7*f>$eR7uS(?m9@m3NVH@9 z&EMJp9?gp7uGUR1TLc#R)KS>0kP;LQ&Avv#Usn|!U$sr*Ug?`ZdE@Q{J=M(`cJ17i z5I?e~Pv)O+g$gUMfG+;bs9<=Y3c?4N4E-Z8_3CP})?SdqN67$eNR@2Q&cPHnI7*0- z1#Q~~X<-G$YE_CRz#4ljc^5%5v1jcql3t(42}2kl9G1F)6!h!xg0d>snP3kf^Q>B- ztY%W+0}urpcj^-C2qaZow(hFoLrr*jJU|d!S%fLpuVM>fLv7hc z)xMV&I^(cff0Gh5@H$6F`eR`5YUC!CdCH&AMW#+?CJ8W5*d;DPZEyI)`}1bIEqC7m z%bpCbJwyF_0kjp;pr=+>VO;FN_Y@df6b~s0bNXB4(N$efe^It?S9M=dtWwfXrZSm{ z#sCm<6@CP%T~ej3GbR9TF4jRWZ+9gc5P*k(4+0@X{^CesEWIB6!qlXKy9XfJii4(f zMP2fXmYnCZ=$lST61W*JV9VOMb}WG+p=jlQ$=1XR5CyGte{d3l?;%3Bn=L>p^-+Q>Pf3n~LXa%vqh(*jJRwBUT*$+%%k9WjWmxfw zDdpg#B?@cl*_C;41&TUra8Nxm%k>Z?M+9ZYq-B5xHC(J0YF+LZZc8ge$CB^}->haC z+R(XM1RlOzAT+s6)HM~w-p8)Fzly}V`~hbnkjWM|W+3EpoB`$R9&AhQOV%f~C_buq zmW>mL{6~n_^ryrEx1um?l?Aja_6080tv1^wJ{8J|Kzjv*7h#fzP1H+?qbTr78M}lY zno0*WmA}GpC=tQ@auUE+ZNK9QX1e57YYzc88vg(+z-ih@`Z8=zyR}lwErq{QtM^@+ zc8mW2l8NpA03`;u3o=oT+7w#aR>ds>Z-lv}{^uUtwEhwufhDpCb$@eY>MkEAkfA6P{i1iMbl-Jj@7g+Rbj_{Dy~YAM?G4SZ)+mN4BeOI(-5xl}|J)8v;?d@C-m53)8{s&-hi zC^7#4^kLg#Tq4DR_O2&Lmfdn!Q4h8`p}&SxMC&nTlM`oWykOaQldTd^lo4T35uVGfbc^xY$E;tA=P=}*@fuh_ni3+bo{rjpREf*)(M-xCjXmWFJOW79zzA1ceHfrem_#@G!RtNXU`9 z0^0mTq73N*RXZ6+)Ir#qXz-?Rp#xl@1F=Em(P40oUA>LMj0@<5T1&L#@O02@w-#U5 z{{W0@)va}Z`-0`gceUXG{IqVo+fgehn#&Pf4+G!SY^$BlMg`6;=skcNg%oN1BYYh# z28BJ^g8Lx9578(K=}Saba1CF~Zvutcs8ofw!}U4mS(o=@U;sGf!Vd<$--c5wX8dv^iAYWQ9mdsKP(yM+@uXn9A8Q9Byz|}qBP)g^P)Y&;3DOn1Zy~MwxcFay9*V3hp3h zKI&wMqYCRw#M|8Ij`8*}%&7-QMeIsmZ5|jWgRBEX=A`;bq5K^o!#Ib37*=e%lnG}A`Kq#jX zQHoK5HMGcVN+2q$vYYbkG&9fEQd9j#Lj(%-E?Hla+_`fIfl-7*W0!R;d0#%|7fcJ| zF8VSyE~+Nvt7B-lmYBOsds7u@~DDs*~nUa+)(U5fLc}8Ae3#?yqAMQk=g-I zW_f@3|~lS15|M<9sQcQ>!E{Us~6pX+#4xAr5ajrTFNQ8 zE`tkdg1}b+-7ILDHz2{=_?Bxv&*Z(nA~$IkGj3WecTBmUvhUOvQrNX+@)mYnj1+1r zB~C0yt%12f;eT)u)9I)nNZ7r9ek(7Rt zk{BsHLWKy!_fMt-qkFp6IS3Hky5r>gAY2%SMgX1GmfWz=&Jt)!dl6*izlTC`9bsH8 z=F2C9!r*|-TNZNPKek-^0s4drTrpp0m0e&bDdqbsYH{f(Zy=LjGA^ zA86Tg#wu52lq{~zzPtX*D_K!#8YQV(6nQGN2zI_9w53<=0i|U_S6SdXKzbmK`%0nX zEmyLl2J#u~LJ`(Q@N49kw-wE@5VNxYf}xMZDBBjo{EZ-$R%3jkOtcJEE__9|5kBRB zNTX(Lv%gSUtbem*+ZFOvuK7_%g9ypUn=Enw#s~qdxV6)=(WU!T zX!+RXC(=75+vY`ph1-RI0ucq;T85?3#a$WXQpeIqUc1_qWV+X56ss9b(yWmnrr1|% z1N_O(l)NBNTmVOEIzdBwIH23&X!(0&nW(m=eo2RwgT7rNAZ|2@k`t0LP|?UCsBA-~ zy^l{~Hhh?l%+CJCItRphfWEnrS=5Uah3=x6cN-U91WW^`81CCI>Q9zBU5JG#Goto6w**q)L9!S@C}p@#8>yQfIK6_#y%A^%aWIW$h3e@hT^mXu?B+t zfE%6!)HEUc{=B;HcSZt{}Lu-_=4Q+PT_qYJK{L(yC#V1i0N}FBjKC zwbej3j{4nwXRwf`9AUdCd~(wFi5enWFh{Ze8E>79qhEZhl*{>QiDy8k%=J(J3wV%M zCOS+@$yAFq;e{d?q;?c3f!Me5T~qcBMuLM$Kt4NUwyJGW*`TNEbW2jO8RS`4ujB?T zZu=9Cr@+Pk0ADI1M5|ZY+Mh!HiEbXos*3C|!f7cM9mu;)l>&aC+}M6HI2Br<9RrJT za*=>K5}H)~L4wm=qY8o42xW=ijK!T{^2>!?lUxbcb@dFCzx8uMZ83vYe76oI9@#7t z*^PWkzh$5chBJ@>$S^|kDP5c<5XZS4GeaW)i(t4UFA~zoQJVXM6YVY>B8rPkyiF;L zI%~4du%{(Ws6O1PTTkiDbo_EhR0eDa0r=mLZ2vsA&+Kz+y3%o8;FR0vH!nC?&jtmm3aLEM^Dofl5$ntFocF>-$QG6`{*l z8#<$P`c%Bg77I!!*N^(o`v!+`-vF6toP?A)XM zfJEX;Y9k;8YR}Yp=)Dz831O$-^5;}D(4z*YpEUsp2$ZWxMjEMk6dD)&iyNonB2oVU zW5UnWsoW3y6kMj%w!TA__$hFt?xwTgSRm}KD~Y4bO9EvZq)nLFBYhC4uVGPlqD@3V#?b`ah1^)4!dwCn)7Z%c&I zR(;DwP7#eWq^wAh88-rpm=wgJy@7m{V1c$vZsaUGC@RaUmRicgpd|zjE#U(xs)dSO z{u`ldCRWLb^gyPfS(K10-3g{2m;$RQxGY#?uY}MvzCvPxxTYqppV$yo{{Y*NL=;_= zF-K3BKvK<#(ym_deud1zKdXmFuz<67g@oo?iwM|>zj=7j4^b@V!lEm()d&C_>@p|8 zM`gSP2=W4z?zS(97obXtijC$$ zuqajfmcavM#(3GtNG**0%5K8lIuiHqvxKBgBe>fHuaZ(&qfeWH3YD-UJ_y=xT_6#; ze#bMmx#Y5iUCX@RA`w@EaVoi6C_Ye&W5>5Hv#nfq<=v9SJ1O$WQ=r*uRZ^+1Cg7eV zsa&gUXAQX$v_BEry}0%jd=Ppunwl4D1b&VQwO->DRJAXsZn8Yp+XVhRP?y<6ZZn#BG^S2#i^`SLWT_4XX|39?aQsyReHFQ zC??KIT#SK%iLuE9V>N!R01>qkqQK}`QAizufcMxL>2vNVac2CAAL`j&p%X&`mkc_{Gw?c{f^}$S ztolRfUQ*COLbHpzUHA|tZfSsaQO2*1M%z_;1Oo}&vQ*jo#2R$&p8Z2%0;nlK1JSm= z!JLNht7EsohJVS)!@WX%G#cUoe1_qFd^-jk1idO3R^Md~!6jwXGq1%gr;2@#Jb*v; z!V7M)xnb~?nHwQ$TpWm_Q`DA_CZ$3HTTkF#g;^CJ3QIevNIS_;6cwTbpG0){Oq^sw z$nB;zfwj28i$f6=-od;O8IIH){^LSakP={3OIwv(g3&B}L;*IAM^Gv@3aTR5wuT{N zl#G{RI==BR5Z66`5@v$z8#fj}YQqD$us>NYN~azlY^wGxueI1|6`OxahW=y9`3JDL z6lHJ88F3Z=0Lh66M1id;*|0hpZM)dm(kOj^uaI4~e`rH8ogjcKq_R6PPC!h%o)b~f z66Vb$M@7^d#~jEN@Zm&a2w)(Lu=V&QWuR+zGdtmMnh2`21oFzndlFemK}!;V*>Z`e z%oJ$+{^PI>7G1&9;7Zsu&Z%|UjSQpVs1c%iz=g6^p|XWbO)TKWN)>>1VQhOBT10-} z6wn`JcM87UeZ=jLAGp^7r+}VC{U0-fuAVOA%Hgh$6vQm*E3Uy5tp#gyJH@~@8=~MX zO8R3;tV*kn;P^lyeB_`jsX@K;hWRR6Ko3|3>(fw0N%Bg+(kjf$l@)P~s$1giS7KZNRJYic+qp)}ry+VG zt|i2pBB||-f$Kg+ue7muVM|)6VTvmI5Ml<7k_kR1TL}Cd6m|~!%YA?m7Y+FsQcM|N zD&e%WsOz;N0d5Ppv8Djo7BJF!m=cvbB2o7sOsBGw2~c*JC4csDwUUPRRJU5o{C;W8mu^0U@x*sC@32xw_+YHc(dG(j{avMKspL;SEd8h;mFaF zSIUSMJ3PE59vAS$>GMGqE%e7qBdpwn5pGs}K?uJscV#e+syWAzY mxRKa-)ArC?FBugA(HWoDh07wgJ1wdKpvX;t) znvr1Gpp>)JZ}k~0ctY)KGIh8B5h1SXO4y*SKN7DzV_59=D1N1Y+VPZ&v5OpkXV}=F zSt~Pz{6r%Xq$tfn`cQM+uV~ zE`;0jD$E7ZiLZAU8>@*!*}Q?bg=t~AV57m7A`Q!dJ(g@Kzqw>Kqfr-7b#1(r^mZk- z+l2NwEN>FN<>ahn)w1bA*;9#fV^CgzJ4nCP%GX@UFG2a0AnKeC7t%8Obm_T-8vV;HwjoS+*dqpB?wVy{qU9Iq zfkowHW7nh=T}(^4ffFfRMhzjVqOVJ%3IZrZxn(a1s^*S;#^{BBRL3I5!4}&xn5(jW zp+d1Z>g3fZq497kKB{`p@DW)1*07nKP&1KBle_&(A!-{wPOGpTuzWEG8GV&M6%e3e zo0Tk~-`&A3-1RCn(h}QIQS4k&H-J<+6je$=%owly^2ea6Mj{w)qLw+xRnVd`yBM6> z)AcWOB9h}ZHy~2PAVMM7sofxsE7@S=vGpv7<&xG(ANDlDpob-lGMkZpZ*BpUH4o=u z7+*A$!AG%698|#{3afw{DJl_3S42h!*CK+o(ktdm7R;VyP?vfR7Y9$V$9!Uegh7r= zs1MFc3mR)FK-2zX2~Rn7^hz->M|usqUPgjy4&E{_q$L*!3nf0{e9C7o9WT!#YMrz! zbqpbBFJYIOWsPK?}cx zv{ig|EDt*E%Cqk1>?DP5DoN2IQ1kZXzh?I%z_vFZ(T;#xfSOJ0z7Zv{i z*-18%yl^3Kg)y^8N3jZ(j&YK_iyy*hY6L(uku3yEl?JoRl=c#UoBN$}C3dU)Li4J2 zSb~XqRmFQh^C!5qL4B?4LXx1Sst$;b5EY4{pyt0)uacU^-$_MMu36|?l8!9h_doV` zVwWb0=ge@v-IkiVgmxRYH#m)Owd}hB?u$NIbiQWRPK`W7wxmAkKIc@h;;B&gE*(r? zRK}X{CqB?=o&Nwh3gVlY28MxTA50IMMGgJSm)X0BO&^e{O3FN`a4Sz(uC0T{XG*6d zWWRP*V~NChdlCv*co!5Yb=2rO)Ikk$8oN&jy;ff`CO=W=+OMH-WM~%3rXxiPhp?4n zp`yET?Uiss4uV1Wi09+xL25?k)rbC=_Lyt13DZ?9M?|QAGC0`+OAab&)qA>+i0F;2 zZL=jJovn%=G*?)HtMf>yL_K`FDm4kGd9$U4;4;H-h>dL7xYK-(+z0x{=`?)6EF8!w zGvw(3#MX7+nP_RIRV~>-e8p-7?74NL7+BnuHUwfU+26Y2fsf@1&8-Q97RQjF;M0d3kpp=5}v!mi0 z<&`^Y1jPhV=sjVFiE49dDlve^IKnq8_Ejp3cFTQ6^=5bofSv<1-ue+?hFg@!cI zWuu8S>&bc=+-eiYsi&NfI7r}XJuYaF$gx)>^VZ!C8VmO$zxxfgt;vU*VZsN zYv1ODIZA6Q*VvLJ1;Kd8FoY;f%w@y* zbM4Cpl(E5kP#$(w5B!&3zy{jHp{cZ{1-AV}1{~OSRsx_GFFtD~W|ny^Ifm673#a~= zCfY0Cot4SS{vk=t^bpefwHjSYWefBhsjcC$K}7=o<-e`*))A((S1JOge(q(Ot{=Rg z1m6_^%L1Ota!!)ziwX@a&*T!ms@!{Z1`b{Oc@-TYNLHN4)+){o>?}+ygEVZqbUwOAdmn`^mL> zINstd{n_;p?XOLM2m=2AQ(hjR9R%JEi}5#Wee4GCRacAmQ8c9ITL>9mv`+@OKWN|M z022Zmpi#(as;^l`@+2U`0*bi~NTXQjK;i%eb6~>i2Rw*e0RI57XsU-U4^}V9yU5p5 z!JlxCvR483Qp0K*=FJu4$Xd;-i3duwYQbDnQKsGY5rl&XU7vdVY~{p9;GF{49yFLhB5zku6=PNZm5HL@Tj zji|>KL5M!1uwU$qazlAsK}{>4aIOH$Wdu^{;yC+%$$&jFoO;c$CbEdj@5*Off3Qe( zZ66@C{F$U2T%{cSO{+AiQZm!~53kR7xNuD_@#_oZ|~a)5PJ@U4$7T0*%jU5UiFjQYFJ z)Wd`eG)kvd`97*_&=+agjLKM6f3u*iNSNs3-CeQNAp?PePt@ZEoq${Rl83N?6zrxoc)yy0RMyLp{x_ev zJWGpI!B#uB5ku(*vr`;wP=NmcsAk|6!xj5JbBTvxy9C^(8C0PBzg^$HsnwgwPow^bPG zjod&mxAa6Gmmt?lrH$AZjAaDEx6)|KOSh7_e(XSP5wb1I7_cwDiEvxpj-_qoK@_&g z!8eCvD9}+ZB_zLEOp>A$u1qRI@N}R<0kmOg!uL`8l*hB;FqhsDb!Wy^5J-N*nFF&> z8^#r0O0Vt$vWTC_NwBh0cgXFWiyG`DM+lbj8?*%-R2w(D?5p(h7qGrJDY1ACM`o2Y z;Kd7rzbs08@>2A3=2g2?8I@9+OSO$LK|NU-ynrXD2ESjKVTKi4h!A{Qy8;J5(G^!O z?xutf7N`A{3PZg66$%`zy`-si6R=TqQS1(p_L2;v^t~gHjR)>f@~|&q!h*notN8hj zt>}-4CC|XGCy*=lfWc8^KgS^ztn0o3#7l+L?ldTp|{CsSIA9CfV0S&rqTWL@FFP0S!Cs6dvi(UX=`3G&?Dh+ z3Kwpsl&~v_L@xyo?hf4P0l~i!zqiCqB(ZK-*F%d}DGUDqu!6}|cjszQz%M~4mRQY% z@=%GJk=3#CyEB>_M#9&BM8&kFXFxALrBkTlnm_oK>{h)Zdjw*mQ_9yj!lKBdkziP%ofzumIWG1 zfcM~=ha=%Y+o@0P6e9wuO^`y0XJGhw85L*?F}GD!R|BzB=2WiJ*IJ(u#1Kqdw$!z# zAKb$D%N;jcb;#Xz?ph5*Um>>kaw*xUW9w5*CTY1(1JVtKRbmNKmFH6F=q12m-9S5X zl*vJ>l7^mKeweD5NB;mbFIYMvfny&6{{Tf?8T`@$x>yK>a13eYyog)*hR}n4myy7& zYm8dsRPR3-0l$z-`j!XNu}4YMIKU!G^?C6czi}Foaj{sdGy5(=k!@vFfzusO2PttN zy>wl9R{}9qZXyLCvx2pJAxU@<;cA?|L^r6?tW$KR;5m6|0-tL~Z1q2JZ8okZKzvUi z#?#=z+{Kvzt1Poa+0df0Q`tC9MNraRfSLsaClM&3!;i3xwQ065Be2!`q6M3o`kCsA zmTIznkfZ+qkatMbJjmIDB9*u|*je8qY%8%+{{Z&|5GXA#F6F^7V!>Tiq}cSXh5X0O ztjDzxD@y+U;}ZBwN^EUg;zJD&4%;CFI^W6cK$8OV5}uKs2ViG}@j|mieDH@ytPj7B zwsopTzFO!VmnL|u80=9MisV@eB`J$}qmbbnBxR`<{Sp5FqUQbBKf-;XYT4g1PynaJ z%9561ej5rymIrNeQBhaNCVI!B9G=kjH|(Wh`itm4MMXbPmRXPP=4p&$THI{}H2&kgT&0ER_VK?Z4{?h`7E^aIXb#^Y7C%14pqyC1t9Dkv z7U^~ua|`3KAaUq13M)S9X)m+-MO;ENlx@b1gbcClp;yAT;>J;|RM$(w4fd>y+kjN? zC9NJy3E$|%ZULvHOLhy_Ze9kbTa*t+kO%!X52?AJt(ep`+HP-4NJ6vwkxGL^{{T43 zEkeWLy_MgS5}<)mNM9leRC4^a%`7;31OZ9Yq##Q^;j3g73aVV!YqJDR6F~!Si9+P} zqP_h=$tl(LblHvC;S^WKj?4T$1n?KMY@qB9kVA@+nCTC4mFxV05Uej^g^S>+RD22A zYr>(h;1(X=f&@oll~VaWatNhA;D8hbukKwd@T-8xtH@N)STA5~70>x|MFdF!F-|U~ z@(Za8!GGAA%74UWgTF9MQKXm!b~h!KMq0S5yXG(jcwuOvM{#A&8*`RoJed!*g=00QCc_##jOujn1w`M!>p?+VU`FH@9)}x=v{a&C|!R z?+;pmAje@wm^br3o1OM=dC%+c`s6Y8MZMfja1!CDES*VqGCbd=svGq(sDub4T; zg=ewQwe<2MMaqKL1{b-&C210ZI4Y$BH0SDYYK=+EPT^H7W!3vhb30bmoH zP)*ry(e)7u09xh7rT+kvL4{q{den3^Y00vfnEqveu z6=7KC>2laVw$8r5Uo>L({{VKR9&xln@InBnmDEiYUYwgdURvOUN1$PYS^DZL6jtuZdTJCd$=k3) zmB7;$%wG%Vi`4jL!7{ zCnO$`bZOg{8LJ-<uW)SRa^N`Z@nDC!5{jAb@2A8A z$p&&Zn;NK=->^Di}tYt;Gj`@%%4cly_60Ir%*NJ*EHh}&t8nt{X8-HM4 zjUoa^Ev$~rNRGR3w$oUuhz&%aQH9H!a$OqZ5`m$mR&14|0NTDIrj|t&C@cF@>4I72 zz}8aA`ItneW5ZrS?xntu+ZDNKPYF|I5Cxp6KvLebTjJ;H08_QqEWOk#ZnZ8&Q)!t3 zTK*nR@*gm!-hh@?mf>{)2-FUPu-TBB8aKB!{{YAf%z``@0Xw&+-pVz(Gr$kdFass4 zwGmMAHwxQO)9VNvAg)i+OS9Sk0N8m^YIFAqQ%lmFU;q{|Gd3^WuO{aSWBYwfW~!Wp z`i~)TwNQmLvN zqoBl;U%(C>jJo%-r*x;LrMG>Sj91+84N-vXZkD20e7$msfgZ;?a#D`*YF@B*(8p&H zb?m<4pLxrhU|Cc=?+1ghDiyCfAn@9#63i z28;C)no(ej2IX`wRFsHTVC-z%)$%zq41+cB(=0>47O6z~HHM{CZE21u#CB3&C8_Md z_5y}1DL-WF_jTj^>F}Z!r1e0#bP{u<&;^1 zGyH&9V9WKyE`*GOVG6dN`O7V_of zoNQYz+~lQ&uwvPvkQG9_maBmu?64|b&7kbj+xv}`w*gBR@WEejG1U)bhsvXNm>I2f)vcWJ69b)Ua zPhdSBOxAJ|_I#BxB;x1gn+GZtRK&JxO?c&GNL%HSfotP1SjDc(y3j*GZz-q#yH-kr++qC+9OkS5pJQS zjXFgTxMPsA3($KH{!}zH`eC4lXu606%u|VL_-7wxG4p#6ru7H1S_O`(p7lIjRml|$gHl4gs`#rAx0tkxB*5s zow;{hm8|5dCt{RB1=(A1YEZCL6ZCY|X zLvmX%C@e^&{mKWyMb-Np=|92{?6%~uwH$=4-8mF&_%kdme}n?9E>!so*rBNB#7#A8 zYBknFp;@!0=HDYKIr1Pq5)c*SaL8N%uFIcu=gCm~kOIO2b_sUimCj5`O{n-HaA_4C znNrI($${fphryakb&RP(rP$3NRCZ)BSonDmeV7eNT#c2jtfi>L<6XFhj`kRhFSNnC zl}mdnhOq-%G*R&SObZcu`zW<2Lzfl?2jt0^Qu19{JxbO3GCj6Sy4$UbGPoTyx&{RX2z9b+^tQMvlL0F#3E&A6)Qqfce6+qFI&Apkf_)9`47 zl`V>{thkrBjkQD&572oM<&P!S>lU=Jkb4a@um$Z)B?GT-*`AjSgR@JiVs7w=nFG`O zmZ|FGQj30OBdt%0^{DgL0Hf&;EehIInfSDE$U`8z><);eaVjbx5(NmP}>X!cDPh*{&>2ZC~_-X~ety_t5Qgbm)VJay&~wDx%45*Zq|( zWI*x>s+Py}_(~mGP<-|rz3zNLbJ+siX>s`5`nbH;Y{ROJgx#LiL_PMRpp zT)IU)rQ}=E2Q<%|4TD8{cPs=(-{hsb_WocUUL}USf`9Oh80t>eMOA65%9srnWGG6u zOl}&9`=!S*5b5z1anG4*d4uSt4U)@>p2vi?DA`e{N|kA({{UAkASblJW>Uo4U#U;Q zB?pxT+FbW=MC`3@kdr0}q(g-|(p14W4L;e|SM518DQvluBK|)iisMe44;d(b|Zv%3;n!2;k*J zD1`*2QTmidxHg2?*OH7Y(pTCLOd*Q3$R0Z!c@nqSALUZ>Py_p#7H*f1G^BpA`KxHFZuRSFmT~A`BeZPsPCec#FWi>5b z*vCkP63|!3F382}TC{ZoP1exr#;fBGCAI)l$gYRdzv8C7cFS%cQKfK-R~|ONyl)QM z53hz$f$FVbVQA9G9R+vMiWkKY9M~2j&CRL5fvda%281pf}Nf4ZUh^$%P?F@jxwSS~&CZj8KNI3JEHi#OAPRfm)5!TOnvs{hC9=Eor?d zg^+bk%^XC7mPHqXeK4_XDS!5ehWf*IuKpQVwSn51c?GUqWZw?Nx8|ZIfw02O1$X9D zv_*#qg~3A~3|KUl!GW~NzzKE-4~S(Rc3sauq-f$Wr1h~`$GwfjDL&*#jN!FQvi50tUmym+G)pG!&we7!+gI#M z*#ID))H9un^k@3U;=E73C}ogyHZ??JLbf`K?l+0+ms0X{6RwZ64G#=~fwsaXtoRSvY^(++nwD*U zlOndqVH*PenLuCmm`Btz>KgG;Y4wZQddq>P(c>j>^6__ft*lY?k3;w6$89tlr{Q8= zh?>gHG=M;meN=6d)o4k-U8vDbji|OQe|H8g!3G@0HNd)9?|6J5XpGenK=hXgZJZ6! z>=f-E9>T|wqSbgWusfev5EKCH91BrPS@RiDpg+k&kYD3wg+t~6e@&`|wM*!a{9?m0 z0^)%>X+DT+u~oV;B~w(W2{qNj=!)yuz zRb3*+#K7QwmlJT<*Xn-BMU#JCw2Tlz3c3St!5&)*9`PwHkVAB9`qKx;(aT zv0w>emc?)Hw8dbn?SfSRJ-dj{FSD9uK~JRorT&ZQEN=MM%(XvSWyO@|EMpGL;WSlb z8Y^2ZnOH7!&pQa7**jSl^*bzFBD{)IDt!lI&}i=R50?CDAmZX;*OwJbL)aw{vCpFc zT&?0kFBlTxxQ`J?j*AbtgkCL?6X6kwb)=6bVob$5oVlhTRZCu}t%-Jz#C^HN@vyyj zOaTgCn8Y}2`-Df}#~7fj{{Z%(DHmZ&1>u{DvAZQDQ`5B_CpUi( zinSN`##Z105XiNEpNFG&5af0*>u9h%FXcrw57$a;{~U7 zlBLXn@Z>wN%9WoO(g@L@-(d^hWJ)b5!HANT><`iwa!|uX6h;J>b0x~<_VzBDJHoCe zWG%I^h#)WRCCxwN+xmn83RqRs=2~vjg2?I$yus{zFR%n6t1YOve3ht5mmjz#3{DW) zN&xyg!uUrBD7zDhf1@-i^x;ZiO7dPyrT+f_NUDplq@q*i3x~SUeE7l z?dgT=t#y{v%MTul()!B z8)g1a*I?CDq-YYf^v(7ITyR700Q7;)FD_qY7Sdm$Kx4Mn_vI5|FJpedB7l}z^%aIn z>r+8RgLP_z?OLFSQod27De;A2vLYh+m-7`-s_~BP zT_<2{TXNtI#|n<^s_?|5VXI_7c*gPUPvoiy8u(1N6)}0eabPLusHI?FK3>5gLpe|t zs?-DQ7-0+55{C?pwk(>XXnhxE2Xrs>wGyc`VG@#qZlhGM)!l3W3oN_-BJ4-V22^UV zPI7bID*DTN?zMkw$yB2N@$wMXw~~q)FWR_CXTlAaQlF{83>gYs{!Wh^f;9xj?}-)) zOAju#QqNv7`sGUNQ^zHt#^bEu-PR|ge=)C;R4DB}rf~^*@MYon6yW4k0A2NcBqvBmfKJj^%@kl5;9O8=s`uE4g9b$uW365c(@5kspud1BN@}B z-x$$*zRF1BYNfz5f!+{u0-J5)GH}00Z3_1y9b2R#(ZV3CUNMg<3)oh>eoa7hd|aWa zr#>QGviSWd*K0CF4cU?HQ!}|)8Y7oQ9k9$ zL|00=ZM*&4Iu0*~C8VsVto>X=0rZrROtn0mZ_Iy@>4LD9En)F@4_?iYg0Ce4zd!O` zaA8I46$a&+RAs7z%ktE7TIrkeLdC;ra)jIO;vF{A2zp$gTTO*dRYOEk#vZ-+hY+Qd zz{$AX`}GnwR4U4akjkRYZ^XPKqVa!Bv-Pcnu7{V>D*pgsx{RO|fv^yeZv&Gikibf{ zlpi-Vt*AqI!iVb{OyMqJxecK7neRoHr!I(HZEV(4bg=+_YScRw3^$AVu?Fd3KWs( z)TZ-gh7r${d?tnLGPjJ0*@k2g09Ris5lVm5BEwA%e(qb!pM#TObAnMk6g;OR+-?VA z=OzOG0Ifu51HrTPHdhchl+-C>0K2QIsE%#e$WLSxQk)ei1O-IeK~L^B4+ug30A-CP z{A`QZ)i(P4c`M4+EA||WR;O(i zv^+n#$SgA-XR(ViC zKG2Uyr|#5U0jWmt@3Tm{E7Kb-#{(|Qgr&zzLguZigu77%UcTG=W%Lj1y=uy^Keae> zQQJr2099&(yi>g3R{nY#1kw!MulmarDZH-A${RT)6@cuoe|Y;uUm)BMYM~ zU5G3XQZs0!>`*DZ`iXI&vCSoh?j@`uvK5P=NAKH;bQZZ#_cdA;h)SIHyRWFkqgk9K zcG%W82}o0tkwZ+R8`WvqVyr0jsewY3?ZK`p+q6alFA9ZCwv@ycgB2T4gd&w}K+%M1 zsmZb3@*;oVA~AX}s;`QOdd{R2sKZmDXpHJR@szEgKJBQ2VCtoXzy-?-<%}BIYBI|m z_@7IA2qbR(Ks3DQqCS~{MnhM@Dl|c5M<{&D4QDP|Gy+^#jf&)8pInvs!WDl+ULvx0 zUg~1Of_GGnGf=P8E30o{XC`7Ln>vn5bE!pa0*{!^-F)O|Wlp!OJ2qDxYsfpaK0+y2 z6?^LAM665YR_T|mbn~d3H<{1b4A+a74Jd|W&^r%-Q4CNAdHhf{{DWfTCMK5os(>5O z!X*z$S!-1&OaB1G0XhY)LZjCQa$qHe+4_`JX-^X!VVYBkulqqQlUy^Vs~3*+}Msd0Ks5!&0H zIITM=V{bi2lue;3R`be;l#l$CkfOPA%7&`6MeCokw6tHcwI%_o z_M%l@*<4_7m@8~8Q{cUTY_h*dRlR=5Hih9VZUq)h^XfG8>MEkl8D9r)(JeN^Qm=+x z?2Qy(;sL53E3n&eY7cV$C8e6Lw4x;tgwxYe4!~&lrV^@^T1PRK$F?G^*TYcDT_&@1hLHr>gA-Y zVqp*NP)Cq9*;P3NGKezJ8N%~+*;A$jH9@{^;EMwfqGOHa6+S<33}1HuF-=b9-|A9O z)d};YH+ZP&EjBjjfjq5#=1husza`c2_9($u3R<6;@Ci?rf5=OYls^!jh2!xMO~3J< z&<7EvKx0`h@kf3tSlr?l6-ipvLEC)o_b4IO1Bb*V4;zQUnfYHuL1y< z-XCziZNup8K(3{=F6uCdJqG^sXlM}liokP>FoUHT@*ZJ3EfV9 z!!FzSK^MTg$+VReR^wH%E`Q;Q5i7VNO0-OLg|k%4tzN&l#k8tIHI+j>tnr4!7WG7*=yR5*8T$-)s}0y;EYv0T7Q zulp<#+7u`{&731_^B8+6Xa}}IfngY0&j?WI$*^E39?GvsBCx)rOc>_{@{inRZ5=L* zwIn|xqdL}a9*y~9IJIe4thx#!;m5=>+X^(;V7X2MDA1?3a@*sAY_EddRa~twf!aFl zR=TL?AICq09vlUmwj_r`mGG;ED2n+d^VxkdF4cj8nF>F3Fz-%OqehshsDL~{mp+Wy zo|xoQBP)iS;5 z_?+o7;?dcEOxbj38kb@)R84njMPw8~Or>l(#t_kl6VO7qR9Gp060*tRRTN!Vi7|vZ zyqDqjRt1OFjs@S@G^Hz%(O3G2ItpoKUv4*HeVXjhmRsrtM@9wI--L&xy)ZDPb;mny zSa@Ktl$BjAK3r)2be(@}su-VA*LhNo%2R<-;|!J$=^7mK7v#>0eJ6M7Z2Pj}Wfsut z4yzhn>_8n}6VN{G$0NhH@dfPXhqI^fEp{6^Ma5NnGTZ)56ok=N@p9l8I{6ln_CXuv z1lSOze1%cQt8d6C+f~Z<`6B=*sMSKx#&LX!hs;V#(m5XmQ556JO5K(#;ufZdM^Ngh z_$y`8PJK$7dn^s(EOoiw$4XGKS=|;BHdi?%w>l|V}EMnSD|5EA#d$P# z87EYmR}3Tjd+oy-6LF#{O8Fnag`I7b`T(H4mF7_Ik#_v(fkViq%MWfD{{RQE7F=rG zK%Lb3H^}%^y@=u=--uw5v-9;A$#(?iS$|Mo?KV<>b(y^psg~17&!y1q$_ZmVmw#gK zw4?t3VGty$uT$poi$s85B#=%>3p0)W<`vt6MmN!p~n6DI5<@`8>gK8AwmyUkE$i^0AKFS1nCre zwXC>8vsQ&hz?7=wTj7Yhj6D52e^AEjC{RSjFg6mr34-j zk#7S`b$$*-EZ%l{qz>;b3PFX#RBYIKpkRZ3oTTU7UxgDAiko?htgO=Cc#cX z{<5;>n{(u^!Kle@RN-q`Dhuep)U$#hK(D#-RZDLJ!V4hku9|Jgp~roSitsj?tei?%kTarsN$j$>h!pv1%>ShAWLG~@eyiJ zN-kh%P#W^0BJHXkz#3?=w4Vz%L|vGA){dkxk|RMt`=UyPL$*S6GKL;lQJIpHXM zWe%wTTS(#l6HB@>!5kmlH{e>rnGqdE{d<%)hYS%?smzr91z}e=6%O1QUISKq#+zH| zB@7HN`c$wKyBoFwO3KFI6xA8zuB}yFb}%*UQq`Xf0g1chP_I0OA;$hDmk*Dbm5pnW z^oByU=;RQ4X6$%F1V%1Mt<)(2^)1c3p)z6$@6?^(#c6(blCH z+3vw%G;`@28ZvqlC8DJYLW5Mra$sW&3+IZ6kH@zt1X9tp9>HWw4`Hxz*~GYlQoN|L z3G;vH1DGq0u%m51ZpEo|+24y*mkFQ%=zXzu4dn>7BZA$+H44ONyHI)q4Yd$;ygo>5 z*vUadj5tML)#+V9OP(rW0yDL0=t(!%xna&iLHURXmY-0QJV{=B|##}sr{%j`XX#B zts*P~M!u))Xn--7xW6?xsOJ^RJKV=S%|-595DcLvopPnR8hs$~{)(3=5!v*Lo=O&| zjhCV&U(e~NC^zEDG0`nV8+n!ye=~eaZBZ=}m0tudTzRy7KrmRy-%(CA0jqSaKe10k zyHGBBTWZ44jtzgf$SzIlQv^10HziBE@2<@q*7*$8KmW!2KX@b7$&Q40Jt;9#k^>(7GRkXbw~^0e zC7srn$|R~-ydhr8!RNOqAi2*8M+)eC{lqC&u9-%acXOXny-3y?*oAEtQ3XsJrdt?Ho# zfo;*i@&wvJTTuxcf6^lweaF-jt>5C&cpUi=5Plhuh`lM;5PNX{0M_DxSvB=82ZH|q z0kOHn%?I*mJ!9-7n%%hwV~W-#AJkAtYyM;v7Fo$_iye%JCBiB_dkaWyf#d)hWi?B^ zhsjn!(Q+ewfWE+ALL}${n!MvJ)3(tUQOB`D=h9GiUDOVh)3q)p)Z+On)W|{<(c5Ld zOn{<-XV?ja2Y}X?7tC#k%E4!~QM81y)f@(A1>49W)!D=wmZ84G%w+hu!mqIQ3c~=h zxYJd{eS>90S?LD7Z?w->FkJYU$WFopS6SqIm%^7VD&HbK-pbWZ+-i>)ZP_VSSyo&_ zRr6nP&I$0Ercrbn*>T^7`a#Vjvq!cD^DG8luI_3ZyTQ2CDT{ za?F&a+lrfSypIP-wH+EbZ?QvLEcuQVUyuXxsNx-SkrJUm}?XW2FH?0NZpOgjt((;JkQtJ+{?A}a$v72^!Wcg&S-R0gZ;iACVE_X#GMueeUT5n+O6I|bsC0CyKwzVU}X)X7N z0$`R6KHn1=BW}Hl6Mm2>rE6!+vQ@Q|MG3OeRRsWbElVgW<O+z^{}PtiQ>0clKM0I5w&-gA4c@{{WK22oz%=SXTk5 znd}k4arZh`+`^SEHm&~vW+9{^#g((BN+bo1d)Gssseat~sF1A-$wW?_p0*&pnlfU< zmL1_7STALtp;%-@@+zqyYRzA90c&T<6n|i~akeEdDGvVtW?&gs%67hGPx23A2M)2? zSk?VNd08nmisUc-K-p@u{{T=2rB2!FJJ`T?nF8zg@pTmz7BJ-&6p#8ZyV*KHxsw{-6NC)q8nU)o>sBxWaj47`tm=TXh5D`$lcheA%cH3!;9q z8p_Lq8PQL_F$Jj3QDg1`fyU~_3Wy?qe3Z9bqmY;VN_A;nm1R~KykhyKAKzeV#&;iz zs3Hw}08OcN0z|S4+t^VC(bAwZg6a#1D5K^#o-zE~5@% zj%~ibP?)UX^Gg|wss`-o*^eTM*$^S(kU=eO3HVU;ofyDU&9?KGE^{RT-|{1&cv%*UL44TkFHdF1 z8u^&O3-(wBvD~7;L$jg-*w><`-Ve}V7Ni&V7%NLC zs`g)y)vJJtsu&!W2c_8yw@=^Q$02p)WUQN}&^Z=B{xChsQMH6HxJ?n$i*SN8gb7w( z313@f)UmaD>2)xTY+^=59}LF3M&bzB-oyy1{ii@{Lp79BvXLz<&J)12F5UeiPu3lR z36oNypNxjfm`;j&E-T{HwBW9g_!yh!p&M7N7xo0Gc*nRl$OwWA3nSL~EL0o&5Pen= zyI}tSVgXZi@lyd>Jd7+=d{2{BEgu~#7R_fr4V^PtOmynn1+J&L;hUVG&MEXYM zVFFO8NeIEAfWET~irf$6qEuYc0_RFh4#G6Gv6ZCi2}}jgAz!wlr^EirhRM!UI(|e; zXNxE%^WGB@G`DjCYr8JMMB}oB5S}4Hvaagk0Gawrx7@LHAafOLzioiE@i~)!?6qqx zN+1HS*fL$%i@Q)$^2Xi3vZKImpf_#qK;wJxFe$wYS@Qz!w)Io z<_IWtEvN#NLq=RdfwSm=DkVrg>n>?;%>LK26XnwYs44^6CAOZ_4nZOP2shbG`vNq& zryl|+D{j1lSX^OM(QTf^)#oPaDm#!;*rH7aXcfG)qNwM^_?`}HNOwwD{+nl!b@l z1xnL6#jTB#FsCj81GOKbiG3`lgYh*CgnQe7tfnAhl5yzoui&1hJVR?6q!oC>GbSsoaDjMThCdGE!hxYA)RFgYjQqTZr%yCyD zmmv{il(qVmfHkDl0cL62WuxrcPtC_?qt@bxtvCE5S6f^y>};eBE!jf2;u9v+7rsV{ zw`dZeZsbz*CC{~4R*Il6kS`snNVgO%6hMZADRzAm54dc;U&QGFn>6h|_HG%z6%p9^ z1=JTG#WUkok`K#J*Y~KA`=%DeRZ_;&rkn&m4PZ+#HXwMYz_-bWC_y~Dgf1c+KHNuz zaw6YYL6sJdBDo>3t0uw$AKE4&XWOP%=x2SG_E%=hIvuBx1NhU03Ep1iA3c<%xcT~- z4mIsp+&20J@goU&cPeuOVq3E`h$Iji(iKK!zMF<7>PN)Qh33or?4IzzitME?*h7EZ z;zVJ&15_Tdj-hErux}$sTNC0N!@-q%17}e71l^@2TQ_}M{n+b8+DX)gR07D&h%F2q07}UQlF8oi4{usSL7sxmMCBAL8 zpet0raWi|mzGSqc#+V(gGVkXmD4+E9Jq{0hAA;B_*x_M-!6OrczjA?OSrqDs-#1|7 z6D=`SMf-}2V^`>G8s0Fr;K*(6D+ncZ7P6p#7_zsVhA?PRRZ&%in1xX*=@l=?l&;qH zuVz=~Vcty6Dp&_$En2ennCrRJ+gXLi%ade8N61F&6**1BKtWE_d@GsSlvAcs->gli z19i#OX0cjg;IwQl^tl{zzN2mt9V?{(GORhLDU5h2Kb?u)!)f#hZ&^Uxg?1&ZFP_XM zsEq!T6T%!(%&9FS;w^(od8v3TwNS{6PeN3vO8&E#`7?7Z~_mpBA>u z1iY8fG%;gID+`tJ7*bo~Ac!dHul$!y-&tbD%6WW|&!|hA= z2M)xxD;MGxQM(d{acLt!_8;nkWE;zGU#Ny}c;EhE*jQBcAeHler^u=_W#tNL3FM<9 zg96ZgBjCUa(g}E&)N4C_aVa+*i>MM;)%llSkBGOWMXw-=f*?e$AWXZx2-}LLRK2J* z4~cWKk4&hL60JW})bNA&6{$zv32-PZ5kbfRnUwzk$V=6p&DB)-u46q5{{Y!TL>eN| zUim~prA9wu2Z=ruLKZfqdO#myA_)zMpAyuyte@=op}DhN3%c?Eo=9J@hBn4rMz02v zDW;s{yQ3xXV^>~90BP0_4I&f)grytAqyGR1-C-_2+Luz}qycCy8~BAY#LPxZ6H6wl zYl@U(--v_DxG4;_PAv0CNN$Dj4nPa+^Pz+*)4{ z7W!{Ih*Zjko2GL}a+Q~Y?udXVY%NOrWWUG!F2zoPL;nD2T4L9bQyydDdi1INN`p1U zWE2c*l}QDZwzQWEG*-Z)c4VP8X@a9?ftIiAQf;w|RSQmU#6`ZdNZDSD{=gFcui5lC zx1V!1%H%(Vuu2Y=G`0u879h)C#!y(?1UrfdU}^QS3d09|Yz(&D~lRT zIYQq#abu*>@JIe&Bkr~2qR))H9jRMpfACJfq2Mu9bm0a7Xv69i~*B z7MCgwX~}QCECMawYh5zVT0{^ZW64SiI^Gcbc+a>L74b5Sx&HvOf+{Yn$kq$__=0>X zl=2}=l$s9T)Kz!Ee^Wtj&V5dmdGjB%UxR@~f)586l(xfTD~C>y z+WnyEF9Mqvi=+ogAJ6TZ{{VE|h#^Akl^tcZ==qmD0t!5T47JkU@eff!Md7=F-E5Z; zI-S4^hCMnA>rLknzG}kVR#)~{^y7#x6Wgk(Lr_?CJhU5tDzz@vKyn!RqX zl?`M7oG-Q})C)UtQyO1BAxh}{H5+X?&A1*4uSs?$)fN1dBOCMXL`RIaHcCUW4@_vl zVc^P3K)pwZ>~JY3OW254l|X>}%b5zs)6m(vkWW%;1auZ9ij+0@fYnBxz%Yz&!`Vt+ zK4l4NiEN>zl{7#F>@>PeEf{ZC86ejsf<2aNl8o^aVzk0m`3)1uFelRz3H4JhBJnjiwL`HL|Q{^C}ZhAvp8kdZ}HQKwl@ zg=NA20K}nqnn1RQrC+h>UKMgUzN3fjM@X@x#@{7BO7r&w6fK2hxSn==8oh}PWi2)T z0Q%;-J@?ozN`46Jn;;U6>=$Cu_hJng_{d#)luBKZEL_rjkq+Dc0H{R{3`kp+(F6s_ zuoz0bDQc>!Su5ng3aN(!u&cRXpiJINA%*_{W*+I29XpS#ZoK*A*Fm95Ix!Ivk1iwy z3V)CTu-mXTDSApIef=%p{Aq0LLl`>VtILUQEutfEkaCOFrJb zk0b+1Zd4;~JKq)(ZQayY-4|(S&*mpWX$2TYehz6pZT?519PTxG3S0Ff-P z0=W@$jEO=ZoS1!>%kE~wVU$$0`;{H%Wt~GUU6&Rtm<3vxtN!f7+&le+7QpYxg5_5r zf$UT*O5BNM+-ccJ)wA~k+W!FYgfWdtQAI5+i9pCg(D8GHo5P%R7-B<{{Yqi2}f*$ggFw}2HPx#RIk(iqCtD=6i6XU zyd`bsEnGkWIEs`u$9n}J(or zB^E7-R8QQ`P1bfAYISGw1_Bg$2^s$Y5`~Mgx<&paz)eHTg_bN;l?oDNfpX5S+Bjy9 zc9H)8Cxho$cm*Ja3qnB;%06o2hFDnI zQ^gTK`hjrfF>70jRCATmS#>TsX-k3j&67j3V{i$!@c>6u{+-w&w1dAh!{inYQz)VF zu&ZjlQ6&Q!G0`h9^{qf>CI`b+qPYgux^NllmMgBZe5wyl1M0YxGrxZE0B zU#yHBh=7udEteN=%2%`1Znht!J(x#1oQWZLO-6$p6x^)q;yoi-eI*PQ?e?aAq_WNK z4G>xY*uT+$>({Q!mnExluK6%+PRn@{e|>HvUHm18!ALLu!fjW{Q2>8sz=v4&1Bz-xY`)&f|?4e+KJ>m7kywIhO*P|kWX8JG)!4( zOXM|-3IR229GiryKBdx8qSsi~M%s_TXC>XJ>R9ypiHrrp=B*dVVk6cH+bO4VP$>vg z)8!3^iUg>R7DAEKj+ z@;^u^=9c@(u+j3sG!t7_Qs*2Ct0PKQ6tI=^EW`IeEU`Qo5klkwmlUA+`+&+o8$JdN zvcC2);* zO2{Z4vpWVNYxpVz4%TNS4Xuv07HK1O-z6FsONEcq5C9URu@^A7U5%A1=>;l>>TZ|} zp%m{T3y6UU@}7@~I#N}^rMdAgc67>$;__)-l(G%3jlSXKDj@!)7(${Im7#HXGpZ9r zRodhxl|g?A5|khS@Qwy4mi>K1+hX5^+KH(2mDx$sH_zH=4Ti#vzshP?kF!E)MJ*j0E#>4?}{So?(*k2YSUtD8kv+C!Gq7C2vMWY3q z^cgSH3DW1tjEjn+kS*mfgcyt1wrGt$%C5smSPlw&l9E0uh%6mb1Z=uq&2Z=)ZiTd%U_b1iqo9@l!uv$NqNq#CR;?9WN1B{Q_T zsZEx|Kxhl|DQ{>N3Rr?%vu3IWQ0}3j|!OyQ`MA zn|^i1QSj&c5IzaV)S`ER6eugR)(9r|Uwuyr1%CLS=l<3z0F+tVkwk%AI|gsk_6A=g zf^F`OM7|3T_67U!p3kvK6)9q<)hA;&C3kgA=p8o*114Tp6i=HcN8jXC^E?vV`OI+$lp;T8%0ctk4 z*n!po`9KyG07Y?WM*W%SwgQ!=e~!->BJR}kQv$BSFw=!(du`zGia>wXTCZ82Le;Lk zsD;>-0OfosMMA+0R*O)jmmH@02Mi8f`1p!+ z(+C7Dm<5%Rm<7<}Fu*7|0{004{V`+}L1)BEs4nT@0Rb?#kI|@pi8IJ3LMQG$hUG%T zDkySlYv*vi<(-zQ@aYmrw3UK2rEIKS3nCmo*qS~fln*XviK}VpE}x5-lAs7^HMJlW z2IhtYNCh~KFq8-0DJ>mW7-=_H0`gOrN?8yRp%iV)yZXjC3Jkc@PdY`S8~FAduF8se z?4%)cWx})^Vx1kMkmN?tSHmnE8YvdS(1%`2H1VlhmDm+?ONk8*qN~c5NutAaeg})4 z7h_dxabEH`ILS$%)Jd-R zU;V`{>rX@V0#xilK(1u@fVC&#U}g!s{t<>@U~3S9E64bxEJcoc3Ez4T{1aS%qNmz- zclRSjPnQs`nzIc)tRuoH!4KTPZ(L`|c^x|*E%dT?rRpHxlFF{JJDS;Js1!?2+_R+nPwH^;SMT#0 zu#_B%Dw5#;0AsN?7w~@NjB3?_)l?!>wv&)0R6HdG=9|Pu_)iy;Eb30!Y`b?MpLW=V zc?>J^s{a6djxN=f>NLG6CP~B#*RWNB>}5@V$;eE58`tEohy+^-?aEy#FtH7N6r$_M zfffoW`y=`&C5$PO!&QX`umws&=Y9F?xiSY3+#>{(Wd^)U`R$W3}d15K6y zZD?FJtU5u8&>c;S7uYnoQ~o_Bi!c3*zP0_#Bq2wlQ*Q2pc$QG7#Ix0A(eBB{OaB1W zuo@PJ?iOiKx!ZRGT~C}PA@V8y;>S2Du<53aF~Cg4QAOsiht8@-P~@ z@SQ=gAY3&HsHf&2E3RiEsL0@uCM&n(*1T-0C{dQebJyDfr zEjx15cdo=X0jr&DDjG(N2DTO}e%M+7=>{$z@)QJhs8B#f@vsZ3gxYOPE+W{ysDY)H zg+RWrq4Ue1rjB$Enz@aT1J*TPU{6fAV*?j5B8D^K9}`Oc&(u{0Z3~0q_yD-%T}JJC zmP)x*E~e!JgfmBl*%S2ahZ<;B+$&$u8cbqZwAW?Nd&!9W!L#6RT8wqGRaFO4 zKJk?cJE=$jK=W6`EI`krAk?CuJZq#ImRDssM2e9|u+0s=7+l_(QDL#(+(Su!6*AWd zR9gbg2CN$u7|-3o7O(QATsuc%fkq`b=pHvTXIYQREhjB}g4|j2>=BO3y0^a)#i&t3 z0~Yt@6L){t+L>SKV*s+Nkn*#NaxuTJPuyVF1_0*H(8ryWDz%m!?5t1)e0Eha*}&~Z zfKQm!Z?yta$xc8YFWj(!Mc9fxJ18i1h5a`MH4Yb|AG7aO}$3Wv!-VG8}n z0G2i6(yqyFi>F`*Z~dDoQ14^D!6UNVFZLikHWXC73+x9vd00g#Bj%zVVGE%@OyIyi zJQ)D?&xHU8qLSjTR-s-{e^;_TtY)o zb@-W3@uD=Sjk0aZ*rjItk&Do(&a#q4yC@ifpsiJ`Fv8)UBiQfwPQYVLigbh&wFAe^ z%rgBaFb|RnSx4qjfQG?kEovScW`~f6=3Y}wWo|oj(vF6rjAAN^&1!f=W2g&MTxd-7 zHWS-RCvqZ5y+7g$|Y>2KBZ(o=K@!q}#EqWC{RjNK}+Y zqLrsvu0y}9v?A8z6kPj&3t&E~P$4O{Fg8zOzA_c3oS0N4p}K%581#1GPWnX(D}A0k zF^UMJQC%{fau;<3u?(iMJ1THmP^BHJC(Dp;SpmXI6gI0JLy4MpM~=1PX>(-_)QRJT4Z*p#DWMsOyQfDQZi z40A4MCdyEr{Y5lur{V!|`-8RL#CIy{BLS%Z`8zxdX0SK0x=U={MPziBS7i_T5wD$(>kVi> zftMf|w!D{`Z;&oyuu|esJ8~%Ai~c^PVsf)gR~k!)jMXt~TNquPux!U5yY@UqXsA)& zPJ0su&S?#*6kS3GW>d2Z4=D0^ztp85XDd#``2EBkQOYhP1Ya$wjuE?OVzbD@BaX!sZiO>U6s#8V{mjqJ1J;dU%@Xnth(4G zg1*4o>;M{n4IY(yS*9C4%lhz&P?P^FW>fO45zQjjc$Z@K$MuNhAql>O#@d*)^q*uB*P^gp&QM(Se%uU0QOIa$+ zHHcl>VKCKgS~qzt%H6HqTw=`@KKzzCAe&5M=upz1fok7F0byJg0t2Q00A)*7MZR0A z%-B};;<<@W=ttu1P}bODFo9J^lhEVZ-@v@&=*?#((PFy_)D*v9+R=(kNmO{wwSTOu zSc|vf5_@0r2#BRBCfg`?K4lUqH~@n1()&>&`WuwZ*Xclgc@0e}`B4528(hZ-KwRK*%jN= z&dH&B)R#?)87~*Yano^8*e^%+PBH*&Ttn_|RP*qWgP#nsS7_T6gvx_d>ox=Czj7aH zAlz-1-Gta7m-dM{rtcyurI6Sh;IR>$Yf?bw--5iC*PP* ztDT0I#fzR6t;hug0(LM_89kSUI~Gv|cWzS`N5pZ|dcl@0SfxHi^gX$rt(tFo7AR$P=&jQ&fvZ1I3;V)7+^N_v?bqV-?7WJ~V^{lV|) zyW|!_KoyJ^cXGn-i}2%P>fuD) zG|!mfp92ty52Dn97~FnwU|cAFB4MxjIaF9G*WMDCLM+*Tie(A`vcMsExg9@pT?2cv zf%g+q(6l=IK*p+SBG0&tMSB>aAzz>gf~hKoMh_67VzbMfZ2%y;%-`AqkC&g+2;#h0 zxU_9-GpdyV>}s$zSFlDc<+fi&Eedun3in|0H_llQYtCw+XWTUoG`bf@?&VIB!K)FM z9Ne~$GRhhSF+)OUReF6(3=Wos1HMZA;hx)k5~GBqm(M&4sZFEHE)kusHF3>oTV6-> znmqeLkh!lPQuqU`uahsKP(X|mGU7ij7d8>D5H@cIpzAOI45n zN!qVSVwG!^W0mLbUDl9Q`z1puv0 zg~%i65i79>qrJEqV7>t5u&%z5#XmCr%@ytn&(Q~$7+=!&EAW5_Ox2H~sfOS_Nm1PCcuLaxT9em{`?ObHJLl%$J* zbbz;eHVa}Q04O)+S2s~;Zff5)BZ&DC0*1RWbJpHOF}ME!!6;)y3Z1Li+O)Z8ut8?~ z0otDA|=5Eq{^ZQE}20q8S zHeukxV}Qb=LokB^@3vj}rV{RMPuE}_E7Z6_qA2+(QSa&piWCx=rLj)v>8~Tu3EJ%1 zTciTjG`=w6tB8ON)JGK&Tq%&WpxoQ#DlE+=DizCi5w>r$XA2vEAzrUc-dMeShK=ji zTBkT{ILs2G8AA{q^~Yx{sbxIO$p z1pfdgeNTySvR#<2WtjF1DX}&YE1tm7xWrG9?p!%;c~fmR1F#iHe8SY6l)I>a(G!DR z?Np_C9?BOmzzVInqaBu5A?swIJ9_~E*>`@#FsmP*2pEgBHE@e+ffULE^EqJT`JRP2 z3$d-(v;k-CqZ$wvT@Ndav8ZW3X>{?oKO9voRO~`IaRx%~!YhEckby%PV73aZYe&KAI0w4wrl%7mq!kV5j3I^IDO5gmJaQ0S% z@cA{a7v04SAL?!1I0a-Uf&@~Dfr8lfE-4niE=yKykRN@ zM}0&*Ea0dnAG$+LPpn^!`nZ5^%5a6eL)U6tU0;$qx{(I$1)mnd1|`~5{YvY}WC{mP z%bwYv9hXD;O8O^k#EVchODYYZ4Z`C~2H93}p+o*EH_H6wKx%sf{v`(V?&L;rQ>u@R zWv#u8aj!P+3HMTnCDRB}<1nlCUq<^8AVZ%L%J84$2O#uRzIN9kO*!>6%zk1O>N#-4 zp9R8;D0S$JV)x~h6c3hCCa-qmB^T?Gt#~PES2k<0zq50kKS+TkM!%31`1t`vj&W@m zkqD?U(Hl%^FkNJGvnUy`%9tMnx9hged>G6O&s!!wR2wL21|lQy%0%D)0JId6kah{k z;a-bvat$;mzE6-S*x|`=Eudaan_|u`6L?u(T+*=Z!Fhm+J`}j31Qt|_`s=DJA+~-k zfhaKwY-836v9zs;FsK5zHe5syPOK5Yb5+y&rU-9?ZY=LteMJ7=t7hHg0f>%X5DHqF zD^>zB?ydma;cb7&C%P*C0PIn)G5$+^rCIh0^?y;aG+k;?cBQN4Q2Du+`an!l6ZXs3 z)Vll}{{Zo22;?@=4`IsmmMD4h8bzj+gfxr=Lx{n+6d@&?}~ zBxIjxLTsqOooI{8Gbj&C4Dtd2j&oIXc0Z%RvHcvq-75Jg-|375!Bx1lOKEBbcAZ zVgVZpBBiRrs{$z|)oJJMW-d1{ECwiD-w+(4Kx+I!f`@d($`zqeEik*gg3%+TfO|qPnX;ZK5>ZHZK~{Z01DMq!i86&${HG6DX$WPBcpox63%Q@d?EZIC!ypG z?Tq4lh&E`+gG_PqR75OA9+xX!w9;O^sGBITxb$mgys2n>OQ&Z>t^^CKgGYaIk0oqF zco-kI`Ve;kpl2gtOFgKo4c0}X;50w-XEQ~8c2WsaArJu8FhY0_s2`?Rb2bG~aw9cpFJ>x>&y;J`7(AQblb%7A@V;Q=a0Iq?&>7Mr2~1?OVtkhMsGrkn7I6kRRU zL~JXMkV1K=wd`Mixk|VsP$u z*9n5tO3UO~{{VqV%(ayP6djIKwCsJ?R{4m(Lq&2nHbMM@=O{Uv2_}KU;z^(@udKGx7rlZWi%TEwGE}g6rtuI-9Qy8ct&e9^XvBmHGZN9C%xi&sKQJVB4PaBu8TBxLncV00X`u zM13en?b{<{BzzZh$!~1AVJRECbN;NV<*B<1wmN)8s(AyAZlJ0tZyMQTXfNKPdiL{q5e zP-m<4Pz4L}(_^ZCAj&>Zs+V1l**r}>t%yJ5WCM}v0$T%@Xx&uysVs)m?OO&E3c?hK zZe94S_8ySd1`4=M(0>Lb^oPGN3R&_N7hQ+35~y34PWGb*i5qYrDsp?crQu~-eLpMz z0P<@b-#7^!bakdIi8B(p)c`PE17YbZVvBcuzbFe*1 zE>bPPEUXLC$SBY#fk)I0dkAF*XZS;`lx~!NBQ-ctw+PHIMz?=x>=IV_@IY-_Z`NoL zEZJCv*4ZkVXcfEzVql->hbJxV#~tfzv?(b|#!Blz4Hb)jQ*atN{=rv3{4kVzSU?9x zA^SNLcC!61XU3DwVx6SfqJse@sY!-413R$8PDZwl{K*QyN#X1`*TC5jM z`nZn~q}UNDrarrI1KFrL^>W7gHd2EM6nqS^TvcH)*sG-9=@ouaK~?b;hZb4ol^h~$ z)l_&1SvOTA!P|)_UwbUTi!e}-e{uv>0O3F$zmQ(k>~IrSqow7_eRHrD7NSCOlK%k7 zOay-i;BPQ-AokOwGuW+qu_NJyp5(b@T5>8l#8Oiw_8`Scv4h)Ss;AYP5wUaPe3XZf z&bb5t09r%S$)(LlLU+>1aK^Uz7{14_fTQAG{X*)ZtJ|?Y)x%^>C#1@n#uK8-BhMi( z+vBpsdrsZOA0Y_4DVUc$6YU<#gcD?-^WVkws^ z!@sym&(wd^PbG$FMQJF5(7qs@S754BsG%;dU{Q*;{{T=3TX4PDtb9H<>M-C`;zS3d zp$UlI;|Xef{7c}!P`$CIL~?+`ZBLO4KZ#94i3$|ScAaV;9mwLmj-AA)fuyJ`-yl)< zH4K3V3|6DXloH`ay_jS~K$MlYb8b;9NKCgBF0KJ`@%B^X#KhrC*^8*GG;(qYtnZm| zo}^|-pcIcGnbHCx?k_^+iP|@HD|j~D{r>R|-xB5VmVr1vq7TAF-^$`H8YNLU$Nkb< zLVFQJI|}Rs<*BRo1avS$FR#p^GVNS58biYU{SwQ8*e2GcA}G7r@L*ArvViEj8;&^@nxmgln<11< z7TZMA_5!@zViHKDbH&B8MYUIDCV}x9EeGnZTcUTonMk88XTaPop*&w=t+2h@l?=D$ zP*rL=ZJv_5K>N6gc0xJ1uaO(gWrJb~ce20>sF%1au|O>-wLs&;$o#{w!**)T!r257 zD^VG1+lKC?6wwalL-z%XPH=!VZpG-8u1fy^pDe-u03|NCEQp?#Hq@c&95z&^sY==3 zOt5_6yoWs6>K*q!Dyc<)Y~R`nE*3RWEB^p0BEmx7)Iw1Bgbi(g@(6OWD2K+x5^9_F z<#Z(ws-A3gs<@ijZ_AaSKzk{fM=Gp^i0EJY5Xc6qTwzet;Nv(V_4hJh(RP?|SP{1v z)yvIBPXm9jZGaa2!pkz9mj!7E(9$rM;CU4x)UX9yCG);wXiK?Kgnee@N5w-&9m4^xbS0+&kL z^$hli+J~Xk2sT?NjC=Uxe+45L8LNqf7am7aE!3^|;c>)j_zL-$?QYBmNk}d>fAs^b zH3dO};)_+&<|b?lbw}A?4^`Y*_(EUezZX-4EfP~gz9%fMr>L`N!BIS9B9`yTOs4H0 zaYpL>N-P;-;v@kHY=*E2lW4eqNU^Vk>aJ1pjcl=P61XLha^Oja7%K|C*YX3{to~4g78}q$Q?B88w1i&m(Pplvdy~TEgaecRE-uvNF&Gp)JL? z&6%i#zoqz=t4n2~R=k;$pu0l80{br>P>xCkwz)LWk~jv;xpnJO&}s!DCuvgR=!A2r z^K7+sZ)MR+E7|@K+0rzvuV4>P%gb(3Hl;fK@k=>qiO^wGHL8f^m_0R>G1pfW#L% z>k`Go)U5d>8)Ja2MryaVZ6_X!kH48u`2|7M&75(MQAvQjMunF2pk{*1sCZ8M^%GQH z%k~)vHTQq7n4_^(>Udj!2xmV=Dl&9)+2gXJWVY6qG&YM%^B?B## z9hwbmVWSDzLWCB-9=R{_6+?ZNPFzy{)I=9YDk#|;yVTf#!CsNVdoAyN;>0#+ml}|> z+hK=WtoyinSA@HmaZOMq)Fds(BWLal$nf$w!L6hPdp%}~Z;&*Oex-noRK;gyg&Qyi z+SBrUKu{dy&byMXmpmZ;8=Lxf1eXVN84ab(L% zqR-gEl~wtcR3Zx93Z6a?Haz^0lXGp)g6?ne6J;m?oq)uau6@n@@^T`I`+!if4!}78 z>FJ+QELc(W#8h2&Z{LaZg2{FWIN{l^?%}DW7Yg=`r7cJ9DFucq{{YREIbh>@gn3dH zdVG@Xv~@#Oj&Xr5t%}6z9)ycH6%&R{)Kq(`H7{VnxZGOM*qwk_RDd4KJ8-FXD@vAy z_%RmQ0Y<4d2AX+25`h>U&9Nu?E%b~V7HBY{;_dnVkOQMpsdJ$kon?WtklBH)#DX6y zFdmM_nDQ7+2Ot2p6&cv%U(JAR^)INgQ5W|x{00Mn>?YDLO!%ML3N7s}h^N$DWOI;m zJMj>am6N9CK-1r-{0&rg{^U&s{KOTZ>jj0<)xfq&{{Y!TQy6KYC09XtaR{_3Y1RfV zHM38xjwjHUT!KKZtB|jHx{BMYy7B_}7&2>*+(Mv6ptNN?NUUv*z2vaH<;oL^h;c9fr|(-$M5k3kX?%?G@d zZ^X9^)=&{0-a7i1WZyr6s#d{)d}WP;mYi&r;t6J!w&^A#>`jiv5u)SjAxkNR%0LbYduzF);xCxEhjo8G%YoBki`EQu&jO|8 z{8dEtekg~j@JC`dQKwvwNMErJrz+vntK>gJFrvE;t(2fWLfhNBiYyk^@F3u;qN8=b z8sQN=*5S2nEs1Z>b%fa3HhulXC|xqcVB2+&Ay0jR(b^dJc`$3S!t%PhhQ}N5lx-nr z(G%o@t3v+(&mh9-Ww4%%9A3tUfDvQZw};u-fAm72WKl#Ws->j#FL{xx72W)TJ)Ww% zAc$59Rlv;9Tm^@Gg%k%<(mmHT)+JNcdyQ3MG{>{lE6=Iz*s3cPnST+PbEdf$zLRug zCE*4VjhBbZ78ODbH+eF5R0vE`BBCI2?JUKGFO^d1Vjx%U8p5;J9zO?~6}aul-RGRLvO# zAqK7lAL+Ap6r?N#sn5V8+)cHp%h{JI$jZh_%y~%nmunNobDY9#jS@wW(0aX|D z%c5Aagn02OTxul*WkhV|#0PL3KJ3T8lnpIpEPyPYG*sXn=}?NQs5A{miFX;nb?F9TwXc!h=caNJ%Dznfq0U5~5_=V`il9Dwa4u@B zAdrU&$XaN=F6GU%5As|him#YR&cWTq!q#c0k>y@iLd>K+5vJU16eJsZmQBN)}oFdw3$zRV9dR~!ERVyal<;V&1`C6>R&A%@%K%6t8q zGyRU+e2)b-X1K4i=`IQ_!zTRW#c#<0jcx#~s~|uk(A(|H6h^;rP20=rAxl+I%Y3a# zY^l_i4~8@d@Oa9X8qS|2DfCuHQG16#QU~rOX=J%^4`w3v<{3n<c4DtVL;WCM zO~9(*5Zx@FG0Z{grMyj}xhOEyWSV1pquE>J$hvZ}1Gr*!IRS@S@VM%+ z(=Dh_ds9_4h&yQV2v`>-fO55ffa5b}0O?x$3OrYm^cqpQMH3o~v@X4r?j>U^p{*h< zOU5H4D|6+ckBF<+0}(<3wVy`K{Ys&1(hC&8l7ssXh^~6o+)JP~(1BG!R{QdRJ8lqm zGPYFFusxBZPEQjmRsR4X504db`HL_sZwf$5C8Y6$;(V}Ur^C3JbjqywAZFYxqBnYj zHnW1=cE!TlSzCvCw-{`kWH3i-LZ<;?(~{^8SLdv&DUL9cCST&9!N0FT@k6{SDQ z25X~YQQc6-2p%i0(&g!^-r)r5@5douLg&+qT|&0@+WCnmBM~94&Jl>z(9;6DEE1s!Xz2p+F4RhDP@;};UBEs|aK_Q_5kUEB1r{wA zQlzgui9>G5Uj>(EBCV#Qg1B377WZf{K44&4vFF8PxhlIF6naa!Qy1sdvRRMRYHAeL z?i4At)k^{v;SeIU`UoPHzRHE#6#Bc0Lv#maMZhik`eF145m8KDRh(RW(=lSp738qj z@j!tw)|!YExpq?&gAY-uRnQ%p z-;lw4A%~dE_+JAcrp_c!aa}9VjdA zOvK7Liv+{Hh0TJJ-SS`-_8bM4YvoP$`%<7}01emEFKKt;Req&CywbUGqc^%VVMuEM z({qjS(kKrY&QWm#Ziue(d@d@At(f4`8s3Z!@nU|V{{WEOc z33c@=r?$@h!Jvb7%Ou*~{LZ~tTK!W4enp}cDJ$7!{x7u)zr~_Xj6@#9qP$^=P9#Mc zORs*T)%8^yVcV8Zf@vG_A?dag7hIYRU#WrxW)=ZW#=MR<2!0=fYySYv{s`RpFD>8c zIIAV`$?;z*aDaea0V-k9XUKg-q2;-`vR2PJBXy z&msl2guW35*5MdcZpO`(fNO}rZl8gxg;XEMpSVMNcj9osyd`7VwR}xogW$Q`1<|tQ zOI35`T4Tv|0W6JxN~vN759-V33Rn39Hpey)*)WiT{)qJeXVm(ISv&$j$4;$0ZP%9MJh+Pkj?!BP|gP;h(MsZ z`o`4uo0$WKL0#hfpBDX@Q^VR1!m=twB7iQw;giE_>|g~|j-K{#ffjvK=|o_ZkzoRYKf5))A);ybR)10 za9f}X?4jh54R#)LGAF1!K7#?9BY ztAPh@jDyou%-tfjYpT7LSrqgR%7v8~5{O(@9*w4CD3@(&RST62zRRd&R<7y?DiNnX z`iv_M$`b8)0RI5~u)XwqS1#?V4`Kssxb!VTstx*zY{q!J&P)4`qNT->Truh(6s|H0 zZ~TZ1t^TDc4+$0rGJ}hnF8ZP6MJY<0DO6dj5lO^s2-5Okr>ck~?A5<UNT{5k z@@%Gh4Z**(>HCf2ui|8?CALAO?>wuCA81C8nWQ7*q%bwQJ_vz;fwp7RLfBuT3A(>e zh8lQyM~{jqzv@;NqOTTIqiCgw@Q7HPYY)Qi$N<0ixBme39VtK5PbRBnQ4XuSMI3(O z5>J)bNH_#le*Hk)xyH)KJEdvVyB2MQKHHKl-DVx7M(*lzaRvF)LMU2+(~sX zl+3HEgAERpT(pI^%YVXkJJoEz-O9vcx_b=(q3|-4LCb-tYs3{sXYL3pj}e~7yr>J4 z?)d~PV4^yPg&?fRJJ=jz*&0GFAZ$laMLy+&$U{}KqYcAyeI$SQn(>Sj(Ck1_>1tO6 zU;IE@+R_=>L6c}oLIp%7$PC@K{&Q(9tE`;VHETuzsqy;3%Zcx$5!en(S_Vu6yu5$| z;u%9i`>?q@E;poS}~P2JkahLTvS+k#~bLE$LXw^5n_J%hBdD25D+SV%yFAr+h! z&)JzmSHNjH61-s>1#lPa@-aU95(}0K@a40r^oSOV@fG%Vk{aELf%3veqdpMm710VU zT6*nmO9CVdDwm$kKUSc23NRPK=#~gnvMJ57Fm(z&xXvXfv5rg~EOmWl7xqFl2&5wS zn=~|!jkx+>4_K(Fs+4Li%gbX#JqtjJYLNsT(j;1xqEf+a5%RPQx|SJthYl39~6%el}x~x<1N+atNA{dWKe6Ybnvdc~buX)DDUIbYfxx zfKze&5o4^k^C~V~-%6lD=A%9oM`>lHCJcgIsux}>q}Nf$;c#!H3JxyES5TJhAbJ8F ze70k8dq-wbbWQ;RxzmI#M3u~ZBXbc{~!=CS=gz za1SQeQ3jLfB?J{YirItikiwvgGlEzvVA#GxzEl$(+f>E5(#$YI_ zh?sB0LvCAN5l{f$-zDb!HUV`_0Bk;IbZRoWPA6*xKH=SHvg0bv7M)^oDP#+B;QRf- zm##NAC@ICZv-K_q?i_{f8SNkZOOIz;g9><4NF%m>;;#ESN{@+hp)mgdv9SEsdn*dj z&P&OsGUAqM_bw~f;&HJ8eXtI0@SO<$>_Xysl{Cuy3t=FdJAs8xJ>}f2Gp<}?R&K-W z37nWdMX$_!$<}u3Z(wF!Ktw=F*4&6XLzT-^*gKFi!md&kNmAf);(-8<1%hkT%XlIi z9Tpv(gx#J)u{-@frY?ZkO2;BE?rZT9ZVLJ_;#_J{w8Fy-7|KsCnd4rAy< z>n>Zhy=(;Y>Sw>0TPaoZlJ=MEXyWCRUSHPCw0LlYP=RftH|ufsOlYz(Px&=${3>@c z8e=KAJ66P>2}h5>0ot}O4Y0FaBXriv3N;(JSkZS2^ksL60@Yf`nktXGh1bjuBot+V z=ghlE_C5(L{{YGkQI{ga28)AOa20oQ^nRzyRn_)b5(4O<@+Nv(n>|!jI0$YFD6Ojb ze!^`j9B1k=C=CcxrPXX8*hxzf`~LvsL&gT><7B^)Kq}a9mZ?6WG!-;8Q88x)IN!_w zjiDmmLKjV!l~PJY0K@k!y4rQPea5yW@RZOjncoj9DnY^ot7RU-C%0>t88GPk3fdRuCsNz2 zeW;Q;>w%uk@9MpwO9;%mpunC4$uzv4bneXAZ3k zm%BO0Uw$IvkFZ0i75j&5HQ93A>L#u*HsAX#${G_(DSNW*M-#g$RO~fv7WOK!-(XfWfCI0Mm_n+EYN)8KyfPRNkdiW{htx^r86Ll|sGuY8 zlU`TB0o6n-axEL!t=x#U9qcIzWlH*s&D^xk>-2|NdZ-%>C2r~kerdnP{&)WX#Y$yF z$Xu-aaQqfvMiXHfvbHnW5sV^RDJv-y7>^Ge* z-+t}^dLv%kUlQfXE{Mwl`}H0&(YF(43HzKS6_cMxf_=AAt z6tz8p5SRGuxxq%SNkV{Zz^q+G53h)e@M*YM$iONQ77N9OR|ZE?l2xRz_#VgEqPY-a zc^a_S;8+&Ood~W*m8Ht9BTg_VI0i-N*+I4mWk(`epKsh!Xh*~af*4f64`(vrcXhBs z)v5s%YK8MxxiEn~?q3)VxlsrOTrsNT^>%u9_i(4$N;aw7yOwylEo@!HWobp@_B#MH4P2i>7j{!yDsI-xEz{T^g-Q*I8n39@(`7QX;o}>=OoM-@ zm$89FJzpYZ3RBx|swPH@g~ei}Z05u5a{Ip9%9UH)%aj0VKoA->SOrl!N;|Po@U?X@ zQK%v3k=J|^!v!U~aV4nrsA*uM^?4N*Qk9NP@}3?=Yz>`)!uxn402rv1p>W7c;WtZ! z4oqn`5-rUK zlV|o-i{)=UUE?)Nhtj;{FN@gT~W) za15Mf!S-Bs*1V|sfvD(K`zj3a6$2}CFJLTHV(fmd6ehS7IptKW;nmx1$ibW-Anh?l zI8epa$_4?lQR<79J+qZ7l!X_^=lKyuF%|-qU|zCah`+cXaym}Rv(ZF#SvHYm=DsdeqDl^lb9MnJ}*yg@FP zGj1O@BlQE0{wtC?TzFO{{TS@79S-wFJ`d52u!9I{%&52YJXtLl5e&k z7RqJ!v0CbL>TOGtA-HWmMxalWCJ)_9+(zmu+AdH0M_Ft?H?Tcr&Rs{pMp=0+4?-Za z{dQlck;7%-kl77mwqdK>=j==#R#&DCo=H^{{{RXhcgvOwjDY<;ir2KlD7{P&4_J|O zb`Jyru$NM(-F~5E{{V@9fI(jnvm%_^>;U7{8DQAtmm!|4-l+3_Q2E)sAz2NDrT*sl zwt>`KORE^-M&nlqqTXsw0V4+dD-BW>!SP$S{q5IPbBI3gZEyo~{_e4-1Ze@^w)FX$X2bbrF7QuV7lH*JmZdAY7(j zo9D`j&k_1uAX>*yzsdMNDR2*z7!rcTxB9taDgs~T5qoDQsTS>oP&*05d9t+`MdV8& z5tRg0jVNr43xiyrWEzTGYTd|!ShviK81=DiDm!%&Eqz8!*>&_wP?JI&c2VBYz@q)1 z{Uxhyq@k%O1Ejuw=B-JOKM_+DNRawVP<>slbc#Kw)gkm$(%fQ#+fzh0`=Fg;l(H5gH3T_=YRRYsrIi%SsZ?z`Lbg$}v&G z`7mAVHlXU7Yv5*);5{kD6k{slp<>pSJ~t^;CxTG44*4lg+91l-i)&)--U!f-30+GI z2=cv@-Cv0UZvN*FoP0{mU-htr{TD6ab4!;FZ{|=SNv_MXuWC5^j1&UguRMTTz;(Tx z$oAN^3bBL$MxQ3-6gS0BUc*^fHG7rafaI#`X7F9|7Rr1fM@pZzQMm9mP;0L*?r=EFTkqlr^$|gxX#PTve{3 zMdKEhWJ+p>z!gx-p>JNp3MqL2z<@3GoVz9DDA?#(aNs6xc35atgi-P-JVdwJ`GL{o zzzls5<5lr34gA3xr_$T0vzBi#0$&vVjYAyu@W0s{uxuBbWe$6`GJ>< zOwueWqVsWeRFU?`X(cN^QovsbEBA;4LU(Q|ZG=XCAtH|msRY4f4Q%y~_O>!UVJK~} zt8A#9fPewYn8z8jUd|d)z#Bu@Xa;$eQ^$m|^>J43>LL-Es#8J8jY8UQiuvq&0dUH{ zHR~S5n(_+S$R`w@`x%|u-OZRDbe6y$pZh3db-@#us8aG6)~-0HofPe@WLa3~7)>A} zm9oaDs&V{Jamd+F2~k%*rBhd-F8ZUDfqJG67-&ymIRyjhohD6635JxZqv+ZY69RcR zq%u(hO%c~zoyc4R&^M48A7bAm9JVG_<%lH~Ta2%6RzE?_^%LRnxa=e&eR3)++uK=v_+ANZA0nwRH3 zWvr;8JyxMdd}5VV7QqBY0Mcf>_@3q~DcI5yp!k2vJ&_W=7|GLf-qP~>|BV+(&0z&*{tC5DBnuAyMr#rcRpEdx!ABn4Fj z{{ZYmt5!O}QmQP;4RTt`CZ&*rWmL8UaE=#k^EWP!&a2HWpTu2}xt3Q|2S3veCK~Z;5b?aAN}0_6b+m6uw@;CL1oSU@7CZ z5vUsEsYG>Ki!4l9F76rG6)5FIRQ~{IECyt1pAxBxz#5eHYSD6u<&ulq<6Nu(g6f7H z)c0=Uf!NvrS$Ino-~p2TWv1*sm%ge2VEsw}bz~8sfgNot^t*!xX*cRv1;77spM(&Q>!wF;@S6fBo%^#1^}2*2E^JzmGD@X9;yAf`D{ z9soM9W7@QN1TH%5K-It%n=huN-P-N{03w8p@%my!T5!4d1}=oJYb6%iSVdNFkrsqG z3?cl8$#(OTTsC_E+AM?@ash9wq~C?HBYUrZQCvE<66CATm=whIV^}aTZ6i?%xJx4y zG@q$OzDl8bTpF~3$gy->6Ctx;ls|LLRCWUeg|OH!p@r63+YcqH6p+_eN)A*?vr!7s z(-&+|tkat;B&7mVLshU1KSEl!*xkwq(w~NtMvB^=V!gP?qz*(7a8SVtrBAa7r#tH< zXUBiII5JWfms03AvC*y?{{SXT(H2&HG?qfjocvT-Z9!*8^U2@G9>x5>mH1u%0P$H0 zuf|RYJ*jD5fIkjP{P*ywYC+gcYs4ZYGXDT->`JIv8mr{`6d&0)w*=BR>NgmQ=>=M{)V9Sr_dTX;Bc~Mp2FEOY z5QbYd?JO=k@I9aMl_(lYD3>YhA2IUCv#L0-y&@1cvVE4h9Msi?KrkO~UDuwXs~_%1LG zb}>%RjD$rGi@AjrzHFpnYjbZl}N zui9>zi9LB*{!JSdi1^ye;eSe<0sjEBvDElHjUqIBlpFM2wou>&wXhkn{h;+_oQDW8 z{=};L5`&NKP|vLlxk73bAE+$->ji`g>K|&q#O-FntLKmwrpu~5be4XIA&sT^D0``d zp^AphA_5Si(uP`dzDD(x3jM_4$mtWT+0#I+b%e8V3#x?q zTG%gnYPEgj8%kAwU50^fn|ORgm;_a=HWVhZvNnVd3$+R;+vD>T2(I@63&jP@KM9>7 z>I1DMaw1hy%Ihv!xT8bJuoF|Eej*#gKX6Z}Pd?xdg+Ta%RdY-fQ~Hiz=z5`psH3yq zN>zAmuZfDr8R0EZGSDm{mN%Ca1a!DIv^!8V3OBzJyoKiWF8=_szw%tYG#Y9ITogNv z`3GpUIR<-0r`p&N?ij_S`lty-K|{!>wyf9z229+liE|?Wd#&xp;V0&Xp-2$YsQ&LWsc;9GEH2W*L?IR??8{fv~+74ylp;RID5`I^!SD42%fR{{X3^0RnmMbWuF zP}WwpjA^i-s^lc|Ut0j(eKs)QkPD}7B5c`mF9tn~RS^&W0AhTs#i+QuZ;*aL^~uR6 zL28Sq%3ZJw_6DFBp3!B5)cB6Q)TU^Rq4`@VejkVgfLbMHhm5NzCNvL<%UcZC(h{0K zP+{C|0d4+8>S_Cuoj1VzO1i(t31nemt%!|&AHy9A0SiavgKh$@9t8d#=%i*=0%!~gLi(!PnHmD%8e#&sFfTJ z7YCPqAQgJR=cE%y3F=`UTp5H(VRmMvE0nwO+MY!r*oU%31^uHiC{LkWUufJ&R)SIU zaYsqZl<})rqVQjkvgVG^Tkupdaa^5bO3=JlS@JBcfAT!876+B#D94ctZzUBpg50zg z&6=rnO3*K5)zAX^JeG&4ZOsa-rl8JJRafDR@4zqtx1_`BT7kK8I>(y|fR#+NK&r{* zX>Uno`h0Axpz5oF-;h;GYIOM!qhH9_v7`FUM<@i>;t)Vii%h3sUVrv|!Ie=zajK)# z$n$A7?jd05SVI2Ldnti$vxAcU(;O?FDm=6=Hsdy>C4l|UJ{*Tpu(i6B)%?>}C>Mp^ zBPzzNwyF_RjfKq*A`Jvv@WQAW82zx66TZX*bd?KB2+cXg%UcvF{{We9$TV8kw*eTw zcjQvGH_295*dcD4jJNR|OA7mvn3m9^ViqsQA$J&TY}Lw{tH85IDg@h!c1oS6Uhe=ZRp}E&6gRz!blkakXPMYU3+Z;U+7qq+8gRp&HY(w0TT0T#mMhpSiI>i(lT5 z0hg}Cz#7sXWyc-_q62YCIL6`~ zM4;_$mb|Fm?aqC7kJJ+Q%Tzaz9<@q(L)ThDx(2Fr`Inu@DCgQyfekQ1-HRfCh48w# zWFRMQ_>>%9yO?qLQ!7>9s6~t9B`T@@!yNprh_xxJHh&_UZaVEs0Yx~}W)kpm|XVQ%>nEl^I=prjZ4> z%hmEmin))=;^CF9o8%#O623))NQNCP?~JVJUOtgCBaE@iog=`;weo# z4DbGV6)LR&s`3yOoqUZHx}r>dhFsrppV6q+uD-EAS9B^mSQP?cWyI^!1zJO>H3e{% zfDYWPseaTJF@k;aS;j17$xRvTU|6d1GHp!zmzoVrL*?P~6+S^Mdu%N-{PX!LORLF3 zSU1R!xm_J4pKf7b8@gk(d_Kk?vM9Hoxk_}pZKQA&0i!S~e&5tV8mJ}kHdGb|kIYCs z1Nel$!V;qrb6iFIaEpXhmnvEKxpx_|=U>JCYx7wT&a#Qf{uRdVR3{RwV=Zt?hGG2- z-AiD&xEa}GQmg$UorqTXT_<(=ZKV+<#@{QP8fz$qK@$g-}iUV8l0{g~RxZzu{YNWf}AERH`Ma)!#CFqsClxC)2wt z&>#i-1+ye68buPu?6yQS6*&kbn67kAF`)w2p(-`i=(q;Jkp^=R*vsv+Y^k~NHNEm8 z4?cD|-(9Y|D{Tx_6v8soe-f|@Jc3v3>{eSFc+>Jk56A;d)d!qJ?8k~A7rIYbDOb&= zrMMb}Tw;N3j+GHdp|wxZnCx&1Gj0NW-L>Sl&3}jtxEA5o6K>ts$3u-0>Dg%g0DAUb z&870&u`Gwt@0m~y3Tl~E0k4SkJGikn3*#`tWGWB3mV>XcEV5qbm?;C`|g{AEM zvAIJbrab|t#3Q<1uv0uT-MaE2v`f&C1NKY=6n1vLCHElP*=q`G*->j_%9Oi}%B)q+ z#IS{h{{UiP%Uq!BQ(yQJ=U}d|{(A&4ir;4a3a0iz0j}gm8d-j&2uuwu&$)px6HRJQ zf;pA4Sdt$!L^Ou8vc%h52a=pXKs_>*f{Iz>0P-nxYFxuc z6$#*iiDC%YQ`CWXwmaL&(;%k`&6QVK=WZzea{Zs;iW8z0(gneYu(&@(DSOvcj&hq# z+43$awSOvtu{8j#S%3}rDD5|KFOXC6loTsSIzvv_6hr-k+P58@rXjEo2_0g9SmH+7 zm;7xlm|~0&ZTZ@6YyqX6iybvXW#uTm1wp!~5k6qQN7UqbEv@e!&UojQV+9mXSpxwu-3=m)xrUNi5QQ9Se9v2z#NQhvq<2i1e+}@ z61P6mja~q(7&7vV8?!)mk@=390gb#@U>dAbN|etldp=V<7a45@Y+(z$*ucNxdCLpd14$Mp2qcc^n^xohT4h=Sx?+WbVYq3J1)p@E(1wXqHLXt zqkTU=QS3E#`Q%rvw7cU|gHoGe?AGMI=mqw-u?;C|=VsMU)VQ^3DIJfL%Yf*v&gi$> z*KPr87PnDfYx%o|omyi|AF(TjhNCpA`XVhQynr3-p><__~zJn1rh%(n?q~3oJ%$c`sxG$GH*~6CF$jmR>zz?H3Qr1t{!%O_Zkq z#`?tP=*^8+4ob@{-y%F##_H|Mxk>u#GW|-00kPPvX9*~_(mzmH>g(%2@~fqJ-UegxIyJ!3$}TR3Z6bTrSH@Ka2b?CKBQy-^q(qn+p7kQsv}srOy5r;eY-N zJ2qWHb}fKE60t>DHcc4PsYI!7>*^Crz7V3yC{ZMlQI6)@0{-qG0tSJLzEzF#T?|NG z`sv11s|i#;GfQ=-yL$o2(joM{3j3Kd^AP^!jM3Zj5#H7QDV z@(W$HQN(g?q1|2^Z5TuW<@AkG;@b%4%GMUBfJ{;SV(GmsM3TYu9CLC~048 zK*iW@Q~@-uXC(!QKm3U=uhm3aRoMcgc@0%Z_1KAlQB}WD4eA$}UR((KxQ;`PAy10yR5qD^Xez07z#(c_x zRSCH)J|n0b{{Yn1Q82Pspc zU>AM+g{Xdd{y^8&A8z+HpC$8wYF}^2YySYuM|}dWh)+}+@BKtP%q1}`N#q?iin?wK zU{{cPH0j@V208Ee!~ic#<;T9rsPr-{ev2JrdV#9sGkpRdWKVoN1jn^0u^Y9vd zMjm}Ydobjqsdf68VTmakrGmQ=NkP1az7LOLSGjo$LLyY`N{;qWaFXJz+@fbV!BVh6 zD?#W3(Wo60=%+|Q0$q)3kprR%$|@t^HyV`lVt)1!VP`69C$}kmSGzEv$0g9$WZ1-gZp;1N1^>&L4NA`7p1F-@KfK7#2_6AxlKD#n-W(v3zK=m?D zL1GausrQuxXv~Ecpa*pbF6+o7L%DHS2(wl}VBAs-C=@a2lI^V61}6M^#A&Jr`EZKN zc3w?XVwPt=aZu=w^Vw2O;q@&78>ciufKlMWe zwJuZ`7D1iY{6>^s(+CL4H-g`(;^YXIQ26RUeH*K`kx=E!XM7vB6`C!yL#?}?31CfC z;^of>#dKIkf{Lk0$J}42FR;OFFr2$Dx~J+Yd50Jk4xvGz41_IZ(7`EQN)98Cv5w>@ z=uh%?V2!B%01ci>zqANL@pR6%QF|AG{U$%O`(TX`GZHadtnrH-$ILF~Sn&~R3Of&) zHbY1w_#d8qq^GGUX1?Q0{F$Se=%P?}A+W}PMSD6&@Jsw3fklvXT~D&3s`>s(DbC8# zjZqrNX4bess3cPsg(b_nh;IlQRH>_`Q2Ajh?jpw{FO|*sC&UZGgmep^F;^Oih#j0D zL1orOQy6?Kmr9gE)hTKzUJw$9X`FpQ zTLg-)z>DZ)z1%F&g0}3IxU_A88%zs*L{}lJmq+T(hQVtOflyn2?nH)xh{Q$Y{^h1D z8WkUs1U8g;8I~$~5YGzY!988C8G%ta(quKnRt-&m&$%(Op!wLyY~-h*5NVGC*2sMa-=% z``JNILYf}jyobr`ZT|pqQS6P|_bdV%x_FVat?LyUxuvm!TkK*DPJPFHfk*C9#vQzd z5?BvggS=EssAnKPBhVB5j1VsnjjqkVArMuSJn~Dfy3`%Ee9IQHgEuT0`2g-Z3mV9NVmpydPQZvf0IDJdEhhp4(#wmlxYyJGOA3}2aq9(Wf&+V2 z7Yo0JHI{A}3>*ws%n4g)>_I5JfmbwGbCetmgESN^okr|1IT-Q|>E;^P8@+f~{#+-# zdV$=RJY7ph)8WXfp1kWTI7bKuO?2Zl9(x4zIRJ5OU#i%Gj{Xf}zkgDX$eYk!unemY{jyM^^!9csV092LTmDSPYJA88tfG9ApVkB7 z6kQ=WDeu%AYOD?r>>4+#ad!pl&JT%2h5X#R{Oqmdg)W$PU@6LhQQ2Yg06-1evR7z6 zZN(>TnhK1y=u}Jf4o3Q}ugweykF&a^#bi5o7%JNS0ZY56S$%R|-SL2ogJRPvZHd?Q z1lzK$y733Fdmd7+&&)K{8(a~y;jYu*RhSN$c3{*wD|ihY1CRD`B*mz`k86RFwd#gg&4MEjU8Z<#k`0rN1NDIb<3SAZ&D z=5Qr`1mhWLAE0hNq3L8r3w}C)FQoX2T6Dh8v0r$^4^pQHdpp=W*l~p%ws_o7wujsn zzw<J_aZxD~!30vcanM^jyZ4BB6628&?smFcKx z3H0m&UZ@pR6BYSx%|m&<{lKYm@~Hw#TD!07@uE*DAypcG6&b&UX)YD8l=`XHuT>1~ ztQb{r7`NCOR=XDE<-T$N2n|033#%A4a@6x@JLO~rg4Uw@Kg!sYGOnrEg)I2Jo*M6x z^^{6BRdf^P_6_)qKTxw{R(|1SQ;kJ$xj+jaIT049G|S`iQS8LCQM1UFJIDsSju(S! zSz0eL6<-j6a9pVVLpnKUpHt7*U_}L~K(Lyp%mjBzk3xg-lHp1ZmgNOeSP5FMW&&@~ zF>bD9H3NuTDpeA}?8lzK+c%y*?pyZ?m$T)YTz?Rxm-O6j{{R}o&PKMypnAaEj3T#? zCaZQlfdh^e$eJZMDOZx}7U=o%DhWGV$;q8% zB#GEGouKG|usK+M)hIF*wB1HypZO)>+jgoX#V<}ozawLB>KEeK04qgk3GoF=kT4S3 z^$<3NyF5iM%xOhcO9>vgGaP--Zw8=mb&oYOBS4Pwm>N*NY$=7-3#eZtq6vaT12-WpC8qhX z28uI$f|BR5;3~fqODc=0;Zx@zB@~3#dP<@xOLf@cA|UNb(RmxIM4{jUo5^4f>=Q2b zRKTfFcC8*WVlPekh*TE(@+__=@B08H!A`NMHlIV-K5{X{$}L9j7lDhtc!c{ZmT8GX z5`=E)uiUs>D#Ib)aozrr4O*vXra-0#X<)-(j|d6}5kp0GE7n06o+f4VO8WG8(>b&ffo&ZfIVEpz%!cL zgwa^p$RhG#ZH`XuFUao}lEHTB0THwhxkcN0KyhzjXrj)w_NAlgg_=7(5V=En*!*AA zN|$p;{?7P+qC;YH`eg(?ZNi5lW}v3crxH5XB1X z;elbX=@N*Rzf$U;pyT+)Pf@*Os;!m{UNVqDTus%MxC-UPtRbY#FJK5#>S(=`D7Im! zhFBE3fJq9#mJPf={3;BM*Mj2kI1NGM?F0RVO~CaG1yz2LnnKm|lrFFJlnVzFk5Z%>-(v&^-(P07g}y)C*tCq+_e2V;)(>D#M6hw>_xD zQFX(zuh-b~{{RqMcMiN%XRI`sexk+x+h(9f4@HVyh zfkyBOeq#-ay`BdcAF(R6?CL6?@h+TX?*y9)T^R)`t)YV5@8GA9CUe#RmNLV4sxsoF zRBU}p^g~7zTPMZ6n;BSz{FXAMs(nkCmh-Z}HDB4Yi)&b4;5Cpa9bLkzd)?Z|k(u>Q!!jrAt8ZKC+4g*F8}Y9*OM4u2Vxx=*Hh)!pRmZEE=_ z4Pv4Rf5}lVyh5q+3WCC)h9nQlFy568{Z33HDQ^^5d$BY-5Yl~-qGpn}y?FwxUva>; zP61W)@@%*PO0AaoyADNz_+^pRav6ZA8MmFtQCrn5RHa3s0$J(Fs8jY_8bYxHLGDK!tv4RARXHUnsZwe9SsZrKxy7QABAY_vcWD zNH;wEc^B?bYOIy>%G1cIi@)(^g}kOX&Lut$LQd((Euz$hTI04tE~ZO_u@P~Ny_8%v z)IzISXl_)e2;wrwn6ISp6;JLu)#)t}Kghly@;15)8tLD`Z4{%iB^LeMIJyY0vdOwW zur}47H5qpYW~5}-er4Q^Tm<&(*-v6_%DG>b8*8Wy^yK@f97=ZO+m=K& z6mY0l-OEcrTdJvN4`T)ud{jF6h)l1M}SrwRNZBqd(X!^2Xbo|6YgH5f5innO&G{i&Drl3OZ>Koq58c^rN z2D8&@jti{~+KNofN~k#{ZP~G(G88mNAnr%Z{>0Q-)($IPS^S9=t)5gNo(`TaBy<78 zk*m`qFvYSV#=Ms`zjg5f^TPAuSrJRChujXM@%I^97n?YWF(;S1=2Nj&<1tnXz;P`b5)6WQpMP`}(XC9%RS zn`pB6QmHl7wU7pLVGBm-;0optr&Bmt1x@ly^udtacnFQ@-4^Psfno!E@M6 zFsn8XdP(8dUgA^&uHp3`$^E#!_?M#xDbHg32+_ffpUGfru7IcgcTlfkr;gJ9-{>HL&#s+@K6)KBYsL^Bs#iXdS zoVYK0EI#6<>*7%AL?+uTmTk5R&eyP7aszFW{yR$%!xUmE;#ZL(9@pwvVSPta@fsRL zha!~%R5qa1R$Is{y{7(P?YLT*Q^s;+Ay+vIs-N)`#eSv1g?vPwh0)xDy0p8=i|ae^ z)ygb>sIbK5GWd#{0UzGZ%~cf;7PlKjA%D4Qrd9ZKuhao-8*=3n1df}nC14mFawcin z_*6^clni|&zRxf!METL%$nRM(?M5E0AEcr&@H^_82=qU@AXGHE@&~W_F6#;<2!C+P zHEo#y8_`_oS^?B7Jg8_5o&NwxK2mSiS#f4~h+V-SmI*0PP`8U2bwRz`tB5Uuo?nq& zv6CP11+0auUG`)(KxGA@a3I^3$3@-;N>Bd);T9}qOZ-$O3ommI(u6E$Vt{S0oruyE zgTYM~^v1Vshk8*cXm5n#Cfud2#KBs9mknDIEc(C;?g}VsTqnckXfztqu38X5@-fuA z1rN1k4+d#;e3HR)U@J{A!WBh-Q57?qjBhB`A+_mDR9G5!k&)R?sdbQ8E8#2fA^538 z)m(vyyL`TNaCm6O&4?cvg~!6It56#P_%NQIg#tWwP@|^WsYDH0`Y=ALrBuf>A+A-z zA`o(=2RV5xCFEmu7P%hXABk^qkF=!qB_}0>aaY;;pA56VFde&B-(!$d^YO9d2#i7j z0?2FotBRfVU_AXWn8M3Uy+#aK00-XDC}<=iqnlAzWMKp`y&Mn$7z4rzmk%a#Jb@$( z@qwtd--z1?ZR)-w%N1>^fceq~8i)Zl)XHt-)GQMosKEB6FO)DW-(cFbqA`mv;!_Ht zPf0oZ=0(j>Zb*0^hK62i;Xd{uekmEOg^BX(*ssnFs_h^VMpg|rXqGtKfL zMa$ChHw?NTH*PR0m^wsQY`T?YZku0zz$!t5baDVsTPSFrKBDS+$Rg2d@qQxW(!Yo5 zBsvXY%N?`H8$fDjACe-Jw4vt+8*+#Txw00dsK&!Z8&Drv^$-61cpAfg~4`}m*FIQ$Tbpa=%?qY=d- zuaaNQRmwqA9k}!V0Age9{{WW*zq_xSjOy5m`)$aARcVSj3l@~KG>AYd1o{U6PcRxs z_@(=sYm)V)?ZTQ0mb*XX98|G2*+iz!M}ViYURSkY4pp>ZU#JQ%xX%bh>#4R462EJWk`<9_Y z6vg_DsiV##!VQQq{V^*M#~@y{jdm$&uGh@5U&?hd-%S~3-?KR`BIeo1%0ph<=<3^) z33vreR905TQwbK79}#;bq%KyQxAZ=t6uA8m5vWmGU5QCi?Pb4k9hW6J_ZiLXxv%P8 zURou8<_Rr@I+cqKEk|wwd(~L_po$$^7j*`tu2P8gCBo10qD}t*0|92=Z2m-JJW%&Y$ZkOv3_Tot#BHN0dK|BbU1+(w&HVe{0I9gj#7|Hadv;N`Vd^*cmK~N}*8W z1ojuG{IdDwq)!v>Htc`*9$cmC?2K3TQKD7Q_p`(aU2Wl5klV%TrCLMraEwbzSl)uw z4oVe@RpB9p0+Fk18!^$Hixw=hELed$2*XVhq3&FaRNW4OC3Qx?00*%I0D848Ly*B> zH~fXLRI;pD^^9C5@8V1xK-;rAYVPi`1M;{9R;pMN-b5W? zEEwJ~PWdd_agf?5`!Y~1Hb+(p!*2b|z-TnJG}JPk^#u{u0cy5haOBgy45@e0Wi1-n z8-rZY^9Ig$B@i`Sh9FWomkmg?3e5NomOT&^;*ZxLjf3JFnO`uQWsA*0cZCgdRU5CV zDnML7RHc#MU7GHySaDo3gsrKWJXYxWh^XP>mMBu=l$Ir^D_~)F97Ia?I4Hrs3#q8l zT=Hp999$rEN^QsH6XpUgm=HAh75@MZ!DC0lQtj#bgr-N_so%_P#vrzzbHMelVAirC zub4VJ*_sP76fM8XI0^p%_=9yledfUWMY(a_(O-E{8m@|~iV75Nqxp+LwZISq*-;Xy zg_H>bP%vLWGz*uFM-nU^4XT>2FUby_n_#o_qx_bwenrXyR>%ju<=CJ=bx#5rZpBKq zc_;|2Gi8&9AeS}Zrp5J3)xN-sm)5%tnqgHmG@QXzCI=_^EX+IENRqL#z*F4zz+mE1>~YYVXXzJKu^B zcUveEe3!6&f4ZvQqfbtMiJkW#@0_?z#-rv%3=?R*X^Rbt^ zD`EIcryv=_L-ElM{TmR~dGP?M8i`Gp?AjY5kxdyef;-v)S zQ?x>rY-(e07OLg_Kx-$z653&kVDkR}iD9S+9r}F7TDR&@C|3UfAOhP8Wew>la;{|M zxvtR=IF@-3G;J%Kl~xn0@r9_R*=1MEu>Sxhmf}L~>uV^V?sjl-Jc#4L?_5%re8sljoh^%_(qNGM?Y?9zw=b+R2ziB})&Y8@0W z>QV+E8^HqcdJ++8EwmLaG;$%W{(BLq&ShV)OI->usYutdtU4tm;a#9euCYOy;yOcW zqOo!o`PH}LB{fK<`!vfwWy(4PgIaD?xhidX%7eT+dE~PH0QD813Wx~n@o;XdWn&v9 zHTi%D#8ivXGXceUDaS3Zu{yQ0!M2(9D7L|Tr{kIpxvIl0? zu|;jl1-S`mgf-kB5M1$D(gI1MBxG^CiV)>aUpF>*^AiDvpq?sVMt?CUG~hV$4xg7S9RgtiM+> z>;rDg}rta+f@oTZNj(Md{Whtn{uUtvW|S1paQ&Bz(-^& z^4eAz*`(%N3tq@9z|&hS8r-@N6DtX9R59>HH%5cz6GN%4&4)k@S=yE>5uk+&OQ_PV znJ|U_0CHGB{m-%}U9E!>&8TFm?^UHlOGeDwCb}(xkigH{sk$H_Xrd)*RoRM*nilVC z58OuLx?97@?KEH4)PIlTCqEYmL-1KTqhw|L)lVp`61acaaW!0i;(otyYXk7Y+k~lV zaWJ{aU)4;3NAyaAAbdsyoq>h#C+NQf31|#%i5Nn1WPyK$a=;uhe>%(!LCLG=WLl=m zA>G6bA{Ofy5Uif@1V3U60$CUk%=`(n47T0Z$i(tksUJu|1s8i;6RJfDrgrX%+Y5QzBL2gqy-@rt^Nex#rH4sC`hlk^U6rQA zR|c?_mCFx=7a9%H0HVFgrF4797aWaDYN`tRlnHfD>Jo3t)75>K2_;IY>H<8t8WE%F z4cJPWB&a`gm%|4V7E@O?sisfVmaI$6)zW3Vsz7x$gcAip!W2F9fHy4_v~7qthT9EV zOO?3$1AW)qvL2t9ugG>^Um3QxeF7+M`#Bm*cj3ic4 zw5dTL8u%GCBCWkP2%rJOCngQ7Y(!E7m*e#mIWKh^~dmFAkWR_u3-S6+Q+v`SJm`c3V%fC?eUOM_(t*Z$&@2Tvhfcx_)5* z3Rn!IC$hI?`fsY$O0Bpf3rFb!zL2E@3H5c^SKxaVl?{b-!UeEV*cC~`6QTsrxF2Hm z0Y4#r_5&}Rvpj*yjc?g)Xu*9o30nmz^H~tU7K8P&=Z%=RQEndxl^rVo0IlXqD6p?C zRvi!E?lL-G`75*;$b%bM1>ldf$TagvX$eqhjtPPifmuwdmZRI2JEYiz?UIBgKldtg zAx?4%R|9BSVGVGm5hL2TD;AY4>}bN50F`IP#ic6m>6X@G_%Fj1Mf(|jS@Dka6rVRJ z6{$|>5_<*4FwuQrD02B7RGwGs8ntRKNE`nE8j3$~h87xLjLblFm@K-k`xsnnJcW8_ zy0$gzGqK=1XVk?fkn94`y^y%G^gNAx)8O}zo{PHLOHvtm0|H#lEMsq~fM!;!@;gw} zWqVUs&+4Vfis#!u_+Oe~2vqhRir4B@t46F_H7=B?VYyOU*C!B4r6F$*B1|YRlvtkR zlio*1>r(Vz;ZfN^i{zkYKQ#pv!mS1U8gc|}fD@ML$IKuEIwYW7qDT=#BE{cEmXQD2rb;RiENip^RaxbwO$|*gS1^-M|HFDmy02uHe9A1 zS0NIQwcG`T{u*)oDwM}~rpJ%|Vx~lLsg%KBOu82{@Te^x)K@_gx1|r3aN@!M#3mRv z$X5(q)M3R;F3S&(xT`*(h1xeRL)r9xp?5lW!o(u5j0M1R*<3E-`v;Q%!O~TV0CElB z6gEC`0aZcg(E@JCSElo0LwuJYe4ke>I>4;+CIdA4c`}6{DErV3N6%eZal{pIR z*gY-C@|SV1mCh~}8sipH?kl7&+t_@CU&N>$v1b9~L$Plq3)w)GAz`-`*-=UJ8e#|v zVuI@H5}fSh1rRpmDrOH~h>#%;w!q7a&v0q-jm+2R{lz+XY^z$uxDTag+@h0KXJgd2 zTHKjv$Ar2&w8OM4?4>r2^#)5DYW5RSCBIY+51_aOpTHeuMTrIL%F2vr zXwkO6Y)}xn#O>t6;Qs)`!YbFedVjN_RcrT99hR4b7RZT9P&5Wb0HF4H1f#-bBz9wC67>1OU*}e&wuY8PVOO0(uR z<7W$(zWe%!YhhhpdQENe2?L^|71FOK?pJzA90$ijoEsV&lpIbsRMCmSToI zR3^{^{KV zV)-Zm&PyO4y@gfI_*A&U526AnjneJaO5&s?fgALUy83L)A3sUgWkjZxDI>zxP@5N7 zz%s7pf@vP@r7cg;VaLUMP_T*!0_R*H&)KoEqOz9YlKr{tWa1j(`iuA9q3G=T{9A$5L4 zbW*3o_yS(Mh+H5)?73-ye?t2o732lKs7xp!(K){i`Gv(`4HdGf^(%gKOcX8C^#ZDV zA5mV0Ge2k>7)mZxkO;33{{WIK4nh-=x+9^1AGvR9c+cA$9j!3UgP8_tHH@iQAggvm z)mD&-LzW2JEoT1DzoqRS%1occkc_?t$5&P`4MmkGydEW ze5YHc85PZgLJ(OmL%|?*;b^4Y$_^SvjW%qu<@*@QxnR+R!36cz6B zc^&yU##$t+!e(Y8V644S8eB*9Yz?aNKIiNF2eD^)yq07N!QZ4JNHAIXAx&LPy&v4f zx3ns*Zc{JR>?`{=T3s!Uz=jOgiD0EImX((wb(;Q;OtGz5bi|-yjH6^UThsME9AdhQ z@)oZySt8mpZgS#OISIyoq;FS`dRvDnUu{J%NUi zY`L(bWnC9hgk95jotX)PLxCF!Z@YRrfQpi~z-Uwd=?cq;CLGL?RMs$rpKyE2re?k?Vm(J$m+bFy?lFfFS3jo8d-r0w|<9)j7icVSF4y1#h< z3j%`j_7aD) zi~iBsSR}mBU)!F$3BQei5S~6Gpy?3+7z>_VL<-FCqCD=!8uBem@2t5XAqFI`Sx@sa zFD!=N^qDGLDl&@wl7;F9AR7=61JBI$Yp9-e4%VY<>(s4q0NygX)c*iR!Gl(dd_*fF zg9X>alG+XOVd-Iia=@q!tf$S1KzltDgisa8HSkM6a-Pk$vkN&DEbRjOQBsGUoZFCW zQO?HoZS!TCQ80q#Yn7bbq>htYcNjr+bouOP@>P0rnMuC0m#}SVUPcDr)T93Zu`UO! zve0H{B>`H$lKp@<*)(*~5OvK1kugLClc_)Dj`b{*>Gr@QYT0k; z8x3kpUBCTA0@4Mwk!K-mVrZKvRp0L8z271#vD=2*MtgoldN0FSZFV$eH7^Qf!WIhe z@*?QuXX!1yGc7+z!H`y|z|t@Wt2{1NBcieK1%~Wx->3|SVWVXx>?qFQriSV{KzdVV zi>NV_zlK-^)+Z~93!r&|Ypm+#{cWT1C3Ui{p(~xzB&_>(Ar+A~_>~f>K)J>GwqOZl zLRJ0=XU$a$KX+^rYbAP`SPEw3wUXqk{K}0iZ}O4ee5gb4+{cpbCa|*d@>nxtA?^7$ zQkT+r+@?O=T1prnd!?Mh{wXk5Dm8;c|L_f!UakrtX`Wr_GVv8W9P z1?{A`H&`&o4le_r1n{_FEZ^e1i)xQFR468(0JVqVsnxihwaLMQNG6EeFIh6wHC*x* zFIOwiM`eJZj+dv#RV$;ti=1XM5LwHmG@q$(Ii569tQrnCG)zdSx=7B>Mq z$;F41Ek&r>UgybJE%%T`rsC@3rP8HUGqV^{?kMwjKYF=j_-QV$n5M90TkND| zu{Ex;s^Fs(IKTx(6-`&&5IC^oXmwLXuNXA79HuJ(O+F!Op{Zzy-kZBi2*Lo}1tKUn z8jjoG*>?klz)CvCx^^R-fE3ic{JJup>`RD{J&K&ZN{eG9qrpH*qRU}s1Pr*izzIKJ zmI6@guv36ap!N z8Ez?~--$v@OCp|?uksAORU6fX6L|O^EydLuCF}bKy#cxZ03kVzlRT2nz^Ft1kHV!i zHRM9ewAYe>Q?S5CV&HNRmRU8?)7^n%8;9D5XUVpr!dWqRIVy!5kD1bjh%wq4gSD5c++9lTWYX1G{xW{ze(* zxdxF~w<#FGsX$#!f(3?BwvcW18)jF_`ToW9;Vr1?vPt!2%cQJ>QGfx&mcjz`D2`S7 zsfiQ2g!$DB`VntU}CJjCbzsBV)5nVdmriWQPrQG_2L08H-u+!J}JqT9#LMXcq6vEJq zy7-s{{3U}cm2+YOpk}gJ$A7)CqV|Xe^0)?gS5>k*+=Eb+5tg-dl2;NpZr&0 zu*^kkM&3WU}t`i%-GXU`0_aLFv1clDo)OWDKAr0$E{_z{nodNTQX3^y5rv zgL^Upw_tRpJO+CNGWxE3O0u)FS*Qha3awcC^){ZWNBclvzH|7f7jIdK?Np#WVsb0y zBVnv#BM1{gOR<&67y1#*WLkPfU$}+Tv?~l*aY(^-ReAsi)kUt#t4pXguE(rC3?(a- z5@7+GEEp`Irx-dDoSGA1j!lRgY0M?4s3~f>$N;v`mc>=w!G&#V;_$H7g~kd&jC~ed zv=t19f3NmX794@iFCXxO7cN>Zs^_HwXbNERyR(wm1+v~^SUouh_xAw+R1W3DCr8XK zSKkVaM{HoD1Vb~*Pfs7u;P*jgcUzB7R4l~VhnctnH+eBZOyY~iN6en3p!ip$^8 zaG`UR5!E_GrOcEgCk<-*4sY2(hLvS1sA)mdoPoJk1aY}rKmjE}A# zSu6Od!B^+*ZjRMd9@%kttWk#KLr>IC&)y!XE?f@fY!EWmSwoj!w#y)ou*%w5wDkoS z&6WHQ;?U7k_bZVIl~*n(3FJyQ2Q)g@1m;^S7JO33&;j7Q#0m=%mk@J|fe#}c~I>v#t%sa;BCV(I80jlrFS@j1Jyn>c@ z?&SiA*bR&XcUB=dOYK4f?vOwcDYvk*%7o=ZPammgpv`X+p4>Kcd+{2+e`X#30BmUT zUEwa6@+B_ymS*fK#lo=k>{f1oxbKL$IDQB~-qb7dE^Nqh1;^p~g!cZX2tINh)m8q- zt+ou^eLan|>%BGBQCs5giAQDh55x|KHs-&-Fdm`5h&*zPEIq!#g`6XhVU2CUFtGyj z?lb6Ygenw58QDWUrl4a=U;d_?VRYJHN~rOl$-~+fjhrTn4Ja%wLlLc?)Q;# zMT3C|%!zMdAy-frU_PbZzTb5iv2-iAa>$vgrWGH+T3`r`qbj8aknM!dP%rYjnj&kp zQ2J$hQiJKJbQH?oKpI2k>@uOw!qIJ!us)?uQD+L2fi!|;5{{Gjzxgg%XW)KD7R)k? zKlcdhJh3+Q2-;}JzcG-(S}ZCYR~(K*bKl3Gi%%zJ5I}LReZ&&p-v}+7i+u@AEo8Ys zK3ip-EPz2bF9rm399e7zUrY3v8WvT{u^F@P2=93Rt1!acFS5UT~0^3zI3shV{(#6H56)0L@rh1g^ zK)*?0l_S4*lZEfT2rEc{4?ie@RihHmlLJClP}SRYzQAqBF6u0p>34)n0_e)U5)kLK z=0KE18~rL-OCF|!#qGziG_Bfzx5MR@N*m-z`_3S$*aI7T5}iIW3>I~^p}d4Q$Oh^8 zmw*nXg$lV?ET*cKP*TM5B7sKDW&Z#Z4JX8whi2u>Ir~rgiDPOiS#&rYjrnkDwb@XG+rNT< z1AQP&fLiM;m|R{qu!kCHup(E(#EB1VX%QC=34pc-+NN`-5Y?4}Cx*(_X}y6^sP7lB{pcGW1-2utWv;rNPHq z$)2%XyKs*=G(wU;tgPm4Mf7*=d#XgLuX3 z+aGXX7&*3{-y)*cnGVAbY6`RrCI$xO@BaYyjf?w?p9F!^9N>PTtPfvUJhuDzY)7)e zCGxTY;lH__*>bxG_G|Pd-|a)VJHObeFW20vJQKQ%ZkUMH;-~vha&eFNfqa%cmQH1h z_W@W$E}fYBLtVeZxOrJD`yER_&t@UnK-IFXXVl67EAzv!jeaY0lDWDR``$0M$Ma4opL^km&;Sx#E>=#X%}OSM3p{ zW_G2mrd&64zC}P`1QFLJ-AWJ=fbPL<_$mMjc~O?%{^nq9WfX;ErvdXU0q5v>79U}! z<2{x5tAPOi2dgY^2h)%}bzr{<#{& z6C>U{7;;jl>NBZzN&#CsV>La1HR%m?j{Bdo9*s9_-#{CbF<74FtoA_{+ z3GzmHqm#?YQa0l2vV?L!_5l-sMO^}~;woMO*R=r-!Mf`xGJ;rsC|GCz0E(1cSg5?s zojgHqe;IbwqFcxU-tqjc(tNmhK%g9Gjbsx_qqh3I2naPnC44P%L~;%amJfkMS*K*{J>#%*?8@c zI`@z??*9PD8zMmu6EWYIj<`p7%3A)SH$+Og(NOpze5c7!E;VPw1D785AIAQoLh=_r z9F|Qi=^ls(sO&Fc^~1f2wlUryLhFEB@-*^oThbSzC9OeK$L0Vk=zL$eqP6;Aqg2Bo zSI9%tD*Ga?GR@*p^$p?2k*i=Xj{7sE5}v;X6%j)ol9P)3K%+*>S=61ORg7a{Q4la5 zL=!Cp05P$*C2JK8&t-d=2bb8qe3Rl>TI|1Q>U@y2)$+2^!_c(&R0$yY`E|3SOsci_ zB?~LNhQiiRku6AW`UlysK{hoFlPUq8%Qo zZZ(@K0b8o%tj;oBn2IuOF^E#`{e}KiD&wU9s}#>!r*Pq-RVh~mTFj&`oY ziH`|o><+HvY$t=Oh6@-TC1>hAdpPV*)eu_h5~ZFJsrM;MzQz2A*`w7))d@ir<^Iyo zJLkly*w|o=7R!@=sf%o(J2*%D@)BNdzp-P+!1*l!2+-NkUB~OQs9Ey}%|C7YO2_sA zIRJ9GPx}==ODd z5M8jglGz3D{KVH(zgBhw+{}UYC9#7|mkXXV9R;G57HKUnzq$K87epfE5Spd3lrR^< z6}u=eQo}bfc+0rBiUPiu^C}0e756LVxZJ?A@H4-Y@KpDP){p^|#2rR|673#UDt?;! zgWvldOe`scX)Ew4#U%+<3>!JG)I>5FV2#A$^I_pGzW_w7nM3zT>s^UHJc+FqVs;?v zKBcRMm@Olt1ZRESfQK+&hqW$WcAzRUf}c8(?iK!ZgX93TfIcc3fjh`LF{yIKdvQ)! zTHcPur-|5hFO@_~{{Wd-CR`xj)aj9WefWn;b(p3Ryf6@;jdb{x9-C3*6_s#j)KRY& zlP#9l@Ff_2^{hR;9G3p5ry=-zaG5fuOBiHVs65nhin(Wm-KEYi9}=)FJ|Km5pP7gs zxw5Sx1%KF7q$&oKvuP;;CGM9VQ^`rN%WPQ?>w@4bvRVOakg;R(Igz~-iipZlJ&SU$ z7=Xs#WefU4Xh#K?enVy*cIxgn-}wk~Dk-a$_I1-`0_rzVe%afAvAJJkZu=0AT|CNh z50`LNKd#`&SHc9-EsaD2(D^U)IZRSP6i8OY2HxK#poBcW$9oOPRlY&7j)_@oWrz_pAy#}71o?%_z+2zj&ea+QL^Bo9U=d~DohT?#3z48DJ4~@x$17!^$o0RYlKni4| z;7s+0-(Z0D!TZRLi)a@D7okipZz*lbFgI}tqePtn#YThU52%V1U;7B7fiDme!wx>P zrO)Xe0GL2$zdTcZAXP>C2wGY|J!7gdWx`YzT^xa;t>((9>!E(w(ba+?tqm8-lq~g< zz|Uo^I53tEjIHMqlWm2=QDd&ZkP4d^uEfQ($U=iq5Vw0;{j+`Sx^5(7+Q>De4qN0{V-Qws7)mWHI(}tl6>0f9EA9x6 zr2|FWae01wV2a%==GwQz$6fH%zcp(1uU7o(=>~5ZP2z*&YBy)cF^(2vfAeX>}+9`H?6V zrm+ACkSX{V_vCE@mHL2NLJ*W(55rNJ^T_ScOIW~8CYtOBeF*?qBM|9P?>wuQDzeMc z8A*-Hg}DW|)01kxMK8rpvWT{!eDV#qrwEqK{6fo-+Sx-4mLWrDb7x7;G?Eex#+$F) zP_P3jmGUOi>WkPS*lulDLq}O`jGomj`gHgA5v|MHDY;+<6Tgd_Q{(Y@_-U4v4M)ij zlBfEv7)?pG5?E>?=OCWnX$zFU+k^^KF_jc_Z`}D{Y#(A3_}K0Xe^FL$AwD$1y?TfB zaVsAG0N7wtU@Il(?0|27Ft3pn)y3ASl`&I=&7F)=ipU7LW}Ccaqf|EL$LcTOKf-c? zz!e&}WMI_w{{SF0E_}}yYRH&wQ9kqHS72pV*-_D75+bVL1?|O4I|{I3>B0DN0-+V`v3UcdG()n3 z*eO$ytZ_T;eQbV3qQ9xF1{tq zsjKAElMD?yX}KvDo%@eMy2wKyr%Q+y2~wct{>(Bo7F78kdo9cL92H-21QaFIsn#%N zmT=KiV{s)KMB0?i1Ev)|{7V*R&%~&WYwjgkO`ZCH9#Y1oH-c=c?SA5ouj}R(wvPGn z9Xo%x^WlkGeUjjZfbtPVD?(bYxX~r10q)=3Mg0&E?aDS2Y^t7?P-ue4`D~Y~K|GBR zN+V!Ft(Z)Prp#i{5Np|ce-^d@CCiu_KPh$VyBY=BjW31@4|39~px~@mEl4Zl7)+v2cc2;h(J|;bs4rk6!N%&Wv!|s#wGh> ze>)%8mY@XXTrkSnW`+cDag?m=`z8n={IR!)u37a2KtEGmjjUUCfbR zsn}EsD)W~tUL8|3^bc4(Q6RAY0EB9C{w$!Br;t2=SOiN>f5e~~$SgsOy}h{GQj)3N z={P5M<`Vbd;-B?LDQ^p1_T^fXr3XLr9V1y^aSskl_4rg&UM3L6pME8a%oxZUI;LG6 zYwe4}alq-FiVF94^#~E6(MFx7(S33`Nsmao3QaKb7TQ2ldxE;n5nsUU>?m!VIqXc2 zO{0>MEWC&yMZ+WCW`N%QA<>&J!W7VCK-}sJS4HH#U?48Opdn8c6JK7#U&!6h3WT?0 z_>5lML8id-OH}#IkAej`ELN(`#-L{h3Hh77{C5-QBQm;p=w=hbJ-e9F7Y7 z4xd#q7F=$i)92zYS%yC5gu(cL2DSk5B_K>t8z~2B)QvzqZ{O5NraTA<$J!(`#=$Hi z;|iqhTK@oRC?tz62^7+WM$d+_+bWJghH5zC-a+@RM5ckb!Bhv=aMKMl;C8^*(t zl2TAKZ_y0&lmX9*Vy9P$ObYo~0Suk?%4#Z-`RYMi_YO|9F5IKjG6zHj!ds8*!{BoT zWPlCPd#mEmQMI<7{KtfA$0e8)b=0ZOt6?F(e*0rQiFEc*bU6VPLn%dha=iZl(yYCJ ztvJ+lMTGKLy18cE+WtgXh13j}67~>a(xBuScRgg}AVU-J`p^>JFk;8XGKO+e>*_Ho zDk+5w{0?)G-<2xr;tS$ZV9T#)*@A zXGK7Pa>7$+Vk{sl)D7$~RIk~hsP#ri?;tufH1lCfGA*3tSFB$o0Sl>@^%);D4&A~d zEvnY%)By&;{{Yl*)fcQcZ`u*Ueenj!Yewfq`XMh~<7{$UXVHN5fQl}N*U4fp^=zf| zg6ioo)G)e_qi>j~UGkVSAXtZQk+#ezUcW>~3=BLoJ|JI`v49b}E~Zw>P_9lDRX%2`YsVHjO-hd42ZChn(`zbyW-_`)7 z_FAIuKWLw8k;`K41cz5)`;YE>(49*-g*8MFDvckgq%B-+CaA47pD&4fSWqug)c`=T z25#J|E$qamwA|IAHIJ~uCAS2PGS#C#qsQ!u`3m0C$5>h$9F05sRHL6Tj-1s003af)&el$sJ1>wiSe2R=z#b0lY1UC!-Y~H~-Q)2D zRF9yc?yA#O5}CN#e$W+h>C@shgV|z&K1L=s*NccoE1rGCD__$h_=gN)zd83bA_^ev zI_#ol+^TJR4yw5;43}5Pb#Nd2e-U_!mwL|8*rBm$vWq+mq3sP^C1c!$zOqj_CioIi%0DI<;aYzxuQaKKnl?v#4 z{fzsC_*!*BGJ$tj6!ukW@>tX^vS`#I1=K~eXs^_^;=jZAWj&WHY_z+e-{4F&IHlD~ z@VGxj6gYgwc;KhZ>P0J|y^TuG(jlk^w$!+7@te-KKH;=Wu3V~Jd-X4p&0H6BWrdrR z{@^){F6vPQ%b|UMKW4w!QMYQ;`vrEk^RXru_QbWP>QJhQi5XFF*%GMUhN~?hg3<&J z0Dnk8hAhk%O&8fQW$EcLj=3%;YVM>zDi>Y0NIP5O7XMd6A;=#$u;F^L zqGC}l@7WQ%U9LXUqh{Z^vWch*w;`)KzvQWxQqAGZ4#8F-^93?HDALO`JobEuiP|MC zQrhIYK4-35GVOozR30@cb^icmmg7)=5wmCZuwTh3P;X_>&>p;&I0^F-iaah|u?ixz zwIhp^t?PTps1*GmG*k)&!SW2Lp94HKkT6!$u#G=|z$hzpsliJube0oQXK4@x4>OXk z3AVyT^DC!Bi2)FexAost5lA&OaITgQ{ zQ5vP$uss!Qwosmejlp(dDN?ZrfXsZZ0hMe<>u13p^6MXR1=k`e6bWM(yJQG?eV6!) zL&0j3*;QYCFb+@Y=cJ{JATA^g-$j}FDqXvJXNyo-bZ(%(E|`A5&FN+cop znPXK%OQ3I^Ooe8Iby;VVWAzXptooXR5+;$}{{S{oV5@TAH9;kU4~g`yH7V4nYftQ# zU!>Ol?Qy9{(!$O;XfCT(BI$b!=^7va%E$^tTNT_Uj!+-DSLJR)WZ3rc#HtqI$k}Le z)ez~vt*ZpIEK)EYJeFrV-D?i;xK-sbORX%aQ{wphD8g$B@)m_pKA=q8Hp9q`6_jf& z^5KfD3Re?i_M+{7a4Pi)wKRaSD?HNz0AqHdff#+N0Jh3Ka{{jxH!Vt&TWnRS^8>WS zK^|4BQ9XfHs%^U>A%Cx^-=F=6J!bcGn}X~}Rp}_STEE1(YOX@bTiJt0Wu=Xj9gb#_ z{^C%q0lb3tzb7TCn5p_9d+x+(coOyBaxPNW@3k^D950&$3MAO3HQ> z5rCJ-U~bKRgd+i8K4yqZRE_Bv7J{~gko61LgMb#_#1-5N{lKG(L=Qt{A1~k)`jwK$ z8af<(@>g@164h0?S8C>!9!Y*&I!3~`ejw2p7UZBYkd+(%0N{-j!XAX#L$w_iBvC2! z0&)Q2a>M@sQ@en?h+uUFAY0VJXIOgu%RwqJ{4AwvV3fVM&w}|Gr#zNgiU;EGiwkXE zS=RY3C@oyN%((memetcH*L=y9ii`Z&pzSCgRHDAZ?&3TJ`=26RHamjoC5TZf!^vaw zORB;KRhdktRj55Xf7pWB$$ET2z}AnJGfB_nLGb;>z+r8`heYcsf*?9h7=7?Z z2mP&^i9!%!mJjLxOcce`vowBLwPOpYKnQ4GvWRPX^5Xc|P^K8e#Go5rgHRQJgApco zA2}POQjvjJB5Sdg32g5mb$aA(HTuYKrtZ0H+CWESebl&NLXHo~0)YzlpA4wTR?^sx z^z+;&_cBEn*y`yA|W>`^%`54Lb5PulS5F-W4oBS|R{B^#)R^Cg=tg+g+ZHP?%pqGwEfY)MgJle2U zpMEB)RskCj0(_!1_@M08UHFS=M4ovsTCouL?aNm77`Jrhys2O|(W^OD_dVfF%O zHznrzDunu4OEg?kE-wIC_Q9lNv=3ne+n@;6fpz+n+E*f=2|=rsGq3!X#}J|w&m|~> z;j*EPV_r&0iY!XN&cpy6i!-oZ&vKt9qPf;vS%nruc%f*F>}sdyu?-gi3>n~oh15yY zW&Vhkfe}L`OTCLcg-L+`%~#@Ea>fqe)x;|4x`A&&v|JY^*46x6OS?||Od2UlL#*Xy zKmozERRdCvgXO}~-C#h~X;yry9r}IpG1$4=AxNEyU{8uIt&N)J(xv1wTlaYiv+`wx z@+2<19Jy$5VrX1d(p5h%Af`RH6sT;|Z;5L9B~Tgwd}D3od_%CR+hBpp*}b5KF@Dl{uHDruHA(g1zN z!lA~X=rY+@myDxv;W-Tcaixc$S2&;5E*k-9OQ~*PgDVY&`xb$i2n)!mO1tYeR8^?%Ks8WiZ1A-+j)`8<6fGR~%;ryKx*!p8d+ZX8lqLbwlfMFwO{rYSi;u|o1iFt zdsA(ndTfbkI0^?VcGVTX5xZK;U?EVr16#gEtzy(p;1u%Dh=`Xd@}~xN{v|-U^$Eiz zwJphi-W!@&U&L;}`b*uEQE)dq1_VQLx3E;9g-aFcQk#ETwh9pgQnY1~t_t#4*)CM0 zszk&LfSDk07dr>)RQwPN?#`sU=Bjvl*fhayKw)388Xr|Gc6Ap208oU9N(__;*>!S$ zp%t8!fjP*s55SZrkKwp>a{j($1I0(?T^82aL?JANlJoEnGV_paSVdA1rD6CgUe{}G zA&MrT36KJG#$6x{6FB&SkU{0j7hVm%90EjvZ`C;%4Y;F*I35G6gprF_-=im~- z5VmY0sbpnJZGtQ{P^^L$?n5K730A0r0_I2e5OOB-5-spG6f5>TL)nsxP{?3LH(BJL30>|8>o$B$~065fLW2?3t|aJ%7Co|c6Dr|zU&;e zp^OFVLjGS_@pVyI$OI8_8(~E-grP)5L;z(m-27Lhuw=RM1wHe=>?t zJ|SCc%A#9~zPS;*)yuqgrN?Ms`z8GA{!1iBZU>-3^xbjBQg#}zn2d_0dct}d#;+l< zYM&>K{V)SX{<${_xs~6%}JybmCQHJ{VsM zNBb93-*)1>w0w>`e1ym2D1<5Ju?7!>sCtFHGN3}YD3{_P>n9rJ<98?H8Fr_Ro{6H1TkP(oP@}fR4x*Q1r&7qgvA`V!t(oz{lU0b>cYgju}dKG z;X!lha+MCMV-PBk*#HfJcE{)J0jR<&{44Q){yV=DKk-x?J|dI%9z`$e0icVEit=J_ z^pLV&;nF!SxBkMjTls*W6-*1HAIM@B!VP5Y@q#J4exOBw#a)zOQ3#x2#KiA!#666t?&#mzen)NF7fjeSj5DpeQz{{WD|h$+;|jPqI9Pt+Bh zE&lR791t!S=`8)7i*7~ZFYY(MDp^!Z`p5-0SyGL!D`%Hf;`>6VuwsCyJo zzrM#s66Kwml+-DXv6$ndguPr4G1ueCZ&tMQ-Yx$9 zA8(TyP**DX5O+2Nx=z}76;%eEDpPniH^Xt1r$=qruEGc59kaX!GBl=Ct6oZ?kI0r1 z?1scwdSz5mDRA4AcQYkD-RvVbElY0@*T%_Z7XfBVZ920`t+(V&w$>3@>-dpPYpfPK zQq5H;B)soO{w`B!D7uBQq~x#(fAo}l*n!OMKyPhgFC%=Of&h_FpJZ&wBP+-NRhE(T zln2d3b%k4XrZ4l%FOapRsb)XarH?KHsddsd0N2N|ghVR_l%i3G>hC57FV4jcF0d_M zIS^y5p+_oKHXz_@0=?MF*I~l)6}1pvLaHj+v@S{wQ7Gh46?qImv}M$Ax~O`7$zfeS zJca@^q5_8@)eY%g)E!t7fz}~1U3>-Fr~^n02>L^i6Ss{*p%^rcMt-^3^djClf) zWG#@=n84jc3xiNDzB@GeHlI@jj%%`tdkP*;OY>U*)7$RJcB6wpv$XRaj?glmsKod<~)&FI|Fpb0`C6rBdxXs z10(Vfq3%&aKS=PqxP*h!2ijMlmP*Pj#xUZ+>`^U?w7)HkI!<{I+Y^ujO^vz7VNfhF zRZtCL$%s+$$VngBxmXDeLG^tlooxANe@sna*DmIXvE)jJB6v%uE<7xGbd@z+C6Loj z`Pg)vhUEF0fnkoG?*9N#s{V^HZML?FbBQlpgDA^AE9N_M^dG|%hg%`H{32>zimefV zjhtokv&HHqN3PGQ>$3$!soEF90Gd-qs^$5<1=h<{h3)KSRI7@}Yr}c>34@`#yLmFc znL%PVD^e)mh$;w66qW3w2|!Ul#7+5>1NS<*E^QnC0Jzex>TJrX>_VaB-}USpo-xBY zU8tnEO}Cz9OGkBDR6>}KVfARouq9_pvYsMjqqC}t?`{LB>=f)m%AtQT#AT_=`IW>+ zfBUF-b*tLBl#ndUxtRJFRtp-hdy0l+(MQ)Hm@O1c3}POmNUfFyUTwt{1^%FATYSS) zMFE2SRlmq>TDXR*RH;}vAWdkm`zl~U!1fMpwbjOp_3;*%zre5R5Y!8)f$U^hxnV+_ zj>fFyLAMsZ%Hz4~2nfGO>ze>HacAnHmlMO1h8&ePH{PZ zxWUNA_qzgtrt+bS1@1tu1;Df-uxtj;%)b!J1wDopD7Eqwi0J)P9t}PM4Z-kR!r~ZY zw?q|9Kr@wFwKj05hAx{M6f=-3eK6%jDwE}Mrn&`Na)XIXK{Mafq4VP^0B*NhJ|o^P z@OfUbprT&KZe5K&U;)aZdn&<~Zwi(uLI|UE0m&Ys zyq-k1uVg}Zw{vum)xt%&j7OW>C3=i0HB*}kJvh)rvgsdVW{ zh{d!mMWw-H8c}^cA`7IH>c5)gI>r@pTyt?&-8WGv^a5=487w}I$_ou8n)r{7hx#%f zlQ_c+s#$JHajF5=A}Id=`!3}aFYUyK6;FvPS37h4_9GAlFJq)^>Gco}-O8z!xZ~*# z3hcjciVA>-m-7l8lpv|uyJ{JE2pf5uD@%{tS)~^uCDyEL511za^0H8oKXH|^2u50h zwoq%>+5Hm{=@QIfnEjbTY-uXLP}G5fn%Cc9wStBRz)DwlVvy@EY-y>5u3!M}#E+4K zK-eQtQ6=fN(`pEbI&3Zzx(kQ4hko-8%MVw2z_V7@#HpgE#BIKdil`J{rbcW+pYjMD zsiR>-3H_D5cu%Mnfhz>6wdVdS@_r0Nr%`!(i_3PQ?7FT+zWx<#1CojbRM4=htARz} zDKbBBgTI31Dl;BeYFav8U02*;j4B<@M#KvZaIJt*f%Lc~Ns&Mp!<#6b&F+|ing0N1 zP%MPT1Ojq7AuiHc(SI;zk}Ux3lFzcs3}vtD5Tk@;W56AZ60Ixs1L@pV{#-%H@c#hi z0~l&V} zE(a)!aqLSh(@-#!&t+lO5Iamk&JnGdcA7+VTdg$_ZLKNW zo&iVHq*mk<-+pBU8~lnyPfA&sE9AJ$%4;)~kS7raJ}|nC6@y4Tsdf8-;C*mJyN}h> zE6w(v#$+jFm#_?M1g?XKk3yNY&Z>&#$4(Ym!d6_kwfZD`3;n>^MSRC<)QHNHsH5ANObzeETfV+(K2ogf_=qmv z55(>}JvVk_+55514p=%s;*>tn_gU@7Rnx=8mxN`FRr!Hbqxe*QAaYfH54?bjVOcZ6 zhRrQylz@Dq*fx$JQ}tZ=fL=GoY0AaIJshmAU$6lJo0bi!GWn=H8~T(cF7hlWIaS$j zUGfWTP~<&4mA+?g64VY233Uw(QnKLuGS5#XN)8!oR@Ls@24xYeHva&TZjg$av5cm^ zUa?}9mo5&K1xvBXaBBGnc!EBjN;u6xqVon+2c=7yM@ZmW1xrou8-mK9HFAm^bE#QY zr^^!4+R|O@q5FwTjUv^up=DIK;KN<65z}l3={H!XGGqfI9|#b?gatS}E?Z)=vAGJQ zA~!0cbcHS$N?n_)to&4j_mMpnjLd!w2ks>xD5eDwQMhwC4k15fKM;0uxg2n#k%s%5}Yn)}I0qyb+$Ea>bO z?6ow?7w-*0)k_xO8*&L~;V0ImCnWf#Wu%2I0KAqb{xbK`c3D#TF)G9#{J=GL`(`M= zre4YwU60#mYFN_L5X_}=BxQt3t#2Yv%OqWie7%m*^>Ht|Jc3ZAKbd*})Tdx6a2Yl> z1`&MM%BOl#8wcFU3-T`-xo~nt(*r|?Wq>^*A8wmh6-{T5#hjQEgi6L6VGy@66|NRs z2GOG#EUVzTP`WYXT|}Ww$$}uFuZX)Dvmp_wcd`266Gl2syHdaW5M(b&O&jrkMS`!G zxR{u6*{HRBe=!{t9BNtc&bpg4UU&B>fuvh0#XuZ$I2 zM*XppDU^)1B&NUROEkJ}Twh2rR@ zz$48NGx&%R_lZ5GP*kp>EC>^xI~QwOD`iKW`KT|TB$VpYamTkKk>ma3h05w2o%)5*7sc|k+-AbqKD2kvqaP^lNLZ2}{l>%)3QAN~e zg0%+AEO3Ezmj0z&g$v8N*eTk*`kf|rSh2Rh!VAk^Wwk9(ZCmCo1QC^XL@t2j`-&+I z@&zSsgEUSP#+A4RbMxVrcUY~7VK!M8*NuDqyauQqBnR1q1XcTaC>%9 zH9BD;Eu*>moQ=6XKU1SMt!;`FGp1y3{{TUHpiy*Ge?AGymVD16;sm?$8uE2fY%2c% zjJpZ06e}uHHo^8=hTg`3(N?oS_unM~7JDp$r`jqdHBQ%ukpq!M*Nn?`Gu7+9koyCy!5N26}G%xVB5xyUAd_v6t7|swZHjJg&-Q z4}==aB-(-TakiusKA=~kCr3X}sC+;n@?^IK93ikhCFE~?fqnk=8A{JgiKJ+;;|2zc zDJ<{=X#&R0F0Kc`zjC5i4SQ=EYhnJ5Z%7 zXO{}#!547pG$rW>sVF~@%1xAYlGc9ZO&rYpHJgmN+__jVM6q!x^h+w6ufe&50Q#7+ zgF`6-y!})WJEt}acS5AZ0@#v`f*I_tLp-~Xn!>^44Y&TyY%^Z5SQzD&gVLe3+qifH zC8*#BB_U~eEPf&wvG)Y6cddN#;gdrYB(5}-57fkPd;~SxvvO4kB>@etzaTgVcYR?hT_XFL|mc*;cpl|E#o zHKaD^{wg&N#rEf6iA<<3uOhq57}edgh`=lrq$1jn+)HAWjgJ$JWDPCQm-1MT+(;r- z9|SKou!mq79rz|o3V9r8=Cb|Jz&~&z32=5?79x<@n@T%zESA&wh|MDx^+`DbY8F3b z+EQ|T@hGM=KHR&lOB~o#N|ix%Td{Blh0UEGmV^TGYUy7h4N)-55@k~pF2CdvarlNS zSqivvI#g^SM@s1}J97TKsp@gk7&lf%+Taesi)7e#%6OK{8>(%TAYN@F4V^ao5pwr= zQwA*Cg&aK@I0+*KL!XTNEMfMW0%vv{45~_mq?9rctl*TkzSt@NMbqXWI^55x_i9w) zLaFft=+jOGqOz}JRKF$ihy&N|E{(vmEtC_d67<+*+@w^RlHDuO7(c+#zx==@e0~-d zW7I7C1p%qpo64eMSeniWt3x`sh3@oPTtQuYJ|aJ4F#iDX*b<-@v#H=jU>8;Ug&L>n zZp7xt6+cg@tTl4^k#Fi?vEDIJ1W1CEA*j_c(5@@>2muV-S3r2oN~S5Wx2Z4r5ENI; z!yC^cHC8cce{`3m3clYW%2^FyKRHT)Ly#IhSwo<~qLE7*T;M>{N~`_MjxWP4yacFw zC@vbpYcMDLhUd858%HIXyFm+dYFYJ>Ln4&;JNE*I?qiECw!QgcLNZ>&Q}-yLsu%l! z2K^@@KW!`Xc5M z;3Pug7AT|ihp@G`@H~C)O_iUAku@v3Hx>BArBvepQS8g9QAIEA;4SbnSkerI-$uYZ zNH4?^C|40ExwRWG;9{qQu0%8y5rzFQwNMhRBn*9O;GxxhjSI$5RmiG6dWz-#PerI+ zT`aw(zM##Gn=IJs$cmP0QJ4$q*dfu~%8r`$R-90RL$lKEDy=D|ffpQeJc=5>)k`g% z8KD}DON~~tiw1*^3~p*vR4UK>#9uGT$?{aNq1UW!t!`YMOf9z{R0&;_mb!su4Z`~o zVX!|*N>$6EJGB*F5QKG)8Bh@sYHJ$w%bs*{bb8mh76y*vvdXT6K41#Ezu_vAslo{= zo{cle=C5$oz|(0cCiW?7em|3O)TsHQ0xXqUB<;+67-GeRVRZs*P&a zyX-q(G9Xc-BW1u(+_;9q3K5A@gCBV;_{Q3(X?ed$n!QzfC_WnMCYU;E(pXPhDXxR% z$ZM{1^YV(KeM0^FK-DttJv=z@n5UTZ6A?meKVkwTA&%mHGvA_WuGH8STxZYVCiB@u?GE~XV;He{;;jjek9 zK*#~ck6@D_?xKho$8m(byNajONP!J3%}M~5{ipnr=i3#+ea)8_+4uq2tpgUG5h&x? zY6Mm41wGyp!mnsCEEle^Rqs7) zkp4ic%pU}>7YXbZ{(3^8srXq|C5aPl#B0Mj6^vWjDtd0fab8O?Dnf&;{6No$J3)*~ zDW3So~)NA5c(9f;tH&|(14;x_+V2hPBz0N|wyHYWx8qW4s)V1?IAU=%xdwD1YT7K?aA(TtE>QR3C z2mY!CH$EU}ds8s;u_S&G8==M^- zUcrSdfMH5JJ*uBvHGeXaoYnY&YHI%FX7Nrch(%?kxvYrz#eXg3D8}NHeD*=7Qpk zTTRxM!M{XMT%(km57d}`I6oICEwQRRl}7f;n-Jx=K_3H_MFWd_4eB=tKU3pSgxI z>QqHqy(ihyB88$_tWq+@FeQD}_Hz%YLqydRp(yrfaE5{yM16o%F%cSsu{n~_1W)Q% zezG+W(F!PE6$?Xh!wF(sq4OgZA4@GQ?E0Gwx%C*h_@VFA6hc#3@`0VV+2_;+r`#|C zSZjyL5oOvRn8n=Wg(zj+N)p>HB6yDMhXkR)4ID*>#( za4SFLI{7SJW!VDaKtW61O1gtj%pea!1E=AC1^yt4EM?`Q1uTNnft(+Jr!H2y{DCu= zRq-Ei1E7};YZ2g8uXvO=KkagXbR+$Y8neLTGN91KAJNzaPRgs5U0U4azD#!BL;;eC zR83@ht7oxUK$kgit4jqRQLFP3pdD6nTtM}r?fwFgf%&L*5G+M=uusOzEW)5Aev=X_ zUl3hK;tQ@+&t;W<#U8MC4cnMQoQSua6IM$voidu6y+Ay?TL@ijBkW6M(!#;iVgbkQ z7LF(lzyhNt%z&-ZGhvWamW^tlQo>m?iv7h#$;3A45@%;dWcu=10@gs3jBeaX@d#P4 zN{9HlXxgl~f-bSJ#Pml{u%#6Nm2cOxenoVs5Hm<(d)k;&p3WInLYKuyNtVVZ>f$Kw z!rk@cP3k}MIW83mbjU7m$!s03vZ=5dQGYN1pqQN_V0H5@kEv-bEM!CU&Z6{}F3T#b zAewKm1sc4tlxb;Q$c3=6k?#6Mg;7kUu)S8@d7i0ED5gyRz3L40at0ZXlWv&;+zv{MwcR$FOC-s1L`Czpd*XLcSXk(?frA_{k4u z;sSqS0r>4yPtyo@jWxM9b;JJv5$p|e*nw8d$$)QRKqbL6$PS2Xr+lVgQotj*2vx=N zBL zDT_-!5?YJ4T(}qB8}|^~Qe~9}4*D^)D`3$~*emv`h$v!>YF!z~B~#bjDZ4TjHJtsx z3X8pz0O-!2xGOB#z|oTYbu%td9DJWJ+XP}OV^E=@l-Z{s8;OQXbOHYWQ>bZMb0XIH zcH#~o5r|4zQll6FPAo9&Tjhiy zfEd3oBEGG^nOMp|0Nkw#n7aI>hG<_rn;PAknf#Rko0r*F^*v&P!d$mh{l!F~h$t;~ zSvYD_UL4QLyOal`cO8r?RNBN9P>tzmyo^h=AKAvsui{cR&-|xt$L?IOeU#l^FrfR` zFCgSd9|u@`ArHd)5p~GINu=;w$%pU1Qk5%ED8C_hFOYID*-qP1hc1av3Ai6|G&L_c z{7n4JMNb&=1hff{<5+uCthm8e6m3_K!Ac%MIrwL?A2QylsVgGhE_QMLO!?M!07Que z&2(FYhC+wJ9yAz6kyVu_y@rj{w(#;(FDEQgr6}wWU`7c{dvshx!2C`jP+E$S%^q2R zqE^HI0Ll1t9%H)l<$+%@R|!p-S2S5Ov6dy>N=Bf95ZS=+j8V0M2C4&!xplkAgP(&3 zWWeP?87&x2n06qsGxYxezDA*L8U}UsC~^Vp!t#~R#e(BTiFTN@pJlSo(G>8y`hXQ> zHdzE2v09PcTY*t!D$BS85laETFj9T7y_Gb=f+{A=?f_h76h}7Ls>N5Q_q+ISG|QW2fr~&l~F)s!JUZByPFWNA7(c$q(T#t zgTIRSqh|y3#PI`Ztg=-zckV$FtRTo~+DK4QUo+;bl;g3u{>etbkA zu`Ap(Pykn8AshxGR2Gg(OyCwglsvwb18r53gd&RkWaYaZk{}M4Zt01d8ztAgNSrS| zvJ*j8m)j}`YP^q1EPwXREIBdP_{Vsj->E^Eej+Slo`5yTeB8~Z@&LD4O|479ZaNaH zu&DT|iZvjv!#ODTIgcQ_S^~3m3>2_hfvi6f`zK}ARdNE4E&}GO&zOwZ1-mo~*VRg% zF9;PQWr_-(LLmyjmAHylS)PVPOW{iaD+G!*zmZkLbfx`7(8qUI{6>81pJ_l5&gF!@ z+3+4hXB|_l5!jq+P=GuxQZ_)48aW?G&G<_IBf|qukejvps)mur#RS!mkts?_omRhz zaf3_ohYYeJ6kMt$QbLW2gdyQlmb{i~1+fwea0YZ#!hI;B&K-?i*>a6v#co|-vq#!c ztQZSGm#q50Z>teofK3^(Sgm8t&(#7xVQsAqEHo~DqV`_!0!pIT%?wtH5-hmMB10k2 zu++a|cs-8wsFm1NuG;Lv!Va$PAyWq&)CO0iqY{ZjU(|Ej@E7E=DNMmDYVL3FxK)mx zGRChQjVA0^TrO3XyojeBTqvVHVryw0;a}mCp|9NNPhia3?r>b(xl}sct&|u3!~pDBDR8Vx@cpu)*x&ezgYUDEKbo(=EhRPE#l& zGBKfoz2hrcq!2lBryHLbUtyq>Z~|XFfIsD<)nR_teLv#Eft$o%@~Rmv17 z>ln8h-5nx((WBi)09vpw&BBgFitMlg!cZCfikPclr19i)e#)?wC#R~afjYjTfNZ4% zGNHKuQRb|=g{?s*n{h_E2svqHAfZ9JU;#FZl!5kt$d`6(Q2G?g0*ke)!Z{6b)^GGm zgk{EdcJiWB*t%aO8a1&Csaus+=w$>{+2L9@0eUU{z&EuL^4*P5HrT0WI7{WOj8rk> z5Wi(Yim8xquieVQeInLEpa)BZbk6O=MaSlB-OM9sS;(vrL~vBGnD(AQ!-k$~_i(@~ zrr&bv9VHS0aA8+CegJ@6u!Vi1i~VdWqR7D7mXJjtum$sOTu}*h<<4>_(u?URKT__J zwa|2*zhy{4Ed9+YB^B`!5xqpZEG2#)uNW*Y`2?!a)S!Gh5&J3>AzRqpzw!%9>dLsO zy4V_0EiKD@$#F7kQl+aU{2$y^nR?#&4Qo${L0gExUCfG@Ltjil9(mE;Jg$b0%!NAfDJJ%d;>!E{tLSh(HB z1#$^&z!NpTz*;QrO+U7$Aca&qvY@HiVdkZSK-_nRY#R)%yo#-DeU~q46@WXgAFP10 zcn~gH-=0q6f&iUBV!vO`_94?U3FIzITR*WKSW)Bf!p%1{KX9O*oQP3%e-eNq^X3FD zzLTc{bRI^4Ds<1ZzJ|_5z-sai^Z6akrO^xN+skGrqxo8S4uYCWTo1Iuxri|O(;EfE zOXvDK1srP2PTWS7cuLkR7;42?+Jfjb+bS4!RY5*+mTjp|q)4@;8WN}g?CXh{lOK?U zDh-cl15g#Z#N4_R6q{E`0KeH?hU0*dg?0@TXjl6b_X@l0wt=B>mSH>aj>_&bskjlc z6mqIunX6a6LWYZ_z?b#^03+!XeT8L|Xe(i=$OG?>{mR>w3T|X7HkO~LLEx*ID19a= zfC$i!Ym&rT^5M%u>EB^xCIGTVF3L3^*+dpCzFI*;RB{mpsq8~w(TQ@bH5Eh%oPz{4P|!v~ z@P{W?EDB=K)LMWuR)tD!OKnB1&OV?lu#xI~x-YR7v!%ojtBeCgE+8ZsiUiq1$joL> z^~-3KvwQm8Xp-6qqQ8pp_N(K zycV7e@_@DYgGL-5m>X7izeXrSmTyjf90jvfyp7-K$kW0;rKUNdVNoSuCPbSp<5_a3L%rJhk z)mSp-{v|>&P%& zS3e$?MU^c0qAj=~lLGq3kp}K6eWY!~v3#+js$iCD-G~A^?4gA}BN^4c*~oi2LbYjs z$e}4zs$%s~`}Qm93M3#J*fawpg_m}OaP}{9lTyeMPxCa$~5c~^c#CX&ei^e8wsbaP5YCw+$R3b<1kuU09Ld2(O`igFY z*15l3i)xrzS9PQ3b{lhlof}vg<2r5mMb{U zO}X(t7?ZUX)@u%X8m#N<2AeY=7KvUg*%K*hqoAzXW@_3U(sZ@b5G!4qtw7qWM4*dx z+>cr-kg2>(1Ff%=fnL0X0V?0@Te@$s-FD5LoKbMLQUxsL#@;PX%8K~@ zN{xRaN5ikwLtyl^5dZ^T@-W>{G|=>x#=XWifGCKH)|{QSkj7q~M6G|Yu&$4(g$X=< zqEN&bKxHuDoDIV|Q)fhy_kGRA35TMi8r26$A)6>c?G~1%k_ErHI>dlJ^N! zZ(RH!Wz(INI)mFobr%%0D7=AnaF&b-P{$Z(g-V~gJ<`Q?TMD!FN)|sz&2(((fsN!3 zCqvk)sj|XZXBb8$@9gaM>8thg8dTA|xo2}(eJgCm&DC#6*NYXu&*B8=iZ|RsA+Pzu z7gYq{Xwo=^k6}&H(X=-fGJ=%r!RPyDbHAQsD0J+zlq!j;;4vq z*uu!Mb|NZavm;jJ+)ApsS9z5~k!_q$YhN%IGV-7-bvrLg zN;LY|+CzoisF7BGSl{*~^j468X}(jiZx(;l1^1$~OFXJ_zf2%H73ew=kC_ctRH_o{ zaWp>VTKEW%w)FUcO$4sBT1d5_i{~d}?u)5MzI>-0htujKZ*BtH_^5=|$hEkj6_|Cj zf?Dq&vZno%=fo)1oP$nJ{1Vq@aI+tz0XL~-wjgX7U`JuMQ)`a_%a^wQ0FlnJ#7UtE zYigjOWtAvsWuF9Z(GH0#<$ov5`DDE0YNtWu>8H2;L_i6k7fPxs?Sde0l{X#7=2}e- z!r%5n5p-RCB~y2&D1MTtZ(~3Os>=klHUW08m{cdmb_PgWohOFupvamqwT@5yrOV<} zI7}d(%71G;V!Js|jdm{rilOXcl>vgKZT49!pi_)G0Yvxv8iwqzz$F#5lB)5E(D=C(R43#!FMFZ*%<%rfr zRWhzxvYEOn&vtOAqPdU*05XZck*`Di@Ul>p*(}L7!|B1Mg?S z1zN#szRcUEEuWSJBeI6#_9p)PjkE{*3?W~xh%)kF3TI|jYh7l(_E;hoYm~Tf0QH1f zSE+JkKgZOeXdq_FA+qZ)Mnoy1xlqx|MAw zU|l>^0@h2lrsHH2WBi*fZQMc(C58^Zn0HnsOK6|Fh5h0P9{&K@Kzj@`ejhMY{{Uq! zHV($aM6JJkg;IRgOAi%T!U-u%I`l1;i{DI`+P3aCUv6&D8YSZHuqgJo{vp^@+r(yw zp($MZh&aD5NC~@Q6<$vdcKv~0UvX9A;M@YH-qpn2cGPw4UN<(ewh^k-YxS~;uHIL6 z2d9uPF4}!d4e|qs6>d^a%E@5Yl?EZq%1XA;QrcsJeV)D` zO=^%sZFz0Wz|FW`>u9@{zO7cZk(e&eKL%2E5)Xn>(Ad?cU|clRu$4vt#6?rY6}@b9 z(;|yu69@I5S~lI!&@d{d#v5g@0;>H%G)GI)0b3ans;F820FvhrJ(ofQSIuO4n-aHq z^ZRG@IqkU$5oOSo?!(GbB3Jf;b+?%oH1u`8Pf<~i*n=+iB6P85e0m%%jtC9ERo6_v87(ab>pMK9RZ z`Za+=L4iQ{f&v<(MI9i6OLh^h`B{(x*Md}PpGycO4I8qr4_yTDH{(H18i(uRd#G?%ZSRY8fPM{dl3sXTaRxg zLm=|wTKGI}RTYt!O~wJ?J!LYJ3vbPeyZSLM;kJ^pMvJtRz_8(R3gcg*JF*AwNT9ke8p4&zDku=?7_ClP&VbB8cRrZz`j95 za3NP|Elz5Rlo5u?6v@F{V)XcytXVd@kM(dVvl%RnBT$ard`q6}doKR~1;$%~Hz*e< zqjR%vi~3Hrw?N~MiYG`LF1ft-pLih(d6CCWnw z!BGW@*`jha`KDjvV;yjdALYQ})nUQxLD_rym>wfZ-L<%-67);6{>B)m{vOJuML>&> z{{Uec!cv}*>onc+VzN>p07|RkHl$HSv*aM8v*9dNkCcUwZCl8lOg|KV0YS1}L8&zs z*A$6w!paukWmKZ|fI zvA;(kwKDez3B|C*^T=szq;^z%tZ(%Ol8T)vx`UT4mE=CE#>;f4<^s6_+hGb^u~yus zN|iAJl)w+^SB%TF{QEm58~u#X&}_862pFr#g`jwr@j0+hgi%ee)YXT`-oy=ZElj2e zPLQy>*nDM8j&f`?FyLzCxhutPSpXeAV@>NgZ<`;Y#{5m1U`>j)GHrCz^!6pclm%*u z^g(V^U2)2ag1LT0w#p1Hu||`vyo+Gj5#1$)wJFQ86|gOs8n6Knaz9u!kr9`Y+RdH) z1;JEY62${-MHN_yK4VbWkHZv@*BS64)FQi0iXkmUX|_iQrTb-q#EaODHkf+Ur$_Ro zF7+aZ+>I+P1}$aqlyuAOPJPs{z4DfZL+@e&Di)XZG*n(6FtJrV%lekd6e_Q|1*GAS zVzdp^*q#3Xuq&Dl)qui*RpKp1IR)qk)^&x4kxcDM6KrHl$N+1jl^nfO$V$E?KtAVf z-$nufVm1{~>xKO>D_6+0t)an&V;}i}#L0c4U|ibdf8E0ZjR0|`=qv;?!_K^pI>l39vM(=PUj^PS;`$uNr)doUPMMH zD_u}ks*$f{(#1#-8H> zoh96=l{*n)C9nvaHEWtkz3zEBtA6E5*mdbK5d1upaJfVDvZLIVQ{%{tHdL^{{xvUS z_LOfQ)X=x%5{A;mYNM396ssW(-pe;A?5SvLQ7?s3#Jq(;03q1gs?72N-6KrH8PXdX zsa6`&ST*YatWb2G%UxM+!j1itS(?in$O=^M5r0VOm7qn~{MeMT`@Wt8fY7MDdXbP{1&8DkJ~>QxGF>K3~z)1FWGg)nNE zb|=RtT7#S;5tYAEzUp@134A5!`~LvU9D_=aq(Y&V%YVj7Kox}n=nS)KU01}Z5I1d1 z24GicZQsXv1CD`CooP({6sPQzKSHCez)e7-0aB(?YT8OU* z6i>2qV)-194EAU-!==hYv2)0LK)1}8Y8gn)2G!o846TanBZ2uae(EKT{v_@C8i{uFe8XTq38YoB9iE0kAQy@Ihc5jZ zi#m>tz$mG+YhKL`)rImE6t;;%#)2-Q;eHasb}ieD)(&#mND{QfLRpM&b}Lk(wnn!; zh1)Q2VGSZfwQO72N#QEu0I0V^^9&mBvB>z1L=iS{zrGMaqD#qAEE)u7cM`6whC?Q! zqTv=g1QndUl|npL90-uo^S1-adsmR!`-NCg!hGZYK$2DaHG^Ycw5oT0X9{Mv%N#=D z)60w+Vy;_;hF$w3D+bEYHgW25B@Htd0w2$ILO3C9QV3b}PCAXRo(;##MZ^xbS|fa>5s zcro!SXr&E7$pOgjQ#l`5aF&~CPI(9y_DIo6R;hqNL^z=gvV`b;Vy;tU#e(QuK>$1} z$lced)m>k)#WDu`gSDK^ie{=SbbPleu}Bp`LhP2d0D0`ekYzESDe_P#AU$EatAi>A z?kn0A^8U0IPt;RBqyE`XR=xj%#FFf3|b>wfA$gtJL@58Y6@VN}#tN+-7Wy6(d>l zL=%dEg9zTS4NB=+is@JW;4^#>LQs7S0@aK7gAH)xEoul=T*taCpHkS=omKaYv0oWV z5Z*9gE-i%PSpK8pF{x(viFkBysbf!KRsf$61G=S+SsoW1UXI480?T@_B}J`?P}?r+ zku+^B%ZXA_v#_?`NW$0AYXE%1rGnt*?GDk_=n z+#siJr5$Kv*js@91+9gl3cr|}1&fPSTD`EJ>l}xEqCK&Q}@O~XJX+SE~&|u zCGaAX$wJ3v{{RpmP{((xA>X+{C990NvK|#t=>t??*cEd`#?(mx`57rPC@-+E$OitU z)9NV-CQD_XY*V3XpEAO>lEACy!~sd#ZD2qRWfy*A9b*sCEj(aaYNFoE9X__EI+gxK zTZh*tG}EQZBzh61vtciHV@!Bg>L|sgstQs{Y8L}SK3G}&k6e^0h{_H`az$0f$^+ej zV86A+cH5N2e&QH;5V*-E6mnEQs9#`2r@*-N1wVH>XC6v?>rE57^k3A!sE`#9+Pp(> zugydkE^p;L4&KJUk=O&67cSaDT>E^!7w!@bE-8FqTgR}fxQc3)#rl-zkhj7G0WnDd zrBmXfDJq}IWd$CkRJvaLzQXd>D)}icgOMy_#Vk^caYh_gYW%9h01*=V(>ErPxZh8={jUvbXA zUagl2{D%lxVO1U41r=2~fJ+r|0HaITjT%(itz-%al;F651-MWfOzs1Ao3%g239~g} zRVwfyAPDxz1>gFN0a}&Dh+SPaHoaj@RZ~sPqytT1E;@1<=yD|`;Se4n;osZ~M|~W* zrJZ6?1v~qkpshdiEM4-KWX%LGG*=f23ohKYp%_GH@2igO(j>bPerJ=#U6aV3pNa7D zG$PQBp~G_i=7$YKomX3`e6v7Q4K{xm*zGqpXl^d`l^Dww;6%AsH7=5fLGDYzNYAaX zD006Y)B$=UP2|(gI#TP`8ZtmzaC{V2>M#wH{jLEZ6Q>^cgp( zR5?{CR1H7gLS+O~x1$5AM}EQqr2>CvP#i4zmDs9xtH`O>34|DmJ7r&!0l6rx^^_bc zP^nM4i&NxiRbyKk7E_eX!FyL_Ez0zi_*8GU9EvS1)k7Qr=iE%+3_;ArLc-#+*@1O` zOeR-Jv(v!d+y+L_z|*L;Ev1qp6YD2ocL$S7-G#dfB3E>pFEduNabF|dkbq@wRr z*uaDYyXFv5IA4%Lya*?dLzdcllGk5CTq|J9{IL8BE)y!h2H;B1!bFueKa2b%XsQmVI2TNI~`jh!8OweqjTfQRdmcjDEmY=(sprQoFyL;l?tdsR8*lNQnkrKqs4tdtI|9NIe$`- z-F9gWQVK0T(LDf}6Gt#Kf>hq%DhpM%+bWeY~ikcoy`&3dp{l8fx}nTGeXvOp`6qZKwmT_HR)Nr>^5MQ2AY zXh3x(0Bd$&MxT8#rBzwvc``i=jIq29gc7BVihkqynpWf;Nng1PipdSsuVj8GMd_+UCUPrL{=w z19bI;9@N_x$Ad(ti7Z_y3CAiC007OLO)qgMjn~G)Q>p+i;PBJ}rv9U})AsTVvc%`i z7@4GT<;zy~eTgsuyt!hivAJRJT8@i_3Q`v59fGvQp5#oQQh5yoCpXDgYnKr6<#VLe zt`iN29j7giMtI5W8Zkgt*KS&9iGNWBNE6WeFmt1L<9iV=1OnzNSd=OlDqlY4oYERv_a&p4a!_I4QE{sn z?}7q{{{S-^1CcbdRz3Kfw791ms0~Gi{{TM_!3kCh>b4(664%>N)c`k9OgJbe|F2O#cAv5e=<2V7VJ+M|fKOBy# zhlgd408l-a8`-DVvY_TDzaeEHrI*OJctuO@2M_%~k!n8f6MwGM#9!^yZpc>~L{Dls z6-^khg9{Ana{`#+M6_>+{_=Nnsv)Z&7n|)uL3xLeZ-9Wxs1n5T87_RysM$OC=ivOX z{4<27@uaIGclZ{k8J8+EYIztyfA}DhfOPC~=zV-kTR;VDkJR!hHa{jQRmgxuUP`V& zs$O#0(=^Of=3DRI<_k=7{F7{dUc?GndOg!krS3aMJC{grc;oC*w z08iY7GV#vB9HZg^SdZ5nFOwRaxc)-y4nz(_yQ1f^x3o&z*Y_A<%z>!O5`!dd)b0NO zgcPckh6)Uns+29%}c#MU>cy<{m(eCJw<6jiLxe;yB}bqa)<~CUy79~TQ1#; zmTfQ`dj!sdB}m-3w^giKjf2Tgdo574Sjz{P(%E$r#YT`*TI{I8SK*W*!i;N{G|LMq z2fr3p`vrS(VOR0spxVU?q#9;eo~rsvugi7$rHfI6V6=>X$w=BdPSp143u(cE%~?aY zW0cgO^lxqk0jpQv{{SMYf?v~sKMR-nK{jwm_>MgqK?$l1Dj7Cv=}_Tbknh_pn3P>a zim4Y%HRAF<=AZM}r=e~FkN*HI&WJNERoe&fTzUfv1S;DN4e}i>;Or`fjuT{Vy?Hft z)#C$~{{Wdx6!uz~@jL^MEQp^YiICHUPAlsh8t729p2hSq2(`HYG<*F}QR}Xyup9&K zK9aba5LK!6>{W>vUGzs?R>t`~{b^6kusmB}Ds)`QPL-;-O1=S55WpMtFA8v$Kp~~e zfrL}KUd5`9Vk+F10Tn6Q#K%$q?ER4_Lg9;<7SD>72~x;~PECah+>9p!@lY2O@dL?k zpD@EFF^0g%$c;84AQiiS=zr=(O8Tncwm*JV!haSP#$KyukO_!VzOvgiQm#|lo}%41 zZMX2E6|sKJ%N-UO0tL$j`-ZD!sRD@~VO?CENk|YwK0Jv2t@$44Fa<nzo zomlb!St{6%w6w$j08)u%P<_tL5Y}8#$Xd3tFw=EbiB-|X@hd9-085P}O3fcWB?h|% zgn^jp8w{<9N*vcKZZX9azsW>VPM*bdr6y+})85-q6l;^^W4VZMejv38tS0SNL#k90 z=vI9DE%K3eImiLU>NRrhoPC+FvKtt}8VhJfmmX|I$Ku+Qs>jfT^FD4ITcn~wl(WfA z)X`il6r1H;h#NByJwvRzfD=WRl9BACHx;+H${mch zIo5A!zIW~|+f!YQk!Z3mwR$757M`s*!pXW_!=Hz;&_ayMj8T=Vb2TrLcBVyO8Y1e{ z$Y5wfvy5WY9!9I@85CPK8bJYa)^?&Uu7_$X1E1_eDAs;^4$yaz^gi#TT>G6MYixX0 zm_UvFkf5q9q+Xgrj)+5`&=(lxIb}&M2OE(nf#~)CwUpP8w#c3BMXl`lOBuoA7by8; zZ__UIP#?L2TVq702x7=XO~d(@QvU!055aM0ogzS$6YduLvAg^*BG<8G8A*R|rf|=| zNr|FcQu0#FgG90)wmiF!Ly?UC0Ma5V_J0Hm+J{3eqsdY4%=DdsV*nVU0xnNxE1V!W z#v<|#3WNZQON~b(vo+WaL=0k{TvW1L?Ays##Byj2;eFl@PXt37}((e-bAD#h%I0-3{m9UlFuQPTM%hS zxd(rAKYl=QQHk?$o{8_p z)koYiDugG$hC5MdJwVYKU9=02$%-Ab29s<^TD31*Z}5h+s<0L0pkLvK;{N~w_0Ncj z(^`S!>%WyTQBe@^oD+ z%N5ipTq{(;yp7U}rcoK5_HI#sqCr^8}%GY%am9NXT}m zJfsvdQ?LT8s0mh7wa&_=oHLT4J_a(XxU}3gB0og5Dq_#Up{aYw3Ikn5povFXfRA9{ zHHx9*8mo`?Ck9(<>8hB0K0hE;E;`LIMXc?|kE@B9D=n!@ULU#CD+F6z`;@x}pJ=GI zzw!a)0V&&y$;*Z#I*P?xC4J2s^CFraE}|8FyX>Mss5xM7hhUo9^yJs);-ZgnPI4s{ zqwtGRTdLqJocNghwOJ^b)$fww7fbS3@a4f6l9(7Sj0%nK!7VY}0`eeTTLq`KM5B5^ zL7-Hr`+bf*gGuUp0KN*!Yjc3Sj|zONLSBtTu2aHK;?r~Hu##WIQ=zst|p4{0V zGXPCMpv_t=Syd{Ee@)5{zZ-;z0Uc@yk=p!Sf(?~X@63Li=oW?^p4CcuSp8=8*zefJ zY%0Hzq>dTa_bIZ3-aEf4T?VkS`*A>G>^33|b}7nY6Hc{FLIG9^ul4|4&xeqBS@|_m zgS@{#^$-{piod8f1WFfUD=_ItBes+SM6ZY~ve<1+21D&_7@!emM7|;s6U{O24^`R9zSRL^mj+srjkp zq2Sc8Bk>7nr^OmafY60XErR<911 zJcDYwV%!Dc7b=5X@ep9sjJy|#dPmhNFW7w0CRZ@&0rpw!6}LVnP&FVi_RI`LyvOAx z4v`tMp0z$)-3xM8~jSYQSt$e_{H+)JcCBcK@U*wf5@RG>8$LWbhLgV5Z zr!bMKe^WWF40NNumOuge7*0%6Es!*Vt4ELsQQq9D6?`BUAnUi-lp?1~i^Gtyxv(gW zu$HPmA_VJY#8-jj0ZXnJRgihfp~8;4DuDBX>5E%*OaoZhFTBOd9YNq$4Q`TsMQZJ2 z0RI425glF6{Yo1G{C;4foMU1SM8IKGr?cQ^BM*p2vXK#;8Ef5Cx8^o>A+@ujiAc^l zQ4WDPyE=ueuz|HIa1j@F8^|(>-6i%^Fiyta#RYL!i}D68%PEN|v{VVwH0G`_C7R9- zz?4|@OaS&XD(YFd!iSzjET)4S&vs}%nX90Kpe_Znk<|C31)h+)hhpuKx9qrBtc_Re zTLk_$b3v;@rL|qe@EHj>)kJsVQtpg4L@lF)Wa9i(Fc(Ki#k!X&(7L&Sw0$qIXp~Ei zFwwn&z-&T}Z(9gzP2S6vG#B>(nP~;n7cS`7d&nh0{v}uHq4kWlc2;Ngp1|n>N*(jj z{{W;V+699}wt=VOJ7^cg(uq+@RWx66vMC7EvB09t{0YDq$*lQ?BAdT$m54zvmjK~4 zO8s4zrV^Lr?`Zg!_=E3~rs~<@0sXw=IVCtuP^Z~ugn+zKRI;}kjVZ^cvYmuBW|dK1 z;7nu%PW@m8`}Z5b6zl>QE^a>SeTYxDcBx42$0*Z}ajbz>M77`K!AG*lm9Dgd;_)c*k1QeNdzro7F;SfbrUGRRqs)3W0g z6oBgH8lakw({R^(d^Z`3&z5mg`zWsvS7o#2JPp9+3yq`%&Hm$InJ`yYi)!WS3Pg&f zZMf!B`?%I1Ie&5I?jSGDXY~MSP4TIgmulQm0{E2vrbFQQxbL-6iui!*NpTh~+<+3W z8fM-b3#JqNz8HZ-3BRnMe^H&S$SUb+u^$j=Dp{2uOP<81w6nCAEFyv&y{PV`!sQ68 zSbzEXmc~{}fjJ&VR7qp-@A49gii(5}!35Yp1CeBR_zuTH5nYLq66Z!G5L7gH6=K# zqWFEVB2;mlfvN!cHr3bN%s2?#{{ZlR_#&mY{#*_Sr7%OFgg|SNR$9KGvEDm!pHc{D zH{_-*rzwlccmc$QlRy1m`U?Qg6swiVhzrxrh}dP+r5(eu4#Bko&RGf-2{oz7W@_*s z%6<>Q9+CjRS1pJUf5EfiQm%b*QnBGsnhj)@Ql{57plW;C`-)D_iim)imP(Z>SLfJ7 z;EHqcr)ZWY^)5?3rH!>^)j^8;zi@oW+aWAf+q$Zhy6rYmL5xGsY^0G+Ov-El;%eb4 zS8diyL|h@;O0pyH1#_{;^%ArXQG=8FF;jN=xpc1A`tonvL#5nnAXYb#G>lE8Ef)95 zL))5yAGXko($cTE9O1Qo=9-j|1KajCI{yH$3`Q@-L+r70V+*Jos8O%XG$^y?QxYqs z#6S+W$QP(9@w~XQ%6T8~U{E^+y{g;@Nj1`IP~TRhK<~;fxMZXb1{i@>dCAL$xSU24PVP*pxf*0mc#fOC}+l z7Z9ai9AaLp8f)r`<0_8yBO0rOuTLOwx0K#Rq}lnD-6i@W1*%`{9+#G$$Ho++K#%S! z2rue?QBulrVQ)d!iK|yilqjoATpJuJG{59@tsIoYtS0&4(%>ys{9?vo!avF}d=sSW zk@z6gZs?g97YOv$=oxZ=S#w=s)&4zzC%*mR6N8qDziGI6?Lhg1Cn|cFK|*|nNIE_xE?v_E$Bg@kBz}V1IG+u6Fh`t%^tDyj?E(;k z2G3?Ln0m`?{CiAupa;22jV=6XqyT`m$P2!w@f(3r#q%)xp1U zc*20dX)NyJ`jx~iM5pYgKyi}|j&e4YeTr3Dqjr2`qQF^WT3@gadE+!NO5Z6xsrE&< zb%C|(Q8X7_nKhZA_yW*iTQmOv;)(D|qAkn(Ir#fWj0tH9qUYQ*5}rY_m~6{$l@yf( z^Ph|QmVPNI6kwi1U4XF#8p@kse2IO9KNpgmmV^9u7}!g8G(1@1s|Yp{nolTO%!Arz z{DGnjB|=WC!XznZvr@WKui(5AIk-YaxMf`wj0Fa%mW zfmN$q*<-HOyx!Krg{p!|AhL;pRA>JHq62Q^16=86%2fDE3Yy~{sK40Q*cpbO=$Jk# zbo3Ydg4L@JAGS7V($2pSfy6R~-2KZT3rBt>phy*QD*hr@+!1<9xKs?N06kD)*XYTl&Vbt z;$94m*|)=T$|BmLHdLq8OBg`b=2W1^rmr>xZ^Bfkvj$7W!SqyIKqX8@2zbCpO)&oe zB9(2hY=d|FV7QxAs)0rCP1pcp+m%dImp0e4aww{`zo})Zv-W#UwG51kAiTJ1(wd^} zh2vuuOT)Xc+4(;NMH;_{kqfZTyRnwls2fH@>B;%8roH7Ff2`d)O+I5o>SK zW|SAEROP_Z{VkN#Q~v-bpIU-i_Mhy);=zi93IHDb#YI{pNh?+Hju>C5MaAuYqA!Ll zTkLdcNn@;YZrJR-kJPuq$7&A<*~-3+Q~_DKpguM-OkFm8rE-SlhLT-QLYt0Dz=|s? z@nJ90EmPE9`XCjtSm@4}0;=Ig$b6Xat3IHEWz^UJfOTvlsymn(;@`0?EQvlzP3|Q85j0oGxLQ!1J|f1-niVq&?c?C^pZtZk zub2d3vK_8>-JOUETltOJg`tn`E%YQ+gTqPI8b`91<3698iM&Hus_DX_#p-P@p(jcQ%FB)Ef)tR6h9=!)oPS zk_r{WKT#Q{C5}Z10qh!&_6b=9gcM@6lw|~^{u++Tornrx4x5M<3ZLy!PypnxaR=;P z$WIqH?6cBnxCd)2nlp-?P&oPt+WNYhh4j5Fms!v-*xA18>O}Qk1uhqXA{q zqW)N&gSBxdF4~n863<9gOcXgS$!b4yT3j=ej3uS(@Q>if;HgrWK4(oSB+Eukrg4q#5YB2FuP|~-^#iLHb zEBZOlVW<)M>`RBL%R#?rcU%PcXR7?l{K5PYYHC{rwQ_u<=q)6dbXQr(l=TL$^$(@7 z2kPT$#`)xZ3JLvW_Xu>^2b0E)`>g7MMGomhKvtAK>^q5hQ`SSW7eLU|zL?aBaM zSZWQXyl0@uBZ>*s03x=L1S3^S0|Tfd6oLiw@dkqpISDzk@v*YSzG?v7SL#vnxC7=R zi%9ILN?0B$r&P2e?Dl-nttxBL$m#5RDl?_LWp;~Ras*4NpFCkl)$U5lT3itaW*#ME z3C8gBmd~+#egiKZv+e+BfeU?YA66<9BRaAIACIc`$dk9dLN-{55G*mvN0@|q5 z&>=r^=h1tx1$$reZH$_ooRr2ZRu=EsHvBbnxY%2b{GrjYdZv?TZ6~xnqR5;lpPkXW~hGc8}$zr zO1R~(-wePDJkbz18rWDBXt8~O2u|qN{fSlK?`qQTM};6F#(=2`z-<1HCFd^I}Gw%mWQ8hgCC~0N{j0$zr>^U zIcZDZh%+ifs*Th-@66NcS%uSTnniCCYmR#oqFsE?p-?i#0(?fpVZf97kq{tI)BeSu za?#ZRQ7v!z4v|1RYXYvoswzDR4o{#_0$iWb@fDy%+yL?RKou&XYzb1fQCNB~0u8;- zFCowStgg4Bsg>>qvKR@gey7kuP0L2cH1Z4K7Jbe10#U>~xSY!Q6x_aDgbO3_2HUB0 zf)?v5U4_}m6RrN`h8lST*b@5?!HgBu00>O`;_Na`r2 z+&fhTJC}J+DgbbGkp^V+Kv#hg;y})vw;_EcOtO*1V^Dw;#fT$XMMSNGbU{m1?5>0m zs%^I`{e<4{k(~u&=cG}5J(VqDA+UC9$iQ~Nw(4P&5`ho?$dYPbDy8mKHFwEIw6M0W z13|DFK|5DffY@_OP5SW7J}Ad$KC$`ffQI# zGc^$OET^%nL-euAV$>wDa(z@MgK;Vly2(O0Z-^qN(DU1s3gG5c6j}Q+ik+*do{~R2 zY62X-$~d_D*k)FMw#*+CDx1~HC<09yO&IfspqE3$!az2BlJuy{UUL+eBF6w#%3*nw?V(&bX6Pk_m0i^*!z(H_?YogoKVcgNfAQUR|> z`mK!tlA_nYVjZqZ6F?NS++b`k0y*NMk)+%N z(fyGvEqf>x-J;8mKDZ zSyt8i1OdE53;rOdDLWCw2AZBV67eqJ2(Xr|JSEclHB}yi^h`us@HJ*WFGX=O#DLL9g1FaW(J!RNAtPbHV-Or^?%3?q_vVnTK;jr?f>i`(kv2~4fH z8Ub*LR|VLyEne&b*1tC@Hz9V#$I-q=oTH7Id}fHRqU(7Aq7hH_UYD<%xQ#4b8OUs4 zNA$s4RqPbt@M1Co8E$EMn}!u}EsIOi=^MDKU*y@P5oY&s2ANYTf8;kbJ4}NbsO)Tx zrOZyEd_v=jwa9Bj-XG+kDty2zb;)pM=CZbyl>Xds97(OxfL)TIUQZG!a|XEm!HSdh zdS(H-i7-V@v4hipA5i^?#y1=n`wf_Q8@X+(Vq2uO*4Vs@L9>Q96@!*STheN(JH=3% z7HVlgUc6;gR3ON4s2!*$04@5AFiVl>mDTDagI}=-%PdZ+Ux>)fQ}1Q$RmEk7Spn+ z=CM`qy^J70lxW=xfJGq`(Q}*2jto(MsQL*O!llOWg6yae@7e|CPrOD!e)~RkAPF*} zr_J&+yDqm9;wNsB!w0Qc5I%NvZ2<@_i9>DBjVg@y<_mt=U9QDHXJXZ(;TdValy!&t zooGqX5;(}}m2K=u{aI?)k*_A9fSKH`=E}yAv!s*>MT{WE7kr%K`8SwRT40JgR1pfLJ+?Ih z_pF-V?HF3tuh*muhS$Qvz^!k$0`vBQa5@#KVQj|S@-b0cdfh}AIxAsD-Ro3vc+cM4 zD`Wd3BTJvi0sSMJY2ui6=Aztw31Yt9AFGcyeQ&d5Y5S^;=`0;s4PY9=$D=t)0I@(D zU4vH`C)izt?txD$V#QOGfyK3x=Vu~9a#dn{{U*@il@Z!4`rvrVJ_+GkwC)Tvc4cf^Ute~p(+Iq zWTR?vq^j7kfF>>~@@iF{~SB&MDDqPD1j1l_OnMU5tx zbbMl)bzoUV`72PeWi<>(PM=`_t3Xu0E2~kuKnG3BUc=?&0XlfVE*jB)o92W-5z!q#rV|E&Qzjp{LLxQ3c z7P%64l)sb)>!eDhjtwmT0I^iH_ff{0#mXJNn58k77heQn?S01xqT+nZ3p9CCgh*RV z`yz!asd#dI(Pj^F5Qd}MjPq-)Dg(+$vGwBk(Wh}m;=&n|ac?&7PH2H~F5oJh&cMn;~TnLhd{{Yxj;GS1X zs2>Z1YGZ9>p@~iVRNdCxacDKQ ziaJ{mk+_$;enbVv3_J8$@Vw(#7v+Oq7r4!OS z(yT#>?EEUK@rXjq&J$>FbQxp%3Lywd9u>ls{#s-bp zBqil-rd2>qh%LO8KiC67aHIuH+j#^Fo)XSbf8-z(17Mf~4$Uybw={KVj*)>F9 zag1dw8v9}hORP_B5NzZ@^WR9B{%M?_E?F#E`HB@@+)h+h zF8A3%jmv7D8&LCCb0VgLS6*B?y*nBQ0FBx9;iRIyW<|x6%7|*uavxN>eAt~EA3OYv z`+FZEh(;F-wR<$SFevzh3fgAuGvCyzi4A7FMmYO`(D5H5#h3R6mD_|u1J(!J1^)nU zHZ_KZ5x6g95)d0I0#As1F?2~{K190c>9WXFt9{{WcOr?2)6L&zBwC*&CKc2sw7BRFr$AQ^;S^foa; zb5Btyy7;M3gRxs~n8Q3&OEzJ#P=Ydq+43<(9!nk91LiZ)Mu2CK)wQe0i7Dat9{ho2 zW9>>*qN;wXT^y0Pv%xGS5Dpr`jQe90ZrzT%DQsZ0-6a=M4TAXcU<>43%Tl!r*VGfc zox}?zQp$h`LScXpKtFJfmecRXD-hNnxSEu|`iikKS-#w5C9|k~Tnl-SkqeO4n>~e; z4zMEm)&);C6kA(?jbuBH_$z^}@ z$wIB)WiLghL~JYA&{&}Q)CjGKigHq9YqHvhOq67^UvVfkN?fmmmgn(5JTGaIc1Ns3ErTC>V1x@IGQ5#3{_9?|R z#$0=00q91cYT6W{*moE0KrJvsYv$$OWHh{2le&O)in_teV7ZONqQRCU0TWjL0A?4` z%HSPupRpc|4%B}9sz|^XLY4B)2Acl>AQMm!BZ}CxcW8<-6msF*5F)X?gR6R| z_7bwIDhx)4uV++JpWL9RVN(dA@wlpqt||VpV1$(1D#PcMy1A5_zhdG_10l2GQcC&# zN*devB0#n0>H2iIW-Outf1;| z4$3DXR$Ec9nk=nY{yQ!nd}K=5dcMCroG)*%NN)M7$$7018&Rcg@>1M|=@2hT1|Wo` zC$g0LfR@!u12)d6zjOUvVG^NBIOp#!e%DCZKKtsJIHjI#mk@{^%4T60X2kXT z@29JRt()T@{v_(ISQmXT>lQGpbcBA@7nFTVHfeU`40e59ODu?SnLI<33j6;6`BL=? z1J~Jc0l>cN><~6|H?XivJyUTdzYDh|A$=P$sc$cjm;>8ZRKapAN3oK?)hmY1AmE>Q zZb*FHLCRnrJy8}a6(*q?wc_qMv1|H{$K3tG*VA_w5F7&A$i~uyUp~Qo%8fMIbc9R! zmJ*b@{1l{6>{{!v3%P?4lzB_AW^xh->0;;Fb=S~}j#2|zT4 zKFj-U#4uf-1P(op70G2V(XV1ve2T5?R;qG-l`V7#FE|Z!<;RJQP0UTv$&rgl!Z)YX zJ7l_v=?1fNJ^T|RU%?TX>?30QR8EDRC-nj(7+o`?E5pX)TE0qbv|eAa2^MV=2Ly?g z58H97riLM77=c7RiP%eHveQsCS`gOdm6fej!my%g9s04$NVLjBdq062jJUblmBmDAMnwc3BqFq^_{@W+|V2NT%t#`Csh`zQ&9kb zJ_xDo-)SJO&c#bbH)@y)U1>1_6G>nAj=a9s%hhf#FiAd z%BWUFc?$mk;t-z8Kf-jMX(3mjm&GlB!s%+NKXEA=RS6clEB%keYW>rKC)^$|D7X(r zv4xZ>R3YBo`zdQX0NU%>Yc<`1bXCb}UH<^5Ajix7#g?{SpC8I812G|BQsylL?wM|Q-8rY!FVTeCh3%Op8B^TRbSoDZI z22oHQyonzN58M}KEw&M*wtFawL@EhN3V-j8kETqFD^-3==~U%K0RHG=f>pj3EUh32 zar&Kae3ve$7DP?TsYBuC;BRVV{mn~TfJ^U@BGn~Ni>Dy!8uon0b<&k7bWgV>V7udS z6-G~|iU|uH5W1B!%^y&KdwCesyCORgL1(^PE=4yL1h1&IQS#vnp#%^Ye^FMJ&3y$J zw|IizhziR&y^T{dNRS8A*}j%k{z@g)w#mU8aBUaAG1L;N%#`KMA$sEy2|K~rcrD_} zxEK|Q4zkfveRP%O^=D0&IeFLv(plQ5ZP}oKVejb#HH98jU z0_+0oKTA^SeO2<4CG&COhw+{heOyxM473iO#)`8{1vcNXFgf~w(F1+CM|R{f-;Fp# zEwSe&K*rm#A(n&>_XZ3Xsp}jF>9(1@HFsrJ)hnEc8$~zd1$e1|)!~E|UWv_svW0X~ zj7jp`vdRHF7L{N9mtrSV@Js&y@8A{A`3%uSxX)!u8sxOxQvU!#5Zk8MB&a-L352%R zF+kR_RljG7Ay*D+MxT;u<`cZ-P9bdGK&BVu-i-xgu&SvA1>xG8XJcU8h&JeD!mB$M zRoMx$C7CI1T&UQMs5M?Wu(MKzm1?3tX|a9SLKfe&DHD*>;g#%s3-SkDDq%q{utzvc ziSxL?gLc6F78ZyeQ`jcz;W8I~ZNAspR{ml@v`Qaw zz^*C#+ht|P?kVWZGqRPkk-Nk3=nR3$pGr+cctv&b0FT@e$W7x=4FUk^`<2>B2Y~p9 z1hwl89!nA96{T_td9wH0kE?~RpsV&=Ay*NhzD$Kv2zaPzaoXV_$6!ft*6b87WC!zK zi=U|(peSpK8&R>FffW^cR6#9w0Rj@a5qpsWVnt8Hp%)O#PqJ1*q9we`gGRXn(Am+_ zI+rku7fGv@U0Ev_GAq)hvr)m%xC2{1Wljh7l<0+L_2e1FR?_-@+!_9N2vV@ z@(rL&L0fJ4>@`}2jmNMJBuO264xk;Bfllc!mMni`Mh%+mtad4AdPH$gEuEIMs3VDu zV+s#e3m$_>lmW6jhpP(YN0ukXx~&x2|4>q7JZi~7E!hQ zB`U?kQdcjcTIG>rTga!gF9@rQC=fS50FA8rf^Xu5FY#X=+Rjmyuyd?g`3vzPLgvh8I;1=#R?N+yZK7m}vUb>w`wZ8;lO zt8lgh6;UtlRz7@7Y!^{MXIt|qD??kAaX?Tz7Y#9LwNJHj$6zz-B`?V233@c&NLf_v zindty=h^9)F-?bavj_B*DwmzuLEGM6-4!bpm&#Q@rFqoVl`ReTrd4fE+`Hs}j$~Ca zsx2+$7ZwWhVn8jo2}=Bc-d|z+wuBX^iLwhTx@D9jaeAz5D8Ab?x?U3V{4TG}L&U6L z4Bb+lxk1xCue&rY1R6uSA&t}eLl$L@o6LE-Iqg|K+uCvL)`8)Fw zhR`;yAW_~4P;uai20Xh&fCx2*HJ818B%!E;{vaX$hYLJh`|UHm2*O?jz>++FWQShq0&_O zZ?dK}WiRM>z^fmUEnxL*c60v#SuN8Cgj2#~`D9^A@6@E?#c6WZARCJXYH44B>hv2| z$#h@14`P)@%L!TYmkpK%Z~d71a^~BDTL>BoBLUIUFYK*IO_fAKS8pL5M~LEt^a*m} zEL^Gir@*?X``ea={WCr%hvE z`7i1EB}60ES1u;!)9MID#IgiAHeEl68gKsq<}jo|;(keWg*G%c+;js-Zm4n=8-|Gn z$S>h2TkRlZ%O(6*twM+a z9K4r(XBqybS^0v|BVBXmVxx`1+20>$+`gAo{{UeVLulybGR#W!;R0c72N;TlYh3<( zMQmODKN=0Cf*7n`jS6+T!KWic)GpeU&K^=KyXJ$>w=`xr?<%}jO_ za=1EUpAxCSpi;ir-BOwr?`|tb?Tl7y!LPY!Ps4W~;lJ_7!UK8r0HbAbf)!{e`jsUO zuoQjxfrwwQE(7rgR1ef`C|iZYY`eiwE^J0o#6;isu|TwGrLZp6V=^VVngURjXcIh& zYN_Q_Oik$mmIbVvawSVrG>(jRS`@lYonQr3Q<1Z^0-U+deRiUdVAZ2Y4KS^U%kx#s zDbV~>qxAGwCYKd;4aF+F1gX*}2T<8C60AV|&RUm!F#h6y&8@YD5ScY_LGN3Xm!dN`6Y31=j{p zzSBO%8)(!rS=+dJIs%u?sicKwR1ZS^n+w^cJSH|g{{VBz#cCaJ3iwn#j^jxy!#-fl zyb5fktCTNdisA7#u-3|=0Ohjvw?gV8aCV@`6fMi(eiiXDK|B4Bf*m5+w{s7wwFvQ0 z0Ha7^Hqk;Tt@I)seT}NsKEMF#;e9AX$#ItDmVlnIX{D$Iy_I$PflH+!Ufq1yTo4^Y zkvU~3uGg@sM#7qg_U=@;<3QF>Ur;6OqIHRIZfB&%BfrGzEb_FR31N-5zjg}6{uy4sav zomo>5=ilz&6fETxP>iLvPUl()$PiL2;R#jO%~Ud$9~!XwD;m2ubxti)J~}NFEV`|A z3*q&fB{ph6cD}kL3S0I2g$1UW?4^pswy$Rq0H>q|kfSK6O1BIg{hJSs;$64Iu))21 zU<&F}suhbt2j3t)oNJK|%e=nIFKj!JxB%lW2KLFWY*x1A7OtWG2c`31>5U;3FaLNlywUNXRI}_3B?HRET_f9R(C4WR$1dYf*<8vLe)efw&n1CArxhF^BD^NnCc^&!WJYXbQ?4Ay4`PYY zkzUsOe3d)hN_j@OawD@HEjZRfSDGu-SBFAno6o2MH(zTQu^v_N3#@18i?F!itv^$g zsFYWbAy<)NFl4K#@;wBi0cjGGyEds!%m_;~6f7Viv?{>_UyW?C3+mA;OK6>iKL8+! zwQKj{52fif?hqmP62%@G2LAwmQ609&`BMtYix$g@eEvIRJVDmW_q^Cn>jZx6es{a7(!G$dwP#|Log`~2sUrY&Jtqt7Y`oqw@b<<|bI3P*Y^gczu1~40j zwgo4D_Y2vBN5o-gaq%uUjYV*5@-{3)xn3Y57}1nJsPsIRgHxcR$OXhw!MuUuX(B}g z>pFqLSCELeFH1zS$_JgwoGhh>%v^%SKXS-^`2-!v+)=kw?O#oxv{G;w7!ECOn-8h%tgte=@|SWG@P1w z-zM-8A8NV5>_Xcha0cl#8l@}oELftqWep3{q!ymvmYgER0jaXP9oSb};* zAGnJQ8f}iL5mMVQL$*{KFe*X{UBQ0ZAaW2wc%R` z7o~hlV1+2>ZCd#*fauR=Q|=}JzJ=6c{GK;7p_>T?>G%dPxOnva%N$LskL;AlruN## z*_wVO9WTPBhJw5>7RsyPFYst9@enh7j(m(GjJZrP9X< z5JK@VP`J3|_t}5&_#5&XF6)EEz>~jj4%8$H zu1wa9R&Qvc^)?MZ0z#XcCFA|H1U#-b>h5CtN-Ix_}fVN6SDb|t|Z#VNZ6EklC0kO)KUbks#2w+a{@ z;ZQcaud*o#^=D!ST_Ja7q~v^O%+n|~eOVNl2f+UTkrhQSV4$t@EBA3CCt@hy zIRMx#-9v+jrg0TqA?gOI;SF2Fmi;C^Ezvmu7ZQK`0#n0f*)h0<4Pui(H$PIQfcTpS zmjq|Tzlg@~LwrHmK}5lOD&|Vra_#zzZ5lQ5O3q@-b+PFxfL8wi$&gvEC8y%B=OK4e z=G!hxUhgBJ1q;7P)VyFhy9Y&AtWU}aLFowt2!%C>y@;zdNmcg+mZJ-RXXV4*IC&Oh z^uN;qM#?<$R5WB<6fa;@AW(pSma_9)D2kyJR>?`KiBfe_hTE800^(X$$2kZo^s_b|Fy5(J=Zu!srSLtCy~a{Pk5s)03?E`gU$1fel2#^zbVtM?Ly z2>JM!^@Y{cqUkDO^vCoqh6;7n-A5Ys7)Jqut~Wp>un3uS7SsWP{#jznM4&8KiOml2 z;_6UTE|q%uJ7!UoWjn~ce42i}mV3qa4Vs}1C}aW!V6VqjIdK-(WgmDBMub6#CAJqa zS$(gVCBe4;01O6MiExW))*uzw@}>Ax3Q}#JA8=Ymi$QQ&fDF65siOtNt+=>qgnO`I zVbqMR8zRQfnu?SN+%ouTTvXS+sFo`YAf+>jG+z?1)&fmIuzlF8F0Ys*UDG4QY2o-X0C0y=iT> z?qX84ps3Ya?PFYt4M~#QON1W@b4wJoOTc;Aut!=W0J7jaaRZT6Y-7B(;z1$D8Pu^L z=!JEfROy7_bTvqcwQnGGRudgmSzGahI!k}`fImHa50-CH7CO6?amb8XrZ2e`NLPyF zy;Rrn0w{|Y+w5{JY5a(q**E))^>$*DAxbtmK2{gl177-7!fkE`ax+Y0_c~%;K;>7n zPzYtfe9dC)v_X5GK`1<`;FN3ee3iI)K9a$&C~PtIgiJuNnOh%m1L;{JDl8Ux2J~KE z+#$@C5hf%Ob9BBr1?J{%mje<3x9e~3ty>Fy}XNw26<3w()fdfc?FWfud>0>dpyO$b~&}>KnhI? z15)Rs^#Q`4sIZ~t$M}r~w-;%axhi*lB^Q#ZRryO++f6!_e65nX#b0mpUS4g#`iTPA&T)YXL9Zx($O-U)S=rE=__&LQAT?l+1xD2Tkq4u+xxjVF zUrfU&mI^FA1cV!f;`1X5!3q~HeEiCrJ4WgpUM zx}ZceR9rrxJNSTWa??`dV5*z*aSFF2qt+-Jq+##=qAnZua&~|KD4+3fxbx(s_+X1R zD&V;c{--KsvX3g_zp{8Hy^3z4)9foY75r?V4Zg~guw~?_`q$`L4p6RrlSd*0@bX?& z?MkyZM~~4SgKDwV2rlm%yMobiTwUO!pQ!1!`h)6SGX}XUBrF|GXn+K&hYjGth6L&5 z#N;oJm}W52K1+t2%lgt=8q<(PD}%o>!oYm*%y>RMM{0D$1);s1CtfJr_15(g;vwr1gMLuGA0jc?7hQPAU3Wlz* zE0cQ7RY(f0oMINw?q=O~)){4f#vH=Dm!QuJ$vw^0?LU(#0qTPezj-5tKqHMY}PTnEG1#2maT2jPihgW_afq^V7@ z+leN${16f0dekvo2E&9o0OTcV6iXh(ZR}3PYP(#QV7Cr}uCMMTJK~1vgtjAoE>^Os z_i$F2ww}NR+{ItA<*;h%Jb_}Dqb@fcM_hvwSHZ{#3%TumLf#Uct^q8fl%H29ULWEs z9wk)4CIqm~L1W)2og<~uR}f+rU=X-)`GgDnOr^gfO5OheQA7tmRw5Ra>_XDm-}Y5) z@2{410*Rdlz29zhQ?jE065_|DrCjmGBQ35>yHi<~F%cuhsNZ0th02|FUxLS8Ld>CC z_MZ$uK%o9=g2vftABlxWrh8JVAZ;ZJtX0NmaTShXuo3IQt~3A!Hw)gf;!zt)`wUK6pj$EE`5FmL-j5U z3JXhJUQ1Qj;Z04!r<0O1lI1(wyo9MCmfmO82QBC37Md>Uy`{(^jb#aCuZ zhmwg(q!&nu61AE%wp)=;nFw^UR|!-430sF{3vxIT!l`5*U6&Lce^2#AM_9vf)0ELJ)Jg{{^*xBoZD_YynNdAPfI-ixW+Lg} zmJJroMhuQZjFpPS9&Avterj}`ZVWwO?b1b-6vcz4gisq0sg5U{mN`Z2)9s1_BAfI_ zv67?yC19Traz7~5##p$e8+>5_+8BTB8Xvah)IeS;AlKD$&24&*0&n44g91rKr3jD1 zrG3j^hBjFXI z^r({qI&HZ#yDXt(TCP6e47J)~;Olj}*3LV!&%psf@?{h<#S!v_SoYy*e3fGiRTgvN zE6&jJCb?~TVM!5s!wKe%aOF-m<i9MX#wSgfGjljyW&_dX>D8qjfFq(L#?Xa zm~!}=SmnSdDy4i&BibcE)C)`O2ep$Gvku#nxpWlE6?0%qQFI^FGfIU0-)1&y+;2Xm zHv1K(uA^<5?BgyMp=yaUjahJ(!h-5+A9*xcr>KgFSR9JKId{o^UBOHvqTs7c>e8YD z*aXDKQDOAQy)o@gk$3z{U50scHk1JuMANoG*h5~e2h2BAw6md2D8gNfUvV|)POEnm z8zu@1!4zW9D|RX)VAmN@cxNo|5y{rxf7B`i?WnJW$qdhR{UL?u)9!aMMb$ybJJ(pi zfdt$1h}(-aZ3*Y{IRo^ZoG&YulQOZSTWpu${^LGZkKB9XM|^{Mv%(dNzlPu>)yHK} zi&o!eMq=!NQ?(p?M2?2qxpn2%#LaE7i=(o!=#GTeeULS2`kSS%+a4D038wPhlqg|& zGRl@I@@w+{0FjVhs+z&2j$f0NYnE4VuYI>Ef#)7HD)n$jX;7fTl3ra%hsH_S+rVW85 zIhX8PtdU<4QWE=LQj8-Ou)sR&f5;4nrtL-PZ2d`Q})YFJs} zqEZ6qUnLxPY&0h06S~Jxp}b`cplnE`&pu_rVZzz3S_73XuMJ#B6Y{A*Sp8IXk!aM_ zg3!&Fo!v1a9S0vUmdY$X`zR^ZrUBxA@;HFrR3NN=l(12SJv5jRfzZ!~@lnqjq|t6L>aBQS{_VIe#V)PY zTv`i08E`f+&z{jv_@8L{+MWLZ=_}2Qt6h$K1-Y-U_V;o_MlUrhnyV#4xU$aZu(&!_xK2|#{4OKs~imT6virbu=rH%3nbAJ{= z8yM46`G{S(!AMjd6I-97K4JkkT>K2vQ$&feuiP%>rPaZmT9&VU|BTLDLH}QE6D`2EoD1H$({{YAtj87^$`5+VS07`>Ha%$!-O~;is`}&Dw z_=F1o0A@m(C{;^RmLKE_Q{q+=*wsfNFjUu5zYtgVbLy82os>4~u+X0n9_qobBLUsJ zg&oyY;zhAZW)jnDu(o(-Qe2mKa&ZQNs_BULSX#Fgu&snU&@IYaOJW=P$zyNuHEUSf zjv+KFf`%=;3y1P<<6bEm!z-h?HWS&83Z52}1)mcB2Tyyb} zf{bFnQBbDa^$W9Q;rf70LYO`tO>R-_WtZ5Gm2&9lEISh-=F7 zIuzV|!G^+?lsqO3P}8?7D7P(e<+U-=6~wmTLHAH=)LuoRs50^rkK`pPci zvaZ(Z=GVI}HsdJ!L%`CT*LnWYm3vMKVPT=&&AZc{38~u z^o$DSt~Mo)2<<^Hr`)-_lKsXQ0+#RE9N1B2KCEDEXJ{S6uQ^7u=R$0NL>bMvO$#y8$dql&N(DufilN@DJHztu$S zzhqaRK0lxnA@n2jdnv-MRmBw^ z&)h2h1{KH$N8;vi+u8FVF&)*kAoc)L*JV@`IKX?f-BbLIzhO2Yt)aITC@0NSzZ&0b zDqzq=ZTZOE&`I#wP?ckA?JS|tuw>o5XYE!q`}u;ZHnu<1WOH1kug`*IOQI3dJ^CNj z9D?)#P+0)X1JU|Ir@UkJnZJOd?o=Dy{={A85Gp04%c9~wh-W}+aL}WTLE|kd+fUvBn?3%fHhuBPMy}J7ggUGhl7b=)W z9hwS7<8u;g_RU1K#>e;bE@u@10#NRE%7B7_QqUFkWLtvGVsQAKFaR2;P#(VwB$g$wmcSzSI^Q zZs+ZY6gL2&ru17YhFsVZN-Ld`aa zrTu0KYXD?IThyn?V+vM5PKs@YB7y$ZQPFt5#zqXpUv67axB>}y-+t?3qhJg<`L4DvK&SL)U@nKhS*2_~iFPmIqF+TmW#C-2 zrJGswh| zQju2EP?0j@;#exBb=As_vuZ8!N&=L|SxOESYzTo%P~p>KaA<$}X^ZqO<7fl$f)3jALr&Dn~1~c!AXgBG$tz;ddTp9h7}9~-o=4JlA3De+P#eRv#e;03Tm&( z%2N~#{Y8LyRTBgi(HR9+>Pdg;*PM#b?Z|f(&uUntVNSqG*>DJdS&rNo;i* z?VGTm6mFAK06&;u0k0r~43D@(b@T+-T57%el@2JCXD(geNbH3ZV%$($>HyhP?8qx2 zSHtj^NU|XR0E}_yVlwH|5P2>MZKluEXnip6W39B;CP&H1TuK0O`;MyxZfIdu)ofBQ zt!%!9VQSyGwz-9!thhX57&KSw*pW4*=u0A!p0AO)8)*-PG|D`}GBvOwPnk#Qh9}x0 z3YYc}D+`_2MqD8DxIzJ55nZ>>`!n=K9r6@LFLqy%fyJR8Lb3JyV$vV%&N4>Oy8>m5^JQsWS)RJ7jQOh9bkAUc)Enp-37_EglT z7#zjjRY;{L!%%TK5@OIIP-+ zSQTFeVhivg)YPqxhg`Z46_I^bz+Hwl^#p0`xY09iXO0P6VgapF0b1{~rptvYQzWT( zd=#HjfvpOu!9%*nNdA$l<#`QcMQI%6$WlYUfd10zc7c3s)k$vAI_YJt#@vFBHVM0Oe(1^mv~Fv zuqz0Q3E31@BI@7*S?mE|Su6}LyBk1sBE&7^DCm-?Wb)*#C3ioN`sAWf75%S>DQRya z@D^ziv%3hJux-w|LA_Pf&`u)!O}Sd5joJ!<%Xu464TYul&}ACG`+!>!G~Y3yLW0uhSF*TzN+1e4q@h_>*tD|pT)OFOC}@nqusFK= zf@(RY!L!ME^h+D^TC5Y>;|N`8;S=dHg4JcaDuZm<0zfRF?O6=W8m$p-uRk#v1-Qt; z8W?wwOpGD6doCg+D6eB48*GAa&4aPejDl8l%gJm2H@|TOG?S|*1rYv`2jwVtaIp25 zBm>O3MZmk|#ClGe*sVUw8fE_g5SNNOKe15?-1gNV2~m~f@S#Ji5Kqxo|Bn*JfjTf4M`p}UDdjdR5al$DS` z@sg$CVu{#g@?v^JRgYh~j*(;KdI-u2_RL&~g~!2)74q`t$zIg4>!BO34QiqVnd}z? z84=;wK)WL3k+ z^kaJmxZ>@_1$@RYWVtnBe4~?-)S^Tb;#+mVsR8?pE3hD*o#ZHk3@|@oIR?99kA}5%qj!=OE7_U{6dBD#=UGocd>plIP3kFpE_Jld84^z7}QL*(FwrF9sV+u>^Eg>=&IkcUw%jGn672QFAha+p?^`yRpF2P4%> zW{)M!JFiKy<-LAlP#*&&fjcF3H|UJmTL-eL zFW$-^3TW8?dgXdolDCcvwo40M1F=O=l76S!TjZfHMIERuRO)g$SG|mdL*^>htr7Tu z0xw_+Pgg%vT#0w%gw;*Uies-eY`t@^(_>R~_h8D zV0T~YSGLuMeG1jsy^#d7j)&AFvr65nfEFK{sbOfHF6Vh)FG`h>6tyAo8-xhC1Y_J+ zejqBDW>v+G&d(GV_KJBRVN!ra=5`AaV}H27U>-2QA8TQW7Wev-urusaBD78r*i3#T zy?tDAuE+LiKH zTRo*|06%BAnD7J*xmQg(i`XlbZ{_4v*Gsh@XbN(`6jFN%1gzE$Jx1oplovAV?p%3tKKo zR+gbxdlC5xoA@IR@63L-%ZuZe7y%5>)7Zuh-zq5m#;w|I6X6J;AHWYu>nOkE1cR;+ zZw6l66{r{P#4VH!osH2N`|&k=n06|uRA$F!nO>K1g-xoeSyflk<(G29 zC{&?peUy>Eou4_ff|#3eyL8{oD}Xbv@=DoFlq!_B?jUbm`;-Crf+az}#hEHqbUcJ2 z-mJGMrnGm+rntZ4eVnMM>tqMt)FSsev!|kIvyI#C7QHrUJ=Jyl+sukpgz=Fd2wM;eW*Jh8P+_7A2bTAX`O>*HmVuQMyGMc z3h9-;j3Wu8$L?GZF)cI^bJuKr%Vk|Q@?Po#+J(TYUc^@sW!bmIPf;J%&OykkQEbuH zyO(esimaFNfS@2xFg=$DTfObVg~ddi>O6r2R~Z^78j@SqzQld$5g3r3Cn zY_q>n@=CMTSyT==Z;&hy?0gE3!yN+H*-)DeiztLbDq87tXd*mJs1I-sn0}ay<(B-a zsc}*uwF*OYuI`O}f`m5kZwe5}clX3;cT- zaE5y72*FMLWGcDg>kC4xpP?>iBer-ZSq<^rxUUbmrMsh2T6$>)R9BkA#QjaBOZObKIUAKg$v>FSzLfBSQdpw}p z4$qVq#Sx4hYP6|)=s{9fKzz9=TFnT?$jqZhYR_W4wDp3ofcqhhMJ|%ISSS`C&`v?* zUKRyIgsx=*4ofX}lEY6)+WC}k>K45HOujWOE%q|F{3pzyCfK{lgWq9%{AO1ruI_Sv zP|ZaJ6USATS#?0ZF4;drBp9HYBxhBLzY+G$Lig;H658)+3O&MD&H`DemE8}PB_YLB z3sFrAbh^UgP6v!bdk1iqd~~<5W~1?_5`a5?Pxf*ZOkF<6rvkZJZ|!ndRYs=Yq-NOg z;gq(NMET9AlJ$Wum>d@Rs1;TmsDkcj>@y2%iE`I5;}#Uy+SzMZp>fK+0gC$5w=Gx& zwOf@n-H2#&k7H?2uCWY*;Q4>XVbP+RsIa6k=z#Jbmn-RwIrbfjUt{BQl@vSiH%fG} zH|9<1qM(#ZYmdY;0?@uhYV@3jUr9?MfkOPM12Y_8CZdX8{U)S*{3DH<)QnZkN|)HGK^nu-DIZB=Q{Rurmu>=6qcco_JyZ&qD$#Q^x%%<_KvX|_a@|8gcLZ5B zF0iPIx@@z&oGuj5-p}EMujz%mD9L0{NkxQvGG7Q9(D^?|z=g)`NfcBF)k{lx7Qe~0 zG$oG9IIEC^4rLr*ZS$-h_&X4R zkKytSDd5Lp%k~jp9H`REm5t6JlKm2}*+r|8j~$dg;js`qEEB8_kB9+K2Oi4BgLjXz z!)vR#UVKFue5^|1M+r%EUtpmc+jap7H$(j)K=HN7#zH$XY!wc*=Dyqjw{Di&2yZm6 zxC^qwa?Za5V|CB;f;Oyq&TZD#DkVUMt-a(}cq(sY9fI4JR{sESWrvdPLB!xQl9fQb zX`7`hKe<$X*EnS_bAQMMHfa)~;P;`5!Nv~wKkTK;4+Fn}iHaVq_(Q?~*gz-0@NZHf zyg*K*1OZL`MOL;i7H){2db3q(y&|fCW}=0H-Aj~PlLoA|3MrU=(t;&dNou^l%8YU< z?7b&0S6zJNRW=&nEF+Sg%Eq=W%7iW5s#EK_?=D@Q{xVj_qC}g$7aiOH$^miac_^xA zNPv#P3QMzMH)zwF1cN0ax?S8*BB{Sp$mlvmvIdHZbKvnBpf6g9Y(i~vnPov)Q@vq# zA53L7zqGnv9jL_8`pN$Qkd{T#3ttE>!E{^)E8C)>LCp8=TP;U|CMO8Ji={S|G~ddd zH&%&Kw0ttgxKt-V9rBM$XLHQYh{Ut;Ql(0jHdbM+ynhhKXtJ>Bh`I(V{CoMY!wS!cF_m&N{dQSsUb}MdBU97_zha=cU6;I-6m1!%+S-{9!HabP zt0e+bl*Cl!Ct%^Jbda%TT)`zrTY{*V+^(}zlpPByv9!o0*=Jh^$`e$W6 z?p)S_g?1!?OOAa-JPYy<+{Fr2*1=PuqWZZ}K&A>g^kHAADR1hBuSdT-2URU=gY_1u zFF2@r)H+;qP=?M;19_VQBrL1>Oai$?CE%*H8Ya*+Qv*2xI+UwjLL0u%v7#kjT*(iVl~W)H%9w#h`c?; zwe?8XB{u8#815Qu$moCH!UGNSb5MI04+x|D$&&}buXeu_3gXXDzhr5Ra&hp-oGUKtBYq)>api>?A&z!F7B_ z={nM_R7D!T88Vyy08m%VFBN(v0^m1pBlLS|74OfQmHs13>iuT-zQAHV_xI32AsHFWEo`O)Rx*zULLe}9E!S+wfoM}U1AU9qqL=8^ZN)uDHy{S{;fwoPC zyES5#4*HL0`x7G%)C~rU72kqZ@lmDyLpuJ;_o+g;P_4a+oaC~%QdX{CG>s$m{{Xns zsn`eTgF*^_Y_(Qg7Kh1D1?$O1i;q}+#Wn80VgyxG1wx}04PiFo#m@FsE?^~}w_t9n zW~*ONLva8~6;ab)5Lf>I7jcY#c{F#7LZYIpQA_--&V8br^jVHiW?Sc1F% z03mqSi`;<=Gr>t^2LRfQrZJBN>;tNryObJzVL=o>JISThwRQcMnqQ^Vw>88@ZfENV zsbfQ8#QoU1iFSRVtB3F-La)=Wsg>!;NzzO7nlo56R~U4Q!lF_Xt^=re91oZyxETyE zE{n1>Vz~gqENiQaiCG1HUm_&!m7cfBj|Qr#WzK-ljH6Xgld#=O%jrq`m(JK1Rgg55 zpnx%!#c%EbXji2tvw{b?kRz}oWU3`P6VR46isWXzrB}=;#jpHNvHt*wi3DAM_Bz)! zpj}H^8S_2pN)YqUnL!gjXR}FnC{o{Q8&N0A`-kh92PMK&@Wcj$;IB2v1wzBOrqeHg znxTNl8UFy|$Yfzh!`OkcH84e5pKREY+pEfyI;InT?po|U4H1=uDrsT@pgzJE0t&(W zC8YYrOM=CbTjxk|eUZ-&K9jR3}7O9sK9 zw&QhBU3e5D5E%}cP@o1>z|XhLaqSRK*2WygE9z+tDqq^Ai%rq@@>~hM)~}fs*aWB9 z0d4aBt_YU4!3;Izfk zYSMWbhjwb%4bn9IPS_u-f<1Dmf{cEn43`Amp`u=v79S9GR38x*EhrdR2pv=g7t-tZ zMH-{v2azJm(8qET?&U|A!IX+r2zYvgxLnzZ2PU0UDTmR!z%1n!3)T1KG0drxLhNh zoSS(AEGC=~6f_Gf*|q?h;mReg%B_xz!xdWsgW`RMXNlA`Q3rAXV_^$R=_>cuXC}bd zyoLSCsC~`tk)u*j+IbQ6f}qL>I#F6$Rq>C`#2>%7!KJ2%3)28Sd_^IB+NQmVy_8*o zg)EjeWMOMM1@RK0!SD5p;1NNLOb@`y9|d8m0jt#!*Rn#WK#W`VV}{@F`wqW}T_0HA}mbUwLp?<;DUJ>=c;Ok$8H zMrY)PEJYt}{{SFLRs*!a4POy=1XFJACut09LtG1m-#^N5lGrpmOc}4-p;ce}j2ya_ zTv%)Dtih=8w0*pR0#$GKkRxVF!fRae2SuB0$w2-@0JC%T8Xi6$5e^&$?Ll5lHLO<3 z8-N9qD6wG*f{JBLY2`H)EU~d}MLJOc7{q|F;VFTzCEb&s+E?}k=i>m9<-(JemlRWA zZD@hk7sbIstFdPU9Tn&M{{Z0pvy!4HH3wYIX}hESmhMG1p_LO=(k+JFekE3MFt|*s zU#v9-fo!a5E4k!BZ|sXo6n;98B}n29Gv-#NktOSdT^p^~{vi<-D;XHA5IFtRsr3a@ zgOLEW8o=KoIU3Jf1=yH-2RMIq1XwJhAV!M}w&7im?q1ozcGBHxOD>p77s}d=E?K42 z0}1jCfenUk5hjH_Sv_UMtF~K9A#+(#bmt(|tMXs8WCNNjYA?!{=est#EV*Kmt4%=* zmE@@71tm1Ez}#O{(^|7(P70J%U@fq_)?E%Tj;j9i5LZB_+*5r%?piuuxzL--`;?Fz zmR$XZus>v?e?rD^b$b(yxE)r6{>+JpfnrzvLukGDmtYmIA&pM|01+EuKY0OLX=`J6 zMX=;Ae?g`9HzBUgSsv;1fF586N{AZI)k|n^UIZ)LFc`!L3NHTu`Ll*(D;5+)2vtDK zAji|NA6}t&7Z%^lQ5Z4GE3x=#V5m(hO}w%?y0|N0r(*A7{{YMYIUlkt!E9P3YSu!< zwEz8eyqvYkD646H`u55krI~&+Vz#I?`%DKRUIShfJup9+OGJzeMKEPQ~ z8e>2Z6)isqBVtC+qDCdAi1@6_@vD?AWiD$2a*0g=C@)3|6gxPVXyIQqNK&;tOTj5YdlqHi z%;4VsDHa|?k098zurDEdVj3;60W_c1QYT$@ z1^)nQRbGTd1WLd)s;l@sODGWtSDE_i+PS4$juX$uNt>Ia8d8Zjs7uRpjZ`6T~hMeZ>K>_LxMWSfYyK0^J!a8j(!oZrlg6E-lhf+v6Z3 zy4Y`-GPI)ik*gN$7HV5_Ib~y8$wm-$PR~IJA>p++!0LzDU;;aG*7&NXgWRg1cNH%U zJM8|5SoDeuijI`e?sa9{8~Y^yAlDc4Lf2T=&OsHCQC%^#Xh-%d5#afrw`FgT0BCZF zOpvh`PstikoCT!3W6+2ytK?iTR>b}g2#U2>iZv}kgX&|dQbd$*a^EJH2+7TACF~7B zYY_GmDisj4w^HUfg5e*(WnIv2Q0m%+AgrP~L>m>driF6tL~n2#a}S4%!FlZe01+0WvdUcWZ9ZU6 z5FSi{IG^}b`oHW$P_08!t=2r11-6>@;5)9K>=y09n2BJLYhMV;wO-v+=K*Js4=M6FRHh~<2vAy#8p8N}e8#q1l*@p}v9M96q@<*!aEAjUX?E@0v8XvI<6xjA+9U_mWc*`DI||_NoGbLu9hCR@rA*2dQlnLe;`OQs4Re1PGZ$+z!{`sYj6^A{A^gg+i*shV~#U zRORdoMT2aicNo=MAa6#aN9OL!fCMl7q`azZ(RB_-Kag={aMLJ5P%E=K2K<3w!N+Y; zDQs}Z(HIC($WFORs(AWrQ*zU_gtZ|OvXWJMU{+V|8*cu7n6?A0o%)=fhd!A}q6=I2 zH65j8reS%z=z=z&P4vNa@21K>4GA-2=Ni!Oy*%Y=XWOvAjDs9#VI#VzxbbLq0(j-G_L-8xMm zCRIZWYNLzsDu7rh{lEeB2Bje{bK(VM;+@z62Z2IC&Q~0v9Jnsx)pP0xDgf+usIOoT zYdkR*S2*?4IKsGdOny*_7Epw(lyI#;u_{#p{Lqo1qQ@UbL-=eQ5ymkGt%!c0Hc~;j zKLY6cJE!sp9oWcN=D^^OMQjSfFWjpkVAf4NLPGLunvFO~LfBJ7aePJ9@@2fZRr-Mi zO3rWE-nSBlXSe*p!WVFBLBek2fUA7@XYX#-NGY7`tY6{xb zOXJm1%zyTlS`X@@6c?(vv;0bA3cg+J`GO6qnhl8$8@l1(<<%&PkL0AsFfNpz+;=U0 zjF+OMrBdw#Wg#Y|*Gmx9rvN>v#uuSJ1;qpNp|LSXE_M)Qi>dB0+*;4Tja*s3P~!M~ zQ3uw%aQ^_Zr(%Cnl&1dx$bru%W%%%wrE+c)34MDvM$1~BsPg)1~n{GbV?^6*F_O?mOyc`p=2sFX>HlW?R)VKO0Kaj zOF><&8Dz9&V!CDID#ETh83LXkNUaw@1neqKKuK0!+@S*(Ockp%Xk{FM_a%_T1 zqv|Y7!=Y^IT&Cm-gW`#DS_P@1cxTU(L3Ktz3(A0?g=2{mhfe{FEl(!Zm5p8~K__ zQ>Af-#TG^X0QU>tizVW-U{rQGEK!R*2A5*oSpYTuK#2Ed{j#wM`>A2~0;QlsqC_>| zhy_{h!g>Yk*sQv%)&ZcxJ8?p{FO+Rp;VbKh{{UE7<4EVCKKneLYdthA{{SWlQl|A` zxWZ}_=0r-fO;?u!e&Xxc%M0=QioklR;4-RHNB~bzutmEBs4OnuLR-gJ1=6kBMq0xBEnf~AT|Mu`JToJ!9@OIhO~mHm1=^Uf)Gvkor9 zl8^1I*yu51`N4_$tjnsE=fkjjeLsvXAtq^I>{Ts&6(5YA)bQT0h&PptP#F(@r|MBh z!(E%2RHRpjOTTA{z5$PJ&Py>gcpwqem-Ra#iANJJ_R-C zNmiDX{n=0P_`X2+c*M4g&~xQ359|>9Lg=aTO1{rvR?W`%Wk_d##Z;p$Fa4W_*6}93 z;1A>tkEO~y_wZ9iQ}uF(+E-n-*b7@y8~Ri_Ac|`dZ4g-rCe$<>VusCB`-O`c!cj}s ze`K;es9f1mxuT%kjJ&U5ZzT_`TR<*ZcIGiA5HN$nn9)ZeZVxmE{grCC zfeNt8tMUcB7|V_o4*4iG{!4(ZfQR<4iT)Lmix+a#7Hm0CAFVeBeWwxuSPsIr6^V#1 z2-F6bcPQgK6$Z?p!U5_k{PM_@a$-ZMS@=nW>-ZaLp<0Oj*FF*so%%=zKUyjVIQ1@9 z{OrFjKUFB|!LP`qZFAnf>0zJXCQZN8C6}6h&T2{sgov?08a@(Q>qCJZ#_AkIrQ|5O z6_72K2X?Y7saf|eG33-60$V|~+-mqdU>HrYTvazEs*qP^>NSZjh#i37Do|qo0MOXe zmAZ+DuiUML#iOg_dZXE2CN?2-d_sDTfQ^;7lmyz`36s@P#M8JH!Y_*p*fA81?<7f>F zx$PQD6i61L*U0Kn0xNnb-ZNZ}u4!B7NFjJHBPxVYG% z!APn;4~l^ysZ>%5*UMeR4bgGFN+P^IE7%FU9Wm5a>>Y|XUHg$P;=qIfwafSFSM;?A z{wwjx*`(gy*#1CkLbVjeg42Jtq@x=Ie|9s_uZRfHM5HH)lVL7O_R+P*;*h%^J5!#?wX2KT)7{rrIpsbH)7;VO9v;P1Ri(Rr_ zB3H{(SX%{LXob3Z%xe{@)p*JTEeGU^3(~DTxDGDV7r*`ZP?f9SnUhy!6^zAZVbbxifJSZ9L;p1x5)=ZvTZP)}W7Cx&nL>R^Bs{6 z{?S7Z642xS0CN_E@JI_=@LVm$ZYvXEFgWa6vK+G^WvnQ1W2Gz;b-U%n2u=w908Kz$ zTO!5@q>>`I{#6(IW)ND%zf^p2XOvYG_y zg}OeHgj>iZQa6Aq`3XzXKT?9D2)ci9W!@#R>R2Ta1cl*IU|Pv0*#(SPHoicTQk{V^ zR)Q#J#AC>zsZiDo58QuFSUw?y7C;?Su^qzt(@AD@RDZBPy+nMXth$xd`Y34GL3M!9 zt*EQ{SEAj2lc<0YsN8^h)k7g?1wtx0sQ_2w5e4{5HHrSR!lb_IAUHO1rv>b4gMo@N_KS5I`(EY(<8mHnqGUD4koM1aGvE5X2wi=eF)?vA6kfYSYO-oHIl>tj@ zu|~^cdYBk0C)?)O8>-Szl(^KGsjhO+(7FuUT!Cq+L}+{>*5#0mQk2}@5WAj?mx%Je4%L#z!d-R%8n+iJSwL-EYm;vvz5S~84O<+kK(miy zO};l#BFFQwRM})l38pp@t@|QVShbZdnmQ{%lOU{uTatnVi2?jQiotMbpB@ot;90bvTp7uf3Wgtn&%nX5qbsCEo8Zgk4*~ZT zzTI59hAs-0>IE3&v?EmsM&tCT^d419mIx#Hb`9P`YILcyc7WfQze@wkodUkI>HyNM zS8sa|zoK-!@+VB-7DuMw6HsmV6vboI!HB-HU?w*gb&L7@007-`F0c?U^(`rJb59F_ zU`_05e24ogui@;qsJnsY0deUHBTk7b6L$ij3Q9XEVu~qtMhCu!L4a*KdR75u2 z-88|^M2PUf>xgrqCGApwwm;8ylMIfJt5~rb?zT2pm}xW8{ES*fgv(_HGVjt;I}tv;xPtQ_ zzIzZ(laU#$_(9tQZGbj|;;rl8sGKgz}`yNA#4}*QH1GgJ9uFPay3^97jTr=rBW z{!3mB#4itJ-yX6QDe2kERs6wLK+8j6O#@6>b~s;Q_8T-?J&xN&e}n>rCEsjv;;CWA zUh3p&cx^{*0S&K2HtRYkar=Cq1UCrkr3F6}%PJobMYOSBO(Kg8sk3NKk-y1B)S|v3 zf@8{j$~;{`=d%J2JRi1Vn`9xV=)fnS*0Wi8AqF|^!bZ-=7uZx|-df@Oi(&z^Qov|Z z{^#2;_xA@v4g~70b@c#v0zQFDa_Uf)-O$3^(ce)k1C<2|A&nmd^|A#0wxvp?Q){HC z2*6>SQtzueXYo#+l9@ausn9`~?7q#^Tqu~afu#CS(v-<(4rN8wR z00oWy1QU(5$QjaR*Dom4e4qf-29lKXB~(EZ>#!Xs>M233#B2tfZ6DtPAjm&!d)1}`Nk_T^B*OF8Z%tcX=rz=?>Mf*ga=O8C0j zL1GO9TkqRf5mLx4GAdU^DEr8aBLz2PR#|tz3dA~>6+Ee6<@@;k2y9Ntg<=UZ8Ej_s zSn~d2j|z@mQ~<6acG@9P{{XU@PAx&Svg?Ya#JwM3kRi9E=EeXa<6!|e;Vc%IIvZ1= zn*wS0GPXWmL1E6zKF``zJpD}!f}Md>?~>Da*(i zF^Gcr{5u3hKO4BRU(D+XP#{7uSq=PU!muKr{vP=pO8NY7ixD1>`im1P<`{zRayJk= zEB?iIPYa}@n;d-4kk=}3Rq|b9V=cSi4p9-2~ag@`^mM7_! z-(7)Qa)C;e@)v9YG9nr>&FOJsGmJj>O`87mDj5>K+sG+e!>7zbO|%eU00sc}q4ZZ- zoKT-6NO~N*jy1Oln%w9=!?N6Y0CHDkv*{@>Xs9z_&F_tk#dT|!ENs#L0L-9^2V(^4 zE{b12a4Q>Z!c7q`9>HptJd_^t5(wqZ2IzxhB2iqLF*?{+b^idVMqErhf0LVK)jWU> z69JP)3+#UIKiHR~y4Cqm1yWi1oFUS3DNoBV^B)<3bbS0vLXp)AueMlTc4aLf`ME{W zfe1A2u+!>LQMfvrX%PtW&pyd;h(p9s0cNK^K0I*>P`AR73r&q+OE9DAd7+Cm33*H=NjWaJ{Soe?( z?Q9IaE}Mo<75!onwZd~QU*hFLzZ;HK!@B5UMSUJ!%2YR`gL2D=J65(Eja(m^P^v|a zuH~@1QojaF1?|+JZof)Uurn!lAWPqZpv6V8kQdnSvS??WKpX| zst>u)uBHV63J#mQsc`rB$}N;?yE+cu{Pqa809D4r)gfFFrpRta)V!n?Gz>pt4m_Z0 z7*A;H=c>rXu&A|4zN^?v6=09UaTeEIvX|v|092`nMSxd|V&E;ExStTE2}`euV_QCE zj&^o_A<<6YI@>xmW19_1PLtFQ-TlFs)Uj}`{-UO}Q-cwbZ1{Ko0C8C>y^W5pS~rWZ zD>e*Hz#FyqwJY@9q^P8PO225Q$b^Muqk~=q29w@P@hRW9Ooq8A+(GeISSbv4n9;GJ z7ofJ0{^a5hEwHGyTc~dX#uX{LQ;FmTL^i=Mf@@wxgDuU2c6D^a7pg!m*IOyPD1I(9 zwm932mRfO*z*9ylx37N!4WQsV6#yk$`t3j_${k}Z(~yMU?ocZqH6X_nB}E zF}3=iQ3YLpke^wpZyGLI1U!#wXh@wFAfa)E8&zQXiD%~TjH(w8_65`>HelBSBZL#^@_kB07YQjZ9MN+MA??7EHH zDQt=?KfX>Ka$8;k3cYqJ*%#%Kg5P;9VpUYLn4&_rZO@hgfAVAcbY;o~8>e6fh>g$*vwJPB>b`j|vrxE>ZV+kumeXP| zV;NKar?7?+h)RMd;Ow?lOkpeVnNg?Vk^BS_rG70cDvT+WM{~%Nl7PjFGo`^>SntH5 zaD(7x@}#i6ji3hD^X5FGZoz6dP@#af8|2WClN^?<93rT)SvuDYh}6CR0AQVwKrt#6 zTAU7PaaGtClDxc^Ud{}#s{BFpluaV_s8EF=q_vAH&5Nxl@3NztL0p0=%LF{BLK+p3 zS<8C?O%Na)DcgoRYlx9{dk}Z9HX5aed+p6$*ThL(>}X_5_CshK-drudn<}LgT}uzq z{>!PikC72oIv4(9o7j-ife|HFhLBd`F zxCtH5+YkcmP^dtnj}KxPviU4>*eN}h4YB)Sj2nd&A0kj*m1z(N!?A}==TF2)wf0N| zytrrivM)o(2o$Y-knV1lm2Vb83_tvWkV*&3Frpqr7#zlsxoCgH`AQAGmTY5P%o`^O z>_I;N00rq!NVHw~lo$Cf6rcY9iD^>a<-}6NvZPOC{xtbQiA{=_yk-$R&i%?eqgrlG zg+Ak^j=h4V(njN%8WF=Bg_dx?_E@r9_zAVOUgbj-RS9nIaA`;X0Fi{YXnvl+tyiz) zyJXav1=l&V?s;SZx-cEIRn)VWDwgN708AsrBFZWy%3AVko`V9Zyd0Joh3e%t+aIc# ze~^+3G%^s;RttG^hV3#L2?Oq3h{f6h@6;7dOOGN{)~_v(l?7+S7vfwd=&4U5v{T8G z3MHXN=FJ#GMfjGhDEjSvM%Zggc`jcD5fodbvSD_o972Hq0Jq4d_Qk>AN-&C>t-9NU zKTZ&;SX8~NNxKE5!7i?!`oZQbm(2icx3Se4D(YEwhGQ)YMj)wqQPdp!si_U2a!?mj zF2{sEwH-ZfaA(5*07B#CiPcf{Mk*f=TF6G$@dJHOsbj1z#wEL8%Vf_@%sVZ&`rnYa z50tPsWF?x|fM{3hsEz1={6R$1#ehEJkUQ}K%o;ENy0mPr%jDhR3Y5|$E3bwDSX#dF zG-`nfV{5=KQotcmsk99?oq-uT7O3>z=^wBz(Z!^xhfOU?0BNJL#)s}HLSKv6#z+4E zP&>UYw<;Qo;67n9h+=l2vEke7Xl~xQ64KgPYFffPc*Sqx5~0&^LBQBSjKnTn>;C|R z%2c|Vq5#-KK}`!~kj}EAU{>~A9D|>QMH}HjZcu9jczL^W*`bTU&w?Q zv9JNIN^E#$o8CY>mZ5{7uiRAzieL@PMI{Q$#Em&js22@#<&YDI;jY1@TvDm2ln@Gm zT6=JV_FTQ#%YPA6$P%~0aFGhF!2la|8Dvtx$FLWU6DMzxM$VY7{K8$;Xw@G(5p5Th zkwHsMt3+ODq{O&j9DyGafeK|=8mN9rP@I=+$@+_vE?Rw}%1r*ug}jC@;YZ*Q2?c%^ zVMp;Sxkpl`dY05OsEiHY8^i6myr@e&e^5m%y@;e-!Ehz2?N7zTWTi_QdveT_1-1jS zli?Y)JXQS||r z#`aK)jk#hp`YLYjvd~dJ6$D^=cMzIv93ZgmI#eFF;EjTtiM)vv^th-Gi9#m*69ISe zEVJ8;6gJ%PaA6Lje&Hrs_y}p!b3iX}h$~%#kl44h@)=VPmZ8uURZn598Y>&|dnm!G zw!}gd0oJ({wu(L`RJd-9nqY$SZq0sWk_&S2vY=`&5GgLpUBDMxb$~1eR1`fqoRqw! zscHd04ybMwZ*C(})fWR!*3y?Ytp5P~N(FtyMZY9%-O$9$+$#J;_)UuT;}JF=li$d4 z@)2{Ixubmc68NZ|`j$SWtFllZP#rSETxNAmiD-bG4~a;1L1W$(2l%EjSlpm{Rd6p1 zo(;PJ--B@qYv0pYtt#tw1C3t73O9{*1^hTg2U3D~4gRO-E=HQ_IgoaOzEpLJI%%Bc zK$*sgrkz(a2;&1wdned>_+)0w&jxm z<0-^9#g-ZtcW!ELqb?TKL>yIK5`mRbs~YheDOAPX4X z+!-FOp@{q}L~Wj`W%z9%DMx?%Md-e$QT&EYO{@0`KPZCqGR&l#KXb2Tte~1_Cgs6s z*=_!zFULfeV`~67ZNARd3Sigu3pB1nLK1rjtN;Qv*iNENH zM}E;w=2o%}J2lM{$?YktOl3>=MU zr6@Cp;4|^!!R1HPxK~HA%HBlMR~yJERapcGuv|keqyEd{@*p^}uH`{$v_q;Vk+J;? zh7_g)8o^4tQsx?^!c|2=ydc~Jz`edycy&1o00U}k@a*@9o05{9+`zb+<*@ljQ& z#%8@j(@<_h_?Q<7M2o*{nV}1MGeEJmJ)S6?4zODq<{g!(g z;9?~mV2L{r`A@}5uv)YOu%oa~;4AcqdPNrphE{+Ll=}ew5g=b-n#Sw8BC;+3nFUQ# zfW=g2mvQ=fJ_8-Xl~q{;NTZojsxAa|za0NBq0Mvus(v% z{HHJ31~sh`!vLl5l@n{BF#Sb4QdL=U#$KYIjDZZ=<^4fcfi+Q04n$dM{`oI%Lq2;7 zX`B$E=ZaxmLZaQhCISs9fqZCTp^6Pnw5r&;g7UDI2M!DNJh}z*Vph?!wMAw?TL&$F zC)B03?~I}7;T2e>6(A)XiMCY6SpNW zZLGVvjkC2VzcE6C!B3d?K0K5@{{WM}R97k>94nO-qVA`J!I=o!e8wQVlmrYFEZlOp zH5Q*jG*3VL2Cv|maSw_w#w~)3f00{KVsIyMmOc@)*cad;qTT&US{TH&boK;s2&Y?M zU!rVE%u2eABJH!J74;A|w*evsyRBJO3N+R1-0F*;5yCe}0Oh|8P&myhM{Wa}MNf0UQrWia4C}>lD4C0sz+gVzgte$h_BseXN!O&nxC=Y4-(?jS8qZ)fQFL%7Lq4gW(SlG9g;wb9+CUSl9L{h@u&&u^Fj@fV`5mGuqGSBYwea|aEe+eIst1U5IUEveGil^i?X*9+2w4J^ zYYMEqnDKxb`vr>yggx?N58JZ~#dN_ZO7vj0Vgcl~?9`D$+-vm^e+vE7(A7}YY(qm; z(c}ql`7LuRTB&%T4?ZW%%2LeL)+k?HVC@b^mIYb~D@`=A1jQ8hbWasL6K9vnNbO5i zZI?}g8{}4&Dyk>uPJu`p{bfZxyKXcd;9V?o7EBCbRICHyWnE1yM5D&U~( zva!xEuvJ&HD!V7#wXxrLPU~(MxABpr`-F?Le7Qh3Q*#^grBiP&Cs_a*yg%3~r^I4D2)J1ICEJ+*xNM*k7UB_GZ}MtVOFyVb zkQ;?$8V;*=Blm#ym-qS%U~8FAvsz9mT(y7&Hn0}p5128lY`OXqSqCa1iCng&!&}c` z64BR^m)OA%pSaO+7gY%mF2KqcSN)W`Ibp@V!+5BR2)~$vihZ2tf+b5P$c(Hl*YSnd zT7Yqt?b%&BO|yeTxt6Jka1c@?kJsUKH3&+OoV zxGo|sN2y{(N^jJla0*6!q1N3E%eer)%6mmtag3UCUvNAFayCfto-!_C-bCAgD1>{+^{W1DE5nCVfQUU=;X$Xj*u^&Mo!(9 zL=!Uss1$L9FferLv9+ULGNinmtZRD@MNYufc|w`Hg&%@2+W8w0w;lFUpjiI^$w|Uw z7$(cKcad~f*DQhk#Seg&6<1^y(54ASY*AdbO1<`}$whs+KFMY`Y&41Aw$z0if?&_kDWoV1Ir^=L#4L2?Pl(oVz)(+31 zj6_v!5hzpR{hy{zKBLx`>HsV}Y{`8c{{UmmvqZ~@SFuXyjBL|a^yGg4ko=JRGM0We zA=HaPl2`3PS7r;P%Zmhd0s})OG;J({2ari^OO78Aw2Lxr-_!)J8Tus@VN%!JT0J8r z-F$?hu!6mtJA<5qQ!I>_D=KI@THW|YhND2^ZpcK^5Uw4I~`Ag_nQSy|;3}7XC@e1gF*7h>+gVAZhhHMUu$1ex(q~3M{+! zI+RDVdU-RG6n7{dMH-fXScL)DU7uAc2BG8tQ3YyeCt%rssZ|QLcN+*TV*@E7lKNF! zEd^o|X*EN!tFz!&BJ{}mxFLYrn;B5Gww&ZLb)N}GsI48iRjs^AZbY}Sk1cejT}dl7 z4P8nS&E21^ltx{CvpBqh;En9)Xf6-B(7Hf4Em$(e{{WD-=xOsRd2JKL&!+DoUIXo@ zRa&zn`ivZpA}L4#uus_meZ9CMxlCyGBa9FmR#f41vjzG4g~&f3!z|W$w`H+B=#VV? z>bs3CvdfsMV_zYEp_bAo%QR@$(HsRtEUcRZ58MjuxV{3thsYUiM<2mA6ge(m=*ycf zl?-lr3)yGZZWK4j>sA2;Y{OtBa;Cnu5nB_5UoIl4y40t26e(hgwmXMl{e1bB^{u5#4U&b?% zzy*Ot!&|_m2B1)z1(AEIi+;oWhGy0 zs$w&PiEEzreBH#BlJO13hS>*5u>n&)l_-YPmxvU>$lr1TU$Bix4QQl3f!<%PU5TFS4f!`OnICHw6E00_eC zc`4U#N}T@C%M#@vEcq@V+b=3s%98RZc&Pd=zN!>hFUeEvjGZo658J;mg0ZvxBQ?lY z^P6$#mM<3(A8BHbUPNc<+LS=Y+i6i$IoXw%)KyCZ1vL~pQpI4cT5dXdqbCh-%(wQL z3y-j@14x-}J)S!j(0$ZP$|^Q4_xmz!0m7on7}dj}(Z~P?;gCUo>VT?;5q1{DwU`v* zCSzmua1?4%Hqi*Si}KhJyKi5@C8W~UACn`ZaJOau0B0kW>nLFaHCY%dg1$uBaI3Fs z0j`CIkot%L2Xv0kwpr`xOcnYzQB;}J=2faOxOSoHTr29etFW_ zaNxSBhV2KQif66npU?EvwJX7qSss5OR&lT)181Z$g5RR z%9I79@$!AJmp*NQde%hEq?8Tw>RpL`WJkywi&J{lefAu-LkZL*Q(-!E+k|R`)8U>AQ02P5^8WZ|h}BPz&K6OyIX$QuQgu57Fe z2}|`v;z|{LV3r_rDgu7sEBfran?;i8us;_R76b!(Y`w!?`vIF`6Cp_~z!%KmMFaxx z{y?-)P(uRlcrH@Dg|k0^DUPTX=qg)jkrmEs?MuOd`nl)QXxZ3@Iy*A$K%E9W7iM22 zw*!CpM_*r6Fknu^rl7E8)PP?^R9H8z+Va4BWdS?FsAc_M@V_!E&`5Pi4*&z^Y zvgu3%v==P4A5#J`gAS_wl$YTU4XQ5ui-fwq#3bPM=8~eaTkc9jNMSHs5m$Hc=a(Q5 zSL;v<;>xjLECF|Nvf2=KAkmbU7=hSg4~?8LvGLcJ75 z0sjDq7NR_R8VC%UUnipvU?%UKufWpFK4lzb4bsb%i(GCRO7Su(uU(A>x*+f2CfiFb;;S-BIV8?JUM==M-IS;$$5QB(y2Bod<2um>T*tCw6Z&xD{>3~ZLZ zvY=wi*~6~#G@9fEkhVuHMhnoNljpKERav_T_+gNVyp!q z-%ptI4+*)Sb?Y)dSVgV&pjSweSS;PjVZE4M3A6SGU%A$^q51wp^2cmUmqwRkNL7Nb zA_ck-%i7~K4qUM5s8bXr520{0G%cn9vOofufg>k0L4H$zYRaQ%7*K$mm2>7+KTOi-CxwH;;K1sA_YF~AemQJQ9#v!*kwn1 z3ct1f>N%C2CS(HqO}%1xmY@u)Aojqe_HG( zfZIOda-iuDV_r(&P<9yRSyu%~tt?CdLud>A$Q3FysM2s;LZn#Ir3J^M@hZW1T*bF> zQW{nIVm%vaOF$ed4UX=+Z-|9^^(D=~l{TzZTQ?(mL<@F03fYTIRgUCJO70E)%8f8o z*rLC13j86B*n3B^%ezwJaLhpwNw|n8!@mCjecKQyuoFg6OrkL{v2d}fn6IKNRDy(haVB_HxFwP}VQ-9i(eUA%|ZWJwrsx&U~ zGNEEK5M(}{z>|0UCBGqyp*)I~Fh7vS9i6oyr-WhUdPjV@LM{t; zDP%kysfO+SK)c(25Iuq|E_8r}niVKo{FrhW;TF=aaJz|XBemieH~THkxnNXQLj5Pf z5K0Z~ria*td@umKB~cVZshQZd)B!gpFO0?v=w$%MijHwzJ|$TNR8Z_uuRGW=jgU6i zk&G)@ttA|_T+-&Y*Gvzpj7sVDp!}?e)ynz1g*?8O20I{>?`p>I~ovZey5yE^!mwWHw>YFvO!R7=XKkfN3`h%QA2!fT?;E7{N; z9e^zBHZ@ET*8FWB5jxRwXm+I!4~g2ssw~AWhTjzpX`&#=;sz184@M<-J|!V-oQpPA zmTppf(J0##!33qm=xm~cmk>}dkT4#ueAv53(;=YyD11}oHJFI{atkXds{(B+U$1U& zGFV$@$tf*IBdFG?<5+cn>)7Odt#NGR&cDyVS7yQx$J?@=dJ!!V?8k!=^2 zmm5nzlbzT<+ynK`V(N~v)xCu$%C-t82J5lY>SBh(sL^gObFe{dRgS6oC~U84kbtRS ztll-I)E3Z9g;He&6bE4isDqlQ1ER+E*Z`*m&IkUI&6aG{#3)+Aum-fgO2A4NY^fl& zY09|7kMDmlpt~3O4iTwW{E7_|N|}9#rHYm-*BFl5L90B2$L6vTL3VvwJmj0;cGEM5m9 zY+|Hoi__*NAz{;Cs15yI%O*W=eT75_+uz)=@d#l~y8;^&zkvewPWLW3sj~9rm7AAW zUuXu?ZUv|eBB7`LCm*623|V)KTg!)C7##ui1MLO3*ju`nr#+UXh+rAVKTOj4O$WO$ z2GMEY9b$~0wjhmpd-koAg#VY9daVtjnVNk4`3%$=SUO8>*f?FV6czsfgvc&(0f@ezP?`WvY*Dq)KEWJog4qnbw_M~wR7F`W ztrXt}*(tRSak7Rel}hc(H0(|-ECB3v6f%)4GOQv!F5#m9EtxCP=c_*8C^}cLZhL{D zamF;e09Pw6Dc^PCEjA(Bh_t%68@mkBRxgmFDYBHE z46mr&BUcv-T(m9wg`TzBl-aVMB%vY~Y&UUHYJwmDe831AxJnp<<-tv!2#|eT%GoZd zVlAkgc5OKX&T$U!EdcZx$}XnnoyMNfRejV*Yw%YV(tF`)OIwLy0YRL%4)Wv zmJNF^%i}My+bgvkSCJ_aTO$7eu^y@*Eou>Z^(mxnS8M!$0O`w#y4gSv5%KH-{g7MB z5ms`0@j7h5m*P3AzfloAA@?YBVl1qKYL^72zB9v>H-s75g7dHkc`lzPA#u>h z0|XqQ@p8jbqU8nPaoU`s>?3PJ%GJ(XJ#_`8mdse#Qd6)6i>N?APlN_6xCXr8tRMpgJYk|ABQ)5vAzNAML^r6kZQrp2mjG5FlROf?{KS<=T3mt!!i(<4HKKSZ?J zpt%aCINO^=v2_g#511ouAo+rkS6Ojdceg~er7Gd^Fl%LmJ)My?(Ud86R@R6G#y+UL zZlw7ovw!^eHuq+!eh^wMNioa1D3?y(M$^1q@I#-lI17= z013mbmbD3_UID&L71&Gd^u`+Yuj9#9@l0*TqMZ~AhD$0nBOMaBYq2|z7Qz+OXe*Cr70e}$PKh_WkV%x z*;H>KR&6n#_$|s4Ey6oreDaQAH>g<{Yqcu2 z@-*;*)>d*Lv~CsNN6V<-Vta9>k#UrOaD#${(eye?+c?_9}X+W1uE1M2p{mVeP zad{~Y)T=AxdVY5P+ZeJBejgLts4Y_&XzZf9Ia>=sd|(0d_X|qc=!Khe4^9|RsGf)L zisO?Y-)5Qb$;y_Ob-R&*;83Pg@_K%v53=%T3-;<*QTP(Jm@s@qxhV?E`ImlZWC2cg zGzZf-j2l<7@;O*Q5m|4+4~gk(3kAFLDq_(nG+_W@#aG?UA~Nmt#VtHV)at;XM{@pu zDZ=7>8qVkzQfwuhJ)CT9 zWW!y&62*?4f>+8sxf9y~nxFw_i0N9lQ zXR{;#HcFE1@&dVBmMA^Oqt+fDAebzMeS{T(_qQGOE36e&0HVM8n`*t5jCM3`*kki5 z`pH49UnW&`Fo!{7NK6404XOVCl68xB4YicqRqmoG0_#~3M5ov>b+1db+kv&J^)Ai} zU>a`|B_&X&xikT$5a-)BJ=u0TJgLCqw~)F;VGhEj(&h^D<|^{MBWlnK{iPSQftFW^ z+CKb-AtE`_SQ*uSy_#4B@a&`x22x=ppkFUyoLU+o*9XifzjNwc&^gayEh&3NOfJFB z>GF7SJ-UQY9z%EuLF}`4pD^Cm>K0?S1;oawOuIX9q5%s#9>=eVU`1Yo?g}SVpKt=L z3IggnKMs_Z)UnuJRK@G-F1Jem0I{bFJ@yT^6J6f@N`~mZl7_`|0@H6H7V8NmtPH%i z4J}s0@Oqa91;tjxbfOt5u(4=rhX%VQsB^RZ(4#v{k6A01}Ol)S~m``2PU#6%WW4dDdsr3-nc9VBC$OG0QNeeuFl(jz@{#!66Ijj@hj$yrPr{dR1-cf6yu$R(19*&z;Y_P_=e_J zShq#B{{RHB^2Yw4*4kOV+g(*fWfWX+|!9>tKqmhblkeF+7y-RbIsG~9`N+MJ&ZuJHBE)=HT#)FJb2gX9;;bA&qvB?VJ_WLe1gAZa+rL7~F8=6m(Uer|w zP*n+kWe+9U`?-yrD2Xmw-{}w@PNBl+t?-l|Q&<7!MP*dG7Qv>VYx>v%{IwQcHz+GA zwXtjU2u%Hloak zkvKC}vr^0A9RpOS?l(165mf~OU%5>OB?2?-Uz5Rg?CM#iKNGs7@&FK*jW_IEZMAn4 z$hfyAX4A@;tJ^MGyU>8_>n-*R#P`?>XMY66MDp|QNkMRKE;~=g@ykB$fVHGhhlZOiO4fHi;LjDZALueAa0@# z8mHTeK-**Gi0vf6CMka~sf>pZ@gD%(>cTYPzd3W|D45FBA0{C(O&cZWrGQ1?KOZv3 zgQV{OU76@>$mQ@n*t(5|+PeYxRfWBpVAyq$1^Shws{a5ds>D9*xGKGU*`a=wx-Qve z-jv_`lEei_yDZzw*83hP+N-26tA=kS9W7r>(jVF%W}KF|C1e>5C=tNaU^*yTrQE-y|xoN^EAgqF7OHh)5Tts#b_dkleNTi({`{sd*`*04!e=&4W z+!Ze)Lj~X0UwW)Ugghf1=>nIsU`r#Fb z0ZqM>L--({PB9fj3dyh1S!0msU=Aw_V#<^sx5eLRItwFwVlcMi$JtrrZ3Oc+4&Tw1 z2A(5#0peg@#GR#17&X{HP8Sp)?4$s4#g@bnHro8`v1A*KSwgT29}>kyQ@x3qK=hZ5 z1$%Fk{{R5nlq#Ho{{V82=^8S; zu_-FHz|z4wV52%FB`H@UfBPaRQt@)7DtqkUM|u!8Ub2f1dNl%*CCgEz| z_(a7)_Kh6ggG=oS)WdfTNE+3y5+YOHN&s#W4MX}$8fpe>@mP>6S@RWzQ(^1mA?!_V z{{V>2U2E9oe<2e?`396hz1Z)JBC_Fps223r5x8uhWT4A)t6v_yJqc2yhRJ%SAfGKN%Dm853 z*dUtKUc%B?xx0QLP!-uqG##d;!IX=>z$0l##%YX};V&wxE|$$L`z%xeZeM+f((u?m z4FV&eTR-dt1fqgnmVQpC%s{J%HxGjXMl%P&UZY4I>P_tZMq4fa@n>2!=AM<$+k zWn{YaUfgMY6@J{JQuitmE#LgTCs%BMOUGQ6m7(`heppJ;cVwfD`ZFpp z$To1B|hTE2vO?_!khrQPsV|r&6i)$gEjch~KnVRB41OtdvXmo-AZz zq&8oXQ{7>vh<+&oPPfQ}8)f@|Q>3FaVPC09eGw~-+@R@I1m>QX+1B0ZKH~D1P2Nlu zEnA%-C=ufB02iSa*$z}Z+IboV+}mHw?G*jZ@0+k%zM`##9JVMi+8xI7jSh;GEDrKV%qWiF}gZi1@=!fHZ%m4s&R278ym z1*!}Th*S#(KzMxSz(5m?%rt&IG$yL{A?)aw-7a2N`h}Qs@LrPz!7A!AsZdqWM+}sy zmroF{62UGFC9cjA?6PQscHN55GB?wA!?2VwvI(l`sI6KM8ZMLH!|$+(r6LyQq4hMz zqG)UqSo#>8JR`smD-irfdM>J1^kQAv0n|4Z%8NgSR&!X}fl|=q`Jslce{~THK(gTp zSc6s37*%4-xQ?wYOa)-iZik$KHkCkOGbX}n*c3}5iF0npV*=!X7j~V1j#ict5+1!O@i5WlL6Bzl9hCfGmsVvp@&1QpF?*Mzhfs$1q75ebeg zFZC1vv6|!(Kni2?6m_7u24uCU&tS>yik+YJEb<(b$lpVxB@(I>i*F`;fZXmf@-Ut- z<91Lv)JCzlSw)Ip$IL>^Uytk9+V7FY%|tK7!B7N2Lqzyy#>!*>yx8oeosE(xbwan< z$g9E?WV&9261a6k%N$nLg%6?vXFetPNH3QgS$_x*5v%XXP7%6$p3Dxu=cAIpR{)0S zD7lD3{Aw|TuCzp|jfzFPC}6!RHjokj0Chm%p~jGi>s4jWEPUIP9$m#R6N3}39ea|9 z(bHIgX2{gM_Pm%E$x^C@nuF-MMOjgywtnTL^;u0yEP(2hfNR&!+;oq(Nq2AAEMQ=Z zpei|VXr=7-g{qV#xelh($;#Mn9MJxmUrMivQUE2_ta4;hXXwqx$>&zQ>lEk3&u=G%n|1iESf-{517 z!!)POEIP6cqp)eZ;Td`zi9;>8EwzFas+TO*d&rKN(Pd}-OO>A&Y86EYr=tksRq&zM zn}qf^2gwRW@FWT2{zT%=f5Z>dBGv2Rl-Ul^1>RjXra&axgKZu{^>^wNO4^VMS2&3MDBT z-67t?M!(pB+^8v3R0@M^>>>&hpc)7(OTCD{`3@f=iCOKm&OYUXJ7!FNeG4M_5`R+-BbeHIfbVLG1mN$q0O3VTl`BFY=pUUXDS#k z&O*_UH7)?9?d&bIn)XoWs9;{0$l~-$evujK4J8RxaS*8*9~dDL!wjLk2M;FD!zh;k zI{>PJ)(}Ei1Sp2z?4ju`PsPVlzF}$6>*{a@@+ES@XbqlB(aQ3F4C5tV(ExMDK4A?( zT-Gv+;IUzww_=U1#e7S?f;t1fMQ75XhNTU14S{tCRDpX+Eo=uIyIpGOF?@ zRHe$AE2rWUve&E-nw*7KCnv~ASf7hSmjDge#j1U-88=@L=QbD$l-E9PBHn|H5#nS! zrWO@-_QXr4qPW7)6#!W-R26s-+OZ-Ijpg?~DzC9C-Twf@3I^U9i7oZraFh(#6sSpK ztOLUOgA>`b*l$m;uw`jzrwM`xD64hl>Tv1n|Ob^qLj7RXXKV z0x-0NZnGg%u?omZTU`5DLsnfr!b;>xs?Nlv^x-p&J2xNDFVWQzk5HGD`i6NEg@VCspfkJ(0lb2NCO)Vzea~m%O#b8SiP-^#TKJj zbw^$^;H!%-Q7PmS2K&Cufkdvi;FXGu{{T!q%l4SB(_8VdRcar18$*Y;DhgGR_7?8u zm{&LRC_oLBFQQVMfj}Q>4iE2O05ylRLAtDo*lXL4ex(d|p-j4KTK@n$G~pOn*%KDQ zq|7$uK&=f^5JCKut++}zEsLdd>LMB#wF@rWm&20cw|%ThW*U9Q4y-!+GX!~TL=$ac z+44#T{@}rI+hM#A*_B@jhp{?&jfod(GUcpR0^9CJt5odCmTmH7a$t5@Dp_WI8CVw&DtFc^yQKhRa@UJC{+5N*kQ?A_bmFMJ0(Z&=6P*Lo5&FZ4GDmDme)w0Eh_YlO^ z%gmkZ$B+|Wxrwk1KkU!68RkVKM=nr#j!Fk*U$_mXb@*aFN;?Zva->aM!y8tizi5s?Jl(*!SNq%qcSOu!3e3d8~PoLbOKwsoEKLQ2dY+?rJ3=4yZ zA5)}LV#5Mk`H8>3iAr_0pHL{gI;?RuzRi&5Ht0%ji)rS2d>lh#U=gD&2DOZwWZm`iLL3XXkTIaf$$J z-&@_7PAcE2cf#DMJ8`RbB2k(E961}iEC)&HC(LBkXR_f^#Ko*@*fz4QwhNak_WmYS zwB@~kIQ@n+k~Ho{>Yy^}#9?vx9+*CE2mk}hf)?nt!3aZ-!eEp^u{#AzMML+nJbn?P z>sA#C`c-dBxaN!X0@og)QTAY2)_fWxrNjX#!dzU@1fIJKvcc%z+{zbq&n4P|P@m4g zwzpxIFPU0)Dil}dRJs)uqF5~#Rmht`wN;T^8FSsp4ziK6R$})zqc6K$H4sWJo-qSS zS02G&Q{qyt5R4`sPSuBu#Dl@}3R$G$6k(g1fLKFw+6AWE2mMC?@O5=@HkSC&Bq~au zdUux*XjY3Z0uN5ch7V;CqbYu0XqXC}H&qa&qIq`^Ex%0F&>j1ZXg-2fs$9Gi@5qd4 z*GYKj-@r{cX{{UZ6fmkahMz;w> z@>kJfThH?HB9_|)KLGj4BSJ30uJDHI0sV$=zUJY%FeN7OVG zxku^gDY(I@W>IRmznH$6TIxp*1^1ye==j2MdewVLQ>1^p`hfsXg;d6_`6`mae_3i4 zxvcVYJn|p`Qy-CHMJM%ujBPNjM$WZ{D)5n3X#SVtjmeJ z8ujF?Tcq^w#K$Gr7sxDDg(;QcaB190w=ZWf)DoJ)&>|%Ob`>=JT;%O?A2L>tOxW1& zz*&rE0hMjZn~EjOK8zV#-4A*gQ6!%91}1 zpd)>+DaawS&V0g$#X#D^(7{?!+zqI5ASt*gP*;~OY*Y0tRnPhp$taa`Yymh^May5g zYjy7-H$}rrnLvQD48U{AT?dlW6`R-xV@B?Id=MF}&6=~~0fn!zw$B!1mL<#s{UlWY zQr8Q}RbL$Jw1A?`88TM>&)39kC@YJ7l(I+MnG@Ea3j_`^O7~z%wa8+Pc^4iL3V`X2 z(8YT!Ya$Z*R{I%a#jSx+N@-ypNzYi~*I1T6B(QNklsy`c&Z3#4Su3YaA(r;5?6Mq6 zzCap235PB}sAXaR4@2fEASk#+S>*Jordq*4BK8!mE)3tCmcju`JKJOBPBmCZhrcio zM%?mBm5PHJ;V2c)n1B}8w~?i|6nS%iU?~*PS8gE{OQBM+1b`uss}?e<^09jzrB{}h zDN5XxlxC2=mTWYqv1Kei!&fNJB7v4X9KND}Q6FH5fWP@z=)kp;Nl3e_vDWqmJ$V@F z6YM}L+z`=6!`Kgs)`eW5rld`vd{kViaQKvxv|oO5SX!>>Z23z{7ESTVweMkL;VYmN z`RvF03(B|ZHm~HfR7xGH_8EyE_8mZy+wvgZuO-n{NPzf4xBb*hk+irXH=sN0cIElI zg&(+rwY-+4>n&MjTrLWV;lL*$#L?r>s`->}9cCxAPIev{mPmCenjsMTOHt1=``-V{Lnz zWw!R`eUDph1O72^iL%tBU2L{16n&9arz%-r1}fPSp=8iNRb9*{3jjF_Uhc6?-Ybx< z#Kwt-tf^O{$XbzGVi8E)*(g~>iZTe@GqUC3h`n=C;YaI8-MuaU040Qu-EC1Yp!>ML zXVgS}GK=U&iM+jKW9Vu)%oasD7a8J%*=H9GNUT;U=l=lBjds>yC=eRfW<#;9Q*miu zny47RyLS<+`kN?M_CXT`7Wou-rHUi>w-(N&ZCZ)rq%~ABQ0fe>snwPsoUFBUQN~i1 z0WqxXeqayyss*%M384k8g+(j1@(UOp4cxK#vw1ZNLF)w7VM$85$^YE zC8NkJSE_-t05F&F$muu8+KOioZT|q0`>t28fYD4PjTe1|Q?-=KZH2$}Dobc?I7|77 z87hVQC^VZ;x{Q%87#J3Z@*%ldM#b9|9Bd#Q3mUlwavBBakSm0D+2%lt{{V7cmWuj7 zUuxmYnS5)TQCb%3smdcXs=uVr7HADB^BL| z&1PPYSgL$PPB(WE-iLA}s~8Eso<(~fL)st!UBTK={-Z?&W%?t8Q(67EXS*6LBVoC3 zkV9oGLcJp2yq*eR$_y~K;FeWPjJy15#6rjF5xd2z?j@+{+kk$BKtZNr*L{{9H40a2 ztmJU+b~V)`RX5D$iv7zV7|E=$?`8?L(hCDQ8>qP`cE z8G?N1gAqor^)>G+dm1QEDN>EBAz*gc_BZtdc6N1Kergs|t=!bI;@u=7hLH`BLh3Ib z!)s-e=l=i^lhvDX%~iRaDw~~4tCX7*gKLm^p_%~6jPZd|z8FLmzvKo*vw? zHV`t42rN61a2bq9zZMinXm6t|l$V-v8V<`=8sxUuC@$MZqo$Cc{?V;!42a-1Ot56*?tk=qq#-iR|qpfHaqHeqYreOuZg!5i{A^lv%|}mG|(-r&tqcFJd{g$ zDsXOfS=ogmFVa91Az4)`5|O2fGFRqYS>&-^$f+7p^Ko7aSAjB=+RBXub0MW&pJm|^ z%dLWOwb{Vi%Ps{JfZCZ4y(bZgqF;$=;$sm%X&VDVnbogb61~{za0OIgJRe95nKYd` zPD`bh0R~$!EH#3kPUB@89X1Ep2i!|v>F<}33n5ke%#6!G{RT>bcLA<=OymOEoezwR zXb5au9C-q&yE^?R%PC~kqvU|Jn-`J&?|}kwX2t9cGeCDGi@EfP1;F35qBXZ~BV^dG zkq81PYe>EAluV0muNv3}gI!l*75!sr2j1x7Bd{P~4=+q9_9 zcb_p)A{5f6G%JxC1+#RC-8b+EP*o_~>Mu+$9k@(WdlK|~%rtG`^p*=n^CFde z4c(Z!x6?#_Ope*kp zpYk9Vs=EEmcOMeTQ9fqJ-KnyRM7zcmcYr1GGFiTy6&5Jc2sGS+E5q;;U_(ScE(~>K zp(!xaI>dZc!DDi%p?+g1Hm#Oa!5JT!1uP=Hh#flyHFrPVgLPH6?o?>oX{d-4pySxV zVxKW9Tj3p#*}9#CAHGHXlNan}s^xhE(OhW4T2MYf0!Q*+sxN@bK=Lq)&;3v7Fg92L z0odMM-gz&a-}5eP^?j&Otats!h4#XwD_DQn=-FQpUW+PVa=z{~Rg`Zkb{T@9Y|%xf zjQ%0uf^t#n%;fSbN#BTG+bPxqN^RqFv~QBd8eCujINBXlrFE{{Za8>hJq3mL^7$S#thAxL>mYKvL`qZ67P*G$n&ZZp=h&U#2Rv z1HMWc9LP~ht1o*CVy;8~025?eBk2g-ANtD%k@3Udwcxf9^A`88FMg7{-wU#wesVaYqM;~pbGm3-*6wP zRWmdkhPzvC>Zbi7v;P1rixPSpo3k%b{f6of*-_`E@&*-^JtmsCED&}g;!zoXI>dJZ z94=UP$$RE2<~=(N-)bWb(` zv};g5)6S0w^rwwzj3JCs%m`vNk384Ug#pxzA>Z{TAlL5=7~PpKyg( zHofIKsNcOePTZwN{YIuQNF)RYmxScxrT(lhyL7Qbj7q^op z4UJ?R9N9F39s#fTM2zh<02Uvzru<)rAcmFpxS&-_8;g*1p+Z};=64#6YFwV7{DFDq z&1k8lKK7$FX1=CC9}ydtXb*Pfva~=9{{Tfq3~9&$pCJo3Gr;L8NY#rg*{WLvp&6wt z8f>Ald^Z=#5cqlb61DHJtxs0A0_>>3HZU5{-9RBJZl+u+qsBOseEODJ7QvWX4%@jw zyX$`TDY>@4P=NxnrI5H>zDIQt7C2g^C5sT7Dx4+N*0sp2G+C)&MuMZ&M%CxoLNp)) z$EVCdh9%rboR_U#$8B6;L$?;`*RcQrhhs+<5YZ@b*I5c=T18Cnv4B-+vx4{Z$Nh~k zaH)HVtzaK##27~dWp#b2L9FC-8(#g)wR;gC&EIC(U(%d)E3LfCA2EXVu)`_nNVMPY_j(N1&Eo9M}ZK8 zsMW=JV~^?zq&YtX26c!yM>>vKaVc5Yx|SY>QMK|>ccIglEcq+&%OvFF>va>_*m(s~Kas^}p0g4T~RYQne`-sunmKNL&4aRi{|#37$=rF=~QLxg20upFoos4tDnp}QQET`0YR7r_ACw=6gxFnYf`eU2ryzL<^k zkXcN*iv7g5M*4!#4~bjY?wou}xUu;lAFO~|GUBTBUZSb%P!6?)0EK4Y zB}%Rd{{XI9UDm;WCCc<-{{YyBR|1{2{!bIa2PIDK?vK=E1`Az8OLN;5xyemaD3a)fLy*=E!a@BE=I&|r?EZ;<44i}Vjw?k zqDQvU#lXO6dEpd%1=y(69;L5hDx8#{iAh!uvyX2fc8nFQy&Dva`#MY{uPv9XH)`!+ z;m6%FEXI`u&636_dn#n>h&=_BXOXKCkb=O95q%D)QKzg2M0sq0j^^gUbPbUiVRfpC7M#|TA}d$LJ|J})*U*!4osG1g;NG+ z%8g0^L80ZxlSM{1I_0rnQn!#7EkF>P*NAZy{B1-v!BQ9v2ZqFeUl!a+T~B2z68)Dc ztyk4U1ku`KIWGqv1V5;X>xNL0ZWsVFyp`5Drw~KnS}tvHP$!XzYw=xx8}d@^z%83;YYS4`n}c6mfpDsiqvl~- zAppzYRs%WrE^H@oQ32i#-WTPVm}b;N!TS{!`QIJ6;|79Vl25= z00R6j2DYw05yViiD*IiOSus~23{{_LaV=myL9hN|a!}+qZSB>c5w71MQ9+w=+lHxS zRJkfy-&}&ILvMtX;vG}0YWlku$$%!7t-qE#89q`CRgjexw1IEZYt>AvXyAHw04hU` zZ`=`DpZ@^ll#CY6gWyDKA*RV#9PR;N) zwE1QL0_;RncM1bV`=~8fumGH%TYLR_$}bn!_bxvT{l%hZV}`1&@(f$TI5S~+NZb@| zc7fW63+3taEmxI59JmM{c`wf}0fX|&K~&>J0c`kT4TTySa`$1Pp^}Bx1xEhnV)My& zI{yINw2YuZOFMJrtZls)`^FlgjfwC~nL%C=DzJFGkCBq-ET?|pA8=mimR6@Jc!nJM zK@b#{zgWPh*!C7~-t3|={{XQD%c{}HGDv_nEgVy`~BWI)$q&UJ9EJ9A?Qui zGi`^kZ`|^Iqf}HE#LL-Alfx1nn8d}TE+u2kB59qCWa^ugAJY&# zNw*JjTTmcgtO-qHMpOh90L752BW_3QDY!w3K>pRm60-0FFgua6``iNJ2(;8TOVSZ> z0D|gf`3p!IIJyVhP_i*dn-6p6;)0i zLpTtx2-zE=yAn?nxpDwqTWY)Y0{D?iLF*GMwM8X0@ruFr0+}eNWQ18;SAf2<_pM7kd2 z14#5SL3X>f0__zn6D-nMoqc&8ploQUY-z}-O)mwjWkqoW@ea9!^-35;+)^!-k&U|0 z*|e<_kHb75tM&f?$$^v@$b(NOu$@ZooSNB4&7EUZaqJ`2mX_$X{edt(8HvrHiC9?`6bmS{%9wkT=m6qg89y3BQ2 zT1>}%IydbSiz2xPmPWeG*Aeae!C6@^>~)nsX(qF8jlB`5=R4k}p+N42cd zAEY$j}^zwQi1FR&L!bc>%`uX~;S&!Sgk0#mPynfou!nS`@2QQlVG} z<2fv9FNyc}qp?Tr@JcV5R%051=4=`^ogiX}EBP#Cz#iZv2HF+bYL?%(9TxZoVFjL$ zFOI-vCBq7{8X>kD^uRADH$w8RSP_O^7^RJQ}pMgVG96<=vewQknM}{g`if&v;HSisW*IoR;`aR2+ z9uC9?>c?~ZoCfVm*>x03;ktL?AQw-Y64X+#Jkh9&05vrm6os1I+`rppCJG_W{-U+5 zeZ{qYchdcdly?Q}#gpuWNZwm0Le#QVd?>i9(xyQMATFiVw8qU*hN~$m5eDj6ef6@~ zh@$PN8+C%MKlIgzU7GR>Jxy-#3fw+U>Dc5w`2C~B{!w-}%gi;0_V zP~O6CIbEj8Id7v29J9zb9hF+N(5k4m=n+g^o^+UZ;%C# zjmm}=#MNv8wo5}GfM63zH4cYr-8oaFz}c}D%Rq(vH4HeyQb1qnfF~txaeq_vtClfe z(hb%2B9;Q97i9oBDz#tK{w<%?Evt$UrEs9#mchPS%MZPxia7&fvgMYxqO0nOda3}i zvDzC?nbH_aqeOsd3amz;FKAxE9445A3XIVWt*KOBdbxK`kl1`dL+*Lg^>XE_xQTGL z!ZzUDCAJ0BV%lMF7A)h6xx#QYp2QD>06%3_2i5(`wG?H$`;4+)-Tc9<1f$4`xar8+SeQeR8-7n8sA8Ri`i&AK+bT8cGN-&%(DE<^ zvIHIiprI?aEpvsp{w0HpyD70qT=-|nJ~^mvDxM_ZN-i~U3YGF%G9uW6NX{GcFN1!S z*tplJRpdpYRxT2O!%z$=nNY_vD^Qo~AN@m=eE#MmiG{R445XAiL|WuEURwVEa24J# zqV0u-TPRtRxA!P0QEDA!YzSoI3SXKO+? zTdbP?L>l144KdhZ1MmkR{0uWLZ6BE0v5hNkEeBTm&M=zV{zIg86O(Ep$FkPT9D<5I zB^fH1<0}-JA;#Rj<+=GtuwIP@>#J`Sg8?tiW!1=_(v z18=h;T5MrP3rqOhl*k%WZYh&ps+RgoH;ej=Zyy<;QS1j*#`yr0r<-s=YT2+wt_wc< zP*YOMdh#(ezH;HL8h9tOwrWEPx}?9 zF0xT}0PM+x*$Q9yN<#HV5jhBV_%#%W?z@8)^e0J|*?lmeWBs@h?%(n@H?JA$77y&W zYb$-Bp@_)6Ez67IaVUP0XirYllHqi@jYC+7Oa-VyHnLGN0|abRm~P7wP^D_Ah#};6 zUH<^KO&9AF-BhAoTLcJAVA(}tb`<{r>MLldWA^N~%({%&00_|7@*}(8#64iXZ~HN_ z*fQb6KiJJ^KCmCrEW&BPdm1atDe@Z{89U7BYKd9}T@CpWMN7BtCyEm6mop+j?5xTH zs_5iy5PQrK+18E0M)1& z63`e6a;jVnykdam9C=XdQp^LUY2u02@5CZ2jYkyaR%0Iy^tg3!aH3F-v_Dh(ECSaD z2CQ#x3!nxnstZMVFzg!wwb)YrRKUCT5lvP90R9&#^G~A*^%`Iu%b6vX6Jldu!k{s2 znnbc+vo1Qt0bj{XFRgq-bc446%R>Qn!CDQWzj#s?=k6%m3=H_2T|u-up#HMdN(uP5 z;_!wXf$QfbR`x1(_7FO&$l(I%ZMN7=uN%p``;~IE=CUw?1@>}B>OUsS;Zda1NYlhd zlIDSh!9~ER^Rc%3D7$xV3$KQ3a_=N@G_cOVVHe0OMCw_U6^q}QR<3=^29gH0H(-Xa zrh9M<8suHhO(dehMQmfuv|Hq%qQ7<7`V55x6NDiVDJjw_d_tlqmG6*?Rtpw2I;HKv z?S<&9WkH1#u)qdOUknD^Z2O$SdoGNt_5!5~#;z|!Akx2>At*cZ0Dy%hLgM}z$76^g z0jx_FfM7_)jj&GwBi)QjhvXsX~wVan`4UM)&oDr_n<7a#&? zT}Ew6^6qyW5i*0WX~XJ@gHB@Lciiw_+yfTur_L<)nMVNcW9G7CFl^b zSpusMC1O)g!J!1y2o%_^F&&>UjSxhDWznYLZKfcgbpDBfy8X`Mu@s=F-UC4Tlura$ zBls@hTp$p<)>WDFRFfxY@v4`!x7BV_R0XK0j(^-rehc!Vhtx9c(bQwo0%R*7Fj_kk z7W#y#fCn1#Tdq{y3J=o9Kk>0}b&<#?$^^H7)Tr21WFbXdZ%AI1=xn@K7F4*2S_mmO z+oDuZT?q0k@S6Vs+z<6HsRVcLI8f=j>-R7kv+H01KvQP@LJ%{eD^KL0UsG|S^x3y+ z@7x5@b>%|hzuGm~!WLSC?8~&aghYYz8vd)KrlyDL4b{o0I1RC-wM(L2ds@DyG`wPN zvRo!!eg^9(DWs@9?c7LCWuG?!>rccK8=y$;Q!uy&_Pr%Q*zwZsEQtZE25Ypi+6e6Z z?al>H0aX%ODGMwDqwZ|bp=ewd6{<_Pv9%G1BDMGCpbQn*jTHv!G|HYSjk6H$)VRBV zyCVCWtYTe5kl(FGQp+&%5?1@D1H#YK!E+62?{$6kGgb-1|ll*8Ne0B$3fvkp@7B&1_YQ&BgztI{M= z6^Tu0V_AeOQTR0|(2a7DJT(-8d8h>~{e=b%xyj#;3Wkj+Ziv(vR7+E_BdF~X(0sp< z==gX_G=ltD5-zRQc?xm>LskhF*;6vb{YFcVN{#)U+g+RyZ3nRzzkNe%rsd|9?S03| z3Z@qnDHLmRf{VX0rYQ?9yZeA@zs$4u7Boz}i#h{));eQa?SBZbhzt9k*`_8%S0SlD zD%^;VAcjxq_E>ebuAmL zxc1@++Ljc;+g~!7&=es`3)_lrRn%DCE)tZ4Z}9qp+0E<}mO&4+xC>j8Jes@7+1v1! za4;=(*hu)e3y7@l>=2gZriwwev|w({x#2anQMq=Y-(grj7FUY6ia6ykv};0$yMKa% zbwG}zTta0%FZDO(3vbIDG2e15Ht7u~kOgZK$yQxR>82Z`rAnVKV?+e`fN8>Lf3s1B(f1pE(G%n5BwWZ1d=E|n?-jo7gB?Kq&7c`!htiX{Q5KvY_tv_K8J6sRu? znhRIz)T;EKa4)-xE*lGs^fTFD7*;KOqP6y==r7H=iJ-f~*<;mVzTs*qZUqXbkjjOr zl*V=C)H0C-_?F3gm)T@$2h zCCj*ba?wq)(Jt9z3nCFlBu7*vpf-Z(Dr%)IKX3rCz6)yq0C_yJD1Ana&taz3JcrCd zp1@`0q2}@+_$YsHP+fK{G1VW*hCuRIf9nPlV*E%|x`R865|Zc^?r;SC)GV=DEKL@> z1;=9rS^@Sq9SSInj+9_*I{%F0^r_Z)n!8&bJrRFN(;U?Z}{ugDNPKO~?8 zU$V-0z?26@kgxZp6}^XkqP#HF~qOsErV{>!LVv5y@E|#{gRtuQUPJn)Pnh%1OXo1iZnkYu&_x{M`1^JglHEJ4<*Zd=jvV?QNq;? zE&fWgS8<6~q`V9jDATONuI^NIBMZ&izULUP@jYI_kL!Gu5r~Ag1Yjr~Nr7LWSDc zwZZk5no9OKid?((fASr)uVMgjZtfaZdt_`VS;$j|l*%OgEth+&1?M1^uYq!cKotv% zwU-W^#H#Io^RWa1*-NYw{K<3oq@W&R0KB#kDAteM8cwU(O1QsjEh?CcZMO($UurnM z;H`h8RQ&${$fb4;mn#qrDmS4<<&W6Nf;6#|T5f-`7Yk2z;J>KLRbmIH$cjd)EmhxT z07)P+_VydCok9ZnHXuiePv*eB*PkCUhk#u6SXE6yXoA?PvG{NPNV6^H_GWgQ{lsD3 zOz;gO)%xloeTmwy2r3XCkU)Fct;2G(fG%H|oR?Lt_}P~Ii-=!Yk?^-8r~cH2NC8ZY zZ!o&Jm>`}@zosP>4oeF@pfc7D9}?SS;qh{2eqbM|brLoR+(A%gL3Fb$w?|mC*Jfar@B11WQ2PrBRXJ({sZ^*<*@jBg z5s~PB*e5IR4MBEMs_@IPXCN@x7lU84Uw}f&EsdHx8VdhIt$7+Cw#57x8OcZKZ z5JOjT$Gj4v;D(koDQEU6X)48d5A$V`tr-bp&DrcF`#=?sH?gGPUi zMOcI;y0rO%V79P|VNI$jjs_9)dlTqs zy_?EgwP6{#h}yU6BRrcLKd3b?n%ojtb|*}AR?<>;Y4-=(3leynOnM8dH*9Ctg8aOS zaux8am%@}AcMu4GYr`s5X37b9EG?~Mr6dB^%&~Pgzi6)Zo-Di-{{UtrgnVx0p3(XQ z!dJo(Xi<#_HXibO3;zHkRfUT}%cK27!m*UCYDYldBM?H|h_A1~3k^#`#f=E+@k9jp~%bIU@YLJ)5Zptff}T zl@nSujPg}&wTsD~Lz1kDnmCg4DqVcXidJ?v6<1eF>8Oxh1KR$ji($CcL8xoK#u4Zo zK%O6n2wW298yVHg5IA{|$zx^93PIa;ECYsw5edygl`VYjTFY_+FEOHCCm0I zwE!Gj09Xh1MU)2i0@y4n{&H3xPumawKznkCl!!WZ}vbLSuFA* zx%-xyEQUB%y@m=_)?62+(z#!7Nef_-&!PhmUE8qDj4880EHZnWH&-Zc%zjv@@Q=7% zV==3!B5mlRu5`3mvf-18`IuGDW-@>edX!`S6V&0AD6~d!RO@>H8dRcNZiLJr`CPnE zAge?2E^RrmA91h1w6OY=WgLF+k7{WGT~)uRg#Q2vYABSCVy&JQ_Eb+BGCvS{yE|yd zA+T83k!lJQ%oLUyV+A|GUqclLinVL%rO`_9#x`YMF|8!3-6b{3+h8FJOzc$y!kE+Y zi$;oBRV`Zvf(KspvQiBy7vy#PjfSeWD#Oj!lDcui7p4?JY6ELy6s3)NLogs5gUNA8 zPBE2Hj@kN(32x-B-;h^l8m;W=+p8W;Do{N;Ex@alW>}34X$8u_>~J=ZAO?n|o1uC# z&l(|hu!qo?tTWHJKccP-xa~0kMejl@*?tSybRhhELq@*fR6 zpipaE5iDtF<@KFk>$Uv0QF%kjYiB!h;f3TqLaUMZAZ`i=nLHnre2pJf{l64MQ5I7) z-H{E)Yt}4bX=Ez$xnD%T^=8AB`HdGF(ZXxW3txy>2K`+B03tMm8U~tx*!T-=Mw99g z>2V8Iy_sBfu~VB+yW$Jj;#tA5#C2yrCaNm@nFsDKesUZWd)6^kc8jlICn8UQ$Qv}+ zCCBCg(F_OAU^WZVQHw<~gE_BhY!=>~mfD21V0U{lG}ch^ao8qb!HnSFHdy5T2nmz) zCEq&@N(&>Pz!ee2_=LNO(b$2Ms2%oX+$t@36HOc;c(Y8F!ul{%8mx^cwtU2!P%Mm# zf~0?ms;N*&D#NouTauYu+0HN917}bK<60XDtRbh_jt4L9U0Hw8Twn+#Z&p)P9Hy37 zkrPtLAml6l#76Y|r4jWQ77aW=me>|$RNZkqGsqMYF3iVuZp>kg_Gl_{Xi;TsR#Qa_ zrYJ>MW@~F;AvU;A(MW=PjJamCx%0xI`pf=Q9mIIf$3oaCi0-%;M-Xg4xl&3h7n3&0 zXLECrdhWB2(<_3$)GgeEsx0}BV^)CXN_R%5B1#1SH$=7*8?`N6oPci2T|WfnAKX>+ z-9>&?DEuwK64U!S;4Pm0#3xo3AdzVWHhVrUA$+%9!68CmOGdKbom1jcO{$^9D&ZVb z5Uf=#&^}@GsIA6HrGkJrLZsU7v1c37WFN!f-M5MzM$5`B7K-aOAd#m8o-j?Xoy0A4dTaZ0EyVu z#?@JB{uum(8pp)Leiw4Ng_joaXG@37z|yi^Q$FAjwzP&=pv%7z$n5r;muf-djm{AP zr^G}e5{CVcs68J~?q5T=rbVLHi)=K*a}v~4K}J1;IkV;}t#S*|R}$LTxOO`VQTsB) zjOWY(2-N)f7S=ss1&hzPpfv6LPI?Hl)JnH+un~ZR@%OQD1xMHSaIJ;9Ky9B9C>wo@ zwzW@*L%&Os>`bXZK>)WQW=ry;Yq~b)Kcv^N410c(q4>M}A|%+!sO@@3GLK{f}-ZQI6EQPYcytxXozp)%`#)WG8IRYo-yYx6JO zKy`xo9*Zw!oM8)B9R^<_b7J-zOb~-g?GOhf0+>GX6sqsBqzYXE*A;{{78OoLLza0c zIsX8tm8Y#_d|+A;0W=o5v7+MvB^7~aJ{trT*T<6eXIBf^No+!e{{WDdy}OMXow- z+-U1Nqhpg04X6oi3gO&=c}3$2HT zR%KaN5M+Q`X18+|4%mAeXF9O^T|8#WeFC9?4N)>P%GVhxVRiytOl~l-p0xOua|HcE9GyCY;GDY1LglE@ z9|%!Pz)L43hQ8b}oIQmKB?$6oj4dc-g=ZiEMy-ISkKhX?Maz!A2q}j{#!7%%EZc|? zx|HU>h+Zj(1eX!Q!MayI=DE#?iuy(j^Bsx^6Ezb_z}{5vcXm<;s#qAYM`!~RE0yO@ zh{QB2`7b`-z;ag#VxjpDNcUiIya=sPYrnXO&5nxLK-89jwFj>2oj?X`<~Ki@NYKL7 zd?CeAWZ=fTDBkd8cPPj&bLtslPV*d^->Qs(-uocsNOovLkt6~Z9R1`yuG5zX#HfKj z!h<4%@uJ(}K!T1BA}VZk*eNdV8iI<**fZOR>O!rxTDa61A|ZWmCh&Ppn2v0Oj}(@EtvE0i1%vf%L`q&eVQZ-FV(M#G_8`x>7w+&~JO1Vp)GRy@`vQgRzzqxl9!`*QsN;+w{psJ5r)4rL_dz z>_t@tg#l#}Ba~<8m}Y#-h^4Z)T#juyQ-k*yT6dT18V$AlF-&!;Wj_NPyOtIu?L=r; zTCOA!R<_)x)o5E=6<(tOJe6WtMwa4BG3>7y%n*FXbt4hM5AU+2^ka1g3;s=X79TOK zX0e1^ITO{>mUV*FbTQkj z1s4c+NoA7~A#9^nQj(*$-^@+f+{G(A9F{J^Uy#^k3w4V{(6$ui;{9Df8+=ZxvtXm` zyHC`}N^Tm%=2Y(kPGs2& z;mYz}MR*VZAXGA!6R)kQpFWT}4fcBu(f1%0vlnnk#!uk@7`o7h2q zIV}Q$^Csc|T0U%4U0im+29?d^P+Om;^H04`SGo^Ci&C6JqJoVZ(;|d$)?iEx|FuEB~rld zrGd*^RoFwW$BShU$w2Nz*X4mUl)Z{ovFyQHAATVOW75-482GFwQ)P_9=LQWgRQ~`r zAcF!lIT%v%VP4gElnrGcsBXZgD%eUDd@faAB`sCN6X^>AI^6ADgoBF@nF%vBq-)1u z0`KW{aK_voA!^H8srGMY3CVPb`V>~$-_iir3Af5&Fn>^0$wDo0=EqC?Yw>u!SQZdr z#C7$I@deG77nkaouG<1sy9k5Ee37H>TKP75L$2IQcA5Kr;KG!T?&7y_s?-mr!F_e5 zuI)r_SR3Wc5F;NE4V~hNtgXV?e-PHh5hXZ{Ovfmg717z57DW(2F1Gn7uBi!stP=o~ zOl4`R;XWZBMZ2#h0#d(QEhRxwwJ}Gr3ss|$JscJJm^Q6%+-A`yLJqpB^Xp}YQtGc| zk;YhQgKV3GH&rVK*j=|J-4#gD{jsVHd}4b3!?4|OsH>hRLNcx0V2&w9YLyyreFCSz5L)$IFrYQ_R5Me=vX;6MkfF)^CfU}Kz z1(wUBL=Aapx^I~VUkW2!M1f_o5{&|{h+erMSQ{3gq(C;X1}zpI@(Smw)F{GSMpc5J@ETUg7Lyq7O% z)4tCU4`IdIlsA=;NF_^8PB15h)!ZVbw#Uqkg<-w8tCCQleA*s~^SFo?H0AtC(x9WC zuiT=+b$1VKs$T(h-ojW{MQ(BlK1D7{Z_zzlYW={WRi&R$z=e+t;xv3E*nSi6C4O#N z?^yQROT&{j2)Y?hz&kG40t9`^v347!+9MS5?xfjYa8(ysum1onCD9Epj3K)4F;(VM zev+v1fC=!8l^yXAcR|<&)gp}89gAy9l(7s1E<9M@{DETX==t&xTniz5pt5wC*S)x` z+4Cw;YN^YC!(TBp1!~wGHc|)}!cegl*<)@`Bv$N4QR!3;yN2(Q)K8*$6mhEsTQ!1! z{hgML`6*VIKsbwLu3SJ=Lv8;6vM6a&{>HZtsNOHb0|mdXtUD2YIphOq0V%v8nk9ZB zecqMXbhJ0pD6`tKT}qGWiKw!cmW-f9^4>=A!~VpsTHh)Jy&DLlR28j-o&7_S?*SQi z+VZ`QfUXuqv9q-{55c(xsDpSVS8az4+tbkjN4~W$e-SbiXT zQ)pZdtOd*%#@LS77vU%usgojImY`rIAt{qA+hGU@s{C@So_fV2ZJG-2KXF^ihxHAg zCE9e97FFr70aeI{KeLLaL~WN4<9^Qu{K9}pi`m&^_X{Sb6hZ4! z->HmEUpD;1b{CK;-?}bfyZuK55&~RGmLj^nmZV@+qZlwQ8pc}vV-R=c%3Wt7YQ#$7 z-wxE>EPWw8t_8Yd;t(To)%$=7F%Rn=`2kk8LqzFK?yY~f^IGu>0VEsO26Yz;%D)ykMI#0hM3~3e-w~*`> zM5!QEPX7Su1KYD9v3d`_-1?2XmP5__QFxS&p-{E~>9{_ah!81Ks5g?qaIaNGz}hH< z<5Oga{{WPsMizF3oFh=$njSD5&)Q!lD4hVyW1<_?-4 z?qEOlr6Un8gVe>&#a{t08{sSv%iFy495H92{aUu#Ww!d>~#SI2n zY%IIPB#Vpx0F94Y2(PQLC{)ls#2W@rk$zcY2q1}@&eRUwsQ5-5b(LMe5qCf_jE(|f zQqfP;F*H79;B{m=(A2}pLtk}2SbLQ=jRP`-b}Eag4a=^+!U=1=V(2CV1uZ<37z?f*FW*SE<-U#RG?c)(-ahzCcB6EfI0Qnjpnet31 zxdqEB15h@iALl8U1bViSD?X)FmvV!=fjLTjl9p-n0FfEk2t$-Y)(J{l48EFX`p6y{ zqi{)ob7+xN1o+Y)VYUbfruuvgiCaJW2DX$cB}aQV@Is04LLKkKp_JUO3#}iie|^6$ z?mZJ>nP{v&#u{#fvHik+VyH|*@d>;fIWOz{nMQ+;xr>vjz{I0tzZ10LRQW^ju&tMG zg*5_r8jZk0U^DI=9jlKqm$VA}KzxP&0P`-ib!A2LQ*!J>grX3o#lX6#Ae!IQUA7fA z#x*5xfe?8fjq%v4&l;joZA*aEOrVP4DB{Bt^Dt$ExA!+vu{OUI)9AJ!s`yk*lu}W+ z0+Z%mrB)S+GQW0n8b5PH9YI5~yDi7sF`;5rct5Cx1((|@0xVqPo1we9mJ`Zycq@fNfzA!nHO)PvvfzE(DGYi* zxDRMl2V!1+_Cnu)UI=(WRi#@M5Ue2;xG|P+*;7V7Mb|^HsI2KJsZU+xwhf{zG?wx8 zA6^WI~Una?$LfCSxz(MG+)?eYVs^!a7s?OAUTNUwuS8S#1BkiD2^5-Kz>NQLD ztY2NMGxA2v-6Q`1iiLdr(r11JOHCiQQQ05iz<|x`f7F_ zF!1tdelA>jFYtqAdnug&2-K2V8OACPs4fy92HVUfq^K+|?j@#7DzY$kFiuyQi_q0{s^Pa4COqdW{cYAF6}o z)pp}XAL$V-e}dqHZU}ai%Da{e)k25`)zL#VT{t~urB^1r(+G}Dy3}Qo99YfZT~xxx z*?$l>_Zc{84^OC)>tE>*WegkaE)-x)JgnG--S~k0-}@SfIiwOV)t77=S*Kg9+oo3U ztn7&?rzOQ#<@=a^Dx#1k9j9jzwG|ZF2n9NMaIMnrH1Q)Jq89u_Ue1hmDgjcz05)0` zVzd@9CBUq%)Gj5PPj&&%h;4ePX<20>+*NdajjMFj($pq`n*ejLU1@e;2MaBq9}@t$ z8f;h8a$G6w7q1}Ihqm*6Vp(Hy+qk8LW3S48@hRwDhFJKWfH+5hJram@D0$>SSa@n# zw^@hBHk z$Xwi5F4K_%1E~4au%c;i`4py_`hMb9q=3#)d-={xpEHA zABX^HxQanmemmGU7(=o<)LrH zK*z!eAK{rcgsfxb_X5y5i>QnO1knY4U0S^rd@pnLxf&s}(=wO3J&B40mRc zGx(>lulqDN*+2myNH`lveVrY^%o^2U#3|H@-O#^w`cmE1i|F0 zt=JN}rG{S+OZ9;SXDTXJ`ip3s*+v3g%Gg`4Re&&H2MKgnArB!}R7ybJFWU+VY%*H> zjx_02DW+0~O~G(G?L!{y`uwFTlHZC|^ns?W{{T}e=kB6VfkR2@epCqV+E>SA2KyHT z316(Hh$USU7x+uZZWVvIVTJET$Feixj_*Wa;~Oecz!TBgo0B{x02E!s)|$!~1*=8N8RV)Px?g=P*%!?qsZr|Xef+@*>(gM z2>WD8j4#E*F|qg_Ag5$1j*0r-mxyTm@A)C9h=!Ic{INQL5udy`Z#LigF2hK%Rb33R%wijBuwj7!G~QhCJ5}!x|b9`$0PI$ z!HICcn1 zZ#=mDC&(oge3uFwi8p^vL#Wx!Tx~5yaYqt0N>gkRfOnq~#q@F^j4s@4{cLqgE>P)m ziP&TACe$0F+stNuxJfn%~>fvl86(nRaB%Z zR8kbCQV<{qi^*VWj+GwQq&4Jf`ha&x#Aq6*D@=eG%d3JsjIQe^8#W+lPB=`*1;8j$>PivHLWU6iuyr$Jl)G;jT1 z>QgNrQwN?SwYI{jB(ox+q$YJ7-pXn{D>zXiCGOu6;fYXL||F4dP&Qx{vdWO z^tin(m1+%T1xQ7$;U2vZ6U;LSI3noYSnV<+nHcs`yfy# z=_rDi&&86RHdMbcsNqZtF)U#XLF+H$AdZ;e+(fv(6vNoe$*2=xElU_Vu(W+>6IoEK zBb;~Jo+PFrF2s&k%zI9|l_;gckVIZ`<_Nxv<|qWUR-D(koA^?%mVOc2;t}l|~cE=D`~Ts~V|F z1IuD57VqV(@;M%H@;_o(fh-8(p(nEKBMVM!}L9fF9(nT`x-)z{E?yf zX2nNFj!#D9oS)GXhX_l3Cv}6lk;7ERaEEOt^87mzQt@ z%IIYkVW);(iKF@qVWVbFfxP6XxO9bOK)2Xu*35gV6Nk)7`*4p~ZL*_Bk^ca=l!`E< zO_pug*sP|`TncE)MV2wvMN%Py;du}Z6->BYE^Ea%;kc_0%Wh*-2gGWooT#t#n9$NH z!!FPTv0+gfg1(@8cX0s;4}^+ti*@lYw}X7B8Bb=ls*1i#YDHv4VU~FWMZNWjB|%(F zGxWaY?ov4n#Iyc*S$#t1 zvl8bB>4*wE_7-eK?6szPLYh8fLs2ysdz1zE#}c6OZz;~PO+_j;6wTp;T%~e4=lm7q%tI(vo({N9HhE+*2rK zG8d6**9gMDhy*Uo6_t@*s3f4zcF0oq=O>bkT+UWfVQ2l>OUk>4Ov!X7km*b*UEO!; z0IwEKQ;D?l0dv$%zOpJWL?-6v-8QA6tI0$~JFrK;vNb#L`am4j9=a=HCGTA2@8rv_*CblKG>^f)y^lC%UG0lAE{ubpnqP$Z->I5gSFg;fr^b^T%>{^~zQ1S^;&WM@inJZ6z(?~y=kV=EjI=oaj#!P7T!no-p|0Di{X zsopwm*iR)NB2dA^Z1#L01^e*;fY*4CH1MfJsxbF!BB+VOYBJS_E{5_e=OD3@Q_pu} z$rr^R)*wnXTDf?4bgBp3br3*aMVnV%$4Ocb_Jk&s+-qAuc~f~((U%jaGW{5}Ffgm1 z)3=rNTi6uadAIr_Dr+&$wLfgIqTv5P-RN5KzbjWz^)p1PQ<5HFbnd^=>c;6lrVe98K*Uf$dcJTZKXac;CB}s#N2Yz*nK9zE~?4 zw-?|#e8g>lM_$8rf^M-uTuwv)v3GdFgC=C=U&TtsPn+Zv!3?{XUAdG#PpA&o(d}ei zL{PpJD+C_b$_lVuO}fKf-{1mpF1ZD~p25UeTKUOMG|+-{k5UCF)T1P!6UZXk74Kr3 zRsR5DB3M@Zpp-2yh0A9sL#ff1$TM(PS6h*i0E`qDW}r-;{{X_D1F(K(RnUqyG4@{2 zTF4L7?6KP76;%Prbsth#ljExNja=D7el}JuB^YDRY_{xm>Q}YJDAS(LNmns@U!RTF{%wtsze@6KR zu+*Xr*Meljr}o@!H56Sc1MMs=^wJ0&+l54U_w_AUQ$E;0P;mWnG=d+dU<-ys*0k2_ zF}k7pmUt(Fu%b}!?GO^vJjh-z*}1SjRSWHIVICt>jV()2a?C_wx1^!}0R2&fe!#Hy zptLUym3_~-qnH#WWj4yVYzCGR-%BM3T?Tv^7jEbQdnetfgT2vf!9BhdFZ7fULoQTGXS$i;L^<*O3i{i2xTV{DL<} z%v%tMz0bi9WvsmX34eg72Xy^Hh;)6ZP-PYf;Sl&8STGpZwStH>PlO~~-R>majd@WW zlxg~khwCQ3rN(TmD$h@oZ%I%Rcso->`MHE{{XLJgYFam0Fa_n zUdlQu2OWmEO*oR^g3dF6c*2VowGiuziLC|s>j?d(TPmmG3oG5zISiPJ0t$saX6&tFT zF3Qy*P{7yAinkP8T#!`=500n=Eg~%Dg4ldNaHA?;+6;k1NVQ)x;seNE5{Z7mzp4$D zsZxeqx0xg2kXH+qQZ02RrRwaQ~+HqDjP$_ zGHsMvpNUW)EU5)AZBu82lvaBmI@@f1h<~8krt3xw1F0Vv1VEyJ^9SOZb5&j@9f+eV z=!FAoy28~L*Ti-ev!{Pj@LI+oHo1|&ySQl^69up2-4ws`g$HLHtJxLd`uC%D14zDu`|M;i*K9hVr=)DVXTLZLeU0Fu6zs{KGa z+Re(IEc9Hw({@n`aeIC}ML`|#6Nd)Cx+~t8@NGnZ1Uci7W78P-OE_UY4Yc3kb$XoPB4FXNR?aF=yNK-_t9m`lO(Ib+2H6 z;-1P3e`UZs3#J}dd8Lqz{e6kZ2m4UVy@6su)zboMTKO?3Tu&x{AU4U~RD9GWMXaTp z>dKXt?g@5V{g+ zTBussx|E_X*c$9<=LwLN7F>QyvJo>bHosr8_CZZG*tS___<0uAKE%+frd=7Ug0{h< z;Q3_?e<47{(enX~>sALPnnL{bf^Ad2FgV7KnuQ5Yjfg!t$((9yx(v;3v+5upu)yf< zK^2NQ_YDVw);&$XljD+(UVO_cp1v``utt|>#L3qXe`Skt&>Qy{2YPuY`5c{727^rPzOQsyB z%(+pt3%9QtfoS_jXdSNnLKSbTg=>*g=k+pFZluyRB?sGxuhxF0LJN5dptVXp6O!Or zXCZaEzpsgG$etGszN4qaMGcAdf&Ridp%I{Biv9T&9Ugxrx=@Ao%S~V*?4>%DhP)B5 z5V3rS;OQICI|n&FQJ}(zW48Fz8)+db_52P3{F!v!%v6^O(EUmnr6M6IXJ`GnK=6Yh zD1@m&xn<|Y zeX^>>Mx0*GP(Yon+_P|h`2`DK;#W!_D6!HcM@pgu_ZuUJV7h(6xpR`>-pjJC3E*VE z$K@#P9>xBWnl4*lFvkMX2#r+DPqq^dQR#mn>s@-v0|MtLz@>uS4hOHy z0be#GLaUS=>_h_BKHw$DKZ7UC04F4f-5dnxT~U2tHar&jz=Fr zyoRf`-pgus>ZRL7c9M2}C|>@xm1d2cQqB-tQ7MPX7MW3ix;W`^VVzn$^~j24_vG5h z54wVc9Snhes+;6U8u$7@``wF6)>hkQK1?+*2x7Vo3c6mK3agz}!7aNQ9P>L7r$AW5 zE4l0(6upAnpxR*DXE1;-Oaz@7anU6$QfwG1>sKf+T18nGXaX|GmZ|>$VgU2+B0szP z3qYal0M<+wS27q|RRBCN33dMfxB};5=~)wdd=uD80C|77Y_H2|4X~$rs8dVfPW_PE ztp=j_a&iWuiYlJ_4;c2ZIZ;?vYT(D4w*HY0<7!w@MSXllBk;^_Ovh+m1Z_9-^OS#3SJ#bD~<1$364)<&4S6($o)P4 z04BoH#tzQ4$zhvOtMHX&AxxlcjUXxtp9JBcOFV&NY?`3D9f+yY;4WMJ1cbHg2u1$@ zAhjB&=N zAEoT^kW>Me{{Xe*C~{adhNY69PzhT4*zB@>l^v9y9@N^D{Zua~a2F9i)=G+&!MY;2 zyQn|4tyvL7ZqmtDN3oGr-Hkj{TNbAJ6irmRLU7oyWbb{LKw|-4%Y?OS0kG8t@%>B@ zQRgb)wa}N;PwSS zQH=rZOJA;$UO+N&EELyccaWt+d_=-NH zt0XlZ zT2X|c{^gTvvCx$ZsKm#j8yrN&MWcODCjziwQo`_navDKM&ycz~$b{az4OaSrbU>~_ z%Qk+XH7Q^l2x@|_TlH5h+Otw+G}Vy49h!KJ zag`fj_w8L=dfhfc2{d9)#tId z{eUG}U<9M#enE`hEZEl@>}qRbg7PS-%nMKe6xFIQQ!WyMjcr9=+)vS$ke5Z0HNWH( zn*k~-47?@JunUM3n6&{#)>XijjZ(2ga*hd7si;(O#l>fKGOM2zDFP7Czig_4zzQ{W zWmKS0+MFt+zovGVuWDUeV(*9* zK6P6faWD#C0|DFEH-}#{x*S|`scZfq?(J}{MW!p^eEmSvQJYU!kr(=g9nw2`eu+j7 zXjPK2w8pUg!iS`*FSQ7OK>q+a8%T=Tm6c{n72#?Wsa}*m@}<2VkOJLNRNt|b>|DUR zJ-O8ge&H3Qf5b^;A}ahUHOsZMj$!Mb)B&!>2O&15T(pUNwL6OCFc zz{a?84iw%%6iQ^p&Cl;8iiuc=AE{iYZyykg3dn<~sQ~`$HFtP&_3B?+d!NIgYI(gdaTsiQn2W25TfaH%PRyV`)X#fbdeQ|-FdN}9c9ZEL$N zS`Ud|KxDQoS1&5y8nfe@1ss%7K5TMum{8vdQEP=V)7VFpcD#a>@$)ZB=mX)1>km?c z2(VzHC1un90GLsN2F)A4a*aOX548#U%4mpL?MrYL@s_G_)LBZSWh%URv10WrSkONl zgOx|IZz91)vq~+A7h$3K3cI{>&q2VH^DjiAYAU6i}*R)14~TI5-Abbyv89I2+y z^k6KjnhMy{p}ZdBS;CBQ~e zlFC7+Wg^%*jA?bWu9HD+RZA0mWbzZo&A<*2U%5foAj&2A0SI1Ye&UCYE>P?s7pFdv zWHA7g3Gd9&Fn?wd5hXX3vaNIya4;V^~w{a&J zSoLz-mQ}4$9j4{tDPM%mhD><@`1ue(vgLHCKolM`T~-&C=_-#&aJ_?Wbia`(zFn)1 z8#1Ay66M*Qfof(1M7_1~TNF+kuZosNMVy8I0LU$yy7HkL67q;5aF!osQu_dNKMNqF zq^zz{{QNXc>z^@(1pN^N03E!kq0o0>b0@mk{tRZ~4PzJ>?BYZZikMc5Sb9p^@j&^)exQAsbQ7fBbW_0}> ziCs*Hl%+Q?csmG)uZ$>Ug{uO&-B$c3bmDS-QO%{0%ofuUIv*n;$^g?7KP(otpAR46Gnj!G^s`7XGn zr$1>>C{EcLkuhP&qWQ_sqJPX5tNf@LNe?B#%kniTY49;##VYUna)VZwGXqZKu+K0+ zoqi?a(E{{}Fjj>umoExZKEzGY`(RyKI%^=y>O#_G;gLmB>#;&yB6Sge>=^}U_?Fg{ z1bg!VB|0;)Cu_tP0+oena0U}%S!ydEw&e^LS<69VBBM%IKxR*P_3moH%bO7)rbH* zk6-~sc_`5vb8q5M-UShOKUV>*FaH2AOLt8_Qu{@r;gJ{7C54+SR}zCb)CjmSHz>d- zxB8lez*?;Kv+7>=fwwEmF#Yad8k+ zk!6MQq3MeJAj=IyL3eNfJaQB5ob~cty=zj*QNzlJ4=tDbA#HmL&%J<+mjT1(U8b%k z;BFPWxnyD`^1~ZDS+x*ow<7U^y6>?2ujzyqwR*s?AOT#cB?n*<;QC8BKX4%&ghEtw zb{i>1#J-F2T<{ilU0pszi`G!PTtZr#ecB=Hd2;WU`w;43sE4pxL^}s!QLBak?6iC( z#hRgD!m7VDGuYmVdgyPfdIaJP2~jre(YhrIOxSnGAUQ2c3kt)?1&^00XpIGT2~`Cq zjPU`b<5@t-{_&(8NuwE4$Sf2fZo&z^LhrF0qf7mrW&}};5h-Afxd_`QtJneIzR^<= zYsi3U+ZKt66Qpe|#nOh;L&i_E1l`v2c=|_5>8P;|g!!Y}kq{ zr(z`5AHEkcU~3Q-sy2F)2~59Zj0nHL7i?>D;aGrd0$j`Fx_+h%=dlO2N)ddk9Jb?YX17h3j zOUYM9MEmxoOLP}101b;mT(#lz9pz*B9cN6&prz!X+T>V@>$28dloO}OKsoUl){WEH ztBPaB%1vX9%MDTNJhZ5&gB8nBFNhb~E5t&D87WD+s-GGZ`oydQWvNgsbNa+>ZJ31$ z%qm&g5YSNjRT7wiMSshTqVliE5?BwKfi)rE*2^ZrUI+M^zFXE?W%8SsD#0e8yxSsB z0+saudk1NDVSl=U2KOT@VHF``Rz{OTb;pA+J+S08;NrFd za2Tar6f4JI0fv_Q7P{6&NFnhRwyvUP0hRF{f`lyq{&HRAf7pdrP(G0;I_v>0*!{T> zy%R)Kq~U!|bV0CKqm5a&E+v3h&HIpaZX&I38fq1P_E5UU@q$JJMX-dpTnKeewD^q* zwgQW)@v+x1<+myV$R&GOD#-GB1|FS2`&I$~(%5qa8rg7?9w$Po`NY)%?!{ zNB~!P$bO|qmkMn}TZUK6<2@(Q7FZQ^8U;Jn;}c3SscM=f_hMhYkJ2TT9~z1Z@+NPt zN_7w#sq#OEk^bY~zs6eYLY3btFlB%`e{(pAX&I31%})4_XIGN9W2MsyMVScbM=2;h zhtT+esM0|@&F7O_7U~JhYf%&0AE+0fE7Dyhc-*pb;MlrOWJ}(&0(%DasYl!Q2HLDD zS_G$J@hG~G6M$koie@j0se-1H?h5-sHiAE#k9ObCEWzOHr>vSjL_iW}Mz%0DSLCq( z5EaxIQ$VXweJm)4L0{x(iRg(!kzKcWrg8y6u)dXJRnnxiDyx@O00`Z3TFjc=Mci93 z%iIg-h3J{{H7s^u>|4`!7hexxqUF#AF$v4^N(X8i@w@g5_O zo=X(VzbR=sSnnl)=1V_NvZG<(KTxGcr41|CH;3Q2;Y~}1+!e67Zq1@~OcsR&I3S{_ zS+x!XHOMd~@l)>8h*km|MS>OFwU11=j6qI(G~5Lc^<7Sa7Da5%e)HUig!1_Ig> z8NIsrn8ge8DWIcQ>OD?UMcF7(3Q*N&kr%$UW9)T`zfQj~G`pHy!a9K8Y5`ExH^`>1 z^2)1*t{Y%U?vX`CY5+1yHC%$6L1mR_uagVWP|?R#xS=U)Zf~xrkK(9T#0A#n9EAfj zha&V}Hu57woZyDGJN=-1L`DYoCZ-V#D2fe0wfKP8b(@S=f#c_9ls2Paq_%rs|~tv{i_g0=0hZaaIxy-3u!^F$=vs zJZ0hn-7th3RO&R6UMPN#_bHo8rivqF}8s3d^ zrJB8gsdL)}kq1h!r_-{TUAzAPl8;}i zh`!*6MfCEZ+vCYq#kkNhVg;ifZ$3SYsuV@VB05UC6)vEF+677gY9y-2wd{}t z$yk~9a)H$9uHxr*wXl^*r!6Ih>M#CGMFkYECin9fP|6i>sDW?NCUTyq(IAU11}yuM z^~pd{y+U1(G5Lrpzr6T{;-g<@6dY!a?$6W(8jaumROh6^T7UpEP?cTHp?#QMk_|D2fV#)+EK0LPJnFuEl)>|! zz;7wSs$G7zas-TnPB@D{)9wVDD=Dkz!*F}Nvq zh(mBvs45To<-<)P_FON5rmz>?6ea9=lKt6P7dQZ-#3W5&;5+-dt9%SWo*MiBv|a z|>T$O>Ma9j+E!rHk(vckV{m)R5w*t4H-K{l{`Qg zV9+om>Ch~NW#rv}M!x+1!ooP|cPdZ;p=FjorI`i=yU6=e4V8*q>_gTT2B)g_DaOj< zQJ1|&GqTIL6i86*nk9|WP+h=PE3M7H*)42%IXx)UhQ6xFlxJgJ%ifprLX8{PfRs{H zMuaez*v7dmO-~6~Z$oh2Vr;eqDs+8;Q*E@knQL?9G81Tv7G2yWxDpC_G}OOFoy>Lfs6huI0NM605lswi{XNDMRmaaWli?+3U7-mCH-WrI}tt zyxXzC-zfYL7WEgj_NB%c(Yu@!O-q**!gViqT$88{sMp3l3k%@7XW+Ap@aXl^?dZo@_%|J{*4G zv0AeAX&}jKw!~!{e3e7!g4)iJ1sy#jy=Dcye^3;^$TC>=&0)waTU3c6uKxg$g26*v z*mM@|87kHRwWtaO+2bQcwY1HT3YFK$rH%_JSw_5DuaL2Ol|L;7d{bJca)6YO3*j|* zOc}^KKWPHNMP_o~zo^IN2B8(R^RNoKfYMdz30ikjp-%A$yY^S=F)!v5*-&`P{{YhB zDC>Qsv0G!TKT=lcKpY1D08;4uiO8X%d?E>ZS%kV43b?rU$WmZd(~(tvo_)cw-2IUy8!ur8&srgElhIdGT;|j4^gU3; z0v3-xWjV6-rPu?f6%PtsONT7oW>tMa=plqP8iAHC2&29x0R>rtZMG4v7A3jykOjNG zY(-+4Ai9H($POGD7~4~PgWRo~r2 zpz&5Zt-T-xS!xFv(tv682v&t=)I1pr8yBy{qM>BE%Jd~vXXjfHZ^6*{@Ie+hz^LFS zPw-K4mWpStTmZlT4Y>a0)1JU7xmyz5Z;^SeWkD3OzC50k&ADK;YAX&ARf^U&PKay*y{45 zy^R%XWwZ$XFthRXDBj8{)Rg%G$iyMW22kg{w)n-Ah-rL!jjK{{SEd z(2h3<(pX@6H zleT`TI;6T+kUAQyf^cH1nzE1>q2CN1f8hQMGd3+(`vDT^h-%khBe^*{Y^ME7gK@}* zPtWQg+FU(QG_Oza!BfZi3Yy<6$x`UMYuNh6T2mi0rsbGhnl9pi{6(Sg{u^G7L9(i; zsZ)ePx#~S0co`V9Z&XHPf7S$4*n-r9Q1~CHo5PV@sTg`kOZBI+{Q%0SXOKQ4Y^PR+ zFVnyNL_vaE;fRc^@64sPUK>PYY^%0WzV@H|&5M6`838LlkUF_xTl$nG#mz8!P~!ez zka2#!xna^$G`q1%R95R@Gbkx3Ucyxtr_IWEH)6bmOMa7%WT)ZZ?6uwPL_~5}TcoZ1 zyC^d~mVZ$ezxON`2gSXK;@dd{71Y*;Ssv(JUBQTyZvOyL6&Y6*e-f>QC4XQV$!&}o z2B>EC{@L^K$n4p$g5tMuOGsed{^dbgMdr^30u&W8*dwb?@>3v+txUBpMPlPaxhTDs z>8E~C(50Z15%Xw@agT>0H0-g_hD&D_^yC0dG^h5mVj(xv%3wgewo_1tU102OkkA#8 zd$Zd^kX5@V4=IzLtUoH25#GChP=}2HU&4HJW_-byV4g4zyK6r1K}E3gyDMIlcB&z7 z{{RE~7L8fDaK8z*qO4!AoIHmgaL*%n(o8W{8)Z{Z(RBe#17B&SRayybUK>FD3Hu?a zCVBBXtoPW1T>^$NDtBnbaH&8=D2t^l7+<tMBDL)I z*q7Ezjeb~M)N^Uys0Pxv;t6SQX1&*p1u8(WlH0#hmo(b>lmQQilc}e_o=s+w)uf8U%DeuI{rt@b3u6G30ggD>U-B$8q7mz()m#P!gwK*HTJ-1F{O0eAS9MUsqFd$FSCitS`*u1{e=W8*0mZA3OGzflcS7>N)K zH7$XkP%Fk-lwNOVQclt~266<+O$W%!8PbIp*<&xlrskS*7ZUq%#@9kFpg62zsmChNnRg=9#xTsY4jjk8J-&{Z8`B1V(#C}2<`6F66;-vHGbgt zcP_hJn7Gez3bO5{Pq~aJnkK09?k&K(e3mdRS@kUiep1mCR21Q;fDjhUTy`^H4lxv6 z`HZEDmpK)}B)X^36ta04aPEW>Y9HK`0JKH6{G2$!HS|<#3brVwD5R_fz-~XXHoi0| zEEhJe(XjgHSt~Noy7>BIgWJkR096gdvjsD?)4Z9hYsjkj@=?<0WJQZ# z5CY&*ORQ*tet%&uZ9tXsshBPZ7TBDOPz4h9Xz4{hJ`!QHw#quNYCUZ;{7d%%nd$jN z%3e9+*5I5MbkQhZIxq^=?4rd6J;+s9OEM3>^09RM!8m=;>~+920SIKD zKpQUoOWa)ZO?DdPK+i_D+*r1&&wZ$ys1{ubiSXhojt4L95D-|lzMzhnyNvxSB7o`t z0NenSpFI1U#XarIBB~5unJnAn2}O1yuHiZnd2uXNoO()Dt~{wmp^E(>K&>wAKvIn+ zQ*E4HECkl@C&YDIf7>M+@daF`%^V^|oP3m2e^X9gD6TR13-YvS(Z9v%$|3_|^^Jf) zExCnt-A~~e8o%)uEZt>XBh~WEnvxxSK_wwtf~NqgExyM2?^fby0Q9SroJu`eEiE|4 zX|H$YS)x!kDS8J_xkZ(J@-r#&MSey7%dgaL4zP1gv-XxnG_J4aB@+H~$YgKK56~i- z0(>4q0Ud;f07R1;sZ@O!BP)$1>!!shXl0WMo69a>2U+ky*bY&bQR^Sd zE*r1p5ofTr#4Uy<1?ad78{S80^>Cms5b`dp&mRzqD^l5VrwO*Y!0pnYC0IZ66X?j? zLg!Nc_mK4!BA@@v|b{R3p@L+PO_I4Qx4oX(*BiJTD7S^(aV`FdS<@{GNS4bUa`nsed+ z9T18{0{oN;1h&~JD(DB|C?A*$0~an*Y`jXnzx_a{ghVOwRvV)sEMrc4XJAG^#Iepp zYa!NB?@{|$3W}+>6nS?rtHOx%t2=NpjX#4GF5=zx z08CERMfb30-5!&nQ13Hf3Sy(62zLYOR4}4SzPQ;j3pCed)aaQkbaTa`F6BaR(>i(o z07S$K3lgd-5{~a6+Y-eo{{XQ^OcRC9kuU}ynLfghyKyoPrQ9KBn=-yeguRy#1p7i< z9#$UIvS^Dy7Nsy_zi5UccHtmxJ1SnWY_%GnQ**&e{1pH{gtOd!>RMo*5Fk>Fgs%O@ z$x`(UH*`vXlqKsFqvLYMo=f$|F9@`(zgS2JYUDsaNLoj!R+PyErFo=4?0m}HAgSa# zt7?=Z$WTWs`i-6*k2b0Ud58{^H*G~<+}VYcs<{K=3bR>Msw|~>c)_osImvaV4? zyLP1#yeU+258InAVyr)L+ft07Q7m=~V15?V<#?Tdt9GC|g6+|mvr@JO^-Tx@D)aX* z0N5b?_7nut3jRg|Rn`m*1ME9tNJI@pic$Dli)SPK%6G{AZ%v{gzd?|7mKnb?v9>iV z@3#X5vSvYB=tvqMgplrX3^HX?E$TOOk}FQFeZWXB4G7j!&m*g+C0?M0YVQ$;5b z0<^%T*w`C=s0RgtyLmc5<`EP^t97#~QR9p}Rb95Ag={D{vs!Q>l(hLSCXJAIF+sk; zh}ITJXlmx)xP%lI0;}7!7CjfAOt_Y?Xcwed&+trg3I*~uIi*%uwYF=KeejGFxon&e z1}D@xF4o5~TZXeGy>3LHf~m`k$OT`kr%HtuGp~pMZe6<{qi4tfpvwXKy5s{zKr+UI z51|weh+%LcgLZNu-gzwuiq`#rJ9l^1C7w|$?;ggjv=-A*=vWfX)lyW#RhC)Pio1YI zMYr)>)vevi7Gp|$u<$SvFp7DB>;P21s+8dkSQHxo;;kvPwp2@E{-FA(W<|oPF32jX z!i5czEgSP@I4_mW2qkleWsnVDvv3vV$^tAus00o02mpT~MaWPiFe*_90Z+eDss=GY zZi}j$GF^Nqoi3PiJ z0~WB+9|Gaxzfs^$+(>o~`k@d~>J}`TZPfZrp*7cCl?sRWhA=PLVVHf?3u;OC^1Dz;s=Xi!y?AwT~BF(qSq8|=WrmTB{pMf(DWZdkL? zi)wX)M0TZ*Kd|d+pquFkCq&ic1);T(tqv5wNOdAryHOxne(xaxTkCcge0*T8EU53C zE8#3hJ02Hmi0{@=LhB-i3mah_UQ^i3bSSpFk1j8Qudpz1xOrWR6>K1CgR@0By_oha zIdh^FcNiIhC9Nz{iA)F{MYkbFwuGS7zljo;X5ZO;co6;3#nkYbb(*UtW_ToT?nP%3S?RI6SLB4HNjK!9q?>z z&LW0h19e$(SxLcQU#nfXY{mUbbIX*^H`%Di;ez_yK^v<3k1)A^7$SKH025Wa_?2^a z&#ud6cv;87RKC@@hNEukp@5!e>RMTSfI{NdqHJP2*wZN`U1)wKWlGZO`*TFAJ&3ZV z?947TLeP2RS^iT?nk2JQecs@~Oy`Ys{VN-gXnu$G9sKV)|>)V-FSCYgVZQ=I+ z00U*45|!0ol?sT`Y)d*Jq0z}@Ia1efm$4QY}hmMNj~M z?(Z&GtJq-Z_<^Wwu<|ggk%3FN*`uLqJ2%~ykctRu+mTRRC$S2wmKMt(rtw%{f`C3? zh-p&`^gdodD#oI%mn<#pSpm!;BBf1VRW0u;{nIZajIzU>$V+zU`iz=1-m;I$-=Dzo zO6m2P0P5p#`?Br1WVXMP z0r{Q`#x|uvTDq&G8=r`*Yt0fOrZ-5@4tWF~t9Nj^skbP*7^&o^_G3gERXb4289<7P zAs8CFza@bEO9Ek9WsYzDrI4(0Y3N+hcPnYX7zxxi72C+6n#v^NxyaUr$-Q49U5=+& zk8*5W)B#a{WX1x;qd#+#K$UG=0@5IMBK0k)N6Uy4kyX^j!dub+bJjRvrGMOv*-3k| z_CslvMlNII7LOrqDly0|`wZ>ad`<{ao8&R3tFybshZ2X zYGALG$~$tA0}%@%py?Hchr|?dd2qP52Chbo6B5OWT7a{p1K3B>2Tn~v@-AD7_DdyY z6AP+Bkc~Q95N(QEe z-q{Uoytw|MQ8dM(KQm7~O1g}I2JS={Z^K*2-P^U>kO80iiooFPrOjRuR*LvU>z-6< z!D)#!Hu}w5iGp@hwnJ9PU^u;wdefUOG~w?epHY|y$@^90+~lw;|VlhEVF9E6!KOv z5fQwAZB7Wd8+P!mL_HAlXxM_=VAVB6sRWrpnEvX`q!X zL68dttf$V()qF=t&}I}zLf8RiK(0hL%o|1{6t1>d{mo;W7I56NQVJUERaRT=M_{VR z9`!!*Vz3{FVL1oHJt<{@Ci_GkmRaZhxE!Yv;#%4DEJ=dHw?4=VAmL@p5H&XL35#z! z_9U7$e5e#aQYc^X9wL?+i@!P*w2|FxP*w$y97EP>mKG zwmDY?rppKiw3B_9wCNEX1g%}!{{Udow@6=*I2FO0!(%`yA_J;ye&udwFeSg|5`U^f zWhH^%_E{;uysscCh-ixM>R5aAP``1${YJ-VX_)tWxT5nuARV7Bao=7(nM>qhu;n zuvdb|%4%V%aF~iE1uT0JZr^W^mrB0JaJ7yKTiNx?17ZfUEP`-i&)3N06ME92EInbs zMi1LCTjwKH`q>4FXbQNWYH}4v4B;5MJR*N+bkavnDH2yIk_?WN>xt+z?7<8r~W;F0J&Xm9%L&* z0^3r={YEw)b6_o06lfpZeGxVb_-UC&dMf2r?7X>#6%35A74&lFetO=;JcKDIh^Fn0 zhN1F@6lw&BK0opycCm@+m1)vUY~0ljI-{RB_oggP`<3sPU)u(7d5&Rv6SQ|t&S zjt$Pc&coYaFIs?Dx~hlQe1NOFjztr#{K39G@*Ny9sFd`{IGQyV3hT%Wkk`H zc){K)b~OMfy!n=4PneWPpmJ?OUvNq*NQ+RjN#U0RxsW|R2zC`6mkgmQS&=F#GDhw; z@>t|;RKJ23M_f~Ml}f!BBs`VUFio%6hrmu#0U)EqRZo4hJWfR}tGgOPjWrN)1Hymd zvWF6&n97>P6;j941c57iEK>tE6-vjiv9$Wki2liH)t?f#2P(7JAh|pyfP(B5P5%H` z?+l?CRD{mtu z4GFyVEcuONO3fJ(G~}WSr)7sMi|X;KV3}~2Xtu3Ud2NN1Z<2>7H!4zR>77F98!}jW z7Ffn1LR~*HmGYzPb5fC0mMvfjXq3%0s`!dWqw6@TSigiCBZ{&C)(+HOUXsIQ=sPTS zzLxS7Ic{<~fkKJR5b{^*RI|7hDHOFW!(lzBQmS3Fks;$&2->Rr>^8!lo#aq z5K9O->2X|$l;w}IyE|6Xa|kKc0WAdr@#`ra{{U`3QRG^{A7vnIHdxJ#=KR0hq^fWM zzrq6O^{6(P4oW;dxRy1WEY$!2e8IF|9i{CR!X(pZ+3jnQz%Wk{lCgeOLknB;G6?9r zimoflf|!8h>sf6reniwR&t)dU;DxL80gY@3mDyJ<1y2RKh?Eq<$k-HJ>KkaH9^Co% z-Eua`XRdotD|*Obs45@SQk5f4dMpryQ*~AMTMbCntKEoj1{SGOfb98=RR=$71-JMX z7_va4UQA-jB>MbF*gXnuAfhTI*h;0{GT4P9(}N+MGHV*swgkwV4WfeA={uwEO| zG(4`#F=_t*v<~d;x9DY4?Ns;zqA9BSpD)D>aodRdU>@mfE?QTl z5Fi;+o7=OH{mUpy6h!#0%9*dM4Qknr!~i80=&9bL757r2uP1vRkPVii+h!_$3L@-REp_= z?6mrq5-AGGP)4Bjg4P~m%DLutBKw&Ni}^8OunCCc*Tkibj9R|}tCwFHNV;^m+Y4=X zlOlto>Mx+IZd%E;L2*;&3)?9iwU*cW8X=Y0l9tjm_a&_%YC3@nc6$RyN)*{wj2l@& z)^;N*s8+V>dKXOyDud$+Rcr$25d~UzW8J`x+)L|=VnFZY1CT+AZkq%YnGyAJz;9S6 zDM#aFX$b!S*ix+^Jztj*SMogEa#IlDx%E=qml|b4NdKB5(Aarn#%V8Jg%aw^BId2>NqEZuMHf@mJaeDcZce<0ox ztL81m+|r?%K>3!01Yl*1#HvL*+mvj)N6RvXvG{<`gg;dqMPrH>`yCZ4_wfYV4ohhB z0BEnWbbknVUiNJ1MY(XNd)&%{O<&ZaJ;Se-w5jyh{Usf-zh?)%jG95WMXkmQN9wLC zH;Yu2QmRAOW>D8|DTK8J)l?-1_Lkh{p?5Zj)oVCZ3t7A6!2@k#%LLIs!gvJ?46cUL z8j%}8P+{do>IA1lbL$M7u(^qHnxISdy?`LM#Bj9kLX-=8b5EwcW%~Orl)ivWYOIpFEcT04EG|Aq4>hx)AJOk#~0=pCzyw+AC&? zj*|MErCmV>A>CX*SW#6{-{#IhZ%)b$K2(rFm(y3Vet|7!e<%PdUzGsx7rAvDzsa}; zw&t*+`DY@$7U)==7zhvNG$ zVuw}3@i6#71w0F4n;L)QE&DH$#Ur(r6N4fWS4NolFvO_aK~&_%gOf@Y#}LEhjU)c$ z#Rwd{5g3JtTV5{?T2)6JYZ<6zhVDdpn_IS13&QUF@M+`{8QqsVg&=rJi^N?s7e+-b^{ z@FHE&ss6z4--*Hh0GV6?ZyZ4 zqTlUG=G=hy>J|l*LY$Nx$`qEktD`^b85M4-8D0@=U}CV)p8UX#mNJ{=Dp?@|>Bdw? zE-2LtXq2i4pCqK0)Vb0*c{8@`?L`VSP#h)gg;27Xs%o#16%v+=t7U^_RdSXIUf#oD z^+gvO;pBz=3SB^OjzA`#oBJ+0rL4lARb8=`EPzVb zB7w|my^BJc1q9ZbV&ft3M9<}9*0uQhL|Im`s8nW!g!W|L^H1XY3? zGglSS=O#}J!Bl)V3*8sd^7aueU7{V>E`stiX-1lqw{TPxJ5jBgO#Q^`+6xSS+E^5qmfjsy@RO>M*9q;4krtWfjtn%&l2r%ZKW`u z>s!VW=sNdc<`-u(?TbSh54XzqrP~;0of9D#57x zu61e^jPbmft-dREBSVT7e^=NA#fF}H2s$lcG6Wc-oN5&>3juCK3o6$}${s+}xIhNO z#mZ_0a!Rx5Y$DNF@*t1!4QURSvljl7!-;iS0DQ25!MSuduq+fUr~oOo0%WSO{e$fAHhWNJjJ)&Bq}j41vgbE&Y0KX4USq}ggY z?HZVZ9xSlbYA6OnFwzvsv0pp`{UyQGv<3i9e2bPszM?ZW4GRqkXy)2feyR(y9X(~r zR|~^!?7y;gGezQ}NW&hGg*@1reyS!ZxT=HzDRq!)f{IkspwiZ%m(qaCQ0Oc&&ZE$_ z763h{0{l1ulIN&RytLK<v*JL!&>vT%g7s1_@L78kSd6MWzeo>ANA#vXX2lxnRab zTH%bWW5QHAK7w{26;&;DQ5R38_xThy&jngKV)m6CXu)@iAWd7$d-}P7WN6WHf9X&OJMh19oWZxBTsBVZ%kU66P22nB06 ze`o+EI-{u=79Gop3Eg$KGNE54LKYZUnfCl-$FAL#zt-g<*FfxL zQ@2~ltHFY;{gUMZ?O}2riFlV&LITxleh>jZ_FUrp!7E0(^7{fu)2=0o%@Vd+C60Qz zellKvU0mJ)|7k~5SBWttLg|yyWI$(jnLKl$-$JqSJViz|we+Zo34u$y$!LH%RW#%}O=CU2N`ys9t^WYX zjMMOTqd@EEi{8(&p;&K2+>6Em%2psIJE2?h&oYcFv5|KKmYuDBL#-PHY9MM4xJ_)@ zDvZFROn2Em28mLlFVyH(Jq9MDE|Nuh(N$r5hln(+Mv)%wQ^SxLhzNTu&TBs zv5-WXb_v>_vg5(@T2w}Q{Bosjb@oKQzUBNF>+9&Rfs*S~o|h~@v{n2}p3^S7EMMqj zma^SZG!+jw2}!=OUEFI*$3CTi6S`%~r(*URItG^9S5yW+b3kt0p%NN49R5m;1;-%} zRZ!FPlys(J>LQ>NiovS@^6Ds6tztQBPuUNc`N?ssZy*b!w)Bab_r^*NFT;!leIT#g z3ruR8o06;*MYsSwrc%OH6HxUZ6QzzcoNN)Kv_KY{qS!PLCf6d=x@k|{OFIg1CYYt6 zxkVP2;^i3^!LV_t_etngdoUsY0D?2F_PM3o{gykiqS_xdC$u+Gb-%P7Y?bM<0haJo^rvYjKSp`Qt@YNI9XQ1DR= zJ+&JZGxvzO0W@~9rKBZ*a$i*vw1Tjeem7u~ZvqgHyS~TK82cPqLfzZ3t=x`@#Ml{G zE3KBLkg#$6M#3ts{J_j>u~M3WGzZwTSvIN3>v`lmOa$252lHk_x@l0ORWh+FC48f^ z1s_UMF?yTD8|D#RR9#E%!H)v5P)h#*CQlbpCN)2*`hw>$5G zl|5ai&_V=qwHfjjgMX;(*6vpipSiSQ?Hzz(^2Ai(Er2Iw+5Z5BTF$N3KBEwHl(yy= zJ!;{u<83XJMhaC{L`^>TA@#&tQIZm>uDHu;!#Pn~wTZ%7(_{r2M=7NFM!~3!Y9F8O zU`^JJH!bmKsH=YG+W!Dq4&c9<8O3p}USWI90&a>a_z{Ze@{URb zmHMayr$3O_f8h`cjg+PqI;W+|bODV)he!nj+G8KXfK^Hx?NZ@NnO3u~Yfmx>wscOK;H^J3$l!^!2$Y?0!3AgsSOi(=*;%X5NeLzuu%#B7YnZ0rWU&vlS zmy7eWZd2k~r4h(xzB-_LK>#lOi~j(U<4v>qC<{j;7eZm1{>n^8Q<;wzLY9E&uD}y( z>f`W{(X#&lbrq{k7@``+ZJ_octzT`i9wYRq6@=AIz^7{2a8M>w$->)T*`eVnbhBct z{25Z#DRKnzEa;bT@3Bn=J&UJ5vDVvSWkjG#qbja9Fc;ud1#FK&yr@d(z7t|-DZRM- z5P=r7evwnKY)r(pK2fIr+ML%uTuGq)6+9h1yMhL1(lIWx8Wx ziSjCvmvMmWL&-;?;{w1OgnSag*9-K_F0D8$t%S4@Wgb8*ZLOZcZh|YS70N0EsH@eu z(q-;ijglA>rE(=oAL9ylXJ5NCXV z<6q<|q^ycYRHvfJa_97r7MuJKW*m+TsZDDKl@P&xMg@O$Eee%YsecLJeJgz*0CEXFDb|)(na8@8C zkfC177Emo)3bbGW(Ck4qHf_Cvpt2x!`-9UOPR=Dp_7zu2*+ZFO%7{d?7;RxvdTySjrgvD>5wsj-_D~=T@R@^Q^P{aT zF!V*bx5Tu>QYlvbtxQ+hUm*U#_{3E*BFZbXe^i2zf286y((1%4UR8d>n!C~_V_yBi zEf)YZA0aEf+u8NNc6#l8=GXTyqB3Tnfmp875G|yJwldM*2q=x>K9RBp9=mZ1lm%?D z*LY=!RffI^TN342QxVZqO9(Xqk`1P;Mj}Y{`>26`ZTp*peZpy#Rc-pKxko$q^8~hK zmdD6~8l1n}KX_TljZ#%_7FblcIq8W`Qs{r$mSHOJLbx9r1g2fa07LdBEZCN{U#aW{ znMnZu04`-C-7-|bfk!L$q7&=xprUGG(u$7&RVg&dK~a80B(2+)C@`9+s0BTiyx1hw zQw|tQL{gHPipYU}C&w%W{ID0=gNnaIdMiFzUfQ6|!GDOOEPlBK)m#b1Pq?|zx^f2_ zPs~UYY@ws$S&Uz^$*>|rayR=QnXK4EwT!ha(+aLo;OAwkC?rkvDf)ry5m)yLTrh<{ za?PcqGY7<3zzA(cLKkP;_Q9>ss601&4$;&kvez#9(EUVANM0Zp@XG}oQkoJE#HN)K zkWTEog|8|ImR>+OZONaAi}e(!q?I-*=ggdEBjVkujST+LOXKDiE1pG~vc6^vf0FX> z9K;uJL=`qcg=!-jiV6&Br4OuSp2g(*vH)0AB%KUWu8T`UA2~U*<{Je@sEVXcBjzbe zpk1q)kQUqXU54v76W9*tB~tO36$BwEl_>Ps-cdDu8I>;0`i(1V;dXIt0WAUl0Ey7B zv}1dF0J>@A!QB@s;>r(cxRXf$Ts1nO2xUKW2Ut{*ow(>AV-M>Bg6NBi2flqp42z@G z!EAR1vxg$^cmaorx35iUs>b)Elf|Wcz%AaPOA^ z8!NJ{Kd2yTe3n1M?s9tALHKL6000nzUNF3xZXhD8J#Md(z`jaY`2sKfT(K=rlA()J zl;bc{QG~CQVF=$Y96lgQ)qAbV0;5X)GNzS+ln&D^Ij^@P4r?k>E&I1C9tlXOt#;@5 zvU?UPt)PBlWC@jVKdMW~Mn(E(5k?1%gcz7kVQs(&-J#7-{Y)04#9+FWdey)YV5xrM zEubp(jxOIRhKnD*L_BHLMLs_y?~PEh@ka8Wf2Q}%Nbt-*;tA;7ZH4yu?3eI zvaYn*V5P0?z#G4dsLKry0PT89k{NXZD*1NC<6qjK%8IbT^@z}d?)xsZFGv2dt{|!i zY80VuP7#!<`6VU~r{Vyi70(KM+KDM?y8aS_rvwwGz5UHqE@^_lB&DrSE(NO>_)9kK zjq+JRvA)DraO^FryzBvMPx%L58dOh~Ua)4N661bU#IyyGuiPB1_irP}fVGCs7aKu7 zkN_bGz#cUc@6q~*f>W2sEvD)RRWi6q9jnZsBUaHEsn?- z?QU{f`m0CS0-ta|`YM11m#eyh1kznLuo2`8RMAV~p%Nmd`A;8)ULCEi#Tq`cJ=HiD zj0Z@zuDE~z*qh=2tw*DG2%?oFEFAM_Ly^u^nO$JEQnw#XK&ArYUBsjZP?lDl6Ckcf z?ZY|(K6tJYBESESUmB!DT3jU_i_ zs}vy?>xzbS%Kqb5Z}Cyx%oj)0Gr9}l%SJJsB0=_UScX2i005)aL#-{@rk=_d?)4;C(9gIyG(CqSyF=#;@L*6?R~h`Kuvd9& zP;9i-)x|EShQk_!w@Q@T;xWA4Rq=q=a9PGLOZ>z-X6eGa7}C1X%uE)SRQ)kX4UQ}- zIuKT>zuB=}`qTqC`{C*RRyeH;XQw&y5**C zThCCzR%~d#>LNW~vP3HaEJC$y+8`5&bGxOZYT(oUxJsEzY2b^zfAIi?J){ZJljd3@ zdr(o9z!PcgL!n;=ON5}5Fw~~tM~fMU>Rlk)YmeCl)!%#U;5A;L7u(df*fI1G@{(NaXpt~k`ZEi5$q(p zsGfpxRR-4Dc2B#A8nc#%zyoc8K^?1V=VM*Wh_tr_b{1=IkQ-N*lI4BGxs(d6ulT4K z>7dO>8-kbUj0keF7t)gD~gw7jgTaUp&N~7Et14<%kRdNB{$NaFOEEmTUChN`by(F(1X&8~5*KF!{{XQc z7fIUi*BAlm@XEjrjJ&E@iddQ&K6hY5wlS+-15k*!MNj^ql9|%Vg%-tF`z)v_y}rjSpen>RFMs>Ey#bz(Hr4*B0dM&z zmzCiL*xLJYyDsZ9{OjAyM zPlpafSYoU+M=7u&Yy|I##0Kv9{!J2W9n=+MPNl6qyUU*{Vu4!34pE4~nJK8r!}VDWwWarY*V%x`KueKt83*%3D}~{{UUw z;=+?V7#S<7fPv^)e!w)o7Z5Im+n47pLz0oV-L1weX$ol(FUfZ z)#Pd`$mPldJ>b}hU!6RGC2(g}P$$o&B8pP6w_@7x(PRbAD*1rEV&3+)B@vCL3wG~N zzbVj+*^+dxlwn)(5SPr5Z0g-~TIq862?30=BVE*%fa2(3F4LY>~+P>!C9*-YN&6%R{CE^x8cjZ*7z zy)7y=^9>2}mlDOQ?TtXvw#b6l`v^}&BTIsU!oH>AbXlrpT!XT%<>KNHd_*NcJfAjk zSyGvgDg=AE`hQ|e<(=9c>s)D(%8HL01>}f5R zE43&TtuoBcwUH^p#Hj@egkw|ufU$s~&@vWZ9e>h!40t=3RKO~5U->Rw7Lr8iO9Y9M$no7i`G3UqFOc?{X}O-mY43cn&&*BXOXk59D4w2xYaE)evVH^%l}**JX0a0d`Hcjd(P z0Np`%7l%p5DM|Knw@-!%Vm?eogXbjFbMpmwQtW~))^z}({p0|mEzBVbsA;XE8%hYU zT9qk5#EffX*V*%ATPVa(XK0liM5X$Er-KTZI3jNHRo11Hx%#P4rTi7e4~ayq2CfOM z`7W+u*1npYv}@UGqmvD`+kg6ru(Xf9#^eYsvAIs%)oyt%+QP3rlsfD`h$7hp5Bx>C z+#mtlYsh1zEs3gWmFZJ1E?z1 z0}Pg3ja5g65ukZXvYmo>*cL%<%|7;NH^i!kRVid@p<9J}03n1g?3(&qDN@?=?3RSA z@?E72NCAHhK~=BIa|l{~>R3bCt+-*as<-~2{lH_cL+!XNxIB8xYpniHW@5X`i_sy@ z)V!E7;NV&8Ku?X#fajI-zc4*VNqXdX)=`OAC?y|+0~HJs zaN3Bf_1UZFslkxK2}yY6mVA<;t6EG0c^1N|b_hOVwj%Xf$)M!zN;PC|%9&|vD80;v z2msZk!q^>J3yo?3dl_T7hdu0OH%1oQz(S@?@^K}bA{krcD%bXiHAHFvztjLpXcLab zE!BB3>-&HdC}+4d`uPhg_AmoW^HP|vnE5R`yt|37tGKNfK`L7?i4O#OplSkC7t4o6 zQ)337-S{U|_uaW+&-I1U$o9R4@Kf%3ngh@byZJ#{G|LtoU@25zQC_urUnS!|)@U=g zR~At!S=aedxtI;^@h_9W^(0xbtoZf~sLv@HIGR&uPMo3woiuaL+*|;prjlL|M{#9; zL7?;MrNaa3v&g5F^DPIpb4+w_m)}m@Qn!ycvXy8S{SheV!#tU<`}lwWT!Pv48`$lb z6Vv2w{dOTUc@~0Fr=X5`069lrCBS>+Z0ePWl@J7iE)?io&j5cS!8BLaGd*g4dnubW zaJ-_9ZzE~%*+(v5=pQgl1OEULx&nCyl%W{Da@@irUE!z(RD}g<9~cx6pbG3a3cXjJ z&k^ZrxbzC_y<=k0%ggdn6)O?%l{f;mA<0m9bh&mF(D{ocCC08*V0su_ViQe|kLiZ5 zeqch(ehpFu$K``g3_B;_YT`F z0-8>i+H53z+%6oX?J}*qGT*4BLFt4v4;ZP}DWK~DFpE6NT`Vyk#IW}(B9thHz|O>Z zP8jjfPsCGotN_8)8T&G|+eSq&pMqZbQFDN%s$WC)7P74Y(Hs&g@dp9%aLPCT0Eun_ zGN=0mTXY|(-^>tX47;Hh#YZ-nw|GG7@%jB?Tds&IU}Ox(l^SxYQjS8~qFfasH3*a& z0@vzMX{5itL9iLB3{{h4hkjy$_4r)m&fUZJ8ttFBG}79=j4nJ<70VB0UF`NWa}zYR z62~E)18EhKs*_{&{{W~rY6q#VrjPuunR>$czu@fR6=Ah3wH4p)rkv9D6gN37B`O&4 zfCBsMQP3Q-$wS?mKH`Dl?D#HeQtM5qLH5!(GLmK0xYQt3Y%Vo(vd2x9?r*|2VN;0q z6x$!&N8U=v;^KjKbphSDTMSy|LH?ySTk_R4iE1@crEDM<+#V`PQ`4OQ)zaR%XNGqDPBNV zRlWZJQ0vfsm$e;mhW`MY1`%rEwFIqPw%!qQcksBT{!LxVLX~k_7{$EAbrC#;My$i- zO}U-m3_XUpx@JFW#R4W*1q0H!4+e2oBEAAG`Q5E?F~B!5n4APj`h$=@eg22Xeij!QALuFp3MuvSuH#f zLg!^RCw3HJBSyi{F33+|oGxs+asZztLKo^PI2-$&EZ-KA)E=-ky=nkjCC4sM*H`$I zZK~PWe~=sh01!(L;W!4$g$ZBif>Vl)RXNC(WMO|%Z|M=mJ5jb8`It8)FJL?XD_N+R zwH>u^i4*gMsM91WvoCkV zcWKsEng!{&Sh`v8ObZR3OjNo`0DB^O0j@tsJbQG4=6}VNe;+e!rs3MN+V#nZ*{#!A-z=) zrrp@DA!G)>umT}#Y%3zc6_AHCKWrC4b!2@Tt;EsuD$r0}+(H10`WS{Nf$^wyMl*b5 zHk~+pOuJl)m#4k+*GY_j5bd`?{zdtE0PBER%VGRCyRn`{V_fgM-3Nt%i!SS<_s)U$qQ1 zr;H##ay{4T03fxhlv$+z0DXpH^MYJ}HF{Jn$xN#3#9F_`<+Ydcj<_FUVugctFK?)F z2)y_mV6;)`NO9adX2rw>avvEkyhDmc)K|AW#!PW9sUOj4mEdyafiA_bjfGmc?CpFoOR8kyBW$GAf`OQmq7bkBpY+U_&50 zCj5c;it;}M{{Y^TTu@|mCD51Cg!ZPGwLNLZb zg{bTe{r>>TSF1wsfqZYwN`U;CLyXi$z7HZPSCL@ZUOb50gN)G}<;B>0}smR7y@dG$-7Pa@cj#C85WmJ9fxMI}%LCW;0^s>w{m z1HXG8t67re^)wsCba3UlQSMjvKuO_m$=rc4a6{RFR|7G~Aq;61924n*89iAzqQwU3^YkZfM(7^JR>A8_fc|jiwC+4;R^PUa5rH;y=ubf2aE{*m>A3Dp1%s zY%FSzVQ!^fMvhB}qOGApx=_0y=%768d?Lk5R@eUkQv>=vR0M|glRY+1H5MQm`CnUSP> z3K$XdZNxuOQYH(x zXrI<{FGyXU*2JvAus^U*@}RKo5ie0fM#^uYM%4`-A!OROG*ig_!0H1@cN#<`sQ%FW zrijq624!qiI}d9jMu<0Ji?;Z?QeCSr!GObrTB75>52 z!A+_UWp(+KvIsGVOoEJ&dpgPv%4W-8AQlTY7YH9`{7x5(MUDx(m{^N<+c(8Cukrb8 zR-{ve1+aHbA#AXzNE;oNwnr#BUcSxCp%SGfwk@LUfEi+6h){1}riTT=AR3vEh(wh& zMa4r@v>PXPa-Y7*p*)J8%>~PnLg;omRc=ZK&%=_;s!bt+=(!YEBP`t!ORJry!P(m7 zdoKXgA&Eo>vccjK)W>Fmyq6AIRo@^EgXPOLYTx&eZC6o>J=frh9ko#S%&(Cc{+O*T zZ9*Fo&^}P2`lzhX?N&h@mt!siwaIi8iOORsXkF4JxY_!M9hRaJ;ZlZqkd~1H4fls) z*4Eu%y^OHwAEixc^!TXRM}1%lSxt~yZu~=t)GFw^h*UzmarER%}=`!l$pUlYJ;?qU90NavzIQw|7m`6ckl| zuV%Q_O1)s3s*Ne%WpQCYSc7r_>Xb7{px!+lUl0SHwj{dp;>k>@SFW zKF{16>>1xCF>HWT;H#B`B~58;@O;1A3#U@=)Yw6^iA)-!M@zeaYY&wbagyQMu4S~ z+Db2y;2WWkW3<+}0cmXy#K>}0?LjO97^RBgRI#XiGNuuKX2<7H@>l|T{YrqoN^N9P z^AI-v>Qp}o0cJ!$g2Hv!gJuQ67;VY|RYbWFR;q|PB2+Z!dkPAEf=IeI@t);Kyaz$y<{1 zE~SDNx=Er|)FZ>W((U?{uY(H+8$fT!4j4+Do`8cvZS5Bj=>E-L>J&h!P?d8Rc2Y0q zWk4(e4ZwAP zO(hjkv&lzBy_}vp5GwwoN~Yk|#M;BZP&u*e!jt1fX_aPl7L#Qi2$b!VXkUnk)oe3V zH3PB8WhCJetuGR>RAGW4Gz6;F#mqOdjvefl<1JU8&_!ZM}_%SP~#8_=wgo6|03tUc`%5JN=)effZ?>3}OQ3kGbZa zs;C3TYOFZ@$J3WdSAP&G9wi=VEmv#5+^%RVkVZ`>xj7ETrqu|$j!olsL9EaNw8M z>RQyUKpbUD?V|jF|9{Cd+-);tt4`L3Bpt|G&0fMKRaRXXo z0<2Lu1VJh@62uat5^my{N>{fU*YU>@WCp32b`ZHaD2Zh_HX!RQ@~~lwT6mp+DiBqP ztUI#SZp1f4Xky{o_=4O1wk1?<&j=LN!}LX7st~JFs@2&SDxuAWZcit{pd{#;;K&+8v31hHW6#Pc+)MLn~rOR6? z0?&d=2j)^MXSWuevy{se9q}ccTgH6GhbzM!5c(h#(c6McAc;~C@Q4AG^B6k=;#esq zFu6;D#vOtt0%6=lu(EoB7=BW|PYs17(nJYui_9Tgw%DJi*bFHWmS6`N78Ne82}F9P zAp&fBIAK}7QCj3yPko#XxhO=+g<5Iktl9pw zbbS)EPn-VsX2{S zWVP94&TTNM$OTiAU-`M!tNe>1g|X))G3S%$7FD-w+S1=@;;L<_s`95tkyfeDAchqtwNO!xL5S1v#2(F+PP<;ExvXu^b;xHH7{=iq?Ex%Uskw76C@_+QBw9 zaSz9$QJ`B?RqXOB-svqXzloxi@@$y93lB{>A|$+iSllor2#NMx-a7y($i)FU1m-}` z5Uzm9SuXkO_ZB~DGSQ)5v>wHFo)q zQv2kwLEb@SD?2N72x}Kr01w$8cEH<+SV}_t_UDU%{>mXKsmRs&qvD}`#g;-`E%sC8 znwx%WaFy5GYi*-zvddqwMY<{Mz>y91}(i))u)p!K+&fFpmZ&V}+_EC)Fz?)Qm7-QXx%UCuoud%6$(Xmij(}WJ^VswDvNUlXchYlv^e5>RrCJEWq8e3}5WC5Tkyw&-dWmh!!U z^jsg7WdsljXf(^B%6_gT)>NTGz&<4}+J#IvKB@ph>QP#sh6_Zx?bsU*VmM<^1O#hl zz9Lhrb#;--&+hItXtK2oM+U0kz=39yysP5-FGJ~Y((RpuWJ~NFFD{!QzJ4JY4Jasf zd2^!&=`wQLZzJV2^kqzzOTt*ejR=IRlpeByER~FEAEYGfr?)mfMz&K$p+fcu@;NSF z^-`|e@jc;vg;8K%%mnbXw5X4W6|G0*xPb6SPb*D~cp><9F_m6ZiCRamer7=EJw_&X{-_8vi&sI%#)+mHej z89e(!ET@D4M%<6ZDXz(4(mM;01tvuV*xoYU^0FLkr)~s8zb`^qUx8$*+yvE&54NQM zZY-_EQSl1}y@-Xvg?=&CQ<9?xM`1-Oefp?r z4E9#6=*ur)7ol?5NuR9Rop}LN^eQ)ix3p9U9}2Em)Ps9q*ZT?;SvwclM-V)A?nP4e z86mi|9+%sl17P@#BT#I~zM8vg*YuvBu^ z$^>Cz>p5{nln?NggDfeGU&tA^yux*2^Ed z2y8^D@hpLrR`v>hj!Wn_U27$5g6t#4951QJz*y;E%RsmctVq4p&0_rn$X3J}_5gA# zMICy+U^7grZMcYK^~lx`HQR@k8S7Hi4HF~M;?N4|R*fzY76Tl zw9*FLWnj-ERbB}gY!OO_xfTWFS)+BMS zvhAv?ocT+Zx9HSM%yI>YjaHAFofS=B3^mD$>H4U+m1%3lRj>A)Chkx<8kg(<&{`;~ zhkjuZRvFf|EiI<}c|^dwr}knYgIs|Mp;rI^!ZvuQWFJ(5lYMLiX)E^#nqMF#TeA?n zsFJ{5dlYe^(*lEMj8=%;QQAMUpY;^pd}E1ijf09rT_sO0`Eh?Iow;|f{vlL|+6ab_ zi>kefay9X44iHbY3fOR5UQxf|0F)OQaY5+S$w`Tvzgs@L~#? zXz4w;SZpq|fi$-OFHxie2(>_3n6ME1Tk=ri5h(_3E~Tjo*b8Hm+?H_X;REAf3>es) z6SSsbwSTZf-v+C)fU3E0TjT0JfoN6B8F17808kaK!B4>1yn*#{+D@rn6~98^5!>Z? zK4k~j6ha+2FyIhAWlUBviGX7R{q`&^L@4U+;@PiY`gE8uz5Pmo^$QKIih-z6&g?)N zs>#F|3KhLex{MsF`M8a$ctjkO2sTf!mls%UO2fTx(ijVne8o*yCtHiAy9{>RUnR9O zD>t>W!>U@+f+ev8UN9&tlcECs?8y1SKetL6)PEitN={XQaI#3kYX0A@0-3N#;x z-AxS5T(IepTo|MK@+U68akVR?3!v1O4S4*e(j8RXR+X~qxPdLx%6?!QdV0V7!9(-I zVZDicAfZk6iK~5V$Cbc0V3P>cxNL5Zc2?ow`1tEzxJc5%2~UQXtB!`GYCHyer`X3gN(& zF0v`Yu3-&33=#P@BSRouD_`WGH>YBaJIF$f5ys6KDJ@DtcN_N>zuZc}Sq?+7qK}zK z7%7EY>;05jC>)hlf5sr9Yvo_$d`84pivAHnA+yV3?BY;X#f`m*_#z_%uiXo;uhS|` zyJ*6U@>u@>#RlX=*U3r(IWEI3 z+L&FH&B!vK8n2mr^?a0UDH*A^uk0l#u0*;E`N(mueIu?3a@w%` zuu?Sr%NOX)KCP4i9(EQo<&D54v*L1AyD&wjI~XSDveGiGB%pd_uS9H$GbK%duLBFB z?SMA=NF%-WJq;O&?AU0_^4VB$Aj>FK8kAMFl~500H@ziDXA4pbuynJKX`|bDY{)}; z-O6+9IUU z+A2{3v`lPPe3ItCJfBbdn=%MzK0gr{5}7t#f6pB0e8sHO?A)NzoB z+MRaD<{sLXrAnbho5f^r(uk z08M2q+qjCnvkHKRZFLUAPB+==QkrWIJ1E<6j7x}*LYDkz^0%b{-hLG0SOS@#fMzpIa z>Kar51&WLMfR7>Ig5%(VAVozUa#xPmDxkw9)A+*{{{Yy_vI*+!b;-r5a33Y=9gH^P z%9h265)W=Q1uv%8QOiVBPiouoxB=Pg7F544&CYtl%EHaUdFtNQ|RB2mP53`oXDtz_5!1$mDy+o{#2?Gi-+6y z1kBnTl~T)Zc3cZ}Opnz$ANG-(cO{!n> z6fWDVaN6W$KO#$o;d;{=dN3epT!(uaZ9#FbrHEZcX9vvLK%moUXY^rNY~9K;>}z6! z7xO80St6JRkjusrl$110i!(52j+m`qr^Mq}znhMRN~YG1%U%ldKHow@xjPS%s()jr zFoydJYwWTGEmQ$v2rX|XqK%Ufg1l!29G5dw< zJ(i8L zy2j;?akTbS8qswbTau5rEQq-J6#@YlSOMW22Mq;6?O{j+2ki{GbYd3oX=c~};7l?g za!^oqCvMm~$m|o+#9R@f8z9|QJMrwP;DYP3_CHZB{PK7M#Jt8ESrv7xaWaEeviY`r z@>tHVvlg$^u%x7W_v6??x^F%uYkoycUjka(Y@3e*v9hgelSzp%EbMXe+;~$x`~64P3Em@Dgp`t04O3{Gs={m9yL*(BDUC+ z1!tRkG;rzj{efsXFmgLS0WzRY%UY$Er7XES?Y4?A-2nBMEOOtXsda1)hzkB0;ug?tKkigO6&14qgY)Q$ZW)h z>=(!G5?fh!;DA-%&Ey81zU~Jx1;F8#vcxa8GErp5Wrgu7pr6?ZE8YSO+*P@=*_ zmMUGQOHf5#CrZP2*?`w$5kk_F^^&xz=F@CJ90T)lAZYlO$4`L?rkB_QxI#%+DR!Tj zo|sh*z^n%kam!2x6JfkCu*&%= z4FQ6KlJ!&|eZUW8B5+_NxzfpUy<*7dTNeTHd@<8t>~7nG;#GGL?8qUSV|f6jKw7^8 zUZSe<2sRX%0wS4kFFBPdyD^QrLIWTNM^xXK*Hz5qpsqJ^;w-cAE|(usNKJj+V-;>U zN~dXsS1v%$0#!0~G6LJrisFLFbyO2>woSQ>xFi(g&DrS8MVlZx6F#vulR2JCFvGV}zwF~V2;r4@0)k_QG zkDF8(*`1y{_eZpV`v*K3XC%{}8cCVSW*tP9S z9Dr+WR8gIUrE>c`5UT4gJ)B9OH!nxe8PJafJ1ISy&Fr+bp#Go%OqC%d0Bws^{-G6c zL@ML+C>8mz7rx6GSD7i-uRNEGQlNjE6fC3V*owBHz)KWM5bFsnH>jW=FC(41x_^?I zyQqtAdP*Y*@^LRVrQPSSO9%u>Q5>C#!_hRmkMJvAU&N{dVpZcZ5WmB)1a!P+TJm%* zI|arz`b4hUSf?QpxneB;0MyfU5`9fs`;jez+vSXW&06u7!C5+3{{G_%tErO4UnPzF z$4deoxCuh7xPo>xI}1z2iZNL4;VFU%yOmx?3s-L?LC9hwss&Wnl>{9P{%7w~3pIa- zM&Mbuo<`^i_t;rp5az*oPyn(S?*Iqc{{WugFij{eqLBm=fZGV`?E=sN=gennRGYp) zO7~#l_d<1v>?g4Xbe%Sq^>W1hLGc+>g;XuXB(n)>*^wggCY5YdVTWHJje#yPGQ!G% zq!RM>6|iZJF9%~eEE0~)Syn1fLgycV3b^#H!iYFmYK1n^g;iEC1DF8(!qjtuqP?9S zN*`2~K^yj{h$!KtEcd2Hf@p){`z(Z-1_U%MnM_jos+G!iY5JKt{FV^dNAEo08-Wj4 z3F$6U(!7K!#azi@Mp3+jGG0H!DPAXx3a|~8E#*@zuA1>?>bydgP~S=WD3}yoD&@TT ziK(qD_?HQfAb>=deTkPie7%C!tIN=2oXK)4Eqy-Ne3 zPKFSuDqFEbX_=4!55QDA0g#H=>_)X@N@>Rw+6-tvte#_Z}kvF^a)B8Tnbd- zDf3qVw~>KxdnmM;?iE!nf`?b-H8#^%Dy%|EoKn09mB2uH{4%OuE7ic~_Qef>ED5qv z0*|*FYxKDNO{S>Er5DvgRa4zyBIuXi&E-YhI+l|E0CN?DUjaQs@~ViW98sr_gco_U z?j*M_A(HCi@c?X)m4(fXDj*kCDH7rUR8<}e^IKBc|sT}(J1D4Xrip^R-?mR(N#H7)q5Veobw9|BlpqNOeS za$FjhP0-%PPBsYFjXzTAT_Z!g6c*u;I#d3{vZ0L>5UsW-z_nkfhmgh#Ujz4x4ZrL< z5RjKhrom`qUuF68k#;Vn{-cFlFt@J2adZj3)nqkj{j&$l-GQ@* ze26_Yf^s5M6`s9>G_KX`q$^iNPKDGGqQZu@Tk6n=5idb~fnApiwh+yP5Ri4d>0+Ks zQ%ky}?8P+9i?`RZwi@DGs)D|sGqg4oXYS+G@k8uTdE&e#w5X{R)6g#RFvY=0%fZ<} z!OEFO^F%_w79Dcc#2{MW#S2?&Yy~UEFdZXC!jaXWZsejDxKRR1TgTqVTt#}axo&pK znj+QBf+Oe%almCnN;%l48f_>zxd8|*BGLZ|jzYHt4HSy^r6N&Fc{!5_Omwz(hW8}bn8-m-T;{>pWC-0&KxEiksQmc_IhH}|r z`kx~H;{@MV?Wy3_7zOg&rpqoOrk1jm@|S+2B@1q~=au+^-W(Qizn!?7gOZ8^lYbJy z1|4FuP_5HGrUNfb03lX?*+W`d)8u9)gJCQigjSq@@VdTyMAsdqfsQ9vrF!H)oEPcd}xmPaB+e48m?mWV(czY?R zY1ZWqK`Q3`iU;DA-$f-+wSAK6942=ie-esS+i7p-VR<$0{c1jJT{oBK0j&0z=7)`ng>J;5YAW%*r?$XVR~IkttbVdRFR;zE zFd(;;C|ud6!Vn7*$zD22J-K7&-dwd_qF=~yxf2DehC487Ka!UKCP7*t*_D$mSERwW zERn|#xvHC4D1xZtlnX=d6uT?{V{*19&Dpm@!8Xn3#2jW9K8Tbr$PL^DscfdYcYkxo z0gDFt$kcUy#G=ljRdX?lqtaRI3gop{4K=nXuOJh-*XM2L;>Du>g5#1TKR&2aM&|b zOM&tom!ASGjR(2dKrJnUiwPrqzY_~`sYc`_K^=ltLirz#336#ppf5@}dK2~rg`_c`HeD#UzE}d9_zK#L*jbRc_@?zkZiR_ zlTR~~?Tk(F$cfaI7)2qbD?{Q8cZMY}Dz|SA#tp188Z)B_V661)rE=IIO}&kFiX>7} z-Ng|X+|mYT2UpRt7o7VaF)Bgp4S;ZQoSbzStFgEND<&8QzfcUP6@O7R{hd?37)w4s z8d|n3#aU)W4VwEnOEg1lWAtBT8}H1uOjRXZ(QKo;NVQrPaLTDv7ZsPVzl#*1NnyI9 zu)8=GX(<#sOMYkBa{xx2f+p%x4l1`{+VLiI? z;nsw!VGKg6$(M9sJ7pMj>|6w)k%C^f-oiyLRU<@I*pJKMpthZ;UReb0doA9!_wfMO z4Qrbaq>qY+H?+ZB(a)%Qot3g*v^4O6a}j*5vJTIf{{Td80>*nXC>VCpNC9ze?C@+8 zH~T9kih}))TBGl=Xjd`2fj}2YuiOQsZT1#ogV~`Y1q-QCsDE?U47bm2AO!)S0pMZm z(|Bf79RU=kVJ)@Usz2m?K3wR|ASgvA)0L zxvHTm%-Ou#^$NC)l~z)DzC4{vwwbX(w5 z2(#FC*z}sZwqYunluZo3Co0_Y$#TT?fkbiiBcG_LXjkA>MchzT(s(FzI}&ucYLif3 zay6H$Dr4%)tK_s}JlpZ0d{y_Y^OC(3rJSxD$p5gO@N0s`0!61IbrAzjp~rUp?I z5McN=h^@Si)kjnaTlW#8(~t?nOtk8pCjwq%Y)TK;E-3zA*oFa1)16B$wjksYm$V&} zF3tm!nKxN3Ta+5vC~Q@WR4r;;>@-m_kJPnCgrYVIfkM}s+*KmQwcLd(3YLw5j+!D~ zrbYN{EiV>ZPe^)Ya&-~1WUF{r3zP_(Rt!P@O(k+zXag^(C$>sCE_Z0DEL^R>H8Czr z8`3SUN8D9_HssfbY|6+^%HYZmkE(`Z3RVNOe6_+|JkOCS0OmkOPktkWv| zs^IJ_g}G~x;R^0s6c~Kupc^f(hz+osRh(xbpCeV}5YtOag2ZCt&Cx;vw_06S-y(D5 zmVi6#aguD7jgNVxY*HaZ?eK}R4Vc?}R|x5CfF(m|q z1^g)XRwBAKLGBY}4~VGOz_>7%(Ng~asGfv9LZ2!Dtf7A3zH}IRZBFv=nCPx3bTvrAUpR2B+^XE7V1Z z;lE8FSOV@KY4Q-!(V9vPZ<64>Eb4GTDPZX;6k+5Q2cM0&nrJjrU4cYlzJD0tBwEqF)JK1ZXol{>>qU|}~jw+Mt$Yr_E+skfEK?1+Oe~afj`g5wUwv$|#x_|TAt<>c2THOgIxSR4B{n`FLYs2m zAz9%PFMsv`&;yZv*fp?RD{Z&|dJk7&5OQ%W#xN2}{XBv#5y+*D8m`NZ1rV-8?v|kj z6~bS5J5i5l@>X6PekMX|-`u3T&O-#D1KFP9ud#AeYHp!c{ZvhfPn8m&(kXjI!UN&* zRUdNdF@$9uUfdgBlB!aKcS|v;!~*O80I@8v6hMr0`v-$eH;3E~rNc4o0inpk4cUTP z`*&3W)wYd+7YE%zp-2(wFCM;6NGQcpp|Q6$74XET%5OU#lR>c>XyezB*q=nviS7A2 zi$Nw0Y;cUDzpeU++s_F;^1@Gw^ z6*dkc-7V}wnN<<*NQ?4xtJzg#2TqVRbRtt^ysw0(Fme)Ycm71!JA-P79*|+?iJ>hcsYHF z#UK!Frl}ZO*;#*5%AkW`mr=x;4_F0x4F})~7=^?Va_N1DLBgL=N$#@Y(u7#-uojF> zKX4vd#jZlq)~Jo@&HhIkmfZ3s$g_SQQ5L~a`CCQ8Uo8H_g-Q_X$=cu6<@;hiB&MBv zbv6}a0HIYAV`ii^C#@@E015F{%F#AhAORILw1rXRM@^u|p%!JeNFzV=9}ITj_JRdS zx~=UJv+u6h@42f}0SGnYC>cAy5uHr-Ue zNI;>&HUbP;uz#^m=?04dF@l z^~jf*edh~eL+Ab=S}-nH#lk;aHX@Z4#bC2Y=Sk3vV93Yrq5H!f)B}^Vr?Bwp5{)S# zi}C*eu)0klra`GAs{HBXBNKo>aS$Xj;ab!2P)oUyfGk@4CI=2H*-38qEeG5Oh-b{o zH8uT5M+W}@Q7XM~^zu>Lw-WEA?69e5F1<>8rHj!g+|))&Dgob=s9V>O{gw7W?#WhQ z(YI_s(`3HKOJkcqQs2}7Qxqi)QC2WY^#ZZ)pK`wY@|AJJL=U!e3!HMIQA|y*xoC-! zhWL~C9hC~VQAxDXTc#@2E%nk6O5t#WVK4gHh<}JXk0RMK)q(q# zAp>fUy-I*o6o?kwv)}E@3bsntjU8jUyKbrgKhikZ#qs20P{@Ed)X8X#BZR7fz9K@c zGugb|WtA=gbQKi_a*Kf?Q10&~&4uU+sJ@9QLjV*7Ks5MkFx9R8q6u#PVydh~Rhg=+ zZmhAWfg4UURSfmHZWHW6w?w~+sd0{VjR9pu@gO@Yo=kBl@+?pTkc+dPT)Ex(R|{4@ zQe zsh4_<6$NX5v_nu;wChn*O>UO?k#WE1!VaMZUcl1gG4`#C{{U%(7IAmkfvO+{cyd^7 ze9<%gN|&mk5yZH$o!R@8J5UU%#6NB&c>RklSue!o*`fy+QRM(e5EbbdMPT>6h>H%( zFr2rMkv0H6G9b}Qw~;}`ZbqNB#a~%m4;qn86#Nk4EpbE-oS>YFoQSE|tqi|o3QQ9V zmryldP+5uC!BUGwB|{r|4t-p(+S_JL3+zaH@j){A5~F`eE0d0X1AUes+?8YNBA%`T zb2cgI3>j4rU>KmH*izV=J;*(aS4BF9%o3x3U(7m%LQvERX{n!+FO1lwWPADSrIizg z0uPS%XkJ1ke6HNKTyOo96ugw}Vf%nhZw9JT>{%GyRjw{T`@uMrQ-FqdW$ZJXb1YYV z4OKvtr^+EEeLmTRTDg@_99OY|7AWeG*D_Y#nO(SGG1-JbhF%%uFgL0FPidFFGN3^w zv*uD5P%cpo_Rhqzk~WKoi`v`zlwwytAW*TdhUMuRtK9h5l0D=>Qm4x*g9?hRg$6yB zQ1onm4OCXJ*+F*Y)DDz;6i6so)L%(~1eFY=5{jvo&U*o%%B5FY!4$a5vdjYyh}y`O zLcEqe8EcSucIQ~OGfW!$sL7-rO7q%_XI}_opKxQ*PQ&vI##xc`e{8DhR}YskT7cCR zPr0YXZ-!J`Wo>^br+HG3?4#mnfJk77{{ZBN;~YOB%!gX!;#=cc)NrQCay{gF;6)W zi*ng>K=npb(pha>f{Qo-pn$+ge7J(&ludKlVZzG@K26_Inr%F5FLo9IipP5ol=u{mB}*p6=*S#KMG@bwer1*X&apCweP zI~G{y#Gysh2LAw+8gAMa)C1C@>55S(tCRrz3MH?pXSSuCP}M{5b=Y63K;pLLh^4~! z(X5sqFCl|)t_-r@V_KY7{;E3uMzQlqN6lSlGE!6EDDRMXzh>ZQ6m2>4HgPh%B`spq z#YEP9r4E96OIK#>mD(2_8T5i?Wks2Zuqt81yJgnJx%CD6`<;PbaVTZvvji&U`Y{F7 zUXT=mY4uwO0RyQp)8Asw-5r7yM99+2DdQ5I^A_OCKq2uWiPf;FX576gZ-gQtx_rym zOysd%%}V#;R~XMBuvUP%lY32jD#&^``5hO=!75sAz|yd-TxlLMma6{%Q{(&* z-Lq0Of3N_hdocv@^#Za!J(USp1rUXDi-@IoBp5lH-CdRoBHM#nA)|FHrfo56uON#c zkM5z5vaq`2S|F>64luC;X4LQks^v=vSbky_0o8I=v?<2!P)=5mV>EuESzOJ1&5Hr1 z{{V1#pM}i2OYKB9t3#e3X*>*KeB-xUD83RW72R z31aM|KBCt>7Z!s1C_BhvxWF|kgwy3MTx`YarDAbN^1YT`L~I(40w&VW{3Z>q+uN6d zAmwAS?V?x?z?CXc44`jHg;xqHm-P&htxFhIbZiju@hMw!=$36pVN2cVL4jGL)>Qqv z%%DrMryfVKt%SLeYP6gz;>hETrp)T}mA#e$yJ>Ow0So5I#zCao+Nzc-3n5Dp%Z?TS zZ261T{x6Y1!N2JqJT!h{x~qJtLq@17<(QT^1!lCUg}$AX;AGVKgJnDRP(eOn1zUPN zW|C~NZXm#{M5Y`z1$ikkhD}gzX--_Zgkz^y8#R>t$|)6W?!mBf9jgBT;v-RFT|y@8 zvu#DCGF=O$+64aqOm{+TXk~!0i;G0oSVG%!qP4baAeyb(RX|C2*^1l+#ZQFEYpQAu zn3r;mj0XPzXFozTK4n+=EH~G&Kc$k5SJvTR`jrVjPB3D0oH5h=fzGNnBoE9`spp-K z6g2}+AH z0qmxlP-;;e_v$U835Q^~-`q)KHjLIK{<$iOtNy}}auHbtO`mav3mkbg{R<_Z14uSQ z(h%#D#ueFh!_sMZy{=BhoVaiVfn{#0tzir(P-ROdw^A)aQ;5YiZ(nd#(JB_ASVDYH znZ^ZGg7%6wR2&MWT*OPUDgOYNpDLME2Tx-NhH645Vx7G9T)*q;b#_RlxI)@>c@u8e zkkPA~a3cD*G}@)|6m)2|JtRYyh5j<@li5S=_!Ch;SAmwm8dpf?K?sFhU z^!!RgN0DBZwm-4z@}zAilEhRD9NT>oT-;$TZ7VNfB`%S=Tcw@o0)^ZbFF#cQA1nsK zmAA=}29ABpFQ*Bv)OG^_Zz-s0HOqpR%rcKMZohSD^OI#E-;KyZj0m93v6xML!s)q6 zT!Yvv>_AwoU${MHp`$XrR9fLIlB-`r7O37Q&m+A~e$dM;)$*X>VlbGFuNSds4OLaW z)H8rR)E`z$dQ)*X-YvBSN<4H=P5!dFk6iu>#H>WvrU4+;9Gz*oL*a$SAQl*RlgaTcDp`DshHnorJ9r` zmcAg^I$R>6cZ~>dP$%2Tbp+{tRK5^)*5ypO+0t?bSnsdFim7hQ%=tvxZlNuQ(6|(T!zvFQv+_3cesHf4}G=8)SfF3?Q|e&#?AXN;!A) z6F|C^6U$<3n6SanEp{Lm#jzh@F#K*RCFJaFb3_Y-HGU7Qt`{}&R46KPgJlX=NWK^c zY8$@e8r+uab~GS9Y!t}1%wfCAkHqDMs6HUwOAaV2GCIk*fB{oUQiWTPrH``XZk(MZ z7p!Xk0P?~G3NNqrG$V0R{H#R#g*gT1VdCN_%xe2DD0FC)mGoWA1}Y}pz!%u8?R!vI z6O)Nh1NK0Mw|V$nh8NVximnA3gY7^U@7&a#NJqIA{Tx!%0V&`3!zbuF0ELQOF+tKLJxetCijS&WxB}>{fQCK$ zW{*apw=DVG)|EqJ)ZzhMEtK}R9EMt}*Lf=s;8P_PL`}+uQJ)b(TTG53Ro>jO&zLZl zwhQdgiMTIScBLdO_^E{NvY@zXC@oCXqlk}7Sz|_7b0D<$VcAag-Phd_)zY+K1?hb?cm6j#~>~LL5srR=Fw$tRJXg0lf^X zlG(htp-*V0`xaequ+UWk6kM%%xMuHVatbBM<52o2O19xCrpDFsAgl%#?98j7c+Ff7 zq6iuTd9i>WWzi1jN94~b>PoNAC)v2UOYtAWyE{74I2%N6w7mUJ_@u5O7{mpMkP|a3yPOz4 zs=b1XBE|7;TvcrXrUHD3E)Jk11j4Mw*->+Nn{-JzfaJZY|Z~_nJV45vPCkl^=K`*9CVP?Ax^dGpOtKpRh zt=z7b{{ZYu&tLK^2~ae`l3hb>AOvSAe-V)V%a*`0B?In1u|5MzzI{q69#0F{Qx}hf zv{yYMC{=#B2T>90e1)$JVW7sPkaAF0daszhH~#>0wE)$% zP}QpH1dsc!9t*kD|X{kH=~~mh^s>_4a7;tQm4RN=~`hX?Ajno*0}7@ z2E2iPqEXf>#wOqz93^lmd_kS^i%Torl@LEANBcd2W%eRPUDQAp-2Dh;C{(KDH7&%+ z!BI}7(4Ov|#Q6S^8`)FJYsj=$AbJ(Z2VGs1-IT{e4TJQ~h)b&j_Xe7uGs`(TqHT)F zLqw-#DDi`9v!EBv&8dJu3U+W_#}yQ{8G*@IbcIwg0bf@Hy7n+I2#Fl3@9_?ewfZJG zmYO$1KNf@c5~YE^IX0v8r|Bubl4XtJ<{&Tk=DM^n-$Apmfx>EZ?zlX#%d5#2)Vz`XW39spX1+7NwVi zjg`)~kgYfPmY=_wSiQ(LEU^6({N0)eBFCGkgCXJzgNEXmg5jhoqyo_D=b(xwp}wUX zw<*nkQ^*40(&4lmEcP>$mVj%aHFAr*l}&`PcGLWe#0Uz}5pKTVzVf*<)+i4giVO0~ zT%d@)Nn!RfT8Pr%Dij+!{^RJXjyU8zdL{Bo0aln*(kS528dAjCtcl-MQkP7lKo+5(AW{p zg|H14uObeP%r@8kRH!x~j*~+R%&4c{6eAjmc?hVnMfkw7wl#3Hvy%I(x2UcZz_lEJ z1|ob^Vh;?tBkZr@B{rF@gYNrPX$9SJcjN+IKF0MG!3L9_yhPzdFXl$tvBSR++7o!} zph4xX8WNyfC`Dv#%9(v1fUj4I=|_dd$*L0co`oiT0{!dO*}I5m==>j>^X2 z^rOqFxuFg6h=iH8*hR9TN-CnOPzu1Xt7xl>oqI483wk~Xg8m}6JJM3y{FW3v$N`Z7 zuw3QihM*#FUjelhupn=O$PIS(QK_aTgs@u#dW6gs6fm-~Ug;wySn^e*o_(+ti~>6E z>rvtg9r~67EK&6owp)FOKk0~I3i);*e{U)g_39v^256(mwwj=|WzNP|R0guzOiURE z@Yt?b{{ZGvYA}l1TG>Sm@f@dZf|{LQK+bzB;&#>8-IT&ord|fZ=?s>>?S8IMO&vbX9shseG|q!)hR3qS+;DF-c= zO~LzM6)A<~r`Gu>FbhS*p<}!LM5;ruVwN$!`IV zDV80(S8iam&O(88jlY6GYeU2l6xTQoxexG>KkUbW!^% z6aN6>QKoVzu*(*>s{Agl1Nc~fieXfl@bU<6`#QR?g#@toGheNUVP^jTXFu5Q zBN0;SB~{;>hg8nn6&@*~vQrQXy*5lQx2DE}8~`R&=u_IilG1H<9J5E{i@?+mV|_?B`68uhqBBGVGCQYVYDfvqq>ynw1LAoUHN zR4KfEr=%h6{7SO6uV4>vi)H=7z;<5zOAJV$zSYXF{>z;5068iF7CErfTFM!C`9+cB z+ZUDRucTXcgghgU54RirlB@liT*$*FE467rbhH&g_!0H>@m1^W~^ljIwe3O`j+ zyW%+dBNHtyK(^}SAMr3-3wMa6A<$S(#|FBp;==o6bfTpQVZHeJi~1!KV?|4i@P%w~ zi@ZQ>P5bu|JPsAffx~4!h){B%M;qK0<+S>ZAE?;+h)pawRsGaPlSMJjnjIP8G+Y+d zA7L9maOvwsRIqHZe_zl;QT}dQ13DlBrFBq#CuC26mQe!BlAX=&P5@C zA?3jfjNX?Nr`;rPnt@%a)NLxu-(B>Z%OL_NZr_ST2hnJyAD`f?LI0} zSWDr2ir(nkv8^mIZ*C#FmagwGqeMMzvsxBLD7SF8QRqU)Ozf(n)Ki~qLKmONyr`ft z^D54&qu~VCWUw`Sn@9FK0YAxMsk0+oA>p{5RelMhS6>qZDpzjDS!cSyGM7*sE&%)X zX%%b3`#T6sD6~EzETFAoFdfKV0pvn-#2+xh&uo)Xf{hdNoAYi0DB-+?6eCq zo7{yqM#)ZL1S058_6o(V_?_w3%`P^!)AcIK{m+%cKoBigDb2C5yB15VnOed#*z7bxu??@02BKv zeA}r<)|{0C)3SgHp37uChcXER=&1UXJqr`UR8|TtIuWRphY|>-Sj}-N0*XpBR0hf# zRnu{AmIoqNHS_f^LGVr`(+*?``Ig6X>qi_+Hde|A2(VTj_BsZxad39MhM+DNqJ2V# z734}VY@ukpxkD7qx0O<^;$XHeZti4X8yKa#1Oi!93Jr#n^-zIOn<}H~widcg=gg+; zvRtltH-ua+SkT?d8v5l#ozBGCGhy;t$+#sj$#4MMhg9XI$+W-$SsM6dZfNNke&$KH z*-K4@e>g7BgKd>;0I~iIiH90Nh7;+-@{!qUu~(YFTaiMg0NC<~pEYuERpbG^VPx$?knb{)h!UsBVnf z^zz^@<|Aw(n=c?&&}EO9-OB#bkUKjoE)_}fIAk=ntN@|kOSnt-cNAFb6)P@SY-mb= z9Y=izP%;}dGNSsN0JJIiMjIs;&xq(umW0w)Kp0^J3Tg~)?AGMc6xjUxdjlJOsv zwL<*$o7u8pLWwkMUL`}1OEULHGc`Z zsQ3X=jp+DxDle#OZTypkOmnbe@BaW%jtA3XrOLM9l@p?u?&1P8_Uc+#Y!!O?S2F(q zv1KX#OALhBv~eRkvY#wcSP=nR8Ec^JL~0^5yZXF>9uBEARqe~TK3%muhhm5Xl`xOQ z;v0De`8k58_9xZj_cOBIKM<3h=dhb)yxZPLGemIcP*TyXO`-arOkcDmPMdqH1Et**mbJ5 ziC5Z16>wiYfdhUQE8!Ra0Qi-I!O?^V(JyKpj-VfyufyaEa19~)PD)?7O*P149zYM$ z_A9%CSC_3rg6b1`!7ph?jomG$Q_`Yp#y&73e|%>+bC`#rj=i809kUVe8m&$ zP$A+`Tn`Uo0YvaF4c|c{CQlMrVEG1pF_b*K>8#E;$ z5xcop!w77l!n?*;t=jFe2e3}DRlK-CgM@N?9E;#U2yP1(daWYr1oS|>EK;=%N5kY^ zuu2E2>O2l=KoI>PDE8NN1F_~80^J9sIR~V>UGHTK97KUqs42K2NToj1u<-eV6D+JJ zt8h)AzSH+A=vE?Qio@az>RpSH*Oy_{MacgEmu#d2`Zp}r)M~fvaP&mvM{X@zpAk#8 zuA#6C3jRg`1$ucbCy_v?vpS;8?S9&TH1HmWAQRbPN8L;1QtRpj-D@pz=#n_(Je9IzPYJv@%6UDf5vDt~eIX;bL7Y6cG%POGcxfn7C zIp3*4-t4PG#U|sEDj;D3I|_JyR%nTq_B)`M(z;5Skq+Lpl@LXY`&tOor65&JuORx#F z`1Tt+s{U+Z6*iToop}km-)<}-)fBL$=D}f>jrw226J*Nan3oazE)?9csF%nTkT@Uh zOAWXkr(rPPV9qqcwh*3{>{Uj|ZO18X#=G$lG|`2|oU!m*I7_0UFE$0E{{XbLD+yKb zkOpBM5iV@r&m#YnB=}T3HwXm^$_#WUnMAQZ4NBY;~1hXI@e_s#r#EH>GJ`; zzCeK9ulpX`15VTqs-jRRrw@{%fngK?O2}L4m@R{>y8^Hg16Y^I`h=&_a^nqV?J@-t zl^d27OMlt8PH)ICcLBO{2(|3MQtYaOlC(edP;?TKD6O*c1Br|sXBQHsD8EjZ%-_?^ zhhN;WS5k%|1$FVrDE#E(^Q?On{81@oP))gx$F1uL^b@ObCK>Jw=cb2#Wvj@)*q+60 zO^xJMeRiOq5S-3S0D<8BRHY3k8Nm7d&Y~&0s(^f@<7c3?b48VS?MrP_w_^NS5x1w3 zk&3p*vX!a=@H~_ZrsvoSYZFmeX@CGTfpUz|bzVRT9x#zVR*s|(~ zEL6lT&>u01i4bsz*%~1^C<<3VyYdN;tWa4j8V_VPM?#^(-%Pw!n-5q7Y^Na+CqdTun3wGWKY<09a|{$X6PU17++QF97ye z;;ZR%VQ=CyeR35p6(>VnKxN1#75PMb{*tMe6FL>^1&QN+MCuaxQH9KEZO|S= zk9VZG(xeSjw^7<8F9PLTI&vimE_+L*&4gtk$?;$#VV=t<+=KEx;9h4NU;sB+@w3TEdkV5xir^|t^S!sXJ(t3Y_%r9kKe=qEN!o;`h{G<6t&D? zwG_6US#tTca6xvw(lrAMSp2-QOEU>5^!CigA>042B@)e)BDvBYr7UCg_y6I6>-7@|rS!o$?=oibRwxeXQBB4!}a5OXP$xXREVwV!&!j zN@?WyO(85wmXG9Hb1pY3UHwE@tSM8&qwx^G-i9$;>t%Kjr1SfW&eG4Qa#B!pMf^^H zmkp@w1UKyp)prh(!;8{UvAQ7aOXXl ziaP%Ql?^C!A5z7T071c5v%M93BCdxCQa<(8LbpiW)J51ThkFHqb`V=f=d(i82MO|; zc@_~V_^p3aUo2Gp!d{eF`X-Dms=go&;~8owAi7>l0HE=S3C2{z1@p&3m*7n*}AXYBJ9;F@Wdon8zVba;9}%t;-d5+MpINU@QLs zDlIRv%-u~6v$bel++onGNQ(C@ej*8@sGVA8>R;yHILxK&rPK=qFl9=h2Kf10zMT@Q z46vra8!1@@LTN*7$!9_`;I66=S^}ouJSETLiq^_GNBVm-&~N4^%p+ziwy0_%{)RpE zIPoA^^N5;)E-KVe?W}*Wo3@lA74;}W$E>t&p=6(GXF#YjI+z$b3%O`Sd`VK(^wTJf zueRfwU(|XYHp(ZP#797oeDbBieTcWjXk!snv*IC9e+MPwy0`Vqp9?BmgvtmC)}T`L z=>yL+AOQS+6_ptM=pkxi{-GHna{mC#ta$cYi{Q$Cb;%2&{m=X$c8(5JMC)ZT;X6n} zF;_tAv5Dldc-LsU^m6Z^0t7KYDKL8*XzbRJ`e+1|v^<@~W-m-?4 z)D&vqZ^8-LQ&ewu0G~i$zu<0YFY-HrwQPh<;A~!tO1Mle@enb%_!=P?piy0XK(!w> zRtl(TF_%;*y?I;|vcS&Vc&;%NiYg2B8EFTmEE7hCyq>A@{7gSy%5SmAgGRnCIqVkJ z@}e7eFD>g3HKxH9x@-EvmBS%S6|?mT$d6(fP8P6Hi&#)!`=4V+?rGeYM&3T5z~mGP zPQ)>qO`HZ&7HjC10kv?~G8&5X)UXA&LIdM)fzk0fYbKcc3oX3&hqI)pXtb3R1SieL zKS6TB#>ExMW3E2SD(L?J&R_O8YHP45m!I92zfgy(EMp^NSy=W_&~Tspl%EG-Vw=<0 zCy@_=l8=RCyeow*!0AARtO8At7;W&Qf zt}#stKG^OoX?X-sl*;4FASPZ4U;tJAPgg3cE}vOq)mQd7HZ!qzh4v!?(WmkN^@zwz zJ*b2iJxEu~DrSo}$O_IMRgjV`>@skxg20XE>M++&AR79OUk9jLPfUQ~Gsc8!tdO7SQZ!9LV4BNgfTm6Au_kt6f7 zRurgwTDW}6*0hJf?0Og#XeL7gTQ3K9>O+_v>YW{1QU;~j>}LOvAFkr6eiuzm}SF<8dN zG`aOtf@1di)@ep&j|y zic~np;J}t@xc;RFlP9b#NiZ7#EeqNmA%*QmF)FrOGd>_QTI~Vh40`te0CR)|dd9&& z473<8B5F_#$ZVf^180hq79oB$1gy6SX+8p4GUAnRaUntui&%i8F#$rfA{rG6ig#t8 zm1Z4bt$ReKQd!w^ONI6Tn!hNW60+LZl+@%D;Y~q(AwSttzb82aRqQ*}>ut9YD5~~U zq3;SR>f%_O_5e|2^tqcs09FjNN=~8A+6W&*`+|h8m<5jrfxbIAY_LGTRV*=L@>rm0 z%h^{q;=6IIv1D2cMf_wsZSsyZkE?4YpPqcm(WgShr020r^T~@_E8#3}6*^+7;9v!m z_+x}wYJT7vT)R~e1l6dvz|()(LIE?uc`fZw@>bT z1Ujam3LUL@d%cYnTq8!V23i2n6wGmHT7ljBi5NwpZ|dV+7Wpn%XL!q3-(a{vusIdA z0be960BP>q6%-1W^(`}4E(o}*X~Hd{scdQFqe71D*i=-u3gtvovg}Fq?0@sgg)QP; zQ5_T!$f?Lq!1qmwy_P+(*uj}P5vIJM{n&(kLuFO@WT%?@Q7RwHc>>+(KGNtyzX~-< z#R^TnO2(~~D9u$&i5R}3mMR=OQq)T_Dt($g*<@&;MKIRz3JE}i_@uxN*=#611p+P6 z`%VkDvDeXhx|BBcuZWP07FV6PMLFcNK@}sb9h^NHsCIyNJ1YQ~vyu=h7Czp>Y^W7u zOn{+oP{lqs;wd(WWkRJl@dQ~{H+5t&{h!G{$n7rDTRn`c&Z@kq4HZ+YYbWYuOs)Hx zvh@}g>=1cB;ge5?veBTXXTS-!_w!RA>suPc2$7cQsEe#-iW3OM~30d*9qc2i$*3;T`JnH}C_$Tofo zpTUC-h1xFfC7Rdmxf&0Pg24l+DI&lQu?~F04UQD2$x`y?f38H?`Gv~}VcMIlLsimz zDyU-@n93^;)yEDMf03ws@qq0DhER$xorpRYl&C5ZQ9|i}hYNsJRAjB9;o2x{*>n|1 z#in(?m}s>cEvUzD3M(hbrD?HDA4<7WYVe;*i~Myh?Jxq?f9_>aReZvHi}MXRXC)dL z&NTf@LY_=i$r})a&w96gmva-U0h)<}Gm;v-h)7xhV zzc+okRVLq-DFL{Yo_X^Y$%0hF+_jvFo`O6Imj$J#>TqJ63?JXFR=_3J$}QZO(WqRF zcqg$;SFl|OOGPaYWeSLv;Z-xvN(x&xSlDA<66~!|hBgbZCrZ)Y}V~wI&Dz6wz1Ca$(OtxqRh%fFj zt*LT?@%vFDz+BSYZ^gH<4&d||xBKkUkrfysdii-$kw?4tC_i$@B_LR-WIGSXveN7q ztu^$YYzk;D+b?gRd|4Vb3@BXKfqEUdxAuo+M3k%9_bsJcwJ1D7)%PhAMSoL5Qo9iP zNTaRLXj!lbtArHHAIMMS&zO#+B2#%>166SsA!BHW)K_G*Dn&jFEg1tz^O&C$)NaKx zSMFFfePyDZs^p=Pi?{}=>>3c4Y9<^jsRmcjQCymS{{S2h++XyT*%|); zB|U55ZeANA{vATbIH~Lp8B2#efPPcqC27;{#Ve3sVt{d~AW!KvNVm+3MD*9fCZ@9* zxcgP2uBzeygq9VA7Y+B=0vO~66jjUe=3J&eQ7@j~NwG=QU4*IuPHlSByJhlSL<&r` zv51z(eu;K`g~KYQx8q^s1>klS?Utd4KxWFEg+dcefv(-eRy2feN~8VDf>7omMG#Y_ z;Yrk}fI~&pD2Y6e7Cb3p*OdVP-m&zLGiAXoa1Y7x3BIe762tBqcIFiaNpuUkCqv^I zabQ=ZiPw*ja0szng^;ryb)OQ3)3$v}Sz7W7JvISWuLd;=g3V)3tSt?=uv^%>v^N5H zJv$xp4N?7q{{UZrt`>0MHyZLL<+53*mkST&F&779E8_+CdVvT>*`SC=SP?rWhG3zQ zbZsmeRQXV`H0r&8raNu?aw@dCe}2V}OmUa;;XfbzL@+~c42)O9taT6vB^Unyh@)Lt zJEZ$q)`RL6m#%*0i$0d)q{;(z^R+0ny!}9j_qgiWjXhxzEk;q4;LDL0WUJHMnLt1y z)&*VtM&=GdYhFP_A%3Bx8RyL17T_G70s!_jBbUjcF};{W0kB3HQp|xB1SZu>F2V;0 zi9j64yn>ScB~i7#VBF&y?b`&wC5P9MrEbb9>+@#^(P8&-d${iGigrOS#%*nw+YO6l zL#E_JC}MhmFnct7&G=(sEd9mLSImYX{bB<7x7-5UM`i?xs1$ud?k(T0{X&b1>mwXf zq6-F!>=bk2kwH7Nuv{(hpIJ)oFf3cZI~Z8}DK-O!8>eyhKjNah zfrieX65OT!Xo8psdcb>M^A}H&kB5n+Nf&hnL0p?E||R;*VFz&7S`LEBPx=@CEKVA z65}AY{K5uVX-52os&UJ%u$gAxp_i(vEt{Pu2wLFkZe%AG}D%m8AZ%2Z*(UOP;nOR)Y$6xO?O(g23)EoLDK_6qCzs4YKaC@IPJ zMAb;UZVNI#Y8wq`Mx?~j00c`WXIIauc{G1$iAMtvNN-Nmeg*veLkw(X>=;ek7aP{aGPLDOk4PdyJDkh^LI|%YpLJ$B8vgI~AB~kwXW>pDisj4q^lpvE< zWLEOaJlMTEI)Z49Rz$~F{{SLLq)t=;HB%xAm{h{T4aN+8GWTul$=SvDMW0L)jmT?W z`x8tpFT|wAoG_0^jK_%cWsQhP?66*}OUt>R6$VRChQd;{n;etCpqEQ!t{>S8B{n9L zb$0Ot#eZ&F@gp?9o@^t(EdGXT&)`R!@=) z3bs6dQ0M}TVC?0a+bVDxM6vrrI(ae}-&vJ}K8`Z|m?q){ibUT(l~4iAt`^ z`i?7gp;G?<(XeS#WT!iX!06o2qRl(d!tRoe?s5BUu#EJ$g{ z)rSZb3@Gwe_?H+8Z8q^x71Yc*aLBK=08LZZ{{Rs|!s;J@QOKen`ht;Gl`}&AI|vX< z6h@!aV$5M=qP}JIMs0;Xg$L>&93kuj<{4!?l{NlEAGrN?;-RuBT0(^_iCz3^Rm7jE zric}_1;XG(Ks`SLDFMO*!&{oWGi(4)PDDX_3c-CKra#F*3tClNydY4XO}fuz1A5uc z5s)KDk;pa=(2_t^nySBv*kfQ-j+kCm&HlXp!LEiWl>*OzH>_n2diWfuC85Us zA|Ue9S5Q_r+ZBwhDi}){RXw24e&@lkpZP4ZkC;M-6Xu}pIk7`(*w)k9hRCg=G^zwQ z_blllQuq<5#A(IXC4pspTv?#ljw00TvKFjuhbsM(2w**6?qjmrAKO;|XAKAk1p#+e z5C{QP!#8xg$X?eHT}z?ByPp8V@`EqeeU{s9QV_pVoE5C2gSr(`E2M$yyU}&jI65srk*8QHuR~123Q1c-RqgDyz&3=8AA4xzgYQN^nbtqex%GqGh zg49n}a?rQI{6&sUZQ&54MI}D2aGNXBus;&3ZYE2al@anBnRF}UsC)<;w1&_kIC3ox z2azmkwx)mrf3X4h^hTpI*@1=yT~P+p1~+j-Pzf!ju$nv9CB*XL!BI?zY;(1*n4jY1 zDDD*~xkUcTvAuu*)yv9)Ae!8=jY`&ps1W0PsDV#~O&mromgtI<1n=BRqP+T)g>IR} zsT8R%l8M;lKxbx+K{m7IZ~8=j>a~%-pz6njmGF1uixwFRDb4=bHP-ltkMK-oe+Vse z6BzVfK1vB^Rv-p^r6!PL{J3!=Eeqjsv$2Meq$)KVIMDI zqB=@b#5o~&xqQ19sv7#ZH@80}M8?)+LN?EWXyn!Z0N7rNi)t=1mbqhZ@}}LWAhKM! z*DpqjWxmD(L*h4Oh04xC8exvY_Sn6cu-H$sl7X!4NQAX5t(t?_{h(?sVc&-#fm!WJ zl(w>3y<)1hx`tBJs(lv_S>YPRQlOyvE;cYm1wqVO?P&m! zM}Gzgq#~qk{zF?u2_O8S1-Tq4C&CHLe9AN&?!>{NaJHrZdvfQCvM}bBFxRw70?(>y z8y{y>Djs;t4=2O^!v)7Huz_sXwG{b{Oehkz_B2-|5)?GOh&_NMMxhr`7RWO7GVEI+ z?8Fs%nB4n_-l!Gjz=|;ku0{X_u$2p=@B+89Cr_!b5TMoGy`DZ&;L&dJiz`+&*=2&t zOB#;JaWN?6h$(8Es0&O2)j@vTwQ4I-Bu&<|lp%l>7@O ztL7j_V~*TwQ42nQkY+OdbydF-+!5nQC*0?KuLU`IoHNDhP6q+EhGbBKWf`VKkto z(@f-+IopBIKiY+y^RtsLU2n`DVAOgVxL1$@(FoL93Vz}Q(qwRV`>2zd4+CCAROj45 z>L&^gpmi^Ckh0btY+&R?PdPCC#-Zsc;S$qF%TcgBO0l>~#e5M+s)vAzZ@0K0G{jGa z)M88g*6s)hm6cXzU^?!op>_LGwKT>~l?6FOAesRSBd<&^9Hoak+@! z@P_OAO6HBWKu1pfN?uk)P_1txTHFu7Y753v^!-B5p#X!mge;{j&I1p75Ra~qmJbog zD%X&Wmq%a{lzUO5UH-tY5cqC6Cyl-MFdYr{6aClrBN1D!v6q- ze1max5K8?BmC!d)1*MN?#mbhTm8fR!k~@gsX08(zP$1gWzZ0vtZ_KQp)S%P(6b80c zsk%E*a;?bZ!GW)YqR|kCgG&5+rLqhHx^E)Dz2Gni$~;sl{f78YtA|uGpnS1mt;J6i zte~k&X88#zu*wbP%Lg|qt|>$}(0!;EHE$|;ou2~o0F?DtrpIFJyZ&T1rrQDor#B;H z_4HKTCcGJNQlVYLLRr^qi6stDxmJ%L;roG->n`P`4c93qN`UptV3bn*GR1JT8>SZw zW}m_bf5{7g5(s6QsY=i5GR3m?29GQuC>=Ja2yzOMjx_dSz8-x=3_Awz@F>gVVMXaN z{mYd@U~q*9Cm&*6K?f>bw^3DTk0UMl%BYI_ogP4z1eBzu250h6HK+1dq8dFw3NDd# zm1XNt4-i|DhAUtOy@)1DFcP6x+*B+uOC{q}xbD@%f?p$6c2%7KF#|p&q=0i~yVj@$ z_v~45UXqpRfk)uy+;RrSy2i$!k(TmYx>PJ3I!X?} zKE|%Yg{5&-oD5 zPZ?tGB7;QJ{)KjK_^gEi3qr0l<*9t^6j~sUc^c!T+KL~799l8-r~^qeC6u^2}XP+kOdHO-Gy!P{$)x! za%y^e3KXdv)%O5fUc+jv_9zFda@uhK+s5N}6HvXNi!&?^8wAkZ=0{S$5a2gP{{XNg z>XMYL!aaPNBJ+ z1%cj0wSA7Sw<-Xdp=#@VhNUOM%xDfSDqg=|5TCDQC$XnXJio%U#H>6XF)ws^DG_Dd zvccr3z2FyGY_uG|gzP*203w5pqA%{C(@@pLjVcY@OSGX}TNl#;vN9Uqq~NWr+MJu1 zG|7=)vnvLvzLm*)_qGTT^en8pJ&^hsQSHDJWsl4&Vezx|QDD`V+1jN_7L59(zlX#G zJhC$Et0(?B`+^|)A znpOsnIdR+klj0Q#vhj-@HEiFB@&ao*Y_V|2S!p%mh}{(IP@#`t_%~un@dXh}tGBN~k9G z0LS=}z~2|k4xLTP4)Gb^05NhX6L3p4wU*cSb z!tTR1*+nz$l6)t4H~};^@(#+53E2MtksyNL0(l)37XT;1lUC4}3i#M4x7{Y781Xa-K^oefN<0NYf6iP*)0F@M>*6a~SV5%dZkD9b9lZkYD()Bm3mQ9wlV(9 z!8gyw(JI*u+vthASm#?8^Y||N2IkbO+19;`1JH$c1hul^S@8s@zo;a%f3P7hE+{Qp zirvS*a2K6F5DLG1mQ@Yb39z8QnWC~PuYM_)^%2A`v6_>%t#=^ZxV}*?lL^WtFR!Uc zxUMy^C%$ZGwX@M9a0VUAm3vQ#>vo+znLiP?Ly;5q{mTWV{S_^txk0%Q^fi+d5!E90 z5G?c|7iN9c!GJ|8OsHU<9Jnb0Lm|G@;CR5QfJdACP7GRU%9N!ovRcXy%+YYB0FQ&f zly*~-oZogbe6mrTe9C;OruHa%Yb}fPKrBV7K8p4fV;hE!H^>OXO^j^oRQ~`w8#);T z6%$3o4D8st@6_uvPW2lVa;R6fWS}Xa$*Xx&MM@!O)CY(wwa6zfh&N-axHDMn+^Wvs z84eU0uMR{Cmw||AvHB&wRfrAyK1yxl5+%1g1|JfWLjM3+q$}BXs$8_maDuG!Dj`5| zSdY+#2nc+jN;Q0W67-_s?N@0}Vv2Uh!`V?vtrDAQ$chf#$F{{(ZchHnrgaY%_9RV$ zZdn+n8yH`_myvb1a@L~rnm6|b;a(dB+8qIPDV3#KD&|{@3~FEgK+A*cwGf{r4MrDL z9T8zlV^Zl*)fZ^cS9nEq>;in4BnLiPjH1;v+^vm)`zE6sQBl|eXn7HKTo!FPPgvJ)xEgD`h%DhMV3o z-*v6*CU1|qb}g4JDAOQcY~*i*3u81_&{g$CR?1fBT3kaE0=VAv(0k%0 zw7KGb*qL#IcPU4nB9;6o{JLx5~YA|Li-SZeFPt(cH)X0K4DGn zxl!|rb^vtQ)xXHJ-o)sis9{Gk*?~}gBgjgcUNj!uB^^C?A{*dDvwe<;a0*e)@9iFT z<;6mYY#o&j&z))&I=NJ;K0^U_`wX=k-O7!CeCs_Pl`Y|A250G%71znY?AX_&>gEZ? zSruxR>SxIy2`tyb1r@UGm<(ciR3@3gdS4L=!WC?6W_myXEOH_*S6C93QF=ivq&16y zs4`U+qp)VBTQ?{M3AL_M$Zfv)R}OUGrGrw22)uqWUc{q?MYsAvLi^ZVe55!m=cCAX5={l@il~)@YxP?(Cr3IAkeuD{vq<+AdvF zW9C>Tt%M>c_1F~WkUhjL8wLuTCB;M{Mhf5lOciEmx3B;z*lF;VGQiwh1@)~VzW@j3 z1MEq3c*a8pu}MgvYK7dl(6o@l@K1{4=mEN_-q;oxu!ODy+y}FCWOQC*d`L6IzU__tDt(iub4xNSM)Yx`mm( zpt>1R2huXKcn^Cmom?opgd3wW<4#`{QTJ#il^CQ=*zzSk$W?VIY(7ZIk9i9rQ3=GA z6RgAiRqGmWrMDfW+&>n#&7OoJ`YpI3wk$Y8?Brk&IB^vgpZSQPZF;zh_6gD{3gavw zrRS4PqJ*;bHz}~utSX>dPxwIi*C{N?u6G}wwp%{dd`b`M61DiQeh*cNMkcf5_^lnv zfGkqVtGG-EM^#4Ip+oBxR`jC>_GlN+s4I1KD@_|@P;-1WKmJ4#^+0+m2o0q=YKoT# z=Boby!Y%GB76B>q2w6W0Wg_=V&XDI?iCPRb{{Y9bk0~8z)EQ7xu)a!C$mGApMdoRk zsb8a#pmZyNRV-XR-|j2~cx&ktF?~K!fPlsB!AuP+_?XkA-q3&#+-qh8Fm79p%3kWu!wqwi*(^>#ksk{vG(uB(^&RP*0C>Z1 zucL|9P9u;O=}C=*McttdK()2?$w63q2ooj>(Z7VGZoC_X*!>j}jYJzOUt0u0{{Z3* zxf>MKhjI$RDg}48{Y&P9)GjJbGvcQ!d^Zn~ZvkwkH!(+$UbpeJ0&EFftBIpeD`U}B zququrM~daa2HuE8yUP-);?JVAcUR;DeYgWmf615S*;WwXo);aPiw9|dv54&G2rVFi zMFyWDsP(qldtWZHk*F+5a1!d}DpFi6N^&E+TZCgw1_0f(>?+Q{DhBZ_v0oPpAQM_e znpvamBdNx@_$UmtLKoBYz#2#QuTsmh zhEpuw&QwB6da_;+xbTD?huM&9Y2H9CIDfLC@W{TWGja^50iE))1yOdWVHui@43|sh zR^CPp?*nBTYo*;zsU`V}8`Vg^?Z;~uKY5I;cZx5JobacK;?_E4DS-!9BQ-l7;*T?n|3Q-qu+8&)E zObjkZNLL5^mP-XYbG#PW=4TTLsFs4%!`s-(Xe#5#1w|6A+x7rPj>a|*OW3tZY1Lgt z0j#8l6v~)GE5AM`Pz~iQBtGUaSwu`Es)!2JK{e3?rTP2pQTYD=#JyhJ0D%3#aHrrV zGZY10{l)6AHiZ_qosF|k!x)5>Z%c$*>kncAwQ6O-tIxSwSp}hkVcd?vb;gJOmUIsK zyxM9a-A|{?cX6987b`7fG14uv0ta9sBf<`X+K;wW`;7eY&dV0#}EaiR8p82nkbP!(~l-_T_Ul_}Yls>*-FT(_=%C0Kz(gv`- zd4fXrWHO69`@^CEmBvVn2a*e*cIkMgouiz=l$G>-NIR9CiLO-%UOY0YeX zL4@g9D>T#vtOY)3KT^dA6L|Z`yb7XZs9~b}0eMG75v)iS-0C?^-0feO;1ExV`GNo@ zvfy}B2-VypR>(b9zn=)xYQG7{L|bB5`-s1nKr1b({IdT5$(ez>Dl>iEQeHFInyZCA zw{7f4S#)I9DF%WgH=*-}0ah(GqF+L2DXY?|ReA^G$SRBFI-K>W6v~CHlBl_AukJ6S zU8__ucmmiOLusX;q70!ACy`Z5dJHY1deQ#?gi?Zs#5JbIIduh+r_*B*dXC(=bd4$_ z;!{kN^#rbSwNc4iiA!)CRmD+tMqNx6QjeH^8&ck~lUAFE3q{_(Q7hLMj0n^6$f=~f zNaCfJK>q+Goep+mRbf#McfXHf4s)yJ#Aq4dO3RkFPht*jpUEi2dJmW-FUY?2D7-8w z*`d-}%i!bziS_;8+4lt?B0hW(7H+M&P0ERz24I-P1O`Gy0aSYhxGJT;lIPK~L`x1=`6#?>5GRo}!VCj4NF3i^!> z6)Zr@x$!BhwfX`8_ByB7<*%*WqOJb`BR_+c$zT>6mvOwKU@B8V!`4azv*VR5#2iic zl@PoQs+Bq%u2m$|&DCQDv_*?pLNzYm`5+-BLM@|6CX`h4vYAjmYAp118zLaU0^NZ5 zsXhjO!g1qTU$U)_Ywk)g5)30(2$pAG&4zBiIYtB*-opz=hw=cVKPr}#3Xk7ox2nd! z{-x63w@0xcv%`d^^v{5w>d9aq5EE4$PhedRk?9o`6nhwGj-^=I;4T2wY^Evjc{0>% z0c$^`1`vS$Pgscm0MD=FYTP|GRI0C9Nb}O`5__LSRs4dNAEh!;iEYU2DHZ?-F;Ztt zbBGQh6Gg;U6||Ht!P{fjN3`T?eY76AcxAywbRg6W&?iN|NcB^6crk=X+rOBNBI;!b zuR#vuLCwp&mYaPEc?Ac^bPuU<@(5CQt{?2R8S|vIIUvVkFE~O+DEbIiP=F&&ZqMB4oePEY_0R?{W ze&;}hBrx_60LnFG#S=)-?#3F|Q(NS062qY~rcnm1y!}NxTu>jWR8`i!e&h5v95IJz zHANb&&y7kGOx3dAvA6n%iOI8&NlScLcCB)sUXu70CrNWn`niZ@&lXB@fG;vH5GZn! zBJQwO{-u?oAhDeahQvZpOLW+OelbckkrfVp;9L!cwd$^Yby*lA)W7^D?PmO+sMW=hxU#geA2G0$PuhHm=;CDmt=fPKYmSwgeXG&K#C4N8JH{D?)Te2w=~B)zqQgTtq4 zan^?;J9iPAoro0zm!H{IS?ako9sU~>ymzly2;pmFs)6-WGUfo+^$1h0T0aqSabc_d zN^}&cu@N^Iwfu`jd=H6G4PKgvnrXYOma|c+TC6W+W2T=_45kf&(?OyzK)jS2{jQ+Y zy&e03v|zzo$$Cw$!9+0uE~3y$yxa?Qkw;i%`Z}s1XsDHG6QlFgr5f4rM_kuwP(pcS zu^kuVSkyQBb6{f`(gwXnyo)l=wG72-v#iU7A#YfdQ~Emy6s(EDWuCx)&p0eqw*OaLldS->8$s#HWB{{Sc(_WG$xgPU^R zor#`S+$c8x0LdKN{Fnz^IRN=Dur@-cbIKXaX8;3#aY?S1?iGb90qDo78xH*#XFrpQ zsGLcUgt>BqmplwUAeh3{D{b2+E>UGzU{$|02Y$ZEc0g@^h`m%x*>dWKlCvH^umsaO z&cVQoa~9&>_Jc~8M6$K)dMQUpnZ?uYQYS!#vpm ziB_zPw#kR?aT}|fFT+x@ho7nFKX)paDTD|6P$+~obrF1q+(St!x3KZnqK2O|jDgOZ0A0SMEp-eNZ2Ci7OD>CIJ zMBZ1})&8iV^#}(wL`c0>HE32Wy3Rl3BBdU#O3?CYMHowsPm1gn!@9=G9R{rcHQJqK z2A?qi2&d;p!RRXNmM$hJ(5Y1(Aq6ObL@7c9kYv%iAOpLq6O&qgl^FtL0u}6WMZOjF z7=Mtw>zUd!T=o`pmztL~!6 z29$OUV^E5Y@(Z;4*c1~YkF-_SKBjIBzygYuH(T*h-Gc3Lo9JBmt{Rq>9GXA{0(RvH zwOfErR46{!93*#Tu8iQ1LIf8PV6BJOFZ`6FFUC}eM`|Li%gLZ_E^t`1`<6C)`3Bfc zggRdc2rgX*WAX7USp{q||SM;qYY&yUh2_|kpc|4H%j55|>Q7BOzf*W0&<@Ulw zEU|67AUiUiKI5n)prAcb;8fZlY6z%2mq6!oGT2HaleZeIeuSXYP8STc@5?KSz0vYV zo9P38utgW)1BFt!6HSPU(K*0!n!Bs3)*g-)zxfQUC=GQtDkefBj} zL;l5Ld`gwDLn?e=AUH=sERxarn*@X88sQe?6?a+grt40Ouw5>=Z7#H}!M3m@KJF&XJtNOQ$Qv zhoGPr`;Qc3?si*|sMZN}ZT|pf$S%e_LMke*zT-PZs{zq&)YPh2p4#bG$bkXy{Xzf{ z;w5)#T^);7tCulki6p`vSK=fUs9CWR&5n8#DwXVA$0^2q{jflY zGF`MvRo4C`UlP>%@?5VR*obVXf#I9%f)L@do-iM%g-B3gaiLo4lj6y9a@f}~s6&zMn$ zmE;i7q*9ZG)z!yM_Z=31kv@WIE?%`PUymU~RRzE7Qp&H;Wnoc0s0NfPl>+_>T(?F6 z2-4+YZnk(<#DD-*!U+u6WT2E)bMYB9*e|K=%3AGX=4RRks@4{3;j_pds{ku!5+HK~ z2{_EIu4vdm6&bfa(VmI@AbrGA+_`#y%e1I=2Zud|kKq0nIgo1VBhXL2%T2!6+r#3b zG_I0SG88378>rq=FjG45fC`ZuyHNyl+(A*w9HzHqi;GHQmzqdB7HQ8Nh6T9MdBwVj_9$kV^Oooui;e@t!H`J zrD(XSE@>HE)0G85MRr{fx8Yiq?f{#jzjDD;SN+(%GU5R`&P60Q z0RCc^FMl61C6%_pu3V-Y!u0IMIB&@lH!^~QII)LTZN_7Lv&YCs1{cI~rz4ZFTVhlf zXzMJ!{b3!^WZuN2ew9i%-qgCNd`oes-vHtKpKuc7Z=lAXWh0BVeTbG*I4)sTQukmv z{OWLnAE^q8h589+Bd?3dq06WmgFvU41z*m>Cl=(|R9cXg_6bKwexaa??LkO(msl~3 z*vK3JsEVIt*nva-#wst6TP&)t@}jm5{7w9lkh-frW|dH_K0YF5g)OjFq#^gRp-#$t zPcidyr>lBESAlMz)04&gOI)R`vQQz-tDh1ge$Wummq^h^8$@sZ8I3&e)a@Z2LN#9GX|Zh9 zODo|nun$XuO5c*_dJ*yjA+7h}KEcuI{Z%Z4DuvYxJ z01Ggkeal&C*i=O@l)W?#O0KRK#6?wYLJ2Snj+jfSc0kCfEJY)56AE>AZ3Ly_%8aDI z$jhEh=2$Ja7-^a>{FyS^eLmp&mQOaKw?}%bBKR%PZu>41L3

    S_{`bOCQ&*w(VxH@ z9tZ~I|mYa@JaQ*zWMrT5N z3)ms#Z+Cl|2~8RG{b4)n@Tax#XK#u4M-}$ser_RV;r)Erbt#kd`z7mfRpDC3J5*n% z-nY3ek0lkZYVl%7Lizmfbuw;rJf=LBRCIm`km+Qd13W zQvG2krDy{*z7SoUrai^@YOOzF)+ysTeUqD5xzn{}uo_BTxbr}55i$tI2Tsw7Q)nBv zqi65XqDF>Oc9Jp=mh3;i?VXia{uEQRA7nTe6aP_YDSTk)_AcRX$L$_nJGT_HS;`zh zbIJ-n86?LwCYk$NBPfCuIXg6XUn5 z8(9&Ic!l)#pQk!mMzL4i{r%&<6#a>F&J4Hy9x(Yn?tXbvo^mkJlGs`TDVir8lkVq| zs7f&KBf4IZK}#A+JG5L6XTi4%oyIUc1``bvx?AHQJu@v`p&f#cT7!D=`l1$@Gzk(O*!4@akOlLauJ+D}_!+aQ6) ziLLnwi&>Dm`lO=aQ~WWQ>X)?#XHMlF{(;y#XAC%3W5uaMA@FAxR|~Mn%n#bm)!Ko_ zLtN?5Cq+IKi(^+wLNQjFS!vK4!{=QsZECG%hzz#GeqC5TOs(yI0PEg{#`T5a$}8sy z@1_N3M?p9K#U)9JkZOQ-tR)JfXbYMG9dmwY^3{D~K~d|5scl6yqM6F?l-ws}e`B$_ zqBG3rxsuvsSbxuUQi_tPR7#MdrsV$sAiY)Wh2!z#N^%1L@~L*A?S4Y$-vwrIV9}>I zhs23-OJ(!b7*xS;Vc}xiFKp^PjS%WbUF=n;pU-qw=9za5SI#-( z8h-M*@x429R*0%>z>B=jEG1?RdCvcDmL1MIiv8uCWyIAZ$&7~)w(KHv`P!9H?Z>*tDE%seZ07(3O-dgcKQnD*S=O&=_dwZ zA13-#EHJHK9E=7Eg93*@Uthuvi8^DNoBgr_+eaG=!Rq)KpmKvV0_VtpE9p(q{~3iM zq=QxAXvIkL2@ql}3kMrHn2PspFTAQ6m_*w+m9L&_$g7ZBNI1Fj7)Hs{g#uB$R~4AR z;P6yu<2R2Ni{LCsKdLA$u#@3*E`-X6o&xa1s5(W&4;z|?&~emxQ}Lzzt0iG@vBp}b z2jeOFaSbGWX4u8+TRHy&-2FiMP)LI~GV@-Ky_eWrPcpM}7xr}dwpKl5$+uurjXH-k zQ5G}3G(P~urBi4KV)wjUDpiyO=L4}LoBCaiAVZcwK{U?(Pw;&M4G8g(nGY7-m}Xv* zG$i(A@t>Ih#n|0utp~}2;_u8~%5XM#f8s0_KZXyc?hF&xeWMQGR`Qh#6l<|M8T*_Q zRjLX&gI^edUpRA9@a7$+^##Wvu;|AI`P|lWO_aMXgK54Fh0>TtB7f-;?;3C4yJeMF zktX%N3I^hAPLqBds(4j@U}jn9ilKeiGjBJ))u`x|@)VcdpM3q7ZPqyLVg^~tmnkr_ z8*up}B4+T(k2^HL)a7HN849T;U|8@e&(-WJ)T6haD_)HWu20>I#_w!sd|NAFB2A0u zd@{|em~GfsTMgLOt*PD<_|j})?w;5ydi%D|vo^!5-x~b6i<${~W(BGQiKvV*P>niU z0chw?0(yiYt}9w+g8Cz?`Gxji<)(%_ua=#{=H<#`gQo!>`&F&nz#3Dc*S2-rC;<;^ z6U&Md$nRLb1d&UpvH;0`L!^pa;jA4I{07@2ejX(BFkcgcQym`BZ> zDm?mx3kc={Nq1+YGP+L7N~~dqwKOhBAt*TF2Qw%-S6W$g5KbqTI#QH6sHJF94v;>i zpQV<-2u0j*z9w|k-C>}UawOyj)Vzs`n+JdA-+VLfA-L7}B=AZgJ9#?oXRcRBipEJn zSYywCN=CiF`5dx5$g>XRj;Poc(K9NF2imY4@mbTjKxKwxnDTn2?7{NI6cq>fZ%NqX zO2FkXj~1ytcY}~j<9GOaT-ylLl=l(P!ofHXQPZ%^7=O~CzGKC(h|gJEy_9cyo{bQU z%0N{$wR9azYhi-E4K#&u{%p%& zTZb&P#uwRYe7k*%iq!SoP-@M5|8Ef%-2c+!q$8YlZVDPDnU^H}L=~{0Lu}nfYltqR zf^|Wdj8)ON^?+pba?(>C`)?BQl=2HH7|drSl_@#xdqFe{Nq0JTBMQGSC=j*q-3{zx zxq`_8`cB9e4DVvcKLV5cyj?C}elP}&lmh)!B8QB3>mkLDmp$XPRsvE=RrAaz)#B%n zVOqk$pZMw!6zf||Khe{QNUa?L_=q>kzK6^>7`OKr$QLE~EfGrhZ~~Zk`6i$}KcXy! z-zAxoRq+F^PM^T2X@TP!UbINLfDI-s&UAh<0Vn{l;&qp5vt(MUd>yX_ZVRIDw+}0I)xolAZ+N4ZTjkjh71B&lm;8bnZ!-7Ol|9+K#>! ziY*NR8^CRAP2;S=!I-9BpWow0Vot(Pd3*i6NRfSmpW!eEZb$iNgJ2bVR-A<5E$V*w z_2R)#Uk;vj35&d>cf1Za(0X^N?P;-Q2{%)ycg9&ZEpV#uDe~`6t@7@|QsYK6iZXwx zIS>c;%X9dB#t#fe!jIO3ruac1+DXEx#lTxV)uOy!N(BmSe%}lYP&P|D{78_{7MTeO zebe^wCD!^#5^2O2gm=XfoY_P%-x^RY{iK*~$5G5Hhu1NWUrN>nY0+ae!Dl>!I{YWG@+-9Iq+HX^DeaKDRyo^i8z(Rm z-$MTzpP;7zSUs{06X>I0@7Kr-uuj{hwv~gU5^QnmUN5JTKaOZ+a3uOrnz;b9ygMiD zM_tKrshs6?k9HTY1@K}~uOyI$CyT$EO zf9W@NUX$2P2{P4w%X{;bT-4ldXmB^u{4quUd2HmLrzI5MNw_$8cJ<2Q?d#$TNr=E8 zlV-A_`wK5pa5Wj2Lk6u&k9b<#zO`idHiK!|8Mg-Z1qWl|pQ}I6WR;G!jVW3s!xg}| zfI~{54N*!H2|D+_e@I9-_KPCB_{AHc9YOh$D8YY*-Qgp}eg}r{X z7T0IEU8tz;0(wN@;9n0{H(?d-6d@IAHbU()Bw{cmc*Ta8&(L3sye*4PoWxE#eY+lkFHkK14{}39rsz)DvegkKfkJm+FFoSPbi1|I-gBosg*m zdT4}Hd{y7NWvBBxe3V;zsgSReWyUG^mTbW)+yLxI{E?QBvH0%(avcg5{lHJo zm+jRqUv_JG^3t5$r>?X*(0Th*04(H{UfLR_S34OZ4X>?o6~;WVr5BB;|GeY3jOy*B zbh$lfZZEdBgK1PIFg)+9Qyo(+H9Z79uEX(hOS{CD{|GZP1L z)ya3s+)E=p#MA;dT^@=fsF6-~W@UX@KhMsQl2j~%`S?jNK+wQv;R4s#x5cXl`uAOY zd)sLDp89mk^QaX?gUG2zK zEN*agc1UvC$+Ex4U7ouOFP#8SFH^M5Y0T*4{92tMc)Nq@_L;VUQr4*b;!J9Zm2=j8 zV~c9+2eSZ8Rg@Aw0UOCJv_T9intwITDK=^u(#(>3az^3gi1L`GeYS*4|HGv7FSlX? zYwbskJoraIT@bDN-L$m&3KX<)%CY|6kja07%A%=<`~NN!z%2B`16w|7g^I;r6$Ik? z$1V2T9;GyeA``?pAF+zV{`fIXVX&`4@n4HPRAm98x|?3EcitMqd0=duKRW5$Iz|?y zJH-W9Vt^11C<&mbzAbyxT$xK`2Xm{7_+fy1h7I0?NO~%SEk~?pluurJCA)4x0Ul1rvy@HLYEw{w#)^4ablGU3znhQ0 zn}5WiO#U>uy|=Q=F^`BO>Suu!lINQ;Af={}lJc6?P@T`)vw#^b!^K(?^|N~k~~>S=fbcLN1HX{`>bm%eAEroPi9Ih!JTc) zx|bZm6u(!eUv%CZRd4cU3dM&bW&+60CIxew5$qf1<=7V2ET#hkvV_lQV$rRhi)n%J zuC_rMJEg@K3nRB`w0`}Ej}ra-S`>o&&_)<(gN3ivy$8{xz}2(FxDW+kMVhL*%dS)c zokaNo?bu-jn;!R44%P%D;V>dJUjRo#_6c>e=s$idLL8?*ru(LK_wI~I9?d?#s4fsr zJZ=qy%?Z|(B59~0U8J749P-3DJ^9CeOWs<{l^367rvSPoLejC)#EJ3Wy$S)&)BVz6 zIHP{(qwnhCxY`&!f9Qbl@J}T;QjezE5R!?_5aJJiJDCl8gnu}07|ZV- zeCvt(dO{^E1zj|PC=PLnoVi~RiZr|$erzgy2SuqJUw)vQ_k8MT*7yi%eZ@Wa@1|(| zMa?voS4VwuIqr)ehQt&-dPjJ<(^^=%ytrR;E9V-8H4Ll~Jnki4 zLIUV?@TZ?}B?uCLrUKxigYeyslX8DjUo}TONb{8m9NOm7GwZ!7-#-z5?5EPyEwQ)v z0Hz%(MIvj~5%LA<%L$Ulrc!zh6Rb~a1)luo`7}sXoEi851|4^CgCv1cgLb!Jx`NHq zgkUxFwy#C|?cKJ4;jI3<{FP4`Ke@LL2RzZ{b*=YbZE$nxizAW4E0eGD%ZnE?Q4N7G z@l-atY|KmCPzf+N&6EYca8}58(g7Wpz{7&`j`aWQ2_XKPVbpS)O%B^qG=Fl#imnBf zOSG@D?9fV})OiM6Z6{KH38&dvvO9EGK_d$dQqDST!Sb3c3L&MHEnF}#0q;qyOsAV9 zP5LkSCJr?(tP*Xgv=-ghB86(ng`~};D5}Jtcz24cLE!u0tX1p8lI4s+0c$47{QFQQ zXi;JjIc{`V3d&2&vSYLTR#YB~Ru?J9w0O&&F+EQgtTjzNwR(BWd?Y5o5UxNpH;+;P z=%MAxUYx&YNF4?8T2|>lG=4zfEHCG;^;tkmb)r)Jg=;*jgeyEATp3(@?jeD~ejskV zXVzdg5j>GK=aInIuzzdL@`61^hhHhLHWHxM*7rAkHS;O!I#EBe;k!nKW5u^2{)8x% zoa~jv%3cH0%-}2C+d0R|DkZ`9ejN|7IVlZs)K zvC^h74)eQFBwLH~C(IHe1#|ykfU!IvI#2*~EZL_Rh2|aWQ3V2}jeLvb+7w3BR=&?R zHPlLxex*|B)6(HWvk&XWosHi6Q&npQ{8`3HoD&pUxwmRMvy&E^z@NF?Jgr>9|M_#- zmp^v`BE>fD>fx(EpJS@|;i(N0?qei35Gu%I%@W2lve<{w)J$ke#YQ5=vylpnK}%eJ z|CjA@p)7(L+IYr(L{U#yKQL1y6DDrCNWTWLtAPn zR%8nVN!JvU2XKUv#?l~+hEz?^oWoI|xucpRV$g;~Ds_6pLC-Ul){-8P7sfA zO!W2;67)sMDHBCnhqh?CBKxEtoB z(Hj-VsS{05nTOOfE<*DhWZ=~V!7kHF3CscNl*Om`xN{M6?G&um+;aD-*m~5n z`8Miu=gI4TKCS+i7~vd|eFH%X$&%C_p_I}G+~kd@m-LVsP2jFzYsvFw=-Nr0vWyJf zt?%(oWOfr-JP*R}K&?8c^k#>h?)y`j;N8^mZ2H;sa?4aJn>-q;6=bb3``YUat~41k z7`QvE8e^Nq!Cwpg9lqA6kMEq8lxb zVwAFonp&2vhKK4S6~V5;2)>+X=cf>cnvpflTk;o9N_ z=<P$I)7-!cfddkVtwI}~KSnaI(GWU@wyB7+z-Z>) zS3K3lfD8AQJ~y|Y!Yc(?`w=AY$l|80A6raUaAZ@a$9(AF#nJUB|z0!_340D(J>HJTvhoE-CJV5e9vGMr1cgnEw(6yy&&Bg!k)O!U9RL z$@n_|OXhkiLRzpez9-~M7aL(X69r4lkeAKDpTa_$zP;vpE?W7O*nm*zY=jqM)P@CX zL!IXeEna+%GjW~pDaqh)j~N1yN*8az?EJsW{7L^z?kR8Hs;qYo!}RII4Nm`=yhIomySGyA?Zf#y$b2ZceLF&AwJRY!C~biG%w0=n1|130`;Pg5zCer`0#1Cu zQ~cm)-5{%>kfcKXX$L`-<8!>TuKWoP&#J$#QdufRFOz8@tRsQIM`RAyQ|M$d_EI=R z_?7I|SXJ_I&x$A_S@Q!!ur5VxQM{_JoR)&Z9tkKHX+PA34BuV4F0)(?vtw~ZxGX6~ zaM|hFK(6evy(kJe0{k>@`_;lM+`%%n-CmFuuWZHDIxait+^fD>g@#v)P2|0*R}GV% zpJ3$C5B9GdC75ONcD4TlxZ`xGPc_UQivk!W#gy86y%thl!AkBw273ljQyRw-p2!!t z>xfH?Pu;ryXR>A0L>tm-cbfm(?$=uJiPNt?O&%7d*w3H%HgS?C-4OdJd2E7uBHnqr z$ho@uzJ6_^fAhJB56%AkN;m@F{w6!jsyTp;-FY+0JU|WSd^IPFA|AWkE$jD$GLsSJ z_;e(W9A?TD8udGgCV59f=c}YNzb;{Hs62^GG)jhyC|n0?9q=z3Q^FT$ z!;jtG@^k>f&)S3AVjt{Nmf4H#YZ;*Y!NEcJ*@4ogyU8d5QTE%d=~9JT|3MVAtEWUl zvrI!`eK&{po5OCq4xn=paV{Lq#k4Tup>&VWIRq8tTZ~hO0gs+mEV&J)LA4^h24$8j z>ern~KO_!yQJB{f4Yc|YXKpI^hZPnACA9bo5KdL2QNm#UB-Ow4~Y;!>*os=SyR#4 ze5i)-E?4i#zW|BYF1Mwj#FY2{n+ zmnW^$b)igWm4*h`E64t{3EBSG3xiq1redwQsap-U9+F{ZjbC=@S@q4@qIG363_2y> zPt`HtU@!-CBTn|8a;BHVv0KNeQvjTdiBxK&sVQ?y_PO+cf8rhvOS*; z;b5YT5RMo?n|^F;k90Jow=C21kd%3s5Im96hD&Yttj7Acp|kxYRElyrYsI48PP@Yv zpi;LagxB`LJMTxY&e>NtKD(0XkvJ&bQ>zHc)#7BLw7O2yD>Q3XcA5iz2yP!Q zLn^>N4jI%ptg9u#ehYq=c(&CEr*wIP9THnCAbEp^Td0uc6k(};bdt7BwUp7Iqi`CS z*qj&+=tSbEV@|T}y1Yk7pud{!z5qn!jvxo;2*EiPKYP zK?jZGS9QC~_U)ZPO;{K;JG`V_h5fda&HIX-gB0k}(|9}j%h{xotx;JW^svAa3IR%2 z+48|pydzxlmN^r&dQ#4-V70)rB}o7`Jv(+gxBT|``!ADguOXxv$*uRI@YK8`L5E_> z)VuZaUOTZ7Ygake8BM-L&>HQlo)`pe5*?$&0VtsPN)dRn63ngZV4s zrM}2wsTo|4>A_N{1=1aU1awa$g2jU-Mn1C5P|d$KHRC z>)ib#3^1O*cK$vPKyE(5=A7v6emwp<;+JWkMZ&WhQtuu$#62uLB^bT0dS|x%v3`!! z>o!6{7Auvfbe4(dnuh62x;iAm#sZ^=RxT*O99AOt-1fk>M)~w`$b*K>-+5KVLZki7 zMasm(wl#7JTHl!DGq8hLa6fT2?*je3ey@59hjZ;(EimU=n_)0-F{V zIxqA}5tKTZHRDh)yk5~XAXo#j9p^h*o#P*VN;dfDmdy}op*x<$~{%zuRhp^9h=}3;L?C3FU@SwR~zLZ*^g1yfd|{av}U?LX&Gc@ zH~TL8?5wo2E{vq}IUTufg_7aN%q2GOqu;Kt4Q}L{ny-d0nk-1lx=K1C+p*&f+DlwL zyV@VGdI)XlI{oPT+-`&|DEXS34Eq5ux&+dVeJvyFF)-=ojGq=G#V^aXcwX2HP<>%wdR02 zz4ZN~_`t5F5c_08Q1fHo*8QFP+X{?3^Cz(eSL;S%+g>hoNp1@k6$6EA*9nOZz%%j{ zogJweW)5IX>p_&PCDVu~nq0b0Fjc7=99URMx}M+H^3J~bh_c3J-99O+q|Up9ITa zt;!wNKR7lk+X(jU4g}x?#g5p`w%S`zEdDw0yx7$phT-PUC00rz&m{2IjXa9@wLCO2L{DUkw$zOuZV*LMG_orAp$nxpvMFmBGmU)r&e076fHfy|j>g|422JC$vXD|~ z`sL58Pgh?z5RQ65<()BEhH45j*tAGc=|rK%CQ;iO-G$9Ii??)tDHOi=MMKmV|(SFZS!Q5t^) z4Dr>)+yM=YWkzhLfIDbtDh_ve;}bfPb6;lE+J zdDJvH48e&{nZiQSMKO+{!vU~E{S$!B`M%R9;6jfXISnYbpO|jV^6E~IKR-R^<496@ z0_|aF2lF$UAOrSI;XLW@d^Ft%vPY3FFoTG4iI>77ht(l)r#Xgql?_w>C zptN9>JRZ&spZ2_)^UoQ)-IW1o#^L4?({6k)KB#3ek{764f2$C<`|Mdl_*7I+=!XwK zgue6axi|jx=mF#zDIeJ;7*C|b;OFm&&#MvCh{atq!KNbt!R8GX=Ck!AaYjyszSrfk zpFD93+yYTyu1UT?<2rB?yS?^hSKcKQa24+=H4ZWC^^EvJEzr)BLKMn16=qu3+BWiO2N9L@;Y%3p6#41jQ`L2YFNx!Z?-{6 zo(~^8I|IQAGnzP8f{+oWGm5A< z_f4YwaGiMSxb(Af#*@Z;X)4S*gq6-Xabs6B>@TfoPtDG{^@w{`_we7by?Ylu`Sv4_ zzlD_X?}xQB@>Ey=^B(vJBQnTj3n##S_$rGJz%VylVi*qLEZ~k~Gw#zDq?8)_QFl@= z-cCkw>pqPrYG|FN!C(ej&A!^-k&7|dFBa{BYMkdg~IkL z=Y8h?(XH80o6fL&S7VTI!}mFp3_Y37gD*%I;q`@5QPnuL8quPzfd=sF&sZ<&&&QkJ z&sF|j$Y&S+g*qK@i~MT$^TKrh_-|L(a}iJe1Z|Z&KSRI6SZuOr*@cekIgM}s1q!~B zx4gDy^KcC#^h1}Q2c7w=N`&rFXU>LENG#t^blMlv0I!6S(-m@&6?-*wHT`n5{I8&s zLU;XbeLGWx9;8w26#3d!`CeSel1~w3SzBH(RC(PnL0?>ceJ*c`YFG>pcfEh2vgk%} zjlF_Z#f@bCHR1siZY?`}jCP?k_dJNL^tS){=VtzKPru(HFGIA#j61Wq&Em0+$h+}J z762u)}-OrwtJdK@}n#T41T%Rpxa? z{>8UfceGV9N5|$5Zfbk+gavN1of!TK4DK+aknW<1t>+TZKOe^PTNpg~v@B4)2HwyA ztsGU8*G=wJl>V1jXvBCTP#EmaQ}vKku4}#AD|s)YPKis3i79Q7=u*HHB+2FL+>g0Y z#l!|K_I{on&V2bpU9ND<2Bk|k^`zpXfbOpLCvUv5>&tkeWSDcwb&+?M9xh<7Tj;q8 z0rb5vig~=!`BsX%yVU$n4igqC%;i z0?`A`NjNcNh#^{`^3PMYS$WXEU`J)cko~{6r||>ax<#QbvJP%~kpKOdnrPUtY^j2C zx`i?zjh@Wc47?O>p)(=a@;K$VHQy^0kH#eDDyo?;tI1O(lpy>^8iG#Y-?|5n&Zsqn z4&|9IGiK2ch$ zel_i*Egffe4lk@3n^WQ2bc})pG=l6g?bcRKz>#yIDWW#b&O?3#)a}gAwGsQGK8v}Q z?WQk7Ink#rv|WA0nsy#K6U00o&RnY2&k5&tw<_|CNbytAYoX4Ftx4X(&k_kdUFW-J z^^9C}+QV|w4T}f4Q}5$g7#`+OS7VdJ5U5@5WhXXSov_7x4SeKnF7 z0F6tMhj5dpn^O6Cm1WUFG(fZta-RP9B*g}^Ib6M!_?V0o<5oP`D)_B89J%+-=})=b z-=2vX=duBe9<~rery4SlcM86kVIzBa2Iys+N}c6aYMgBOyfkN_n~69GxU8B~x<+n1 z$VhIy@k0&(%zIuT=D8^TY1cbHv*9Z8u0^KQ+gFc*y7%fXZqwo(Kbxswi*3d`wvh`9 z+XpXAKyDme`rn*{z_;c4I()fsCb(rzN1OsvE4_ z&liwJCpG-1pkEaL{#(*mKOu1cswNVAzbZia2-BDGE!%LN$Rf#Nx1>&J@4~PY8o?r`edIT(4S9h%)Tn>QCP!bpcWNKQHV_58D^*(NQlyyA_VBy#?GRE0Ma1`+WeFk^giG1 z-=H$sIE6Na3>2_FX@(vGPND83v!Xo$Q9Rg2VfS_SI@;Z@4c?*@2?`z_uk8*2R(I%Q z)+xla8ioC75MMEp2O0B3EdNAx(_dr#9&;Mgnb*eLeMk9b65AmlM7`8Vbgn(wIlW?n z4+ZHz$=l0Yk@roJu3xn;(>OgUy`nk8DG+M4T=0x78o^)dB0Sy_Wz7I;D&K&KZy(h*Tc8kIi*le~ejZjH(wm^D&gFW>el4?t5|)-}yZI z%VSYcS@hZ?6u15QvAulXR&~WDr>mVg@vHpRlgg((q^d6bVRnC#`)tr8u-&0L>OePu zLn=ek_J{=(ge*dR;cF9EYl$|reI#0Kt+`7rOv7ia%7|1pol#2AdSw5oGIlz!`{B*% z;zt*?_B}JNuqn&3)M*3z<=j$FMWv24by7(Yn}JGjY%#GGp{_PxI-tI5oqm0SwQ!aU zkGH#ll%m_vn)DjyePsygh?b%OI6>a`4e4$bE144CsKIsS4o-ctgP95jC>=Ct4OJJa z`iHo=2W?%GKV#B!7+7}bv?vffoSqUZtn|b{X=S6ca(`yMnOKs+ht;+Xw!vz$CzQCF z#_b!LshA1UwK~P+MqYB}^ju=?YT?hW7#YDFfvFmlj(a+-F5+%rg!kVilhxg?IUC&RX zB*X7m~NLKNj`Qp9mAW z!c6uBPrO=_naNkD(j-?l(-Ob;OIWtF=K6L) zMhaY_6^rJNp03Dau9Z4t>Ulj_i0hiJhhv+&hP5hi-dFf}inWle)Yd1VNQFQ)ftWfC?c@?Gfm5|*GP9{a z^H>rn> zuy5htd>cw8{qC!@q^uDo^EyWXH*qNU*&3pP3}U9}eYf-3$#h10CN{BpP#RV5JP|r5 zy<*?^y7WQ3TB{RXyKb=h(d@nCkG_M34<>ysMjx?hCgrKM&9;_^vB&{%-jC#0) zQb^w$5L(LhU^E+LA)~@PDxhN|sCxlGWDG47E)HI)mb@OL-QL&t*h@Q$uSBh{WL>F6ED&z1JqD@0T_eOlYK`q0 zZw~rv?`#;%r62kkDZsNQ;jE1Mi?w4-!#%}}cIjMsHm_JT^4M09la;+9E2Tb8 zwKNcg4*#?Sf99{?Ms%>Bdc0XP(ZvILI{{AdnKpi0R4Bhnn+XXE7oi{Vs1=+kP49~L ziXiYSYi+IB$!qz=kQo9L^c{{>+A6i2gbx@8>2_9LH8%e#ChXC5+iwZ1$g?1$)tS*6 zIgeoCd5v|!5x6#^c8ac2u{fxHD<2+}@iJ4&ke6%>s3Pj&q~4hN9|il+G1H4^CNXN7 zUqI+ZYhGQH0_H)Q<;n|JUR}QBr2Ca$e_vdI9~?9nFp z(0FD5^Cr_I!{qt44c%CO-vyZLvZ4H_wSxStECKle)$F=Fc;+{M%l5}Gok3yanvyR9 zT4%(!Xu)97`wp>h#_xB9e$ZB6lU!3`{V}%+kbNFiJ{UuY^W2a4zKa>UNaZ=D8Jkz5p(bN23}L;wvjF4J-iNzWboVoxZJsfAmtzVZfv_l$~Zq4*~>2 z1`^O#_3qIZ3*PLT)3DzWntxYSV+(8V{937F9Lk%DQ zV94|~^Eq2G#Tr*+9h;6{d741R28jl8)g?9g0nXd(bBSz;LJiqkx@#A~v-O3h$7HaS z5yk@aWS!)x$@nP#ieEVd*e;4(ABK{6L-(&)i>c~8KO@q#2)O! zD%4ue8(2Sw!5G71&%TTF3GAaT0xl{!^|Ah03cEDlE`i}?nT|->wgm8YQ-&WR^5a&g zR+w3bwnB|js!Gyo@hJ|2=u(H7h#ETjOe2ctB!BL)Y-tE2nO*M1+~WpmD>G ze1dy-_rqex5KeJSGg%G+fmb2j)^cg=)EppC*-K2<)=Xk3NpH;wtSIB5a_^RtJ+TG& zaX86*YDjO#?Uu(7z<*u5!YoA<3@ofqvg9vyBx35_LErJoD7baMVh86;)=j9RJTYe4yN1KRGa2{>L#doz^8IN-D%3yYjO8g2Ca)O9y;{;$PS zm~M?k8GFn~eZcYq94-Kk6*n}H-1=y`+}K%Lt7d|d%u-UkCG9RGZiJo)6ixM;oUWsQ z>YY0;$Aq{hE5Wssgmt$dPZFCojO+ic(~r=^yx@;9yjlCL@x#%R^XenT1c(6y@&EIC z%PUX)$~(lJLW*ypVSBz2e8doy42>D;3h+CbK{Pq$F~%4`ikAIoU6JRoDWCZ1yXqbP zm1#a?;#qo1Z{T{v;9Jskn4@R{zxE8@tmNjPN>OCv!40NYp2R8LJ1_vB(5_dFI9Mc; zZ%33)`QcpJRKa-p!-Y1?bvt$N!Ry7#t|jhn(>EUgKi2ftP(rz@C%AEe(Z>7^lNncrSDS14%j8is=8c%< z2!K?saO&sH@VU>P4>;F?{+a8_Cf6pbq?x3<)$WLm_Pu`F^u+m(%D#2b!{V%rl#x_D zVJrcPd20JW5kS|jxF*>XZB{NeK1l{J{^QDRpPd{vV0Em6YkVPZ2M24%m+~_KDeuNvr6Pc0 z;IwPS_fODXv;Tg?Ro*@GD_4Qt@>0J0s`5DLX_ImExCZnwy%P8?LBENf8Cxva#)IL3 zw-*;0>K?MOhag1(8%u@D?IsHbbQ-$}9A|eK_F2JrT3lNBi+&n) zrsl-MnewLVLf@@pzlZ)d#zukud)e{A(U!Af+d7vi>V5GW)t&>f(=`r8ae`=@TgFsH z!$3cn&<_HP_(+j+Lt>J1DG-*X^g6d zBxxN?LGtU~oJMu*as0b?mU&yg#QY>5C9WUtYHVWJ&h=x1pKRe1gJlEa)h`5VT%_7{ z^VYbYR@r8^#`Zj@ylv3AW?#Md*JL`QET4XDsm0cHoEKF*E?9#^Y~=G+PlC{81?7Sk zpPi+UprswQapsPX#ZHc|HNJ{m=sI8sv=#+xtz3LPUj8Pr@t^W9+|{oFB)ylSq&y5+ zQ=2E^o4-Q{{9N}_OU;sUV#~a&-`y!Bd)uj*QI;Iq8NK~;er6>UU6!$et93fawMrz2 z#vSv02-L)v;vG;YR1=#Oql!dVaP@TQ~O8?-e@g5 zuKW8&XVj2>^&P!I00n)*ba@Mu{$L3LNKJFp#bD(ew;-6RB#=amt+|Gj*WSb?J`KyB z?_4pjR@JHInG!*fFTTgVr&p9_d3x}CZnw_`4tRm&}2fEec>!@(XVlOurO2-&>GQd6^wC zldRFv@${_E$W!CkbTG(m+e%$aQOZ9|F#qr$VT378ly$N9i-|hH!QqTY^FKanp989Y zBRlUq-0L;w%mrDMa%ST#c$l5foQA(^1N`*)T3Ozu2yk%(|I-+%ZS3W3LG8k0G-pFl zkMC67j$(+k*AXP<8MA6LpN|5SQXP$=Y0Ij#?lDAQ%jAjrw!XQDaM$`ym zGy2iWR*^Ijy6c8}p3|u0MC6_<&J^ygeVQyGqA7OCTg=pmJUdV}|2XwX+wP^~!T9YI zv9A`isL2SdxA)(k*Tp&UfvykoR)3qdSX>;*bO-fOp>Cdx)KVa2jy!UyDmK!C-zAEi zfEE58?DCrulC2HQa9G|6TaE}L@7e|SoH4u}?rE%2?L#X6B0u6OJro?Z{^e0Kb-A51 zc`wCvLSesP#x*)>K`cwus-xNanwi$VR$_KVFq02dlGbIqSY@sL_SBvChlszXB`^F{ z<|3RStDx>+m34va(Ph6l#+z1$8$!T@(=9U{mALMiyCh~7(ZpHx$|B|EWzK6Yq~wu| zY4&3S~EZBKMc^5hP@w9@K*GB~9tDK7G1x0_? z4Q-yp$?4bhs^E3zQ>>CLrT=6qa8$@s-T6srUosej$3I62_oNL!ww;(Z>Y=XCHcA%# z%ef20$}8mAiuv&Ut#&`JmQn7;$9=oRTbxZ7Mae?>y^c|TW@vGarWaA4xyVlDkOIQ& zYvu;)Yj@1jZp{>#vzkz*pvi@Y7I`m!#jc2`lwB}IN(Oatz3Rf6ZNtAiH~PH4CVwJN zcEz8YSPl9=hR*wu>hF)^*LAOPk!##*gv{c)%Fc+mW=2`}y4O|Q%e5{U8Bt_sk3wel zzL$G(B`SM_?Ab0Ov$Fa`>HEEZ!uywV&inOxJ|7R!U{szI2iJ&Mer+WosvqyUEarqa zAoM-ro*D;G)$&qRrhPq5$V;4BF{g+&g;+|l=t7grynE))o6)xisD*EiI?m@!yLyE9 zG21^EJc9VPT&(!-t7Mi5t5S*gN2OclfUw_xdt4Qn^;~|fRp~`$9(V$5TGk8{{%OrS z$G2!GTOt#K0Uzh$5=B1TsiQxfTpP*fSN-XrV9)F@3)8Z>`Q|xEX~wcZPw4~56RaYsR7Og6BD+yi%8}CJtgm>rirm| z^>B_}4~~KK-P(E4K8wqt0-*XU_}Q`D?GXJu1IV)LA)D#Joz4IUr+Im#?;Z$Uc{zX9;_32hNLk4B&T?LQ< z-I^D10L^EzS1P0WaO%xv1iqRca6lcf^_E7+g3obE3MTQD<5YY|%^JN}SBsJV>^iQY za}o2SiC%z*t7DA`U7P@(sZ+&Jtkzv6rTK^VHgvkOSIJg3BT4r9BsApjL39~E*NH^_ z1v+P;MPBjOnjlYv*e?_pM>@AGAL2Y`J*2?)8wSg|0ZT>kSG3;(R=5JN^}@&whcteU z-Hn2U|Kb6U^^+{g_(kJ5bQ(c~+oq*GRTa?JK|u7WhfxRS&I=mOcFq2g5R!tkgVWP~ z8j`~i-72cjKRl{G+JWWW5vSnIbwCg31 zExC^Wm4SVpL(e@UW#@gWoiYmZy=MtRP2uX^=(b>xP|gWnN2v!T7W03{0gri`z;_8~ zh?A6Z2@y{l`0wzH?@1))M~kjD?fpbmi(b`I&t(*Ix^DfUsBn6OgO6VXsPZpT@AYkT$)Af%unwZmK`{mzIA*A1TzWa&=ceP%-MfyWk^&;Q{5E8^C9mY2 zjG?<>|NKi_-e;SgENWZfqc>k;n2PiP#mZ``krNBuQEkHQ{Ot3b1jQEBD#b z&%uG-V@N&lOH{s@GGZUnqW6$`#5N{6TKejbrFE%GIdb$X_Um9#C)FJ*7vn+@Yfw$- z-w;(o-~VnJJ+qX~|JaX1ST#@|L>8_Rh#01N`1u=UpQeZZF-R}6qywmmY@Y2M|VOx7n5PP z9W<6jc8yyLuYBSfX&5k4KI*hSnc#cR}=*by#eM^p;qF?NsG*uAOI~`F^(gyN~0XmeeXM>q;%49i@ z&fcUeZGA|=l zn+;rwtxAKd&x3k($%2iHLVSfbFSiGrZFK=UQ)_OgBn$T^>Ut-gn~DVKZ}7s@5(`tJ z8)*0oBnXIUZoYc;;pDo_f&lP9`+>oxyGKG?FxNne24!^VS>&&NkvQ3pagkh%J`66l z!7Ccdi)om+sXmbCMN^|at1y%sQyos4aGX^M^!M52SD!gu8sQ2?8p5!cvJUJ@KE52B zCG@P)NA0aeYl{(FH=oBfcJFhprJ%eRfKO4yO)^*aWm1Fn9(?OX>DjT`b)Vd~5Fq~* zm0q&hhv@}*smyC3QD_O9M7|+=5%N8kO}Dmfr0IEwv{Kb>Oxs%t6&XdC1NjCDfHt52 z)UJ8{f!n(YOe6W)KwvFoc27&^phbf^6B0fnd?;dhompRq{nYIG_LE85@)57I+;Wa8 zzp=)m zvd-M{FojTexdNPi$0y>7H)BNN*)2^&B8y(KU1XwVb&Y8Y4g+BQyE4YYQn%tM!zF`v zfC>9zM*LUIe{wq>%KQ;1ve1earmT5hNzS)}eYONH-99fPbP0bNr>jj(wHP*78jSnJ zi@E+LA&Jk0l;LRsAlbyOvWw>cnFH-;B_B^-fNOTr(M@ZSp5g!YLyc)Tm&c!PT0$z8 z2lJLF<%0~6Eg&o}4gEQWb*kqfu)^L9#4nS-Z71OwhqgR2F&MSHV+Ai zsd-Y7Lx(CRj!f4)B(bRoI6OHC^)*8Z_Mw-MUIYVfc9sBJfrWTL1~)61U>#1?`BY;f+Hp$;&odbRTdLsAl@27ipt4TLY%(GRA=?|HlIg= zKDHL}$!gm2NgtC`63}|+N)v;Q`F*BjH5th($meBpS1R8DIL4y?WIq1H6-jyYW5u|& zn!sDzczB0a2MlE6;nZ93FIu0^Ur!j*Jg^ zJG!qbw?)U7U#4i*cAIV)n0W@b*9PT2BdYrAn?Qr5#i*u%4~(Q%s&1DO0CL+#Ho zPOO53yT-+^usQ0MMZUa@AeOhv&Ybdu$fX=rVFVe>Rd9+)-#m4dejPifW|^?^#%1Ow z*x$ztQ2*|{+F&YCnH$LIW9`Yia&slzA>2~%Q6=Dz#lcxE@8PUTiB7Dv(WZ;BTz<1; zeKgISZxlKn5Nq}Vd@arMI;Q6y_wds~c~VkSR#JbSacC;{5`J^JeQ`crr``?Nr|h#p zONVgK*MpA!zVc0q515w(JQSVa2y44a9CHz*Q=dvH&0a+fJt!;r298e*U=}g?Ju%ziN^>56)E>@U)BHw+)Lg74@x=c?BS6a1+b5cFY+y3_#5wK4@kxC8;G1Bs?su7M^ zbMufV^hJ*eKXk-gLCLOSmwkHyVWC~!tu8K)$-#bgPpC`7X_$3ogPqIs*@idDq91czZENA2jv^ z!&|xSTd!$yMU|YUciR)%pRvSdg!*ICyu~5YML)h?Am!<~_qv(rA>=TU2FibkujnGn*6}KS8*z$q zH)C6oYm?JrCn~-hE{BeoJF_N1ugT>f1@k4RT^3cV_ z0MaN{CTV2&rQN%){J2ZFK)-o0t#trN$@eg0+t0J)IK{qFRqruez0IbfcFkh)NwMi6ZGib@(cz*VZ8d^)4t1Y)g z?28ep6pNgc7dafGy%lqxtvFycOd-N4MJs8kZ+?uemoxqTghaby}`krHD1);BCoJEu*v_{8GwV3QF>@kNcP_d_MJ} zZMG`t?2Q{HX~6co9?OUzU!b(k-@7ZZWm``>;hY^Do+MsQz6wT0p3sK!#kD^~nn-y< z4eJy$bsUu!_U`$2|M=!muToOrPAx`t-m;;IR+|X#g)}Y z-!DZE7A*yRP_Wb(`DK1y1v6YAKF-z1-J(Qd2}VO)B{yT`+hoHUbY(URap$`0J#x=l zG=i;)g}*=h>}=$21Hjmb3o3ty3gyYtn^^XW>leZC>)qF((Ckc|YIM9ZNbIAkJnl76 zg-@zDuHl0kYuUtyg#iuy*8E5SyYj;K+f>TE+M+K)iu)N)pVFYplsGhH%R${{{5r>@ z)W@TH4~&KnFzYoin6&6C%UhjSo{KUX%WjmpCU$P;jxAc%I6L6f*|-p4l=DGp0;P`{ zruQSR!LI8G>%nDPavsh$^aJAO1`9u1nXjUH88ugcfhpKk_hey{3}C=q#U195SCnB1 zGYuXrQ(+zC&Ml|>-o_c(v2oj?`qJt$IaD5(7O(d7TA_MOFY&h<3r9i+WiHR*Ki$Mw zwQ~qgZ6!?3R>^KWC<|JZRWndJYFjW#dyP!S%j1ElHbq3=J@p2Ezc;u{sOSm3un3q;Q=dleZ&$ zdh95;inF(#XzE73Vmx;zTbx~5UY50Yqk+q*jRY7|1b4_#8tyh@Uedhho`Tf-XoM_eT#TG+C`*jf! z7$9QgF7VO2WmpU+R)*gJI*32d*St+oIm`coxx$C{Zeo)l8Dwak?5~8mh7%W*;`Qi0 zOW+PSUrMTdPVFiqKiga)O(53}a-V#|m_rI;MjcNRggK3BASBuj4Um$TU=mG=RtnJ~ zu^cl47O4Bnighyz8o4m#9_N8etX|k@vn$QDMN>foSy(pCZI?w6cY!AjO!V;?3$`$f ztdTBQW&o&y$$wRdWP{^uKM;kg4_!Twqo1jpZcGPROV`tF&OlH5s!#%n>R59XHU7qj zf2g1MJZ;)sN4go&>@;ZpSqPlk{QXqY3Fo!)_=wPvpbLOPhDW(RTCd zw7=gIr1C7X;#@=h<)Y!H(mr&y!vmiK^2uZ*;NqPx!v-gly9j-eghD4lo z8Wm3XFR$g@6phA-1c<)6oroj>GCFZJ^NbQV{@`~Dau4+Fyb&62Y;u8EjI6XkKA#<@ ze|6B!UN{p7WyDDKG#y<|l8olXe6ZeS%6t4y8UV(#(0WUIu9}P(ae#Y5|(O`?Spnlcz5?7Tt$pX z+G4$vSr0`-E02^F8HwP4xktDgSEs07!~b2)fATW&G@*P2mh0qvqjBkceGqGgpa#j` z5NC#EbbgIxaZO)J7d~uiUyk_j>rat9zRcQoL@z@3nFRx(jHREk=gG9d#69nT}ZaWo9aOIv-bHiJwj>B@wB zndrK-Qu#gNqc?%U1X4Q?Pi+_m&u_!UO;T*Gv|O%c_=R!5xtVwKX1d>C>c>g!wbyCw zqa`&0RC4s^=(G1O?pZ&YJbU;*fW|4u=(JP-7hF@8yFn>nf5Uc%`@oRpb;!XWBPv(l zH36CsQ2ux=RkW8EB3R<7Y%^T7%OSl%?SE{R6Q|st}sz9`X@JC5+ zHnO%ub2vdiq5@qHTcxe<5))%m|MN?A!%kLsd4#lX)=d22cIt|%`Fi+%@xLj%7e7ad z%`Dc0TQoK8G?0Ky&&}L|R|);pp)t6!dE)_9pIOP4k&^cMbqx`a6+C29CUv3OjeC0o zD<2P;_LT$+Bp7nI*BeXC8yVC9B4KRG%w7laWd>FMlLI3k>nyP&t=`n-0A&^r{pwQk zg;^RCE{j$tc8sE>Qbp#kvDIxmBRL;*2S%rF%0#dxW^PEPD1}5nQN-s{rY%QHhvAY+ zie_L7j=Fz}u`!BHF-BXj4^JY~sLP`>mhzNbb%zASl=jT?rI+@G%R!N?gFDkd#Ih2D}S|{9JbH}KiN4mvEX>8JMt{ov;;V$ zrn<5vl^Z(tb!nDUz=-YboB9I>!#))YOuI88Z`RBu!-_wZ4E!HZd47YcxUCM^~336TVROh5Una-05)c(8@Ash(j zSvbUHE@97>6Bw@NRT2RVhO?d&DSwFQvR=!3|ESPKFX3StV?<1}|sUqjE>iNYa@}OW)pw z+ZYabXa*}V^#-zA^Om?idQ8Sd3L`ItSsqx!YjfHaBpY>sF1BXFRZ2vyy-Wg36J;u$ zw&1D*Ax=v+l4Lky5qM?Ig>7r zPtUn@zoXh5JW7#hOJvk^4r-RWJdr~bwrtvd47vGlxGaJ4j#tbezgPs{w5e3CP;f!?RFx@xA%<|rbi3yjO zs$eWBshoxC*03M|3*0&u96+fFUQWvqP2gRr*0goZ^t;A$AM;FE@7o#g;szRaJ>X*r zma?JkW0HF?sWEpD+W~{W9vdL2Sn_YxX`?*#r1+9`855v)CS(GDUz~hi##u2z5Am$Y zFSlsda<>DQ?&+TRuTi96c-9gy_QtkAoP=VBmM1n}?%RI>hx6|wHyw-6IeKUaPRrsq=Rw3&(1x2-j36lFJhrTgCNeB*?S0_1P)8K9a*#4j z$}YZySm#e07rs32f3gt92z6a(8NSa~1U-y4OIn2-_rir;Q7-_}O^KnV+cg2}{oX_) zSg(CUV|?>^u4+r2y|6M%-q)adyi(OU zh4lpzIgVNo%ACE8aADT;A)6`|ke7JzyQ73@N~`6=6CneXkz!uFW`w7uFBt@}XOeuP zb>wF`l(E0q1!^v7Fa>di3h6;_zh{j9)Z9pWQ5f5z;U&>oX)zx+=uE|GOQB3t&O2}% zH{_3$0oxIIZkK68lg*ZpX!N&fAqYz&!85J5XCcL^W$pRXc5mA)%suq}-?M))K~62% zR;x^yJGtx9q|RRM)WS^%B~Qwa;jV%G`U5g;oZH1vcF+r75|33I$>{B{8QdP0bSq1W zxWoh?M{6PmOWqERE}eL?*Dx+3(TdW~?x;hZ4|!q3iaY^1NI`y1FG*tW7=3{w>94weFDo#tX4N>Lt1G#(U3N2)9@MjkHejZSjX z1*OJ-b$RIc81=gIY_WhrQkL%MO5Y?YFq)fhd`DGa^BUvg37Y)2U4y!+VrJM6xfXPK zRMI8I_g=T9oJvGBio*=eeS+$GOet295qwXw%=zhEs(EBX?F z=Qq+hju(XQJWYQxNacMac1r|16pctSUUhVK`VCOZg}m}ZL$&~5AV{Gq7ShP55Ef8} z>X`Xk(IwBk@K}8v>k$o7i_~KJXJM2V81D0rZsIqWBHrg)&|`zkdO7WI%mDdA2fbQG}2;8cKzWF=aLX)%4}`M_K!vXF)4d|jry0yzP1(qK5oz{ z{O|jOKU}3c=Lt9W)^eS^QEezmx)rHJT8I?iqDs>+=JXhjs)OfgrE5e*vm45MM@GXmI zGqAo#wXw3uv=^>)L#%802#<9AWW8&isQ7D*_quIyWn|v0r*&v6hqOc8{NMQ~uQJ#P zZdFk&)gj3inCEJ|t(QMw09?Lxe+exn-3jwa2P@Cb{bT{ODt%}?d%;gJ?C8*BAyI~v zDmZ?g4sjIYC|{s`jCUK=q=ZqExx_u7wl~TeooQet(CpS$YgFRQI~{JfK|P%WK(YJlLb+r-OFW5;jaPN#?l*Tyu8lDRb`J4n z&`d6_VLBaG$*bo9sQfkImaDUyhaR{^LVn`zHF?cozxu*nFAur?hheJi_qdY!%T$G_ z)txdWUz}7EC5NPynO?5~m|OBxJksrL-^{SR-<;E+GsB*}2BzB6Etz<~hew!iCgbT9 z<5)yAxf*8$qsgx&=@u6@#ma8lo&090h1EIDJ~asQ%u||w=a_x$cz2b}UAZr5C=iJ@ z(TE)jM!PNyIKEQ8pa(vYS&V$@cZ+x&yY7^`CAml<+^7a1zHicfRbKCN&vz~o04fQj zWP9PqpjElHzzl|C5Y=J=*z&W102pSt?A#o^IU{tt?O9~%ztYfvvWQzb>+bUOHUu*%WPe&uhvS3Kuyt05b1`+P>uHz4H>r|rhvNOpQQ zCtc*4O`mc!!7kNBWb}mP@j9lXr%v>mk`6hBa<$#AZOjI27p)BBa7~AVVtbK>+uG<5 zpZ7Al0bB{8J}6EVvKIMucL>Vz}|FpT| zIYI0wUFL%Py8Fjh6@4IRT}pwoIdwKY2K4s!zqb9&Q^>{{YVe4-wp*PP!{xBR@V~qIb+=c{TmrJ*c35Cu8>a7OtW+6 zvYMX;U!{A_Q#C_zQu0xa9zUi;x)u)Jz&d16Mefv_In<^v<2@{B$iKqEp1cSz_DQia zm7D~)MNVlx+Dc>5meLPrxhFdV8Vq$Ew>)6+5;+^A6R$&Qr~Qt1tf7Gn=#$Pg_Pjj) zFU3dQ*Si)2LuLv{nTiQWe``K-`4rZA2Z5Xzi|D$Mm}C@>N5dBfBYkE0gkRIa`}gl{ zcJ2F88&*fvib7P-c$upc`&0vq)gR8=c~2hG>sr(=9!vUwfSxH}DJo6B3;RbZ1Yj#HB_-KXHafQQ#E3PC^tgo&FwG~d%1CIWwGJR@ zaUgCEH`r2j%J=V0<$kzT`?cQ^X7LJmfIP*vBp#?5_nIlOdvcJZC~<2<%F)>53`E0x zj7i*gEVxm8v-;YklH;2O^hz{`JxH>Wg_vN&h;9H<ZiVbhLvGeOgLI%9Qd*_&^(GpfEl`?lLhLy;#!Q6ct)opv zy8XKsH>lMNF25<=o4ST=1(Y$$a|r=g&q zm1e(0zO=W4T87+}L?>Qv;z}l)^nJl3?P{kMgkw|nXO15E1XQli+)|%+lGW(kB}-QJ z`naXu)UJoSq$jaT5^?p|9brcfh@!or3yZ;RJfVX#h~GF!EP~uz;_BMbRsuQEll6qK zx3ua?=$2Cu7k){Bz*y4BRziFoYVUd-x}lx)XZi3mikos4e_7!pnsOC}bXl=wOMY4Rm33IiTB9TX*A#5QSL3K1%Zm47L zeA$=J)EKG{4U5`OAKlQ}E&Y8#jD53!N1TnMg`;EkW#E*g`?3qDQAZ?Dsb5AXkX(dk(Y}5W1FiaO232kFRa}Lp(Qae zdu^&^Fy|duzuH63OH)P7%BpWYZ61ASNU2Ug4BP4O>V@SDFh?5@Y?-jOl;0|4$@`Q|Smb-_wE51zGbxmeT85|H$m*UixNRdTad8XAM zL{ns-BVwlRty?Zlv2YdiDcc_|lZ3eZlu=fCG1AUbo3TSoCtx$2 z#qOT1)D6`<)g^OZVtv?`!bD3(S3ALh$_ptfZZ$uQ&Ga-rJ?Q$#ywu_jvkE^XD|-2D z2%+t?jYF}nMcnjPl_Mu=i{2V4K{v9QZ)df9K}!*me@N0Mx2#GVbbzaHIS(><5e{*p zy#TGOkJK@9qq)*^p6)@$`t_FN=zx})7CJ?IhQ-<7Iw#h=LYW$9S?`d>Dr6Q!V_HGO z?SDz@UQZoEZnZL65*?d!Z88N(UVub4q`er z#?uNzlJxL{#!II27sG9gl1q&J>49YYyZ3o&K~^}|ukr|QJUixIo{KPn<+Ht$_;D`^ayr0SRtnCYa+rB#oq zki`<>t=AgA&y&{duv0_vNz%^`rF0(pRs0Yd{rm1OW-r&-&NUbnDqIbA%_ORWiTUFF zX3n3PY0<63&eenZQ^=LV7iHm!c-35^HM^vSO`8b*7f#1Mv8uV4=sIFw{>_9`1DU%J z2#Ug_dPxE~(6~>x{|E4!Cgz@3m^4@K&>8eJOc?x^u;AP$IDUh_*leP+ zvWH&-*N0-wbG*paQR;2-#Ob1EtYZDQsc6MuYfNax&@NSehl!Y0b~sM4Yp=T`29R=^ zg#qZdZnuq&IrSJSa#P$))oOeS)}YFbA~BhMzRgEFOClbJT!PQZLnX`TD2;zYPmcA? zzP-EQhk??kJy|@b&C`WDHZ3~Pttp(uHHtu9ao@QS{Nn4{*Ugjv1I$SnSDTNUxRbmp z%@qMkuDq`?8u{ia3*dsWI^e_-@P1}}+q2p4;!5%qBso;Q@&)d)$DN+`mB9G4{FqoNbUff1G#)G}k97?_ zluGoM+otc}O-?+m?>pt`%=4Jm+(H|W+$}}`Jwh|$E8>b`V4qW>X)3_91*qra^f69- zNV;82Dg@HdE7LCTI;E-ZD%QipBSL1myYKBpHP9z$?e!!yx``K1LtZGmlEYwEvqeNy z9CMAaXonZBXCAfMT`}^z#==doM+fqm)Ya9E8oihrHF;8d&3|UusquT#&xH~GK1MYQ zGXHfI2O1ka*mr~t*?+Mn2!Zx@GCKN8Tn#8K9B)J*Xi`zl*-4&f(h^5&m-5bUl5#)(RCfA>3KM^1G<*TY!CK2}^GKeIsba+FYtS5Zbn=B*r53Om+r%wRu& zJ?v;nuEi}Y1L<1OdoxD9^ekGi^30V756A7iV1YeUUY-4pfoEh8v}=hXCB=|(muuwS z@UtnT4HA3)TU^|kzLsS2_*$yi%*1EYA|0FQI3?TIDizxpgSz;%For4WX~=El}A!Khl4a|VyQ?Y3EA6Af1_@IQRfM(=?mlabYk*qKQmI&lE0w7S5z zWim##7?c8P6~p8eB4l)4J-qbxdH3egN%i+HKBZh&&XT)&G*rU($q_s+)$)mzJstQL zyXJ+`eQ+R?7XjkNn>2o7Qh>^yqN5z_KPWd;#%NF?wyeQ5be<-3+aO)$5`;0w6c^R+ zQTBj;qeupHmAPuWRSGt?91wpfa+_?@Z5zn&|8s~uN!4EnN8IE6gyfg%WCJHfmIRL@ zJ4al z_{F7*dM~j`MzNQ}Vw>xnl->&bT7WQ)9OEKs00n;e0C&3tW7=^aQ;&e{$<`so4|zobyB5 zhAB5{QdI*`^(jbOO9#c@Am(f7aO*LaqOxs5N39sM-3FhRatshy&y8P;1Epw4nc6}q zDqU z!LIh-Aj&cNKsk+t&4c5@DEW$t$N5khn=7R9ZFz+zCImai=d%oYzi`NLL&0bzmQ9Mx zUI|gU)rI;>o6Y71e?|QdfJxb9R`m3<)H#O)NvseG)h`9ovdA>lN*Qzj#|J?Z23}cN z$drLLHsMh*?Y3gv{O!F-h%=g}X`3r}2VY@?eJxnkx+rD>^!9}HttEewAh+as$(YDl z(%}tQY!Ro~XhW`j7v9-HV2Q^{z zt2$C95*L>mm9^v?elB$XYV8T%SR-)2Adn|TGFJF}pSIHnK`{5`@RiO*m)DM&Ss0s{ zz6`&U{}z@N@fV0b4;!-uJ2Q>JYrI-40RI_*rzMJ2QpM^hl4D}~#H;-5uZeEZx8uIA zDpid1Lg{@ML`EO=R8vlq8Dl#<@lx?>@&STE*9AE^isQqLK0&DktQrjVGhZgNcFy|x zEPv=J5%@HNoat$`^Np$GVP;f!y2Q#ha<5c)qg}b7i+x2~PJfe~iTzKUwv{bSs5eK3 z;3`Vb(cdJ6M;`URzt~vAh0MzZs;O&&5M@J(Zn-HviFlFXd{>q@r~?!u=siz6QSfmZ zeTu?={U2cM>iWACNmGer3C5F3@oN&VJ2f7sd`|2c1bsBr7`ApljzjFr?{A;_Fr|@= z4=eiAp6?c6sVVDyb9ge@^7HNd#!Ta*<>xM210`~CEm<9m!sug$@`bx+@{(?H(N~vP zQGJp``n41%Ag8zxS@*p_7?42(lcFVwc2{f82PJ%L`Pb!$yy$^}F14$xOz-?KdE-BK z)%TjIVC>X5uH9gKWWkU-puPtp66AG$Doi2>sI-kH@PsUQJIy>x&^vTxQwLvb8M<6# zmZN0a`JpM4fKk|9r&YA-bfuiFbxo>X8N6KxY#>=MEB4q@xkFOo&;`3L0Vf5P9pX6s zD{eVqPMKra@?+F9Y#zHN9gKaMX9`G3k|N+?Wte~kn1_zDDyB-c>&C_zArN+|;(}K1 zT$#;4r>C#e{Q*RSLxO>cA0*m#t@p2sOwa!Sue_pF^v#j<-{^S2iKC3(>(wE3Ot(jwQVk$-t@T5WHU^~cz=+JIM>b)lV`M}H#q z$9$Y_>zjnqEzJcSx*N(wiPeqxrCwXAHo*Z%I;<3V+ogN?y_Tuddo?Xafzi1-|JvKe za%ryB&bb~WKRaK(rtR)+veOUxgAJh~{lp(M#;uc4Rpc>J8DUoMHx!7?EvhK8SrSvz z2wZP;D#IXS!Sq;)YR7Gi7jk2XjTx;*R9EOF2De9IPr0Za4dp3$1eU@~_qEZ5!7(;N z`~~=#+<9ifXZ$2S%fuv4foKp5=xMw#Cf4D~pkYY3e78Q!&-tGLW7?)m^lDkCVj6du3u}Baw{!wNu^}2+!!S`afm#{J|4-F1Xlm>+-2B)Q6tQ>7T{Oh9H zjc6=r%yexv(k;?$mrEyuS&aDd5VOhH=C!_ItgGxf=gPO`PSqxk)p9We=Pmw7rN@}Q zfR;Rm+zB@}LncPs(L{YHFq~fcee#p(k46|kaW3l8#+7J)AA)dU*Nmmq$2`1yn^yJ5&h< zL>fAOTW@P=5SHQ+LrUpkn(bn2sg{)q93Iavg&4!WMOFR&-r#*qMcdgZ<&-HjQ0?mu zx%8ja#4M>}f?8|Eha}Pn_UeE)aiN0fE?oqUZv5zR%Ii za1~g7ZH+OT`Z(V^TA1C`#_+5$ZP2A8a7wfC3kwdV?8WS)#^5pK55aa%9T&wMq{j!3 zXvm==WVoz3YwjY|ywL@4MwSq_WLmx2x@eH*F@nEg5rNfC7%*1z1>`5gP&)pm+o z%}K^XasoS#3-E2uX4NRsd%Qh$7GEDAg17b-A zWf9@~<0wU26mMn(}6l5|7i zbmHijSZ~O{mlC#jXKUR2r?hECeh>YkpEw3{PJ+)%etQHI?aLB^P=Yn}=HD&t!x!7y zfg;I;bl$~^&sV@y5-H7YxJ`vNUVO@zrZ~Dd+E89!q_41P9#KlG7Y@cM`McfUAFU2~ z=aK>eX(*XX*=|)95b9qQX3;NgGp<^zZAb>Z{8ei+hU%XF`tY!NRES>DIER)>Db+WS zvbqOtd{$u&(#Tf2unF5m{LoQxyEM6)%N7iiNjRpu?q~Y$QtmuH)qMN6+70 zIcfdH{UxUl%|ReudKi}UKR}_E*OX++d)I_s^RrL0fOumTQAN1hUSB?-U^E71HK-Sf zSv|da?&!(klh=PPWr_Gfv!)lLzI?ZF3P$eaFoZj^2~wRuj8WI_fS)fKwlA8t1#JNe z#Vkc!C6k^eZQlwOkC8tCT(vfz-JSw3u$^3i_H&P;y?*j>@K7ojg2-IMx(oTQIuWif zDSyuL)cg-n*JbWRX|7FI^#OJWp+_{nDIiPFH>P@<98;BS&M28s7WrA+U4hm?uZm@c z65hmY=83%1Ep+Hh7H;_UgR=H?u!VoBWkH0m-=xO(#F?IX^F@QBg+x4q6hqHR*Hw?x}Ym79Rdp%a8d zhc|&Xpft-_PQ!ApV^uwGLna(S(hPgo;=XL zkx9R_1-Qv7_?(obU2Z|rMU(=gnrGv!(1ub!c-!-4(zmV2x64QH$lYLDFH8ifS7hf0 zW{`&S%YAW8BIsw}vNV&=?4EGP@wVhCFF)rA;qL_2~4 zTV6YRV926jF(Y>d0|#O88u9#fTU4z=9I^>3bJbXQJU;K%qs{g!n3f)0xqQ`B{#>Mw zI&7eMq!vN-GW5#G?fK+@EGu}|{lKwn8v{VmLkzjzqY+4*p#BKGP&pd_A>=T|H~hK0!_duq)ncFYGfuf7OT&qvkD*q`yw! zyE_OG-UtqNNA@Becb#cbX&csRH7|E%h5Vm+djL0IBh10MXLlBgqCT`LQAUTR`bN_; z9=oM$pOD-TWX-PY7d6<^@MH-e@D3Vs3f+a&U6g$C4Et`ZiS znL_x*d9{`W!&v0o>^^=p>bt^1>&7c{`r5)gDJ?Hj-*_MGrkwnL0NOw$zX|k{qShL0 zXNJ``PRg0lfHM*-HJAZahEj`WG5{kd71j-o9ZgQGu*D>_K1gM~?iQA3R}qeykbD(E z41?k**y2}Z=@`aghmBEQ(+?E30AVuXV5T-TP!Dz5)%st zkYUQd_2`xopRaIK0TwhmH^C*zSxx*DL zDuBI6oSE~iX!c7Pb=}yiqK0G0=;?>8Bon5OQ`{)MVc(z1Q7t8amWTR6Q4@q zRQA?2wEl%3r(fuQYT4gZ%RMWQwY5P$D5lne0!T-wK^cG_;DG}GLW?3XJ^fO{XtU{g z76(gURC76akUGRKXJ+NQ>-0wf7zoqmD-|_Hs?bN64w5GIWJp{&eRleY@7se*gsjvP zKb<^Rr6PwF!4L_$BMj-lYXX4LpC?Dtt>`_2#sN8@!sgJPxV(bo{nghu6oD+yNKxz6nL00kK^deY&)p>3d1+L~<^hoNJ5nXL8vbPqSR>DQqJ$9>*XDWcC zTT89y$T$B0LS6O@T=@xaK=iG#=CBi=n#N-2c?$LD^wOYWjbg{Pf3m;TT=@%iH~C&q z^qb4ApLAz+x2tZhJt(e1PG%KEU{N6^bc{$2)JKIN9F=)o7d4+-Eb;80QEQqkaEy*% zJ$)@?cd`7Gb@DrBvhirX*Kqqvda<&~)>~Ru);tilDEXxM;}nIuoB~N#t;f&iQye#n2Ic0n_EHFbKuGLZ&XUBg za_Se06|7{mkk+_sudp+wy2|5e=)#<;&zr}wAhMydQ z5zD+I0VmLGQiv=CjfX{w>f#dKxyS*poD-c>kW8xDe82Di0M#C6jpwDSYTMEa9c}tG zjbZXWovRsSKvRQRg*DY_S_NSmAVg_czs73SK77`paqGUC5RaKr9TKOG)kj=NY|vU& zQH@d(iAf~8awT?XoGddtjT$w8n=sy&E#FdVork&pzgsTuo4l41wvAg-1!!shdg&A; zE#sv>0poCe0xnCL{=uA)&t<_&gMC`hr}ckF(IB;0DQ!~f$dIUKjB1LGh?S^@7;al3 za4c0)s*Ir#LalaC2Q0)uCvEBOHXCbWX3l|xR*C4f#JxUOUrxpD_1R^SW4l2N6GAeu zmZ_ytmk>MRKhVKtuT_HL0xUi`K`Raoie;n_%;F#`SmwC)_5+fR)0Tg031?willjO!=_l$|^%qP8zU*d7?7GIau}~as0kVf_w{nD?CCs81|)vNC}-r z6|KVz5miiA>%Q2}rh)+wka#Ggm=8?}#PXBNPddLgzc2c0@*O}F8pT4PRW$sA2p_N= zot5WCJouooLV{T$HIM=m(^~CYGLaHn1%~7%e3TNAm?2SD&*rGgv~ci+obVZe2?I12 zm-_vUzhJD*8n*PcEqtO1elJ<^>#O7O6#&&LI$>UIPD6leCdu^&>0>>r65!@PmHkiV z`2I`UYv~HeAhmBRpws}1EULy?mHLBnz%uYjW(;X7ev$Qa`GwV6&83;39 z&n(e0H!}tKS?~#>Z;>uwP0?(lbS4OQ|Hpwt2sp>kgv={_T@uN zR8X)0yuDvGN~52oDn|w=S+4_qd#YOBU|tks_cr}E?4C-sO=5xv$kHKr7fZFjZ>Q0& zTfWs5mJq>ntut(v5L3u&zoPq0E-YtI(91lpFZ2!VV7Kq}{`LJ2>rX8CHF+%J7hzQB z*;6%-Y4Q`vTfDYgn)=)5?MpST-$b=+4jfK}wp`G@tLnnS38FMd5p2HIv*=u^SQ&!O z{)`o>mWPbU2*p)iRee@;s?28Yxabi~X8KtvB(dbiRV3I!X{AMlfmT^-5-S0stua!? z`66nqjAaAq*GTOxganuwOO%>R$3}pJwMeegShaj2nbC7&T3N5`52}@{*@4ZSsB-aC zsy76wwi2uvLn1QOf?Sq(-dE*6xLHJq8!5kXj#1&%=RUzX=YVm z!W%3o;>LD&xLU4RZTfC*I>uVv;-QNfxoSe|up;lNpIT!x-fmN>px~`%A0+faXyAFD zSALy~nQ2H}j;tkFXP*9L%>66`7@&Zauyl0tU(5cA{{TRFY5EU!K1<##OGTXXUyk&( zR#K};bBr^!HKQuBHF1??QB*Cz=>j3yzyj0rgRF0 zTzc3QvI+8366*-*jykzevdXxweAOPRspJL*ykudx3PKs|TzHi9sZ!lj_~wR<6kr8K zNRQ)N_}BhLzmxMQ%Lk%*1kEf=Fg`~>GdZ23C@dJZh!>wmG%5nNQ(uaqJi5HPhpvr_ zXWp+kewBZzSLxN|A37m+497aIG^*fQ5xq6x{*N^nMkU!s4U@5k)&Zj zi8$ax11w0YTuT8}^aspT+5Cz0C918{GaM?IeecQ{{ZP{HZ`?_4XUM@c5PyA9!0J8soLt;xVSxb)?NaN zfzMq7U`27`o33kXdm2{*hBg3<7?8lKiec0&VLdO*q`B^55XO5?du z+QQRKg{yRxki~_zH8&I4v!Luv-KEU!dM%d6gjp(Ap%rTiVI1?Q&dUM%t1Fg!4ZqaM zQ+=7}h(Qas1%R0E(1CZ3nPGD81Y}V*%Kl2NU38@f!?*Ho{FnUT8lt-d(KIldpo16D zU_mX&9TrFWF;X2|getm>mOYPSddod8r6j`^2JBx|^?B8Pjen_6>o=a4GbmNgN{XJZ zNeV4sFsXetm>@1zee58I;h&|k?D`h(=p3@US|bHOympaFqtsEtwT)ab+AIWEZXw4S z>}xAIgd-88yv7=^g=(!A3qFL|V0w?2b3+6%iycU+CT9T(EbE~GN1I1D-g)5~fYSBuiV6mMm_uWr?Rk8zJ zZdD9oOG9fmF|c<__?8sD~~B#LNQA!WT>ef=BMZ{ndAO~d1uP;t%4-}g=F#`-=?MAtSlI~U`e&>dzd(L9Z^Mw zrm3kOHx*P6%w?StVu1`+Yu@kZyoqb8&#Rq#UB!ylI(Z#^i{n_ft;fk`V%Dp6Wsb3- zU>x?u8r#WjVqvqh=>aYN1SFAPI3^J@JwLCwbY`>cFIxkPI`8O<$PC+tOT%}W_t8Nh@r2gvrU($MHB9bovyV}1!#!I3h|$#S+nZCK)3DnH~k4)7T&`P9u}dck!;%RXfJZF z-uU_uNvYf{Qps}9o^0l!(_-pAFn5?bG?I~TDmHnW%dea*92i&dza4XVC1 zzBiTmURUKeh!oWbn>0;?h6EY9U_O$W*>Pcjz`*Ee0A67aF~;N*J#Tu=H?(fjCe4N0y&6@@s% z9lM*~&_4YO{X=ijPfKL&TpU7T6^K$lll@EPzm`0d^C|rn@=b@!4EK6dY-oa(NqDMT z5t=JpYM)B%HX9PfVpiX=b!%7F0I|gjVB{$&S*p?VzH)}QtcaFkvNIMB7?SWSKC7)R zzy)evlBp}KBFhDG_T#^zyTuIZ9C3K9nxvv**HZATLWLFBkMuA-rn>|z%q}4jfvT}B zD=o31w3eJewW3x~Q@{l|H7G<^QcF7puHP2#2gvYzzskGDWw9A^(3_JWJL{DU-8?7( zI3`Dg*1`vvKxR^{Ibp~K2vqv#p#UIGoU~UHs{E9_eCq!ISpJPZc=LXY`)EabFs+sS zOA7_2J!%p%>NsU{c9tbwV?RafDLAbrqCsjov@ln5XV>bt>UkpLVzUy>1b-x5Teu*a z>LqKB6}6y-7b5B8wi&U)f?+ISD+3U%3mBnhKo(~D)ff|mB{WW!xUTI@%*p0mrCuIzapHVZOtE{-&<-eCllx#MeO`RCdE_)TjmyG)^xtaY4(8Yo&Ah8gChC703g^LJJ zTF0O|oRhqPyft9}SyXwEJ?CNj=wI5}ZTkJb`nD)n6KgKYyqK()QRY$Q^oLM1BMkz* z>ZLX#U}F*zPIFp-7nh2X$b&VQJWz{eXs~*EzAOM0eEGH_@rHCNc&zj4@JlCUcIHxI zwY7$6-DT9Rmg+5xp{FRRQw{)R6^J8Nl{&0oV5RKz7!ak1v49QRJ2KjQhhS9pq^@#; zd~sMJR>RS;topkH^!|duzn=d95B~r;yi0#Q{4l>VxCa6fOb1KsSRf^GDJe9#eJ6V} zRwG#zm@qJt4B*10a1g$*LPG)xodQ%AZj!-o^?z9Mlk|s_Ji}uOkA3z?XSt(O{NWas ztkJ@_x&BwBB#}8x5t!g`%|b zUm5^R(0$Z^79Zh^2TTTRQp~Ytn>I1Pfc8BWh6Ui%RYW2WLYIUU1{u}hM0B`VY&QLV ziujiF3A2N6^62uB{>b*;B8Rn01&suDMXc($YU8yJ+gcjgW6r8)vXDJ}o??baO27o* zFwRVQxnXzdVOB)|yhQuhlcL7Pwa+yt2Bc#U6)-}kwNj!OV*pIBslw{;7M=a0+iilw zb#^)W2p~}m?7}mIS@e$!x3D+^iN*hPkU3dAh3l%-SVYZVo0e}?}6!GFrT!sCcT zDVu=kW+DCe)j?%|%-%#05pxsip#vrFaU!L$VO=<4?+e~NG8$bpCozJ z`Nz*+(Ef&v46GIw7FTj^47U~*hwL$3wz5kJ_cnFUc3;}FLg?tmD=fY3x2*(C>*>(4 z)-YIX1QTF=`djO*nqUG0s!T3Qgn}`fJJ3uAq6&tB2%yAn@+8dN4C=m?RGqjYbW1RD z3;;z;RODBU0yHzDZ_rw-_ASEoyT$U;&8qtgSxU3L)Z1Ze1F=^7G#4u?n2*pYXnTm9 zP`*uWMS}HfYEfA&R9Rg4g$0WpV8q9*qXmkr027f4e4Hr@@>GQ$pu#D-5oN1)(`ve_ zj2=j4ewf(bO6T0Tx9L@`U74lx$hE4iLM2?33-YcNMB!KFY}SlKxt7dk7Y3>3z~T{F z@I{IiGN?l4bvNqMMIK*)4D*}9$EUb1N;{q^*9eqp0 zx9EXdwh)&rEnlDu1ZDwXmafxTO|^6u9NMCTUnO|rxtwNnYTD;Q7)XDk+GTcK3)aa3 ztw3#v2hP|L5m2R+Sb$WzA~MG)UF&el^q@)(o2$9ID#-BM`DF+8HGqiA%;LEO%tdiO$1K5?U`Tduk;tM zlm7sx{{W-?&-xGNFPeO|@+-9uLF^^ep%Gn&$Q1!#dDW|PXT1m32(t_<2_^TSOkk!T z@F0onp$OC>Zh}Z6G$jrRptIF93th}q#{zQXLs1HFyB>K_P%DcXG!?MJTT%m6ZFQnmT3g{+ z`EU6L^O}=cP`rR40xOdYGeHqoN38?^8FUGyp@5LnzJqu4SLioM2sMS6%2W&>t`G;U zhtQjvP7tS7XiXN2zQf5EuaG>t{FCG#F268&U(zoxRjA6egkB~ic~{uR0)6fuT1X*} zp)|l@E$e+`1BFpFr#iD$j12IeSO^a=7aM_^DcI3qVnK@x=sT8WoRPe`&F3l$XR^R^ z)-*y}#+KNu9L72$v|9x56>3XiS_U$ux$x*fm4I|cD)!F6Q#Qv3>Gay}u@>sx!A7jd zZ;7aS<*WGCEUBq9Sg3F&G9ggjf|w&eYM{XtS*>zIixS~sxRkcj*CB)eRrBM8My1x4 zup|Q>F_uo*N`P1usg)%#MT=e#(IY~}#<#X;;92ztsmLnKoHeRCcz}X2 z00I@y@F5UGH+^6|1HE)khMo3qOU0kmFaD&F@|rVL>|lx zN3`^jW;giggo`j8B#{_R5QHIsRA>{e=c0~GNEkr?0xap70ggeekg>=dhXYAx5EE=b z4UfYxYzkq3h?ahGwH8EgA^SIncdy82NMk!%AagnsN>wVgCjw>2@!UjjfH>&2h^PYq zyz&aGFlMn{(p_V>W;KP8{-V~q?ryH$mhyFDMF}2!P>&zu^A%M^&;o*T${`;>?$)SM zyb171-ioU=1bW_^t5US3Zhm~U1p$Iut1EjHDPMxrlr?QiSAb(qJL|HLQ3d0xI&N20qy?aRkkkmai2T14^otPzz(Ps>DV43L6>K0PaRICi>f$GSlGX<&P z2til>)+vRA9q^mD7YQ zV}@_jy=#8mEvaSgO^)v3;~v9$5_;TLM$-?j&5lcjVq7t_v}scdSa`%I8dflMdDp-; zk{PCM_w=98Ve#9nT#gO4Ws|H&j zMLv~rp%_65a$y#Zfd0~TOX6>?8MNLQ+4#az^ z4PbulWQ?m|cE48ZoC<@It4|P>8Dazh6NYtDQ%9|5JPNoe85LNTP*TMutKipdO-TpG z`BpvwekQe4UqWKENT;CTxx|EGH%j;jw1$T7sB#NHQayaKg++L>(eX8+{P+N(-=o&E z*1^7>NE3*W)f46_aMg0v0I`Bv_K+LAX9Nz_o61`;?KTBy_AC8<)-Tx)o}8$uTyUsp z=CQ-dVFit!MuxAD4unsEl)71C1N2M7JEWzAv^B=$b1SuoTG?7!0RyXwpY4l$Gh7!svS)K)DyT1`J5RT{RSBd1L{G2+Gx zS5m;OjV(|NbMqi4!@DDs78`ItY1XVO7_{IpY3baU| zu($1V7E{96^)I%=Yrj7uaEi8$n#$e}APzi;^{HEfbSxJsGwvGa&QY8|MR+R3R!(BZ z4w^-Fx2nujTQdku5Urztcw_-?wUJC|W3a#!sAxpe8I_0tvXwC(RV{!l4hXf;8v}s0 za!{aet0{|nU1hB60<2gN)RmA>*2%*vlI4}ns?62e4%kF$z83h$z`MZz0LHWN&*#62 z^>E9%i0FL}K!O{h!Ty~RU43R@m?1p+Kjejq>O*R=AeZ`dtZdlBo-lx_GG`DdLLl{! zdJ(;HkPXHTq>+Hb8fH4^0$~#&9chjsmU~%?0htRCG7-pta$$b^>5BRTCi- zkyGox1^7|%u8M0YsmoN=v2%`vda;PG23iU{6Kg_@U)FUAC(w*0O$<+8LUc1fP#L67cOr?=b6-Gwc304ybR5~u!No2&A_TA$Ug^sD_3vfrfzR!}@);M?Gz&2Ipq4#L#E zZf9pl0>P}|w6ntjm9Pw}N)~{Y1woj~ps|AMg&sh?tQS9!ZWr5m^1Cjwo2tD^F=>q2 zt7U|!;0lQX5SIFL(SF&@hS#_0VOJm(D`{nCMI}rmv@vY0o5_3WfV2V;^JGK8AhH^{ z3vCJ)(uY(8Y6eqCtoi~4{1WA$nMg#B7SX#S%4{{YxL z)w!n^Ry=Xy{{RsDIpn57 zNak*PCJ22Qv#yzoZ*JQb1HB2G-(jZq3=JA{0mrPYz`rsa!x#iW{);GRBM1NqG{E{H zgpgfMh#S6);F1)nVSx%q8ijzHotIhK695Ns1SqV+03ejcHKvpQ06Z*T)1EiyQ&^!q zMEnN)5BxL#06+XOeCoese!%^|{ek;u_AC8b{{T#RY2^3guj&3=DasN$52PyCL zXwHl;FgrShj}`(HP^c84rRzR2RwyVcKr3p|M8_`!E3DgFR=@&%yfT<*P-E28RUV~{;irz2rFD@WIGO-}QI{?h&3{X_5f&rP%VgCR??)ni{0ArRspG1i9XJP}_ zUs3B`5AZ-!R*BYNm=v6t$EYD-NWgS1RWs&b5mlR;0F5|kj_yD_3Y-++%p+9t1TmrY zn5#Q1M~P3D1)Um&mH^|`DyufenS3b3H>&C z3Fn{G{{V@<_L)_KlL=Srq&`!%wLeKaXR(>8ghGW@YA6K-Yd4f81)Dkr1b1?+W1_3{ zvx>!x(JEgb0&rFv9K#L8ezme(ZTJJ#rpb*J>$E0lKRyzsK}Ir!Daf#z*jOjkrm<6K z!v~Wd8B>zdv{(<)vq zqr&p2e+g)%I;Y4FZ>iq-E{dU5 zR0g0hnu0gC~IgBCLzBPREP#vzz2R>h42JDV91RUWmm$4aeBIILC`rl@6AL`Y&< z(PApEPTxi-!R)W-$f&SZ7aP{#itH>Ti|#eu(Vd`pZTc;N)(e^kXIEknMj~MXm+@`< z%YQTf03H7TFaH2E_?A_HO5qa(%z__V>o#|UxE0)@jvy+ zPv~{#c)>yc0F*X@^)o71%B4;dk6Evb2oHAQ^@=euQStb*4cX3Ieo0iRJ!#cn|r9^G}KLDC(mM=OulC=uVIL z-PS#9)@k1K%wMgG1TjDc0;dgm>j(ohz)$$ygigEa00uMzxUH}iBySvPImFQzsMeeZ z7CfzSXB-8DVTG1`5u!OJ3C@^{Tr6Xn)tS8q`We7yn)9#uw6wb#-4?PwjKnalP$5)F zOzBKcMSIeqoZ(jiKrp#yidq;)Gq^2R6Ybi~X6Uy? zy>b)+ocx70ozI+uoHaTx3#EBaefLtpV${ z5~oYj!C|-PZ&xv+Qe!g(79bd6t?Q28T7^~xbJD{7*+=r-`L=#({D=IX{O0jZOuV05 z@ykrlN+2HdeG*QQ53n#9f@&BKW)p&A9h~xEUO7+;D28-TwrRy-$Vfd8MF>I*z!`)Q zBS5?ur&Kbia{v{XXAr|+Z4p}4fHVTuAyma!>=nl<#t5YvyDE<~6ko`y#wf@cY`UQh zP2wUN+L}qP<>O!dm43JAdF|q=wSOhU^&q4{$B~bU&QP^VrZkWzQEo0z^(#Aq-1ZZRm zf_2G+n_A;>u`Op?1AP|K_7$+W3kxH(q%3%2kO?ZW>I49{!tANzwI#EFt_5#UqykLL zX`s6p8#vpUqFt`xQ5Fhpui~|Ekz+5+Gew0liy}OF+PbUx1hoxj3MoRWt8&9@l7qtj zVdDP)<{!wn@_+MBfZjRr{$&c8%PT2@bC?2+ALs}oiy@42f}nI*pG!DCI#6i{7a9Np zlGEnlqK=VOcokV0O?V=LE%YZWRQ)^WaH)ieV07%LfO6542agEO7;?oS0=#w8S%is# zH0Z)&j1vvem5D4L^A)tLxmW_FNQ`e=-Hjdv>bP3yVIs!7wjqLZTRt zSzrSIhPu|tVu&j=SzpNuLbe7g9NArUswxEI7LEj14+Wrdu@6JjdfmWyEAr@zB}U3) z04s%5yd}|}Udl@aC5vke64)azC5^2tz}|64P#afX#oM@FX*?-Bc6kp=Q@h` z9Gz=Qx~wzj4Qfqh2+PJa3y5=OSp(R5aRh3fa#iBN=|MO{3XE#)YEzM4&a?|@5$!e? z?Z~t0rQFNTpdVme%N}f)(XnH^>5zlUEH4Mj(}AJ7BDhh-viGg{)OtC}9IB3Zyzf zVlAqmMG@shkph4Q5=2t-?#yirEcyanTj;mhX)5lb5U|^As_xZTzJMWC#HuKquz_dD zRq@7OP2(s5K!UIy26PPY!B|M*qSXApI3|!5C2RoU!}{A)D1;X4K7!A&w2)ktc%Z;T zHHxAe9nhP4f@w#c5b#d2)(k8+TK= zw#5*#)?f=;MpY(goi%K}i43;XTE&j5O^L~m6od02A#oNt16_o&IQjMy0W0;;%RVnk zT;xWCiOVR2(7%ShRTjqaR)gc(*F>-Mm*RX>@jRIRJG0mB=D>|ZSk(OT8H8Q3vb5RmpgP8)NvECG^Q zmBI~fj8>Yf__EeMAPN+4YND@?%_&MsKccw`kXtOM)}SsS7KU$0GAUCQbQM9Z*3U^R zS#@7%JzJuK22u>i+~GhiYV`Y}_2G&TSqqRjU? z+|Em~#DBJjcoZTCQAH9g1Uf;6~QT39zkZazW1W2@CGat zl=~#<-LBmv8>Tqvgd>lbqP5FSoRa3lK^Tl#}2Ctb|$ND)>#FhSd|xcUznk)`K?I5C#?{nBAhB~!x9Hr$WS2w zAOI%>5b8pB+#2vJswiTa*J@tC%yE~I>a~KDN2lhMG~<+8Ek=QW5Vr8BKrtetQ^54; z!Bsr`u}3Bn7FlLBZ{v!*un$cMfQTc)<%Hh7Br~a^b=xtsIqJEpAJbN@2(jW+Aq@q3 z&;tB~3iB8=5ZD;U2CxKX;vzaVc;r^A!YnKY0xd$)g@P=0B&Za#x}wJhW{LDjV@9wc zL~j$wbu_5>+$yrR@#v@Wy#D~qzrnM^roV_%k1Rpss^3?SMt)*Ba{ewDX?TdSSLv24 zb|oV*CNnkB;d2eGzR3;|ka5!i@QHC@rmTetnEWv3Nj$!!{BReQrSn zBs!N7U>hp|5_cA8CTm%pJB1BnmQ`itLKS4Kl)+n;GvV7eDQD4ztmEU(wBhK_YhElRT5s|cK-W6Co{MrLy1Kvrn0 ztr&6Fj|6JRQmBTNsVh)VPRPZ&4jUG900DAXO7c|+E<^nVy)zq<-?(zXuwl_Z zRR9{*KxRp=I_kSN0iCa*12hnTrYP&=0IN7uC1pzBkDq1Qut;S!y-nkMg=UweM0By4 zyuQBS)a|#6mOaAX>o2Oot3GYbW4H_q6HM5B5ukcgq?w_&5)VlhqZtAU+R8z*3!3y) zwqN0jBDY{m)mRpQjG-Bbtpif%^2+oTLsCWoQBgV&24q4k3js$p*0wXWWR42r zD;!uV)to^*NATUysjWiGXs(*iiRIJ!hxEsp{RYO1L-?b^xAW`#ztWeeUkF-KJ875- zGsLmlh**Fc?M73|M{sLJbo8gTWNKsBp`)|IEU}1wiRgn~Vy+KbR#Za@TqsDz7{M!m z0fmep))m(URjMHjf+$cWaiX`|u9>Gr_B;*O)W(4H0d+23A=G6wqaj`#{QJVJwW6a$ zQi?qzPpKc-^xACxgt^(>uKN8pEMO7o%Oa-)`gy@A4UcEgxxAOOxtt@AVgjoygbAb> z+Kx$5$ja#qVvv$803uk6;?Y(rbJ4EQwm*?9SQUg~><>rB6bf3TO8iyvK5tvll=(?w zsA&Gevg(GJoT>ByFdtY7ECDMHU_ctxv*fSIoZ1|jWFCcttl?V$t|dW?u6YMU0VQE8 zv&Ud7Y1oFM3X}zkg^Hy}V#P^vp;n&kQv%y&gB}tSfVfX$c-8oH0JXP|XN~ar1r_|! zt@G2*9(7)Qf2Nf>Wr?gRiJ<){VKKX9#D6;r+oMXQ2sSB=fylh8hAm*| zUOKbYY9p)A2UN^8+KR5pZz5GEK;z=LpbqA<5g8{qWKK>w=^OV z2^LsPYn_7us+%Lw}O%Aj6#fPh8~ z9CZl|$Uu6uihvdi3w;*JZ8fe-(xin#SyEPN3!fRl0a1BE!AlDvXJrIJjZ4u;uhV)V zj}{D62fQu!YZm<7C%V{)-%V!pVH_|KlmM)yJ`G@-VCinC3ZAA>QV*Z<{J02=7Mi9C zxFdlOE1AdIFpNu@o540#(3@f^n@1BrHnV zU7Dg8Mv7vFDjCc{rnYaBPAXTGSMU)Y*3kNa=7=Gj zs+9bzd?i|qVyq@O?@m|*E#x|Q3f|e{SH|eIBr9ly@a7#sItbmn}906e}=cKasTh<}#R#XESCGp2F(kh|aq1*Z#C35n0`7$Vz3Tiy({;JtDu5 zp!l`a!{F)4g67AFrWmuL;Ak8eRi{|0@Jk_l+l@iz#7>%psk~G958xlnU(Npj%>D=P zPmGlOlD9^!)dIy=Qd~p^5+IyCuzG6Hxq$XXP%6XeV1b1~8sMKhnE_;GBzWL@1WOqr z#6LLDxky!RF=#QMv7!!|Di&HuXK*OET{tm@l_>FMyzlc5g20;idhDa&XOHA~P2vO399WzR!1q}Kxc;W{HgR>^=8NP-xXx4WPkZ(ENoF-V0Ff)uKeIc0Q# zfoE&g%R4ie06w?r#jXgelNKa;cxu2Elt`+zw9lPr6~S)4g4S~OUGz7bx@#?P9fWI= zJ!Kh^0#Vcn)(cGL@IX{f1SLS6*gFtLRzoX=kt~)|XN7eI4IeL$^R-PKNcjZ_AP9k8 z7k35ceL1ixBuj%f^u$C6j4X`}WdvJ0Q9xFvaKA4hpY%WWfAq(mo@e!+DR1kiG|!zX9_TK$S%rv% zx+wYm0_3#=Kc}kL6{60SzH3sRKxJ|9C8|;hMy>1ls{+fov7nA@4ofPtqgu-Sc0mlG zKzkMvj-UWpYYk9I&0@t@7Us(JI;6_Be`6a<|b%;#%@5KzQn6+_rV zbzudZ6i0S1?;0t#@hd$GM68e(nyN-HkTPSJTFIy45XAHpgk>G~RhB4En=WBaY(rrt zZFH%uX@y;y=d-&ld1c>D(yyY;FqC9+#=!XL@>VgK*%rhRE)HQ#`MrFUYC=Db?X5TB zM0>uLhG9Afud!mz*F9-(j8sM*Lc)l=y63IZtb5nHgaXpvD3`kkVkmYCW1m9mr%Hf+CUIa3`D?@Vxf2ZfufbE>g8qX3f%5!#O!1P*nvRQZB1js z&l0m0>I8-@Q5T7|HG7J`0-kdOfaF>1=HCCZ;ZS?4T?Wz7t=`Fg|^G9^$m7-GG% zqXIC~Vlb~GhytWo^M_c&D~Hm<3}EL865CeLN&EfFtnCz2xq$P0HG_6k^e#R`y9z@_M43*r}$ zFvC;LP>F2$D{p<0p~zlP2UgZBOnV77MPSb1`h}|qjDZDih8jLi0j`UZ%dT3GH;^8` znyFVLKoS>(LkR64caI8%sfZy+S779auHJx12qm}@s}=yTn%1)%XcfU2B(igj0?Lk< z2h*ntAcoS;QSsf_Gev=vVt{Nvn6*Vx3jBgqPnB1R`A_3nO@2H0r^L6%PY1{RllTw$ zR(QS}Dpn{q61Br?pQ^Qf)HAWyu2#ImJGpzgB6)*S9dth>Zj0~WuoP^ ztOjvf6lzkWKgzV4?4#gnN{A|hhl@A_BMS~HxSWym;H$Vm3M#dKCaIfH{Z`E3EiJG& zB4)K={-XA9agWu^GLXsHf$WT;wNeFDxKysX{${(wMgS1=jU%Gy5@Oi`!4z5yk>0=}ZOlwTi3l>As zI^`X&ij~*P)D#zQPO9}z$zsvjnN=@q8H^y5g%v`4k)uMp*qwE8b#^v~wVlkZgn9P@ z)&+>Xx`6#Xq0#GYc1y_)QwfSW=BV}6Ps@mEtY8`GqfUuJtRb8e{QXH$Tv5tO#Vrc8KM&(?0QgVfH|PHV=dXmm z4ZaHlEH3JS8Bi*LTy%2f?P4qX^3|$W9>=LukcgMJF36P1naQ0kgsB3;?a8TBMhq1- zRhCmxdeIz(V?wav2Z;z7z3$=N*PTp>ll)-Ckl?LIb&IiIZ^cO2#;#L zjrIGFC9X$%#hp1vEFiSX=S9Pat@a1)_xpWXG_Ln(wLKppQzD07<`s~zqD5_F+U?_y zjfEhBW1*F=P6`xyo+EUv-(mU-ostp+2vrm@V8wt0vVf^8I;sd!J-nxpNIBaB`4hA?;VCrCGz{3wi4v%R9ZN2CL38Q`Q_ND%~{v+Z7(g*T#4} zb`-#+0B3T1edF=mJOh$j@JAh$t>n2KGp&hVTG?D_)Z`2{ym9>NS=FJa zy7K*ePSY@x)D`?R7x0xN-8oqJ8T{ll8>1GZl zevZ%*aCp?skk<{O{cKiO(dKd(xDH$EdNm6x;#N_a8Ek9BQ|$Fu*yk6%{0HwIxQ}Ls z$*#RM#b=cZ4q{_>P zLVc^zYV$5eR#W{7rT2E6_UtzFe%^0`eBCMFRH>i{?u@TWGZ^0P5M}@e1nVd=H7Dtysw2ESy)_eKGq3qU>Kt9&EoUq8fySwx z!2UMm{eK%)o_5XanlY_f2Wvk}=DA}TqwL90D&ZP-76MF%v^M*<0L49ux%D&WanEv; zD2^C+M{T`hz~sy;+U)RwbA!c(ka{J_z`C79?C9VV6W{NFK`%k*tm0_wg_REs;;fTd zoJ;l{befw3*k)T@V)V;IbPhy|l1Q(B6WjaK?_0{yp8n5Kc>)jdK){TJnWLyb%Tk)6IA~T;)Y;BUs>OzEv z(dUH)_r9=0Jn=c?wCCOCWzU}~Gkjky`I2u!=Z58DvERShH$C3{`8s-z@_u(Q+}D0E zR%UK%t8vH*;_2w4($%{D*y+!9`3()q(mwNVQ8{~F*4&nuvU4oS;g7A9a7K5o>SADX zwoY%`s^LD#5N7wl#}}sl#)D5D98r4z2x7C*O#;%zYO)=Om;F4h5H>H-p7eHZlM7sE zs1SiWpFn*67K2_(TXQ%T_;HQglCb|3H^1FkK+V=K;M_gZqA_jX*jQm`gp9dXvHRtu zeEfwsNG_8EgjP@~tf|Q#qdfWhe%ceil|ub}E*=eI*ewYDnM|yxwwEeHvW!3MDA~S$ zLeuH9>{#O47eFRXS=_EnjF8z_5bpho-YRU&V{V~*!ld9$px#Ycw*v=w zhEO^*xVz8a=U(nB_POugWMyzi`G;v{Aq|riS*E8gH&{|-(NBveY31}J9&duPWB0zt z{`m;GyU>Y9bnG1Q;d{%S>&PwAp}W@kE6w(Ed8gi}o1XIzcM}q9VW46H`FX%zC*Xrg z=k4Ja{tvdh-BP31a%^X7Zk>U*jUzgqd0Ni?F^HDFr+14x`_eZ&mqwhRyGA@e9dXR> z?UXL8r7;Q@3Tk8a79=R^OO+z|ct(!+ee~}-eYLORdeq_kXJG5bjI$$;;vCpHr!}mg zm)SZraG;JVqqceIz`z_^l%{5KC6ht26Z{IR`NhWi=a=zVDTS^w>6y-^|HM5ey^K`j!Ycb#J~{*V6m5qtMaIb^8e4m|#Pi5{f5QH+EscV`oGsa7{<`)Qzva7NFZt^kZOhvV-(T-o=-6AvC zNzuZzLJ|5^Uj-jV|HjYP6kE@vTzFFlFuZ9h`kbg;W(M|@@PBLyN6(WBycHJ>@0j*L zJ)^|~awPb^+ZqM=yTpx8mpg1M9a{VcP>ox^d9F_57*-tKp&ji10B-_pCYs0VCtv+8 zu3*hp9hiCi8zy5)i}=OUMW(^)@WhdMq!JeZEcWQb*mW_%`xl@^@1b;m)Kw6`apu_` zmF48_K-kKyjiTxMa<5uREEWm3`C|E(8ZozS$!@#|+3HDgBl3t;)34#yy^+XH%Bu%i z2P#2sH-Ak3wSi9GoeJMndU5lTGV0>#8SNF@JY>q+C< z(xu0|2IHpH5NLJ7`$Rc)G74$R z#*)&xh850u+E88iI0u#Btr)>F|Dn6qKgfaA?c>1AQlO6oA9%Gpu@ZrM>NhMNc&jtR zX(U(fYk`+zdRg%-hcoqook(G=$yD;g`A|Lv=3m~)>kT)h3#QK1Yrgsi9K|chl){d< zAA5;9^11va%MJKE*<^F2n-WnN)`R6UE0}usp)$^-ooXSKcn|l;8cn$adk9lgT>xEP z2ETQCzCjAJox&@GjZx!Vxf(E}(dJ%(6LrMmk$QJ)v<usZL=7=Fn}}~s_K8k(kxVRWw`#YeS$CYa;7ZSTI z4HIHiW3@-;dN#J>oo78GL)f{&0mOFMN(E`YzC?ie5Z`01S8SD9T2DwOu;pkgSbN4C zgejLE#DJx9zW@4GjA_6ble6rP7T`BQ&3kPQpgk?+?*9Ou;ZJJ9kt6Ypkw>Bv*vAv3 zuX`4`@7^!Ds8xhoAAU2Y=PqV8b{&2(RbXz3_wi16GImGnmuIPhD*Y(DjI%z2-rrgg z!=9v{HaLSd+OKlWbsl&RI)H7FpEs+}LRrOx6Fr*`PjbWdn)hbkTBx0H(tsKR4G!f4 z)#V<4cwY(Gd^#?-%NQ=&M`jrgb}>WrA$%M_jQ(Zd3I9s9%LQp8**1=<1rZXtz!!ea ze>`LRj3);5Q|F%C<%}TxQahK%$hv|7dvTR?rjn@2GUXP|+XMP>d6WEtmiFL;W;^%@ zVs7|LK<~YlAXT0~tC^v=nT3TCOk2@0=~0?^5uz_?613Kpe-f zv1Zy1mP?%Ie*lFCQGd9tG{wDgZa5y_l1dEvg)U?Od6d35SAt@)uX;VtQ0_AODU!(S zflR)-#XDB&$P7fpy=}6PZ5dW?b3{x1M!3{@O<)qX|L~3r`Tc4B^X|?0FT{Sp&Jwr! zQ7z_3#n{LOAcsi{4sFNSI~MYCD2ebKQ5K9ii-&6M2X*}l@B>}A_i`PI@-2GcKyFxI z6G?B4AevSs1K}8zk8gr7ziO6o0nH{jbMS$q53V7^u?t2#3#Rt4R4y*Lh^Ezdw$L%X z6!FnQzS=kg*yrK4ZF+gp(aRXsB5#hHraxK282&m_5`MJW8`a#9UeWv7C@}as=R^J`?#+L_^am; zpZN#_Y1cwG4!hB9;2WP$UkN<1r`9cqR(Uis{C$s3x9ffaTOl<0TL>LH*Z-~6;bcNM@O7o?HadVc$&`9Ovfveiq-5MN$=YWo$GP|dSX8lRs^x2b-7DYuP#YkV-dso_o2$AtotMlSz3 zmBm!UQ2dO4S^0Tk(Lc29y!VAf*dY=$q_N=u+V@pX5LAd3iNvD&X^UblEz|YEn?>u5DDCBo;kQZ8R2;O=TFK@zxDKC z;==h=uI9&J@xv+Dm1X6Q+lt)pRA1!{JxEU2lY?4s#2+KP{-A(TcCp>5mY}#f z+rJ^?bv!kxVoAzS0L!tY3T_K0`6iA32!nj z50Ry=LT@}WHCjz+saf6h60WUmE09HJ?O-S`xL1avrayGo#xZ|gfPVWURjXOo3QlvMztiX3vPMUy27Emn?g4d#8CVZ${!l1v)xOjE&l#Bf zVMo4GH~F{zErmXn0H}?T(|%c>Trzy-ha=p{NjNIu!xdFW{+XHGUN+AnPhu~t>f@f= zFt5Mmw98?SrNSZL!i>n^$1806a{KfT8|Q{dj$T6wc^^a-873QJCg*v(GoIPX-gAF< zqP3#+%4_Mb6zsjYB0I6^EsN_tc%?xGP8P`pK@PbdE&SwKOEF9S6R{Fj7CG@HJ0<0^N*h=ooVB7KkT_zNm4MrYyd~s^;1v)!8-7)#80U|oU%4nBHeWvdwK}l(ZtwoU__@qAwsjLKcHiHH2e189Y~&8PLwr`Y z%9>-4aUVW_XLk*A@B7W`gshdel_#+*VRjx=OuJ=#ie=nd(YfU@@V1)ILtn>NM6C72 zEu|}4U-lnQNAu|+KTK8U3waH&espa9?pTE{2%M>u&g7WG2ELZ z%CJ32(eZg6pY)xsqB%~LXBtlfIiQg)`h_HcpSG~a88Dc-yq&Db~|c5e`jn7Q7L>We?|Te^dz?B@#gDuS{lV( z^xKuIvO8#@ln!bOdqA2xM7S7jKAKiZ2%47~c)lq9}A9Hm1>xVY+ zW>3JpH@VvB^W_BJ1dA`9j)D)9cH2&`pQc}9td#eUB#tm}z9I@x8|or&(u>PJS4qyP zZsrz;nFWp3g9qL1f>dOLnE)zj{S{md8{F*xg1Im{D5Fo`W`l!5wUrkZwVnGj>Y%an zYAUjTyJ-P3UeA4m8@y_PD#2Hsw|;d#m0fk1Fvxmcn(~>Z_YO{pfe%l+8rC|pX*U;b z<_upE4WcZR4%(*;p(BUW94~Rnb-%ArFy&SO+o{9gHMN%y$P0VVo4xzH6LZ`(Rq99j zL&V%ybZ`ERk?jta@BB!HAK9+zCGhiS;uFe5RkEG1w~e~%o+mNM_(}BpCkg;pkyRll z7NR@nhRbr76FQ52=#y)kv$V}_PFOBT0d!P7O!CI)6Nw&y_qp}WFo~nl&DW=Sh*#wEpShua9ZdDjy5-S0P7vLf!b&;p< zQU((xemQ&PnH6OBbt&7Am{i}eb?lUZgHwu~ds`R31^aii{_{pPvj=tz*V0cG+mo7e zlNg(|@62^0xVQqw?Z9)7vkL^wA@Ubb{M)Y9)G)44)xeh zGB&>PMTo5ZOc41TvkA7U1I1%VzTbWf6AdsTL1%jIc8cmSfjbNVlcBSx@o@+yI>7|xQs;TdpO9^MQL4!E8Zt$lr+76z)IRluJz<5riB;?6@Vjs`C6 z-nW3l63+yT$saPS^o`j~_DNe87RNsABt6LMjIh-x4Pz>|<$42m$Vv{czVforn~wQd z>~o)00xe4RVX-RBB=PH5^dMv7-2Uh#Zu>^PR`zjC8<6#U+o)%ZXL(Q;Gcj$<<-B6 zr>~QMoGUgW0ov#%GKZ%GRJ+BWJ)0!3nG+8JbF*AS$9&TQJ1P#p|DgjM_x8n?y(WG; zltQP(`N{Tj%W~?oP$nn1P$XddF2g&LEF>WC?a~7roqe(A^q%zj!+=T+XV{ z@vHI;1U{hS=n}eXj^Kbnu9`RVSX?CY!5zGovG&r(j`M~>aypS>-S_UvHX{297OlHS zojAtG6SjvMxK_^50FHwGDU0IX%Qv%~c)&nsQXqGQ8Hdu|kH1|4?#G{c11$8X{&_(} z07YDO+#dM&jZu9uHX)@($QQCQleF+f-i))BTQ}`elNOKxx-22pw;8V?!79B2r3&?_ zf9#6=q_#Sk{BIMB57*yon2QW)_k3KJU4kTl6Zg*2mFqnYY$1&jd&UZ#9AhyBp6Opz zs?WbaqpE&*?R{Yl>oGoH#f)qm9OCEJ7J1qThr@%6T3^Zcw?1zb`to)Dt9aVP1u6gW zWZunt%L^i!&%VAnhT>nPSYXK=A;*E!seV&WhMDY5{fMUpbuA+(bt^^N;SYGiBcB2S zcU`7aKwgWuug-RQfVp0vP$fx&N24`}f0=0Nz}}?t6QVEmC;QRf>6~J#a&HJnyRcuB zh*K08z@SUHmrB(NW2W!m~~ zocD?Sl6PzJWGm-5nM=nD|8=3sAN33AoA6zW*U<5G=db@>0`%bkARQeY2uyp>(E~w1 z79aq`&LONwr(-4J3FlM_PeA8Z(TjFk4^1Py)|7AW{^kNJ=q8Hg*;J#vuh2F@WyomzGP{v}9kMWE zz}3$0fby9#WxEq$8|Duo57)4BpFr`_sX? z&mVcKT5M@o_>6`auh_B7eQzeAA0~0HZfdA!69O}sZFc+W=og*g|w|@5y3)>T9D2m4s}PN&a`1h z!``zOccUaasG7dyBkAL&e`oq(K@!XraA}Q|+d-$+d8^KMjJU+UOlM0EP^Hue zd;AWw%MsQITD!ED5!s0EsxcIY=8sGRigRZJgT?J)r@=jocY4lw6OGNV+D(PSktTU^ z_s?=8GQJA4KAWck@=Z?;hx2ODRN~7NyZo7eZ$8XHrI*e>t)t=}lSPE<#!)vh%GjA+ zn?A?wCa|3!o<7|meo_pqe9JBhxESs=vo)AsP*~4z2E5PSN(* zHr=^rnGtkBonM5Z9Hk%Aw=>6We00Ay5;UTL7s4nVEr=?m_MLbKvkW)RQl!_O=Os`E z<^sV9%nIV_5>=O)-J`VvM{VMP>Sx!9GnlIj!*ZTmApDyJuD4#> zuHY&v%Y%(X~AvJ_2 zh?%pmX%t4Hh5=l3L7xDJA_nZK{>uUtlkBhv_a`AfErzwRh48vv$3kC{&oryNmbevZmZy&`cICEdqz=^0NSGgp zZ8*X9L?Ze1B@E;ty6(jiMCC(9V5V7|jrjB;1!1Kx@%Plv~Uo!Tsx}=Ty>*k#4 z7e@Chwy1lN{u)^d0b-w!ZK3(^J1Xs~hlk_=83M!7wi!nhz zwwk!P;Ds01$!vbHFV}P`C|}K{ybJxWPvSef1t&ebubBti>S6M^x$ z))6yAQA-LYj_h<5e~<7za!@i$l$)nT=z>SzEyFi-eG4tZI1p#Ec-<0Y1p~9E=GXldU_3rXb66J?h4jCWiCR%c-6QwxA zBr%*gfq!Ui8?$U^O9N32*+M785QmrfUca@0z9?nm?_^LF6OtNfT#9okZ4pr@XCBF? zG$?EHxR(*f({oyEk_doo8D8@abD@)_h=1$XJyOERs?VrV!(j|j4&7r?y>AqKX*mV+ zFJyJR`Hw`d9M#I*fjFI(} z#MWRmH%BK%3~5U{$`IigYQiOLKJqvo7O|$dop9jbx9F&$$Gz8*abQKn<5|1%Z2fHw zHisu4`bdDbHvYlJdt@_B3O-7UyKc3ql@d#rizhjQuQUUILIDT-491*!>aU-hH zwB-}5!PD^%B~zPQ=jNhu@TqJNFVXnCl4L1h>ZyCtwkbaG&6x>Ef(Y6{^|L8viOzh7 z%>4>ow;Xf+ijI6ysz!TzdI(XHj!6DU8c7U{Lgo1m@0OKrH&`S|zsx8eDTju(S|>~>`#mMBqMba0uj@8dT(`f3A$x2 z0Q#jE5#*`JDw1amJHyQ!@<*g4JT>=P9P0YLf$A;31lds&f!*?+dZih+Ij7|LW;n>bN6OZNm& z=%{a9_{DTZp>2jm;Wk&|H`H^`48668Nuc}ZOFf&jzo>HXYDt;esIg%nki*7O81y3{ z#jLiw^$|OCbPR~O(z76?XSk^9c#)}oYk1?TjPe8cUm^CcCqle08?4R5beyy6S8r2B4!;#UO{dx9X8%$|^2lYk6>kjg$!oZZ+Lc>NuaUC;YAUf^qPDJszhD0iTEBXh zW0rAx=mvpA()^!y^-<(%db4+nhRL#RDIA?~5WODDt-uG5bauDm6SrUhYfnuGB2`9h zS?8aDi9+mf=7M~Sr7!-uJ)Fenuka)6M3Ht{%_ zO8K(DjAhX2vVrX-CMfh>cY$R}64o?@jF%iXdX}^9{wgQKjmhnro;KA;k4!kIz8KVI zOT3U3dTH6U$ZfW6bgF!TZ#nWWc)^wlV` z>}pyh!>flkpGP^sGvifJkRb;qWcBCmuud?_hOBCKUMK(8EQ>2^qUX++NG2T-!M-)M zMVqbb>zCw*<*42a={LIRCjBkKY4)Jd8HOKr-)yIE@XstxQsN%1-_5!k-TeBkO;Ri( zJyd$|RprXmd$X;AbxTvui`+&jMJ|)LMR;4f7{Lg|gl=T=M4iJyUqP%e-XVLO>n+<- zxm;awju?cg+*FQ9kiMFw7!YRR^X-z?W2*M0+cbd^L~n#zaHVVy9w7fJ;Q(6y=5^&# zD1~pQ#Dpj((&*wN@$hOz9AObITg#zp7I#_|d2!1)Yg5U_74pgFB+q^?c}e~c_QvG5 zL9W@n1emn-Mp+7_x{86-0R?Nt_gR9U^_o8$)N0FQ5uWRF{%5`n`rynA_V8jnMT*dt z{IxM`UHQ`y78;l30ugeu- z;W_ajlMkC%Y!Lpgd5$#0_YX7=-m^8IIgGB`x3=59baGbXGqKZv#1L^PU(e?mgnMj-PAu^ zCVUrE#eJDO{kfg)8pP7Vi+c8NyDDi}W3+ZFlo;PFr{N(rPTmv@`?#*zeT|gn7yWS< zduS@+&ygBvCjBzXT>kBnbk|AClLTzZ*}KTt0_NtTVB&W3d^93CL&)A|2ftH7_0$sG zX%^;zV;+IDK8A^sb@3!kuDsxm@bU}Ru_{-Lg?o=Caf z{caa~qpQUv7pJ2=E{()O!>MmMb^CW(GMeH2HtdDw{uQGWFU+Pclk?Pf1&Pls#iV@h z%PyN-l=+9Ydn_f9n3REjDlNaVB{Dvz?m~TT_1OkxZL7BIG#2TAbo;C;_h;FG*Ty6{ zFDYrom&SOEIMV6*XXdX)Aq5E1dYLsjAxQIzH;&$V0MBxs}uhWy<%_pmbxs zLjCs8rww#6)tgJV)9G-o?<)l$DxDPgN&>=1!k;1U2izzm?CGi-TVUCyQuoI(4HbH* z1hJ{{cjE=!D6x-(Z#oZZ*%doXXIN&pMeid9J*0qoD2jMn&p0I8x+@GXY-(g#CuCC! z-U*BM-{4Nm)kOI3;M5I|3^pfN$ykxmt9Dl_!zsz8%X)6^L!Q_*$Sz>QCSj+NNjOrO z1OM8SUu&A&fmr5CfTW?f1nN613VfOdunU`TE_mseMS-zJjyOuK)vp#FQO)j{eC7mGyfnh`e3a-HQJMr`ql@ihcc8DmL}a7K*eHZ;*(*tqcR zra+YHmt*t{Ym_cYlX_+UxDOc+Vl=KZsc?sK#a@=Brm*SnUyg_|#p()SHI*6b2Kx z1(3t_r-9-;K>8dWaoU(1Z~8Al6f#~{ZHy{vy%KjF?bjJ$q$Tr}Nc)y8 zd1vWbwFrH4b$uxKUi=Who5-0<&6H&A9p?urTY5tTQ+QRp+Q-RAg%Hby`%q1EDot?d zQ5uZ&uSIM;%>#=(*aXxvIVqU7ud6^oA>OM9x6(>hP%R(BmUf|wB(H0+r`?SHPB zTAO=WZa7y|p#31}bz2F2RHtG4Zu#_m?vFBG76nUvS`7wTdnA$0(szT;6Hcij)A%9t z?w@3TK?2w`q*UmwnywXLPS(dz%4kbxF!wfFQmU3E=lTqSS;)%a>46J#6YDdA$B9%t zud$+Z8;2s2Z&s?{xQ4>=B#p(#Y#vv2oxpN37N3iycIfPI#`icKnAe5HPf{qY@!5bc zl2U37(7AY{{&U{zIM=~2^VzV+*QpoRfs{>vR8W>BPS)RwOs^*u=VONdf?*k?id&`c zG^%}Uyy=yCoc|1ELb3moIXya2j=3X){>S4dx5ql!C;T1x7PMsKAb; zum%}5%BH|22oLJaGNo+ZOzE-|$1Q5Z9TX7j!&Ulac-(wEcGg~1h=W#v!vyh)mt^Wf zdG(0wS9PV}EjpS`*69&MsU;E{ZBtYaP{Vp6oKcO4SE|!F(z|25BzNTCW}ao^jFpR` zSS&`a-?J_4W-a(EV$;|&+h47-$k67~2b(L)7=T>uf?C{%gk(0(d z>`6eFfw4}V5$qZxtU;CKpA}g(n|RuMs2A-7#fKqx@mkm!Y=(5t$} zl)8$(-{gpZG9}YN@|i&ueUF&CLU-xzV2F~}RLp@M{Gtmo%}e5zQp$UT$)ZNPwb#ckgWUHpt_Ix7(AMC2xlns*B_o8PzG6E;WK&~0-DE!% z60*v*6R(i&3f7b=Vw!)IBvLI!d5VZq;}k%gNYra*LOY(5!&jbl^U!uMWk!}qd(Nr9TNzv8Z~RK zqjryq8o@3n@_83lGMpCM zR(7jzKS3$U;N375y|Aq3KK&mc!S(t1xbi!v9%U?CSz8yQVGHY5TLneGNKFB7x4&~H(pSD?pq$Np z;4&75fL1p7{GqLZ{pv(!o=ZFsbz^90Q1v*V7THN;=Y_$7<=F|o(y)|A6PTu?brBGCSyqIrb(XhqLC1bcI}jD zcF{U?J1KQG1Il=A#X#FWNl`%eVz(?~UF3^k|4!vrPujMm9U&q1o*091&<|f^`+0jFfVI}uft$XU7hvFrJcNa zu{sTJ1&K$*H10N@Hl-uP^@#ZS^xJJM35rtmS9P;5WE@VAVV(fGXq`qr>a%tAK|CxM zaz&LphaerL89ft5>9f3cd5ykFs)pa5+7HhVVI$|k7B8ShzP}TTC4Tfoe;VF0=W45Z z*`&?FsA_#pe5$%Rq!sCEdE{iE1kb6hQ5y!Vt1h~^5#Bdjx77PMMG^r2@C3kQyx1}) zgd4`*o#TJFTR5<&b7&s)N$Oz-PoZYhoczbVDvda*7@h4Nh%Ss#+~1J&2Wyp_(eswi z`IwR@bdRwvKw!n|A340RR;>r!@QTs7PdS}yVt+VL0kZL(>>X_GyiJ`84KIc3JE z$8UmQEZIy%HzPJ2Got_(lGnUX6jC+~*WUcM3+n$HWQ(y0%cx?xxbDW20@l67nVvb% zLZPS$$mR(fQI$#p%6#6$jvC7_%>HB)V>|HN7Fr&1uOY9REn=o1t#96YGB+KN?t6?N!oO6ET}@ z3Je%qj3qLA2R_!%DPCr@bkq=)u{Fw7s%d@sWtJ=#j?EqpY0fqs6XuBrLIm52%|tyT z7hm>mw=?xpF5bin$Td+a`>ZWu3B3X#m1dy!HAdrfl5U!J7YXo&V(!pT`^_ghFMUhY zk03^>>JMOH_qo=J=7Y+0ozz^sO#XFXGf$pCdq5~(F(ASFDP)#pQcR_*fEepivwVle zn#!+Wd%*i7XhQw_@ZNuB;`QGS%}7jQq0+?7l1WR1*P*RO)c}N25j!tFn3^QCWfqU> z;<%SJ4n1&O1L(J&P5Wuh%Mo;_;VhjZHpXTWZ^(TKtFIC&FcoVmPtK-pdt7GtJuKeA z9^sLV=Z7(>*|D?}g83k?<#NE-7-7pRP2=QxgYSdc6ZY^Y&C;+O9VHwc(%cGQ(x{qK zrU<*^z$6o~wqQvmzALE);(jdZi10>+_Ai?7=orC#*a&QMns~-u+!)=wlpO-4ZmE!( z*nJU6@|B)ytA_Ggb6=A&OxBdQ1^Hf-ex|4?yebKMkaz7WDdN+k4KywCF>|;|=eZ67D2KO~u*c~QuhGhAF>q13HZbT5qgdZ7}62eV1XD7x% zb-cDNIym@*wBdw7T=_A=(oZYH*F4H#oW^PtGCK@{>Kl8wW367O2X}yBbVC}3)^@rQ z+t6JcXcboOX%(4hYb(~FesiutN=EaV`lBTu(;Cc-EjdQyv;1Rh%pum(NTITk+L#>) zwER7!@Jx$19Q+IUTRP*omx@7S1%4tK8$*>ybj`J%cegVookOCWkJnnN_Dmt$-4_oY zDW1({`o5{_UM^}3E2@Y1S_J2#u-2w7%U6mTPDQl|3g|qz=q^+WM$l~Xb2=HM!17~IUJ@8 zdG&zGcnl?7WESxZxofxv*C*1hjs!L9e{Xp>n;2v(@2|Pn9Q@|nq1b&@ZzE?fF=aIP zq$=V($(tEfCLh=VSyn8nhBf3TEv4rLY9C3Ek`sw{5!)&Cf&z=$^6Sg&9H~#aIVdC? z{e>n8>7oBk)<`&>%$#p+O;xa z*Zs}z?CFsGzfs~*3SVM&vg@nf!kgZnHxuZ`^ZIy~FDd3le9z2Jexr5ZAY6^P5(LEi zL#Jnh)4~xNyEt{3D+2PHV`u1?Co;ALf6Mb#^`A76&d1|dl}uYvk^ccg=ZUfXdg;Ku zZdWtUPS}|`PM7{wzm@7}P$s?VpqnlVs6+o1x25Z!Sk*^xOOL7cGA_qxP3dQRTjYmd zrf|+J)kKfZkQzsANNLjXNp~&0w*q~=UrMq)r#5~0gJi5zdriCUq}%4gLpP`ZvviJ( zku_D38baJDLFk|$VK{RS=Vts}iHly?SThcmE3A9T9lXZie$VbE(lsdE@jR=KEL`UP)r{XWC^@6t0OeH%a(&*CB|>j9Nf4 zDJ7+5^Wl$NWYLdAW2)sCk?z0QuhnGspbOnlF6Z$708#)!TdGQ~Hp4puZat`uZcyn3 z38)o0vdspyld8DvdI$$FT!Sozq#(ea*_inHX`+#xp%3$a0O-#l-1p(z%T6l`7V^O( zntKWSQs`j0jJ#@4#e*M2DTcS8rWq1~7!y?LB0zASmcbZ*8FX`lPb{N`)$H{lyF`N3 z0J)NGg`JTp=2V#9c3h90#bpi7yABGO{b$}1)|Qf0x~|^mK7Qqq*|gYL{7!1ou$VEfCdoZ zNvWDmZ@CnEyQGK1ir`J=;p0H;1Pr9qVs|PXkgqA2qk#)nwes>*Bj_%&uyX6-hFJp3 zJWFXG;_7D=@hm`3?se=*o5D(I1@^erH`6fi>a=QM;J`kKBoU?G0VUQhqjudgTi{8b z5cMfQjnC{vc8nyY0(hQSqkZvE$gT~S*e)i~nR|C9fqvwqg-cAv6SPL%(wf!zUT7Ia z-<)K3Xs3?&*%2?6)L|bnp{%MmFJ}ozfAfr>hrcgfB9rK9i!l*YU{1nDF3^QWg05OV zU~lTcc#RuNsaE@b-kdNnL9omdo{)o$cqjbOIYoDSVR7W3uUyP{V4~La+2qHZ&D6yk z-af$OA~;t_pQ#^L{{2dfPKOK-U>Q>!mY~2~=^BknVgiNOf^Kasgqf{KQs|2XB*i3D znF1MtU@uB(OqZ;1r2&B{MphZaq5B`A(2yk$_(#s4m(h-%_=beRQZx2H{i zlKtuhh~LZ9pnSUZ5mpr#@xeGMt0ZAIa4zW<^GO3c<~uu9P&pFyQYs|MP2mAtQ~;yW ziP;|(8${5%NZf))hl?9W8F$eMzT>mE5>v7L!Wg(az*H??I<+GGv>D{}16jEkN>;^V zQ9&T6n)J)8$7cjh+OkbV5*YJBp?o3dmvz6v=KIV+-hhGZz)uu>{jMYY+Fn_9%D;}}5Ds`+0qAcyo$U3}7W?zvcd9msa#Q|{$^ zeQt#9hDZb}*A=3rfb_F4T$K+mO?NlIYRP_ft@0N4STZ#Vi7}uq7}zpPOYu=?4e+n{ ze|902$W(*7hRZg2ncMA)9DrTq)-@2=L)spvIov4GdmW;YXaM6XLLQklwXN~;XH-5+ zGETYabJc$7MPqa%@8@%#Eo;t=t7~+j6qYRRFN3zGjph;~ESc{xFjMLnCBF0@d(U|4 zn4ioncG*dN&dR{nr`h|3_V}Uh2Va=Dj})Z;6Oduh8n&G(hv!|smy;7^CbCU${(bld zQ==I^r>|&NtPyy}j-ztTd>nYm=R!!roYDpjp9FDG@19c4#aK?_Oq@R0Bp0szb zcq8*el=Fa_PT5OpY*MiV?}@;rF=&K6vO`Me7uz9P7F%x|Y>MLLP_FH7TjG zU!lT7s2i5$6OVT(0gTV)6~laFnwl3;L7u2y%|=10Twp46P-x8>;AF=O!EL#*;(ln1 z5$KoVV3A)*qUTc&zK;g*nFU{&9Cvdr<;W6UgmCm-hjzOzMO(F^7~m2U4Uu#g<-}Rh z2m!W8a}y*e34vBde8L%F(Wmsr!%y#+u|%e?t1RfWgs+|8(Rf zqD+A`J4q#o50;UtA-07fp^7zfzq1oCMj$+UGY#(X=9?wY1LaOxC zO0$7U*8!PBgX>$6#3N2CR-7LfWkluIG0r1O|8I&~K%fO3uZ@ibkEvLPDXrIHBysvx zqU(wtFtJR_mtkp1=XlZOu6 zEDR%&m6%5-mGAj=y?3kI1DjtW?nNyFJ&Pux8Qu+yl9WD$I)*L+ipOmm2UJ42=`-b( zI&N7O8h8aGAKW#k%0AslO}xRt7wQ7CPMin&I`rGs zW;=B~dUl$JH&RN&Qb2nT#_iYosEUy&rN_BGD200V{9(8^=F(oNPN`(9tin{GGi+@QLVGr_AX zcJoyzrG7_ODZMK>8Mnp{jZr-3R`gZ7#SBz5^>q`kS)D4Q`8YZs^KW;H7iPtd5o>_N zHz`Y{CT37eYXYn0mt<;JV8Bk^_1m_5C_G0Z#}Aq%=sHu~$_hJ2>*`%SFKtT}c76wO zZY01=++9?62{OdD>YW>Nx4Ay=hT3SF@}l znXGYRlt{DwS0OW5UhI)CUt$1sF8S1O@BT{=fMn!nK&i|>J-Wb#3X&C|LEW*{?2`pK zrc3|txI={-sC<%JiV-Bw@kN9>VE=(*O&XevAFGrW0u@`ns^t`l8DNYTW6y zbQ#W}#0JRe$ebST#a}lzxuIXVX*Nj@_e_Yl1HWe=F6drh?AFQ1b-9=8+@vhiaoReH7RB>7gT4^@bwO#Sm^e5r5u;pmkAOp?Y@x4K*HST`0_~J&D z+Hdq2O!yVt_5&86aNt`LA)sJwM(!yTdNX)9krJau33}5BgnU+k6msLfm|iC9w5Py) zRlmERJH=CbO1}zHhAwum`=Nn!rAxlUo7kzw(iJbau7~o;=;!}N;^b&&E?p%pZiOPy z@W>8hbbOZh3A=k4+q`>B+e=9$IGDi|%CHxudaAW19Pp+9i7xSS3J=M9zM62iJg?WC zKFo9`C4eiLir!{%w`}S8dcUt5QRS7V;$jH((%5pVk6sGnN*t9~)KI?G-EmYzQ^h93ZXLzE|>mZvRgBgDGU5ek*c! zvf`9`te_J?eFdZI>ahEA*I-#2pA<08$?OZD`I2n5vy>Aa;wUtHJTCq$h!nOXB6S;gvSt3No7 z{^%x{5!6}&_YkcGNKUt7Tjq!DFo?1L-QqRzeyiypmCf)SeT@zJ0Gc`i_o!i)Keq9D zSt%D#2PJmEqfn-VvpxN13p8B}{|N||t8u?5bxf~0K*i`()|F@L3XS36x0Ni28Nf%?oKN3QI;~MYHFp1d_>sNgcGkO<<{uSws{>_q_P6MMHrI>0?&m-D z)PTxt z9**l-zV@%guwp3ykkP!syqs1Rr4Coy!!VF)j{DD?p7nxn>g zAoRc0%4WeEmUPUn609qzmTs6+GZ5GYU4n%WapIu){xFYi>SZb| ztB)Eb(xTP4$M6Nm0Ga4}2{#>j(xs0<8-pFUD`c+M0IK3tMHf(odMUn1)F+)+&-?hb z#95Tk#hy4tCL!0pa|d0ZMg=lU9r1V|#;i4+njt9%`Q5W)OMf@MUQEr znBBYz?KZz#-OU-|vx3cg{~#VKAMr4XVu-#CO;I^f(BUZA%_re>&}hk9-M%*JfOr4u0qGSVvT&%V=5^- zK(il&Eo=LPIB+-sS@jpv{d0K{Gr$X1lt*gi0RnkRr-iL*Cv)r)|EVA%edQRUOFLCK z*$>Uxe@|{kuZOMmdu(b(7YBF#Ri49XyoeL8PSh(6gbPBdLigO6AV|P;iB*T+#$?qp~HdEMkG`=&vtf~ z8}B|fQ+RmIy7~&}7joY%MnmvY^W81|t?yY(Ii^39)U`Wo^HoKRM_yjX$5?5n)>MsR zfOXa`5FjZOD!E}t^GSg1bc8tIa2%i-C3u<(mCcX=oac$&$sw~(E~6JmbFC6Pt080W z8H(V6?G~ovBSkv9xPD4UX$fmF###SreuIJGtywZber~-W3d& zQw4x{{9x{W3MG9nsN$s1Xy`GP=bmlSE{`-?^fZTLyxhp~%R(*d5*p7uS>s+-{y@WV zAUIV&Fm(-^*8)OnrkIt_--3AN1zL=c}U1Y0B zY!fcSn1PZihfeBm$zm>bXf_UA>ASUD81|>{9fC>8N?I4&}3-%0|ynzo4PEG37{p7z9gA-7Ev=BhGpDg^rH=$ zX+>~Durz%5Jb|~*rm|g)W+^K-9N+H+a z%?nV~SqQkH?mqw)t|-jW!$KFF#Lbl*OrDVrp@2z={{VF1kSfGikj(=MS3`FgHh(SI zh6=YCE%BE@*QbA_DSw$);?~v6feMFNrCgN8CNU0p)U)xpM}AC&Rfu zr*R2hBOG%@W3Kd!&FSiE0d-aQk9&ElpLu3|_(TGso_pymVe&=D7|ULQ$u}qq*h8!H zz48?Kh4OQUnh^gSU*Z)X;uWH`NP zyLwt0nV0w`T26>eEw!7U*Xl8i{-;%T;89-LIZlA1Pm*qPtC32+47RYO!{46JBc6M3 z?9&<_t>dWDlU}q!y8@XErGW)T%~PYi%6S|`7|6k~?zzg=omiUTin#A2AK!CDdVTH} zsVeF;({L0?UQU6OW6unZn*L4oe0xUDiRa>$m?(=&-_<5Zt}T;Bd3QE6wT}1@dgx8v zl&L80h_9@dCxIp^YXLD;p=R>Vvg~KV2sy3tD>;c@&2)XzepC&HF z^aa7PK9LfC9G<@KFTqNOtqI=S*p@iqMj)o}sF(ez^E$a_H};)Hp`>#jL%P)tvC#^| zGm+co0<)rqOEa$5ti{5lIWJD(|63DgeDAJnyI!TLecebi!yfFvnn+!X*vG?TjoZzv5@cSsf*z4%t3HT%z&LuTzdvP-dxRqdRvWG)&uF5 z)plSffSG_2`dy zcUo21)k&LR5wqHcz%$O_Zb8d43vpE^+V{7fAL}|+{$UPvB)mS@%@%qPA-52kjJ+kvhlSOjZ@S9to$(dOC5wIlIu`rh`>|jP61Aa zg}?HX=!rh4$$Q}Qfu}W}2*tf%0~_>93#MhL*fcxdV_<+PJQMz7M_?FVNd^?L-cY>C z?v~lzyns{w#MW`u{0DQSoW_%x_smp44wUVz`4{FbjF3Yauid_M5}=d+*3Ew+5(nbT zsS-EZP3m6I!a%sSGqkOL+C`6UP7J^f&U?Btc!XzM7)GnZVka{)tFhb|Zh>YAQGj+B zh{j_&Yc1b;-w)c&1S~kUAks-(h$YaOhT|piPJOq=+d1o$nWtL6hx%Kx`NUi3YA_p^ z^DVr0TS7k6mwv41Vc6aY^g}qb`?(UdfQMAs96fOr`?4T>r#eP*hbPG1E1p4yLp;COM=s*-~`~=NwQ7a zrom$eN^m&&p*IbiK~v5=Wr9+#D*Fby-5U_gitq?ID%bb=7ku4OgoCnITZQW<0ONjM zrlzflsyvNr(N$+gbidgV5v0X;*{DUAOzSFZUb~*B**aZNrPa1mwl03ST@|S;Bd7Ac z`r>?BlRvO(eqf|*h&qG~D$BBYSAy!Q9bTfwemP0MS~p8tJJXlbU%cbd(-7#oirvxa z0PkdfqDyeF!Hc71y{-!P+Pa^|7Z12AB{VSt>}g7ZZ^)i^c>b;-!IUVTSr2h*#7=tG z(`Xh@lHSIfwj59@_{!r%Zh!#9h{SM`DbXR4IH+UxdHd$W!cT15j0TYn;d@J=Da`@x zPXhdxplgl@?zA5)i_=BbaqGv{+~(Y7?t?}4+_IqM8J1&nn3KUEc&xyJnt~f8%)v&9 zOMK}?!fpN+ZPflFa~<8A|76ESDNzrp?5{v`WzLLYnYR&@_xS7EX?*1WX@(lnM~O^q z8#gOoVZ7&`GF^Y?i09tldJEEuBt04KmdFbEfL#riLPHLAu}>#pVdoi_1@949tMQ~#$es>Y_Y9Em}H2Dbr@^~CIb>U9{f7~bk)qy?aSCMd@ zB##{4shn0$G9us=k5f3@EVQ%Q=gLW$r#y4au2ezJHBLm5 z8q*e);LbBr@SJw7%)V^<|FNj}M=L?iHdp6qf(3%+owoy()zq?WaVF>O>BVe2?*=_^ z#%-v0rEWv8N#3UNXht6_$qgM%&plAc$P(?sR|=MDjmv+etJFNHY#s>MuCb}wc^p~7 za(58?S=4Xk8%zDe#YxE}-!(oT? zWUd~8|7ktIL6R_ABd~T$(VJQCqo{{ncpeuj*46P&1k`-4W)gPl-E&0#A7p)u^xsr) zWYoCJ4d`j-6v(uKG{HY4#>%8J>dh!zIwX%571P&6}iJgOq(d&P#Zk=u9G zDeT;*Yo5+3275M!o`akHB*`pk4=dRMBa&y!UsWx6Xm_V=KGaav_LCG82l3iL$&nvm z<-SRik^v8|VuHmC43dD1Ydxwle_Gm9rjcwhAHw_RT}=#xS!SQ9Gh0aP&;xtFsh zb*!l3RdFwUF}E&x9|XjZgc1ntR@n29oXV@fY-Kgk5Ef{sR~pf88fUvP7 zwQvs+xcPdDmoHP>h-kRuCE-NMc3aRBeIQQzS8_wolSa{oa?n)&x-2idl;qt}ODS}Y zBoTO|pODTpEO;0O@&Uw|tCM)gC26s+Fad;4jXe=Vu;&6vAwQVVqBv%%k=sp6g#yyJ z3nf@A)3$G?`xAH8sBc_|PtBAGe zp%iYR>(RRvt9obEW9fxILW7n5X}=92Vt&64RiNIfl;)VS!y_X1KDeFr!&eIFnk!)7 z=?TOdDpx@=MlW{EnWsz{`lq3NjDnw3f>&mv6P5Ji(c@*aXWolDHm5XMFi*Sp#V@Ui z$xU^8^!T)O$d*N3PdXh*4&U57(nhi)H|8QLuH|&62BS{{d_sF6?=|E6656!<1xBP=~^}UOMQN zdGS=z7CmXgGDGRnoY0_I%@f#%umm_N#453RlyuI|-G3{p<%$8%w$qDyVGXWt~0N za9)B5>XrCPJxk)op6jZ5_l=@KxlE0$D>b&_8aS0oXKYhJ4?@}gzSryCG|j_(&_-~7 z0`G=6yE6Y6VP#7ZIa6b^!4sh{u0Xsp#=UwnV2Vc7mFiA)|8y=hqD=)1jJv)3;qhAoOM4#oQ0Y3K zZYe`8g1c(s#&r`*c>f1O8G@BcU}gJA|91yy2xh^FgUDuL;SqeMO$=^ok=OBb1Caa6 zaXV2HK@;S4i`iJ9WLN7WIKt;xr>GzV@Ibh*=v1}=CHd+?t`A(NcD^Y(beUh^`7NnN zb{1h}`QrGU(^?}-BnO75hHN-b1U`b2 z;wWY+2N=PEn}rR+wB$!xFR&Ymn-d0M5=3r`36)oO*zz8?A!=N{_yb55_H-*kUkz2od)QOZ_wpR z`L{-WV9q@bj*@*B`a}q|llU6N=^`UtrLT!`mZ>EM-X7;#b~dpXHgoov<1@}JInlEI zJFK%Yd@FqO392(v>(78tz`83Hm3RSuZRF&Pc{)ZjIpizI828KgghHeEe*o6p=i_Sz zxm>w}pZ6}{oZI|Wr6W~@KtK1qcl+}GsnP=ksLm~~hBb%$jU2n?49q$bHU1DP+WA4d zwo?tX?~7B#gxO%AyDvniiVs<&M)Xv_yQEb+DYCRdR6KDO>yfqlI{!oZ>MM!jNEH#N zt@zDxzT7}v{tEh3>S*D=dN4KaPZC`-)M^c-3o^#LBPcuRaMDg@0!D4JVw|^N zIu%hv&&tkPQM8gqEp_jtAW0HxdGbC2!l^v;3d1DFs zD1(=?4pKt(@Wrt{ap;-+V6oYo{{&JxgmY_V?f!=~f(Ei9&(elVgaE)`Am1oFLTtmE zC*4ffx_N)j0q{>*(FNLj|FCenJf-=83q`!VoR*%=eKGrtlw0m)R?@x|rWIIvFMBhp zC@!7N`SO#K2+X|MaC7qO^VCPg_#2)57l^2dr!mL^Qy}A6{Mk>nFOEue+v)en1g<|VaG?R~ zsvq@Y#?BsKqAT;MKzIh>(eC{8R&HAdjpC_O}buj zP!*_*WUiH1Qpd@E=d59K*Td%1gm|R_i{O~X<3f6Lpd8V|rieVA&k7Kr<%53v)g*}D zG}A_a46i#{|KZ3gqE`JtE8%%4ew_0U9h_v(KpkxeKH6!#o(AKG$>H&!PsQ@D@%EMg zUPmg8E*ibe+N3|gSpqr*VTH>Pe0y$>xcv8ajnH34e=e-a#^nVRobtv3NxaBi!!iRE z!<5!6gbG&TU7-fH9HSUBNdT_#-=; z; zt2*VPSpvavkI+%)(td>ASeibIaZCZo&(OK1O+K)LBZDS+9>Q*|$6i$;`UtDO_F65d z*nc_(-n>G~1-SbGXA#lMB5y*~JCC?;KzF~chB1(&5BRC4w3*oyxyDP}{73HC5%-v} zno%S#2yWBl*xjpF-D05*H=wFJMys=$Vm@N#KACGI@4o&6_@AQ61xo`K_i)BvUXi$vmFQwJX*stB?6S><{I=pr{^|iFRLVHlBYzbD7IsKgQ`^(IZyY zToZz#>uH{4Bc7ry=BnUNNEV_{j>m6ib*Etse^!bVp~l4=sZ4Guj5y-R-5HX};CsES zoJI|^aUwCfn?dRT_i%M6jqjHLOxY@n(rtCG0`<4agTEYnntS(2(G%1z{;pH{4cJn* z_BU~oVEtS&qHrW8xB59svGN9_XTl8l^mTg5+gcRY$E;!!z&f<8Xq!9;S7kZ(qwdw; z|BlFeh*Q#f5j_>SSRWdoS|n=#<3^Bjn#6-u1d2!0jOf*;zWoRA0;@?gEocRd6R?0` zrjavW7q;&veI`zGyV`;Ga|%=sfMAHKhb7zOG;?`;|Nde!Gw4hscF|Ge@^9PMQh|Qf zwjJ2t>R$)N7umK1AM>$PxQcOiZ!`=LQK(O?OWh=-XH+c+fHGjJ-YF$fR#)P9YB7X$ zq&`&W5W5nDRf!vj*EWzE2XvGJ?*> z>B{(qxAR0{v757hv(*=4$`=S+He-V6(_1Bscbg9gdP9xP$_;uRMEWODjapG>`!Ki$ zkVn~B1;#Rh?4iWZc~olG28@T(L^MQPQ!QOV3AtfO=;bWhrg^g)(oX+WmR>+9)7|Zi zsDyxqGsCIhj3};=96)+mSTrzo=!qYA4*whIwPu_y*4)TQA1BymWxG)(gZC)3h#4@c37u zV!DXr{SeqxmmK7QPIghS+g1*VZ_W1-lBWLmnDUqY_>xy47-=~`XltN#mf{9D;W#gj z<8nLKESt9Q%wMdC8QsYtRw|CY2lV8}Fa8Hui*W`<8C%_E_UJoF8c#YVB8Eb(U+M&l zi&T+I&CRd-DU&1gzmDSS+=tFR{1f2SOO?OQw@e;FK019ZoMr8$%NqK_=|XnQ)jo*p zU~!2t1D|`W`+%c#6zM;t14u@M-4)Sr1v?D)U&uzpl_!V8_J=RU?6Wvnx5U*XL>l>2 z(;qz=;Bn&cI9srW9nQK^K3PI@_@ zd0(};0bE{rUB{eq5H{27e>GZcNC@4{`>ZWo+B){JTV!;Y0;fE_#xj5EBevH^V4CdN zGMx%;PBhaXPy9kJnGds1PK3@s*G>DA@!~isAW#R{nUF? zoYo0KPs)~c^}7U38vF-vI~vUoT|a+GclZ7#d}O!0`E|x~S1EJNGw*ohc6ibiN8yFK zi7eTwl~pC0LG`!+E-`dWQYq9{j{|Ol%(kn4u2Us)-)~GV>m?%#XRyd$wc13&NsSZ6 zU$vM1 z+s;kHbqJZaP^sU3ux_;Fz&>*x>7^-0Pc(8n^9;A)y550YWM!o2s9D|!xiM8McR(v1#?qfR%EYxb~$h zZ8X%_PV1GKA2`9BYc|B{Y!+P&=*q#S{b0dI>5`>&NuQUb6{51^7*bORy|CNTFNE{n zvx*^mPMn|>;kg;o=H>U&3%13%73%a1KC_`x#c`CE&alm2OMtWerWZ*!3|Ly z1~HL`K9~>hdCwLQYJ5>Bz(n|teU=x^|Hb1gphQ@O6nw)jzbXWYa%Y**xTnLF2rGdf zxh9v~iG$#*@Wfm1+&;KUEAF8}6h!W7{;hchWR`AoQnt-3zArXWyGO{=Oo~r@+^L>UO)Hs8Q!%fUcrFvpt;DsEO+o!v!+l#2aMLkys5Vqin*# z11w>OkSL^jk??Dwx3{NeCZcO#=S+z^W{`pODUaQuPZ>~UDSFyO+RqCk3AYI+^}%#q zBN?q;;-ntuGbb)6dR*iY0lx3%dUCskqycc!vnb4M%W~D4%=(RyoFri2g(PnXZwK zXv4*SX9o0~T7JSgq27JWtPQy|z{9EmEbt!pvN#tWSK+WtTpnWth9)Ch$>*4< zQq7T6m)(am8sS~P{9CX8rJa2O(ZVWk*&2k<_K^~DhvPhD)_>CX5Sf6xM)v4qzhWwm<-tEj`6q%*x{d+1Re1P) zfDD5GuRVXs%UF@Id~x;flFX!6A2G7apFsnN82p&$F&#GmuY-~Ivh5nShh|#pbLC&% zLjvNY_$8+0-h9c&UYW_`r(qw@LmD^pN%14vHqBhtS%h;jslZm=@hiR01%49PUp#~BtDIIhkAKP`N8NWqw*)jR=b;wbB&sR~IAZq7zf)lq&VEB7_9iL+t zOhoeKPMrilQ%)7`RYP}f-`T=_T z&UO|^9B05XYZk2M{Dffb;uuHFn59`vtM98siW;(Kx;z2|KiUGLjL7pMs*mf9#w>%Ep86 zkxdz`)6?&fD-z)87B z7mA-10>!mkMcN#~?y*<=7M-=?lxDJhcV?!79!ETF;#ahKJ=CVbFE;*tL#<5)Bg?m- zX&3Wo^ebVbMLgK^GWJ81}jY0F>Gm9j>QR=0!+|DJ|Hg5 z9MuamTrY@+%JgIdI^?SwXu*MG*W6{epgb$}@57*1Wz`1WNqkB?wvehvu=}X>UUc$q z0iDgKxKW>^Q(6rI#=^4|<2@`|#uRNT_9wQ=Z7jx`5-wy(^-E2CfB#`BGoPpr}WA9)U zn*v!n4dPD!USZex${F9JOT1CXmHE9#3m7(0R1rK9$~1UCecOY#i2=VHmmPf)=OC4Z zyC=a7C{_dEA^W>h6!YiuT33>xr~IVe{%ySjQCr*sJYhc=K*enqsHW7MG3av3=}~g$ z8SbZJiF&Ymud)777maMc{`|!){>b$k=MBN)a!Klm(&sHms^OK*BGGRbJpqehh9eAq z(*^BdU)4oXdytKmih$4qT6Mwc?OZLJ2Rmi%X^qG}`ti7Uey~snqVJZjB75ZIz7p2o zwJ&t>duNvD!`1NriL*|`QOl^xwI|S#H6_OhtP4WTH8*(MZ)#HRrwP^h-Ze(yY6G0^SW)^ZYLUcg34+M}ZuLK17O&VQ(fzM#KzuB~H6BiM z#c?17hKC?&ifPJQXvP2=*^P3hLZ2r{USK6>mIRJRq?@=lwarM~-TZV?d}Dzyp5`em za`_&V4H$dx5#F=(?^ecl8^f`_P+Z8^1LVNZX%pVmwqK^c8lOfi2RquC#fJXtd8*E1 z1RbthQR1QL+SArC>g7za7hYe7UGyE@Q9< zu{eho$&bxxGM;(t9pFcDXF}-r?;?0 zzZNRrThFMNt>}I;FZJ|z$wX6wb@Uh0v6t^<(kZpY$)?FxhVM-&(qH=*0)=Zd)BcPS zSO&q=u!IkGT-!a^fTk8QQf2DgL+uaa(N(kExUuIfY##~q`j3X+EA!Z++_nR8ZxvyP zO#Z$y>N1Dht+KJK`Ca9Zk89tUo|aac%te`;#?|Zo@c$2R>3gPs#LULcT3UQ}hnwNk zvVmgnpL;twz3O@W*3NI;Z}3c!KmH@%6Vf~4az5~Tp~T*~L!q4DtYzpBR3B2VFdhB0 z?vGk=NlUfIrH681<#*a^L~~mR0k2TBQeMHEvn?mycHRI;2__-R0s;yL{zARVs5BWb zuY|>N#7jD4+}-+(-F%&;G|I+4-AlXC+Nwy8EUC&-c}RCh?~P>O!>`3_2!7cx6CBYZ zi(bhp)`p>8=~YApoA;6fCV3Q%Y&)p&nO<|m>8nnE1=qZ#8HU}@hoEwO{{g~t z!}%^>y1Pk>hWrNz6H!enL&HIvkKSRk;P;)#J44!qA^D*KV!Xr-Y=B_~fC<0W>vVim zSGcEcL&2D5p?*!~166DyK~N<5m3zqahI91VDYV{VOxDxVsO+ZXSi#=%6|c2kBkFC# z(N}7u^HoXmgj0#_~;;(KP}3tkN}{I9%q8!zXR)yLBI<}?)n7r zU#gzzAzbk4K~MYxie}qe+Sff-b(P4d)|kl}I#v3f<>&?q z(0cV_Imlk92JJrhfF3uLT^t*xn^$P3_Pn0jGGYawmq_kbLmDSlRl*$U%B#Z}u0$S& z06i0D)=^k#ypJG>Spmwu%v%u@3djC%hNa8J;j^T6rM+OqEGk{aA32>GT`X zFAkC!f~gmA`gOLuySrt+nbb91_cuaM&U~EtA@rwXEj>o-LQCqi7*X{xy|;T8T2D54 z8NA%NoAcsTwYhmB1GDPWTv;Ysv41`Ii55sI8^*A^?MK$TIc@vV6ZxX?Wk;EY;5^d- zUdnSut48J5i4Vp^MR`T9Ij2aE5GaRfO9CWdi(HG zq`WOEUUSXlV@?A8B*gI#a(L$!?7&-v|MITa$+()^!fMe6uEkOJmsn@s&P@JLA=Xav zp~pSZSK2-FT2_y|gssi?(AYkeMrrXRk0dEj&!=a|6T& z_5p;&KJc7*|MjRO&81!T_3)#n*plzu{+nvK7l=2~>TalO>s-A+yAiueZ|&T$^f@6~ zCBKuia!uf$4N)DhC!XhP_gPUdJLPQB{ghS(wUC$%+j$Wg+kyVS$`g7L1s7*sTiE4> z_#{{I{5t=Op+71S(bCQ`UBxg)Xkk-tNdqLirRKS>+A3=WkTTgMa$${HeehCZCO>|$As_?0rEWeo+pTwn??xCv`X@YNCkvRweHR?x64N9%9ya`ji>W$Z_uxxaj zr^rdH^UD+%d3zzED}#k}0>FY8O5Owu{qj8Lnn!CGsXc)-Y_a6Y$(t_3I{P=rQLnvx zHrqsj6@*d97}OB;S=XcR^Ly?@J`-1hP9|F2s@h%=tVy}$e^u-%#={Xo_+<0N^PdjD zZ_lo(rQlRv$4*Ds43qm8l*{L$Cjy@vjGB20W4d9gYNg#*VeCmBrdgs!ES^A|JS)_( zi@F1jYN>3orm-D%S-?iR047y}x-n0@BE&#K^*rpIg!vnmUbIS?bC&?>ByUqnVQCb+ z$MdqP03#RI$#8{_#d6In|C3Y5zCfOw{+QI+ajfBl^r;lciSY@&Y3yzO5x6w_*Dq*H z*`;hzhXK+r1@HdHtvf|Y&J#R6gY~>m0S$d*Z+4J%9R%{*YUiqE!+9<4o{S$t&Rjs9 zT!w?&7~jIldG2dNQsx8ljp9-!X>9?5P_l+6BjyQNdoqn9?PM19%|M1z=8vmrz&PzFzMY} zQs%r4P81?3+)JADPp_z5b#sQ78CL;T&se0FawfxqN<4}rSzMl^EadZ-uVuU_@hSXT zABqgjA=%l57oSpdo@ws1n-F}RFJmq1&gIcgS~zrLyGtN@pEf&-RcI(0WZri6T*O&# zK?wPico0B2t~t3T*}mZZdZN6=ZuDeDwCH5B*f6(+IsT58KVWIBQ z!z|G|SK=Ek!;-A^@s+T~N8hO--?Om(O^*C>P6hL$yaL6Uw59~tKQXyuUG}cbUi3f% zVT*9dLmG*DFW#b@Lt;qxGJG?h{|CT*T)jfxm>PxMWJz;9;49GmK8V(<(04V{qlclM zqr;~F4wZkEkL8mp)uJbg=V~>7TiH@;kB3I&;7_$O5W*#~GuZ2{T%zB0Zf#Mq)c1Bm?|1DJs|h4zC^w~db{I+@I;ZamVuO?Q_=x~mv`a7KxUf& zWgs|F39ogUw~8}3G&&TdxJBui8KbE;lc*}R=ZNhGDQjpCc`dVM%ZET9ku;QypzT2e$B(Dq^c$fqg?s&NlVN0OWh?n zl2&~A@B$QIw>j|uS7x*3@Y_p2uRb*ROObPERE0_xSB(;N*eU>Q@Ce;i&oVqS;y{J# zSINiA^Mp|rT6$`lbu;)A@e&!!Is$0Zx|Uv7eLlA8tB=+9I#9xQkLWtaXk`ohg<{Z* zrC*e#w|j+fU6{qU=|t?Pu($yJ$>vCI&6%D{EB97~?u-73xym$I$OK89tD3m^SH-0X ztI+P}PIZy8d{h6X^<83>8Z}%eCB0q&!dp1nHdmBZqO%(JLXBzKCTgqX%S`Ui1uyOhv?*6T2>To!p8IM`@zjlIK^~n0C4v9F-x^B$X$7;9hbB^!Y^F1< zijt7Wg?QAh!2O7+xpwSB&qu7Q-cn3aD=F=kkG*v9i|-69`GfM@p2rhTJp7THzRKd4ZKyYO2h_D^#6Cvqaj^RrgcT)EvVW z4Zut|>=IHi5p;V`aMoLqz0ZnY3*&JtuY_cGZj4GEVUE)20%*SG-}#6vAAT z$_ISF%=qpDs&L8VX`D(y)P*#{V#N)9X!V2+wcFu0sxlutWdTx|Q=4&J562NV&*(#^~+Y?iNWr()2L>+B%&m>wl=he5e`psf3=_TMi@Ov867$?Fy+ zz1(@?LyYf~us9ECqC@ce(nXyN!= z0c}n*KhsTg`j%6=>wTU*`)NNZU+gA3&#t)nBA~yCWH^Ui-f<6FT4r%I{i)j!F%2*r z6O(*bvRhXsC2ih5+9!~^2hkm~Yl%D;rRS6qkpVuX9Or4F@>7ANjMOumKO5%lrIQCq zJS@&mk1pnIdoNXfyI8vKs;9v-gM|AslT0->n;`Nyy8yYt6A;i}AXzc|@#D7doBnE+ zk;}UVV#_pg{cOD$9KRa- zOX=r6XHa!!I;PHpC}~wH)&0_&j0ZT42&mM4KYsh4o=0gKHrWbeorO}9MnSxS zaYD5`?W%lXeBE@RumNZQ$U6aost-jkK|dYXRF7~pZzt?sa}ApsCwjw(mbaT;3q+?w zQVFyRo!Z=m9t1uB<(#DcBna5tUj$?>GZ>DX8)!2O8BpTLa)NjxcUKjUFV0f_j`@)b z!LX~g9WxIcj<(LB<#>$$1N?!1uKkmyGp?lBe7tGR_@0p!MKL1f{X)vanbeN&hK#z) z9kjLaD(e^bZM_~ng&ez{HDEU^_p+qOIeE?6|Hk9*cjeB4iX!Mz+gVn(%?QZ{NKi7% zVOa1NsGoh{g*9zM<~=0%#w_e+*750XaF!DkuV-zYfc^2l4_ z{_hY0J@+mLM}O|@wfH<`#0}$QdJHqd3+Xyj#g`T}+;;yEa-nZRcB$+l#K=Nh5$F7P zf&E@rFz^*VHp^>Tj}L|ajgIZ6h1oeg{9dvCuU?1e-4FenGw5qP)%l=Yq}`n-Eu9}# zHxJc^!aiPa7=Ddg>ozUmSrifX;TSfCIQjRO8{Ky;+Vk0lKW&kJ(ME$F-6uZ$x%$6+ z@tJ%%?W^`#i6I5~7^#xza8z`&*h6TA^`)`TV*lPfl^vcBb~N(H{SVNd*7>Fn@29eS zBjWW5WaEo9G#^IJiAq@tgIfqK*mUdIHm-8o9oQ|Hh0ba7Rmjd`z@O>5^~Kd_hBxD z+@{DSmnrwVnQL0ED`82j$UVadKjof$3ti~9|M$nv+0N(le!pJN=i@Ox2J1*pc*~1dmONaei>rY*r9nO_xNd3eEoozqBamGMy19 zevk%za4s8`xDw~38)(n$Ib_o;uJmEPrsnh7Jz0R3i$zr%@qdYQi*MMHzL zg5+&M8@R0^##hU=vNhK`M-XKo@V@qqMnE7&oN0aBq>`}fc~EZ0{(dSV>UM_Dk9`f< zM*TMgGN-y-#+q>JCX|5P6 zL;zXC>9EuWbd2*4$ygeE(AzgyQ7!e$3A_CR7t$`6UzLsmZQ7SJhqHkph*Q&Q^E96N zUTY)pZW!XYT>fthmFN7n350lA)=Lnz!<*ey6^-)u>YvT;YOpXw~U(LjAd%&@&8Q$Vo8f`abWIlW4MeRo#OOMDldhLGC zPruqg47H6P^MzR9<;L&A{}I)Ai&p2WV>VP81JM6?VCU@jE?B;gIP*;fV(B_20?Ika zA>F4mJ#{xQ39HR*>K4%ZqsXcKFiFv|{!beF%I#>)6N~od=0zt)1gw1fj|xdtLgbg5 z<81T&Cux{>iDvw6{Ju4K0Ob`@F-UvKY~=(tCQNz?Fmb~_nZE^vj^${4Wkjbnsg~gX zqwI*|HnR6x3c_yvQ6~7^#NG?)@3U}uWRWJ4`CLUXKn>p`9qWMpG4xvf1sVDw;H<`Y z+D;zC03Y4aNnT>rJDYP_0=-8y)P=37qjFEm35?G)H3;&$ObUPnjA<6?!j7e@F5f`7 zYvcU!ppn<*k#mB;pSrl&eJa=*>0yJ2Fbc^EJo&5CS@&a~wA0(k)q2S(Lg3!j$odor z4fOs2?MdTSl54~7YX%jnvF~%-Tmv)5s>nn|ayrfOAE3HgaMmV;zMitoeGi-R@8;}q z73=-WG{4qV{Xxmk$0;B-7vZtK*?fMu546z*_)mq zPv1)wp;lw^5O=!>VHnc_}?_{KO~cjWvJe!V+$zgu#o(=O5-s1*Mt%t(F(7 zB5U)%R__vNY!hYb!=I0CXOBsnYx^*>KM-b>DPkz@rE$I>T@a(MF>qsfa~3(Wta&lS zV3AtsQ08}X%e6Yp{^nc|zS3!_8m?7k3Qt1?!T*@VO5chQ->N|aKqsXf}5+};XY4z!vJXPZslL-6>_-K6@X&? zmBSn?Jt)iYr@ijNVFUfdw49{4IN8EWlzxz=x+tij(T3GMwH(Wm*W=j>D`oVMC(G8c zH6}n+9R$&~pmG~bxcrh7&+E}If_q_&7Xm!_NBBV%FXcC0F>mP~IO)<`Q#3mrqR`Vz z-=cv|g(>%GAs_Qgl>T=h4Ljk-`40brnbI#7Hp$K#;RpixZxvM{VU`wfJ z7wD1XthWfK(99_%YskIKvN%h35jQ8I3aKE?oZnEYDEO#NPM6@S<8xq>Ep1jsef9Kn{3BTZ^}mcOcfTzXA4a$JIq$puqwKBf0WU` zJQmc|t~TKiQu+^Y`HgG8Ty@St9pcT1g;!w5u}|Y}a5S^a=>F1X@QX%AyABiLmE^9j zte`UlXG#54`-X<h2HNz8b693VQm4XGkvT3IG}MMtGvyEXsYpte6!qGx+BBQV z)aC0ONsg&|bHU_kyi(#A_Bcm5P&){+k(|OAKa}60^gBlaEq2GSdfyDW){!`?B_uKV zoPJrUro`dquV(Tv(EysEg;&dv_Yr{?1xA}zF&CNp*6x`ZJNfCJq+c!fUwZ+se2O8MSYX&dTl8!osPee(fOpe|g5{-NkHOxPJPdE6 zmF|EU6DL6nI%?JO1!2s2@~-9}eR68Zm6ff=wG5NQjG4+BF@W9Dt%4=pI;3v=cS zDv^(GxZHPLYd{h)KSQ2PXIYsQAj_v_Bnm6&6NxK-)M@}9lvFtr-~RDp_t8@U<~fvT zWSPmm`xD>DxO182IGw?ISkW}i5rzmZU98pBg-sho8{OY}BF>N^d1`aNxKnXjb2d&1 z_f}T;RkayOuHGRQTm#Xiyw@m{Wm_HF(+s2{ zH;K}tSinHlDzBBaf6QLJOo8B}qLov-MnNksR1g0ZeN(!k#7646l42;tjjUA*J_UJz z2a-jcE$<-|L)XCSDIb5!hkmv#by8rPm9-A-oaH{k${j1qHJMJ=_`yvyg;D$5c>zN(l%%B>SVEBgoNs$QK5 zj?N5BJKuQYlvJ|W;q^Ly+Uy|ChtOQ%Wd;Kb_D@`^k(-z*Ooc<0R5b&3?}wCM9St)N zcgad`xY8{l>wP4&id8E7TfO5~qbJfcTI6!JPb6gBUNa-TGIP<-Q^xJ<>OUCPO9M;a zh#Y9q#8J$44bq1trSu9wec*>v|LgUP(xeG#w-?thhowZ1_h8A&x7Z)|=Z9bQzD|2{ z{}~3>)QL|%oRU?FQd;(cNLW7=4Gi4+ngAsaF?WQlSJa<$A|3wcV_5rDEAQ$%h}B}Q zRvxh5%%=D>w+v8Dp7m4?Xe7`z+47#sG>?_heFeN1ic3mU6>AOT3@j7C2op zR``qG`LJWr$V(1>^CH8e-dia#nR4`7G|*C?bW18%?8Yd~6;kjF0-?DudjA59<~(Xx z`&qS*Vczcff!%t#1H_MB`eLXg_wglAcO$u?tKLy*sjo4Q|G|E)5~(B&|*XR&##d=5eW11$7BL?Qin37;cWn&aHe&+_%zhP#iEPE!s(W z>!oXfS&DSghtZDR_8Nw5u%@Q0ju2-f4!*_!OLr|X71Fh(Sg)!3=R5%@%jM=)6NF{2 zg(FFQc80_@RfuD%OTxIW>o_}-81#^P)!N_-@y+(*`5fK9To;ee$NDVB8B7WgXeQkR z{51SOzAWC(gcy2Y&h!gA7V;JXPs@ zD=l;qGt{HB8t^VD+VgmUn+Ui^=f$#7nAoVkDBd`t>Y~6?A7zh8t+D^X3K!Vh#US8g zYlVXrHHM*)<#g5atdcJRS^Vb$x~z)70<`CqpAhdmh3Mizc}~0mlAP82Xr~8V&j9u* zzRC17Mq25#E+uzM__8ic4^;g6BdprCWyb zy~#kyEiIUZB5P@0i;h*T@(M-zCDe+T3PEfO4$zga^-+Z95&xT32>p25fH1^t-XdN@+E>7Q0NrQ5PfRF*RBUDU*p7;+3zy# zp?MqcUMsz!27J{lJNHd#HtnyJwbdsWo>x~GyZ01|7AWv#W;V~)B!aX(=Kxf_@GI;$ ziz26r0N8-G!bb=7_S$MaG0(4^k5)Xf@3s7%9ucnuhVX(0NxDuIIC7bJmP!o?tGO1y zABuudR3PSlv1W_~f>I73Nr;yXcB-hX#TzU+bhVNE>F^+xi9n)ZytJuG?J!>!xjT=V zXYbV86-NKhc&}JM``izWmpJI`44Q8G7M;lSTk;BVem)V{=y-gvKs@u=8OfWQGgbx6 z1j5mT4{x#Z+s~hEm%{FEjh4vhI=Wum>-E^nK^Lz-+l!*y= zhbecHeFS1l6*V)ep6YXbfLRvL5)8Re1SE$-amr(98CEA*d`$&jzNEoSSK~!ruePf6 z7Vg`)i?T+^*NRJNbK#T^7jVFG-ZI<2cq@RVxAC0Ss|dPnfZlH8(Iu6_mDM2}VHqjO z#gkv|8_#s6UyPK$cTk=fP+7p-p5wBC533p6W5V8`lpfpZiCqXd(SN6qyx{PPL4gF# zZQtsm$+phpB2)4bw@9y7qtT-k$xh*Yve30W=bLnn!A^np&;{RXEnnRxsYo){8y zTc*=LK)F&v@4|59d$%8SEgUyG*sGEGK<7@N{)ti*VR~kk>qY10`^Q|A75;Uj_=~Od zn^K%Z+{r(HgMAg(R-8TY@lOG#qSZ5IckUmUrlAubvKmft{bBZiCo23pHPjULy#KQ*)2`bRcjzdNbth<XPwejB+^QNLD#1yRp=MCXR^ zH6=CZrO75-EVkJ6(GAkHdw%d>;?#V2_!OndsA$$diDudaLZK!##<(Bub>+Rl5h4xAu*wghT& zg5aoRG}Cx4uUC6!lrI$AY`oW6f061go^qI@o-JT)uW}O?1R{4G^_j4olGW(tz;IBd zQxzi_D(tRi=nl7k?b4&5L3$C!^VXJri)Sp~y)@mC7k#O#;SRA@_}lOHg2cV9O17CP z1?MlPKJ91M%H$UBxdzVsp=17UgI+&8ubD^;-6rf^EFMXoU-COB{oXw8IP-E=YEPGK zKi2bVDfe@jYAfdFJ(q=)s`Pm;{jR+Cw--v_;<4~lXnc!<@Ln0xQO}$Zak{MhCIjlj zs-6*GX;-+H)6lo3H|sm-eCrr4s(|;BmouKl#2-7`yyg#j5n6cgs@s)I#<7{X(7}wy zYR!G3sT+eNJ^5W71D|~+m-92Hx;638`++y?cske zr&S=KdLj=?x6C&A9I!OKg@7(38@vc z+ZN1dSUpfL#SD|4@=KrO@(89;k=kM*E^lX=w|^Qc9Yl3qWq!dnEMx*|pC{khsn`B5 zZpfcC;Cn4{-TCNCve zl<`K=qBW#x#>6jRygEsVIQEGvaX-V?@)pp-M!1Pl+`Q^9Aj$6{eKZLtn ztd*;$h`f=)Txg?()*j1H@K3Nm*LU@S*qO*Fg*(^Y1wbGEjtZ&c?|)L>G0LE(XPS1V zfceB4EqpmV;IsGi5J54-Zj7xGF&zPgEC8`wh4xiHZV%TSWl}HvxWLgi_xJJ!>Tgng z-MioMDrsv;{OcMo{{iYhVwFqpk9N`js`#DSNq#X6>W$_~J^DVrh3h>NB~RcWQz6#{ zD5e7Qk-Q}BEB|KP&1^}{MMof{H6yET?TVQ6=Zw5IU#GU{+f>6fGth*ai#;86vV9E5 zIBZE~ATUbSvrOY_zhP+xx8tSF)OuWtNM)!%67OyP`+^YfBH~t%Ebtm4xQ4?|>u6LXa9~m3DU7a@kUb$X6-#bazO~^mh)^!AwTqc>{5^`&frgP9 z{a;A=y3~dnrC*no(xpK7YUXV|$m;PVM?7@|qpNKK)I_lqdD&Bz)Z5_RjR0tM5L2;@ zSRLp+HXJ4429HkpBOS&N5j|e?N7}g1LnBjK>_76LY~{|HpY1Pr@llpjmdBP+=U)}& z7pb*+rjO!l2mQi-c{!6-Oeyk%d@hwWp}4o7q5&=D0Nt)vs_ew)yirOU^DZ#1k+{@OxDnMjlWxbp7Slvz)P#HGKLVhm^ zLFC>-^nmp8#4ncI!yKxaPbJ$L6>u?}L9!~fEB7$u_!7L9q;fE+T+GR*b#4x`JM1?# z+a+u0Iu{g%3bN~{``r*o?+pB>o}@^Um(jiUktil!_E)i6ffdS7OYa`+bFH1zZ%2@cROYgdXH_n4*GshL>Z#)(3 zTe4|j+Y4Czn$DHCIW)0+iBkPEaGUSTisY~2lWUx{RV@Qj zi-@($V=CFSef$@s!!n3sr{4+`ulCe`#l1h_rLyXXj@Bux(p+{!a%AcYzP=+)#OXeV zHGLEm8LG@H-%Y)!;d+USYe4ZDTR~HoJln)4(}|l{4$Tk2+-eurfN8vM&g+*8h;NfOsWLm=MS(*Nn*B@xW1gURarZ#AcoK4$rSG`(H<`XR&8u>}&=!MVW3bVQG)L~M{ZxqqNyz6iOi4jrHq?$j(ElIR<;F&`>KZRbrI1qKrA%>RQ9vj&AJx6FuKOsCG=E&^BE z8^(!0KGLq!yjVaB_+>3|cag(_xdkOfEiveq{_km^e3a&1Bca;PL4f`k1&758B}L3+7Z;- zKOv<0VCeJLO+C>uc+){tg~a@e(W9Z@(!Ygw;B|-&hR^cHr>+csaF1^!`C?lP(kIm0*E#oaBd=QmJynXMwhfRD;3jn4w}kTHF;Z`(#y#O6zP2e5{5R0gWN@yv}k zcX5|p6#9tqSP&`LHZ@F+GY9w4g=^=jdF-b5sC`}yu{0_jAqAKUBak}wKRd~uq6Bnd zMqIm5;6{@zP%ljRDr*9vYLl@KCTlfG2KbNR|64O*3QLZNS<@n?f`y1;s2CDca*Et5 z#l>A&x~|u%+f9h5mGz8RB!s)QBS4U(x+Xq>gj7e{U~Gv5cSx0;3FV?_2HCJh24GK# zo7H`g@=lrbP^>5q@1pyLP$j0Ab!reGa^f7XoUF(u@APD*7|v3C&6j3ygtf1#kHgeX zvw@4nngaHo8A(xcK8e@5=8XvnJ1>3VxA0*NWD4tCBK-a-t?ss?{Zp%|R$FvC)oeTE z@3Xm`ognoecM2>y8Uf=vb8mviXLXoX50J@FxRjoRL5wDfmA<~{XEQ;;#SW5G;Dlfh zj|Pe*^=fMao*uIE~$ zEQ}0xH1XUk<1Lc>3SwXRq8i=A6NnD|1&$CFP)W0>?wsuXi1T4JuquGhmqOX+r%^-HIHNWl2}S zRbkg^WDP`P(w$f<1zhibr1F_YIodU!zlRNuVNC10*AX0QIz0q@s-qoS+nL_8 zXp1Puvu^mMPl16bRi*?&z+A*#eaD*)mT9t;yqCB~V-|qIflc+^8^p=kKxS28+W~Htp!EvfX|X;ig7!&7tQgYMBUX>=Y0de zR>ItZOha2uQ{(TL9fX6(L#byqzhj4*s52trAHjIa{&~q^%39-Z^wkQ;iAR7d0yg_* zXO9PJEjX)Ew|?c5q=>uQq0E`_Kfr9#r0SXpFR4<1IPR+6v#l)h7N-^GEP$1=!7k*+0qs*Xkj*yGC&j)W+v9f~rGK4LqG}o5&Ooh$C0&Ax5%B@Vr{=vO&iVWC; z%{u_g{NxSB4Cp7ULQ>7Xp_0&q&a1}F8PHH6Mn(* zKdn(b3yRa8PAWcZR((>gAlh1PHLUa|{VD2(of)nt@il_!ic{Nv3er33Wnqv1DrQ~| zn7`aQJO(Q1#4V<`^}?BlCN2dQ7xz#N@+P-HT3p4LEP+e@=E0?hrVsxCByfFo{WZR0 zti%qhcdp-yX5CHbl2jt_x>23GMov9N!dUi?QbW&&XP02FfF#kyP*j#g)gAbbWiQzA zRqZiLHmk#*kgAawO9RH6#NZDHywK(4G5){O4zMfAN`G-z59~s`G$whd!fan(tp#cA z#n&=uiwGOZs5$1xoxr1#X+{{sgOG*^W;`%+;m^fp3(sHVHT`Oakmg?V6F0^}kd_F! zF#fQ7V~OS;S<9jLyFDjA6U5g{qjTy90_L9&YuJIv()VI0&Gw9eZe-kKsmI3Ucm<30 zhd&kdUQ-_Rtm)}&cb|`r@T!d-n~oyD$)xm0G=|UL1~7u;+#R*YKNW1xUBaq2J$6oi zU3bm|pPG@^00MiNRIyX4h>_Y3IG=X&sD{Uopn(uVIH=em^x0}}ZF1(xkTTsh(%+7K zrzhRLuDbzE>0rc<%@p5OAejX$uy9cZLgD9Y!&$Bpfw*^=3$vz`@0(ZnlCK>km&8(L zMdLl0g90^=h1WOiI9b@T+uR;@K1xx;NS;lik{gKz&N|#N@wH!tcrP4Q1wH#1V0~tI zX}L5M1mb4~hisSg^etapL_Q`=3~9e?&odo!eV?M*s6*BI!0$`HjDK71L`RnC3b9p> zcF@^p=wycTX@UMH+a-~u)_+1C4!i61EqR%RUwcnD$`sZlK{7}BZGG#Rju(+RgZffK;4 zKg9-F4_flSYNxN1@MV>GRl4Ivm3YyW?lOpnApn{|ooBfjuNyk+hV=0%g0mVvszY}s zUBVoFx>@Z#Hn=^#XeY!Z?P;38eNgN-XUfP$@fA$W@2Ds&UH@YFkvJRNSuq_HUFR?G z*o|&0Gg;X^UQhz`D2s00(Gci*${PJAz0pvCA+aNk*=6=C^?UTie}JY>qf{-!d)6)w z`Q&3%uGX}oB%4V1P@TM*eZQ3|H#P6;ai|FhsrezH6ZV%qj%$upgZ4biWw=>$@Z?d1LjR&rgGoGc&Wl zY3|2*KJ5g<@oHqp0O~h>`}tzKOl9KJQf9efdbOd%+9fU)d7itXKvrw~2k1ypC%rN} z{d$IelowKq@-C7YtZ2;$ew25yJwfdo7w@dJi+P873LwrLOi7$7ujVE|VW~t`Fl%ev zZE~FgI|_)-v2P-!&KGp)SdTKVu73-11bvDLRdBcvOX(`U!gDPlQ-0LNi=NfZ|k@T$_IaIul zv)GFaKgDS4&oQk=d$zAo*F_hLM@n*Gj z0}@^QfpKeQM zFjRf&L~I#6Wz`vmn)OMF-A|BJloi-7Q(kamNnp~&0Y{zbBV|tof7;ULwn9ZJO>Npd1|3S4H09QVTgucZl~<%d%-O8aS~%0Q8XYx1_XQ zJtlI+way_Lrp}=55Dk-(o`8GHQztaD>A=DSt3p_*O!H1t;2Z;8oLo)%Z}|`}>uY|5 z84Vu$OGp;?AC^2UAK}zbcE~@-%}BX?j$HOu>e9g+l#2sHUpN!q!%8D7n(bI=E}puQ zJIuBGFQJOtmG=K>-&o?rF8%T*knUH=lEP7w>+?YQ69ncnhY#kfi@MTBO0;*&2;~cz z7u`}O->E$v4m9fPQO#N${njuC_oN0+R7A7n-BLrsD_aKYj_MqzW!J|RON(5@znt^0 zxcgdMigMgEUVNO!`MFM}`9CR$e%{vWu~x1aQ<_Dxe#9WyLqTdp;CEQo4W@eo#KjmV zfH?NLrB>wzcpYEfoD2b_&7%$^pP?EW2>$>yS&m}F#);{hDs`k+Jb(4CzM zYwc>j=_3i2_Y;%Tavdk#H{?y79Ep$lH~u#O_pw!DxK_2D1Z!$OGaVEwq?{R6InB33 z(t9oq&wvkVup;41Sg#WO~c@?js zl;uel{L2(~;RmzgW9f7Hl3!v~M7co7BHgxc& z^E9W=9M*GDh)Wv*!lFt8Dy{i_xT~3t2^lmHr9G*^qTXNz5av`pz3_pN3IQVTGn6ym z{HucDzN=gfyd#~!!2>R)lT}K0d;OyQv@F8ao48160m9*^IHw&QXEpw8ckL>N=3&Y! zNkK@HtH!s+qw>p45u|#w{R=vs%8P|@)&R@Xu9lw5wFcPDr15<{(YfB4Gs}mF$^a~> z$O}2t=&IO06?>eHV1MwZHaYBnBT}Z4Gke6+t9DBuXV1O?Y7pd6&M9bYN6rKqRzGA3 z;(~{5e=NfVFtV+`EWBGtPOVTJQ-7Ad*X8AU&#Fq}nbNrN&7&&StkpGJ==UNh`C*CQ zNQ}7&5BPt_HjyttmZpeh^1;BGwVkfn6tRp+B`uTmuJ4aZnzFoW)Y;`Qq&caC8VVXR zrRtysUvZy$nN(pJiD~)5pq>D>@BH1 zE7#UQa`p_PS|CLfoX5@UASIKMc{plqY6)^z?{zvz;K-S^7hv!ba{UMR$(f{ezEb9g zd+Zcx;%N6;rpWHfA1iFMF6jsL{(N;AJ#@!|5Tx2=EF#q8HJG1pP}x0P9y})Y9>c~i zAGB1Ms-9q#;vb5&naz4kU%d}B>!7}XfG zWoM^f_2CUstDEJ}ZU9$z4=p(__EE$c;tF$ zM{+fVJ1RJOM>}3635;h@rKnAD9esGHcOmpKg)8tfis6eMiSrJp3GS25>Dn!1@wbav z+D|q6-0sBqpG|Vd8WFNfXalzfrA62`a)gPkC$!;N_$Fl9f5FjG@3p+Ogk*yz6g<@DYneZRJ>b1+VZmi6s z1j7rI5BVmedRgC!uIHmK4#dOSe;gd}1LkKge+hc1^P-R}>M?Pq`4g4HSr>I58GWxT zKH>EE@G+1c(p>%B93359Nfoe@Wtuli4o%MBq;Cm0%}*rM?+&mH;L#fM56US#)b|oy&ub{sE5tZl0+(_y}El`^h4iubjLFq53Z=sj;=EQ*Aqt z7(bokS5kKsTmQ&l{hT!q%FQ!0F19EG*oJ1a4|7trSCWVY*$XOlXsCUGz$JTnxm0>b zRjG~PldGj5x|-L?(jK!x1CsNxcZuvIMUNdR(`zgm#m1pU^7BspvtC{Yi)fd{vDpj< z3GYGdq}uOl{9y`7q`cU>iw05{2f8{)OnpqRfWuNOJb#KN^$vdRW=zcMKgpCR981Ze zqz36L0vu?7#kz!QSY#4WZll@(rRU>Ur2c0{r$MuAknb$hy6J7|i?NTOM^+}A2DOpd z!Xt;3YgdOMnSa(eP0b*x1H`ZjPT@gIBxYl~|Hw(QHl?x{;(Sp~J6YUg1LZWav*23A z$JpLFrQVcfzf#tYXe7NXN_OaL0jUkPT~^mXX(a^!o1@Z8NP>XLQua(?^8egpPc7|@ z>`g}V*=7}x~L z642!)wn1AR7tN@Ha_J|HvkaG`4!bmJ^=V?Yx6l<(mbOPFYX*Sa_l-o!W(1{AbFTtg z$xqBK{N?Qhq3~WdVG@$G2b#hm#iSAwVX1qC6`YJTQw#h%Qs+12&~y-w0=V{|0D4fJ zrVo!0Vk9yN>wP4VYB?_`+w%z?w(D7d@^OCrB%SM);kclR$I%h-EvGSXJ!@{~ob2XD z%{N-z{z}`CDkcFcHGDi#g_eUSrUgjfF+Icz;*NL|$KOV#8<(T`=ZJ0XA&f?C^1&5> z!PoCGExgykex?cy$0C`BHz6g$H%NLClXrTF#mRN?HP_KXoB9oJ&XK5`{$sY!4Aiez zD2%Y<-Cz_83kON4ObvZ%VfR2I64(cu4dB#Rd={lNv>r!%&d90}QkOdosn&nBfhvx? z{dBwXD^ZH{Orf4bTGu);?|zfi*Z7bsc;VmY!c0lg>NXQYwg78%mPG^o6E&MMPP2dJn?szO`NuxOQxu3x$yQm5z+GQJlG&h)gYGFgdJ{nzNc>oI67L zaz$o8IeGDz*;#z$=|2ET$`YWeEH|Z=TSFhzoLQR{Os>UyLAKvp7L)tbZEQa(jcewU zwzrpW(*XYG6p!ubc?Ld9UumUu2FmWO^8fS0}jE$esT!KJcnn-)H@jv*@7h2a8unu}Yh z8#8V^WrRzoL_k3t<6bqxg~QHg)=3S``=~{`QE#^$c#HOyJb+UI<{i6T(!%1{9QAF( zL=HpxPYV9>g6qgwO?O~nJY50>pl`pL=FmqCSaCY%Fu2rHV3ch_^>@#P;-1@-W`B9I_n~dP0p^<{HbovYz=s_fl4lv zRYHhr)KXf^yLpSAhNWP_sS^TyA@yXrp=v*KzzwBnmsQj(!eY}K!6GN| zsM|>mh%izt*;evC91R6#@CdloFkKEU+$HUFo=77nln;Cw_Fn1)<*?vtgI@ z@(==}TY;v2ye#rMp2IQD+c5d}du?kVe)LP_$|*<|PPT>;ShlHdJn0bTQUoz$d6I(v z%6&X|?g~TiD?aFsdv!4mguSe+mKnK-aMQ$IzP#L+svPt{f0>#&S}kjm;4I{{Xm%QprQn)*N6oIHqHer^_N84`~PxrFe=TUuM}wrM5dc27JbFi zCf&$^G?ep2S7n6*d68Wgx< z2G0%2N}|Z+WsJ{oJnBG7{4Yq?8vn9pc(XBTuL?go`3nW*KFUFVju-Td%NF=*K(G6) z(%5F1D!(VF)y%UTM%LR6Qy~w=R)gDgo_fxzbxRLlZ&B|oP?olj{V~fjgqcvL>aqC> zn#bU)n|gu+y6SKv+DEal4uXK>nXOO~+qh~3Q_6Ij)^)qzA5LH#%~@4zTB+j8c)kAI ziN7|a($P?t?-8Jf4;{?1GCQ!O!M9wpMt?5;NA3VO-HRV*ce!}u#!rB)xA8|I#(v>puo z`UjxPb+{+C7df`ouRWVSVzG}}iuJx_z7s1wT|*wh_sCXT_*J`08bRaO-qG23F7i3^ zWs!%S<-U6Iq@+LBoYQCNQ0^6>Lsr-)`WqnCFkJ}wMXzFCv3HuGHsMM-l_3(_?o#X| ztCY@^;q56)c{W%=%qR@-Noq{Lh~dS$h`3eq`=Z2_t5scR$y(%{gLqd@7os#kjrPPEu@QHK$eq<5%aVuBcwZxQ#cSe1r*uSd?YmAr z!H%bnQJy~y3o`Tm_lZ$6qwA5cP(N;D<*l<>ljw-N4m`I)Go+^eoi|ezrCDmd*mD2G zi?NuL5=g%*0a=sQ3=Ek=zNWWKy;R;kb`VWp>(eo;k)Znn7z-eE%QuT|?Fanu;yo%? zqZa)M(|UP9X;}L$o(xp_(73oMqK>Ygv+dr^v)W6~R%6+EK*EW8>d|9hQ4i})o&V>- zCboy{FujZw4{*p5_$>LQqs*JXviV1)pr+F*y=`U}k!!t6IJRWmt6w6b6XqmRLyFa_ z#`~uh{t%>>pnGeQAysuL?X`#~aQLS4Jgwey4aQ0KXDl*T*Vol##hl?VQ zf&cq7S3xcqlC^VsMJ|WNz{}Xo!O7d0(^$)J6w*O(PI+sLM3ftuB;}7{(DS(~i zMl6~6=|NNoF(t#Z8V)@~WC?&ac|sWSSBV*32zjASg7Y>fpYHd33|oQ1u&KcKrYG-S z&i)$bUd@NkWyel;NUOY*y#`2OKsa62zSnm6$SA(Hxfm(rmWk}aGEF}t2tW zSLPzljPr#uUyquHJj!N+T{L#snH_xYfL41|ah4apz4*xwe@4fMiB6qZc6R9N)(7sb zG?^>B=9PLD0C{+WpPy=(dO2=QOJLpXzbnL9ZKty zX$bBg<&2p5Y@X)amnnBZtkECO#yhTn0!s{XcBn2pnYf+C55UeLE(k0hwxn2fYM#uV z-VHzc>E2*lCS<*a+;(}z`VO}?>p1Pk(!Txe=Pk%RhrMnIB7d~8Inp8}Sn1e#zSQ?H z%d6pDW;9(AA!KEWrAnSS5|*8fuUX|tFz5hT?rJi{`R_6TWt3-eXI+sJIIvE@ntIhWgZ=n zZwmlNqC_5Xj|lp&R*vo0UI!RKBWJImA@6Nm44H6Hu0d%Ie$0(S$$<8r0&dQt8QrcF z=IG-MA&;=os-N+n@fMGtnyl$B62+xCUpC%NuQ#P@LG&F|ql~({rsS5fM;2c7pIZ-F zBFM6qoxJK?M@{u1Pb*8SP%7S1v4^tvsJ5xhkL08|K!oMWMwIQ%m~D>($-Vw-VM6 zp+`3lOORh3Itm2^1Dnz)#C&kmFvfe|4Q&`rs0j{{gqqx5gFLjKs)MpGanUTqHs$Tns!`6&dP)g>&OmYG*4wH5Y*F^ z;sMAij}0^4@kV+Acxq-K^q7Zn+k;-Y8m0e0=M`nhxF|ql%ScZ8^*9~{mD*Pph**lL_ zYx6X+%8W9JY&DC|IQX`b8$ex;v_;@inc!kBdFndijxSw0N7e$2Q?kr@U)3^yqyX#p zp%;oAK9V(AK_D0_7!Cl5fO*uebkp(6&n@^-j59JIC|sZ{rGGNd_2>b#^`aDg_eGA# zly*v^3}NhxFgjMiV~ccV9e=jZr&sYjv{mpa)m6f?PN1wwRWWaKCJHpot=MTAXha48 zYVbzJtvv`gbiJQ}Z_g(0JDL~O8z+Qd8Eu7b$>udq|IgQ+OHSt)0U5mkjDs^uT`!`F z=J!upDgk}SLJDi_<5>CS)E*?5VphaTJb0F7!Y3EwMyZcIXjQ|u?6r+ugMF@3)+u6U zfX!b_O`(|=BW(WHRFwn{6;jMgz5*t@h)J{Xru)Z3t%^v;Yc6IaA*D9HT_FKzi}mO) zOp5k)A2B?=v7vom9klajVs}mk?9Hsr8tS6_7WU(?)Qf?!FNOk#&+!kWtK!W!iu9`=ku4MgCp0ts2> z&9tAXC&d|$`sW*c0c*b3S0dyrf^L;zzqF{1V%}!K&nAPH#nfS&S|d@><=A(3U4YQ& zibt~fk`!BhTHvtIYPVbQ^#qc?@t%P2=DlohURJb}ss06sWdXY<{e;oz`wkxOCj{_V z(C?pn*=UGt24s!MY2}IO(b$#fz2cXyxs^};C*~ONrQ_3M(2;3dQJ&+ZX82w+Iz34pGXv8CiTDg8q1R zU;F%RPFFL&Ta#Ig7Nn~WO=;?{mu}L6g6~=2L$=rSu6KX-07u=R{jwVTk$uh+mxflL zUY?vEW;`~Ba&Brto+Pi|#|H5&$h@9zP2pQ-()I@CuPQYpTYcG~Bj-We+HWVg0O22% zNQ)QnzM6W_eK5tIF}TS@*lhjpCAV|)c$nJr*E7Clgi&UIBO)!I25&D9botJNUW|1N znVXGuUfJ#Wy=m&*N6-#zo9w)R=)Y@TjIRAi9!)L>`^8{PK2*< zO^{jauwiDzshBFL)M{B?ZLTZNQ~n3f$8OP@=Al}|p)BmOQbMG$r6bFtW6{YcPQ?5) z%>V~4^QcGFf(gp)LZ}o9c0uCU#&yF8x?rwRL$qDlbsEpd2Z}Xqr`#lCKac6tZ1ajDC`-rCSlyBw?DImNWxJb^!`N*8 zsu=c~Wh3UFis5YOX_#o(vn&N(c^M+^ZVxsku?Uy$52iOIjD(=P4DT?=0sM3_1FMs% zh_mf$UQ|j4xmlK6vZ8BgFMmbRRE51Hvr|R>=^!X8ECt?wf|M^&{#>p?6>IOw=#n;` zxmc!On2_cahas=~Uyh1DhnNU3$T>4{N2@(@Bt>+V6Pz8L zcarD^BJkMA%*I`@vKqTh2T4kGb`OnLhp%1VM*Ue4>RtL&Q4;X&T%jjm0XA7Cb}^~C z{JxtBpVUpVuMCs5U_Q$VQ#OGIad-#p2nJ_0Nv;TG95UY!QJOHchit|=TTOZ;)_4v^ zK9|z1<}Z`)?RDj83go>~G)QwRXjZ=MV}MxtF<`@Zo)*lk}Besb`=q!=5n zY-g8hjU-y&j`b!I4@$puR16OqG2^Vu?0w{}x&|H*E5y_meJFyGzUy(HEb^ff(Hh-N8K?OV(A$F+@fNXbn$hQM<` zDPvjnciK2xi}_d|k4)%1b8Ge;eeoyGPl|6Pk?V#d!m=y<_sK=l&&S`)vW%BsZmJcZ z4tYGNxy;TWM~J7r{kmI>M2LteYAJFVQ10|6?A6;E?n#Y1?bS^G1`UkVCP6_RfALa% z<8;NQjC6&1Iv~qk>_Y$+d5}6P^_q2>IQi>~)YNjJ)H3?@wHt4Fz6$pjJM5P`FBuc7 z^eaauK|cPSwR*{KH@odF&1vi+a!WoouQ>ZeU)yXK@3E_Iti$l%vr3hAp9GvjS>1<| z3Clq;n`|H7ad{BHO3)i)Z7O_Bi#kB$%x9*hahUbQGZ0|K-evb?&Alp9`fB(xBnA}H zlY^GTcxz+Fh7#hN>GoFa#6)s5Zv3$9o%ZDx2Dk)o(<#pZ-sQ5pNr#6u+j^b1Wuc)| z|2Hv$KfUy<0@wihTXWTtxoavT@^OaDMf6SE3)L$qz&S;!IK~Vtdw$l)ta3MkC5w=V z#?sttk2Cf<6INCNpTyTRpu&p(!c=!&SOOH&B5mc}*b~>Oehsv)=i0Vv%1NRAQ=(vY z(w$)AWKKR|=T72%17#B)96!VB{R)>@dH4uo;3w3P4kTUezi_eMM_(o}qhDzS!U`*fEG?vb2-gxQ*hHBm;pU#~aRHq?Hw|#Y7+m z!2T0t^M<3mzQbn1k@(y5CX^v80%Vef5`w#%<6mV z@c19kS^mAj&*d~=j_{u53QGF=nWVybfp47+!UwTLjZtSsvzWFELE~=)& z(;ZY!dR~lsVfVVi(4&~==+vy{+XvZ42fe42p*l&GOrpzW z$F8z?U3fBZjjjYT=}C!Pzs1~96A-{E!Y#NepFtI{6uJl+a$jnou`p3`+0VQ~(3G2U znrgkdhVv_>;=fH}=2q@IXo0A6dCGZv1KL#1{jzTaOAG@%{E^!0bsiMxc#Wr)X28T% z9JGSTK#nQlL5<3ZX-#R8m z^m#3R#9r9$5KF3j0*rG)XTHhPQvHR^$TE?p<E|5!6HOtn3W{%0Q!BaU zijd7HaGK@_4$KRkgS-$^EF~W0MHz1ioW55XpG#)?0K+W4vUwLjJh)CIH{q7G(on5a zkN3^0)Q0A}8@wBM7HZMWseB8 zYdJOSX#UbDC%dS!T_fM5d&=^@MRv->S45MiTMl`l^B(v!bcn|yD}afsa{>7N0M|(% zS100()sZYSmfY+2$69505ll=mMPe_RO|VfBihV`m2su zP|v9+MNG2dwU*6S3}FI6mCIDG(d%`Q>+i{2XxZ;#XF(vH%PwA|+E3Q6O z5_JD<@?65(8c(}`Zaa-TM*g1jGv3fQLH#dH={hXJ;UuXZjM_CEvr_)0n~LB2Kb^n! ztWdXKtlnoCJV*90joq((!>jG`b9m1oS;_3tV-BrqE~#qIKWQFcc%$NpPVyg$J(P^d zUa!%dfqbqp^;=J?O6|}y3$qJ9ENr|?*}VF{abEr4einW< zF14^E!g2LiSm?FH=udgL5eKy3Plh$Po=$G{J zl)erAV)&=c|A0lHk@(LzLb@_uL1}=|aZP|xKK>gzt2T>g`En|=5qFSeNKnU=R)a`` z?%Ai8a~l}6xPQ$}@z?!9I2ni)B0n0Gav8&Skp#~;SmVoz;r}ho2by6bI;U|+NR3rc zi`^ZiomlpBMm0ZxF3R}oI0Ts=gm;ruregof*m5va8Yda|GGSSM1>Ufxdez$DM$T=J z&c~?qK!r(p25P`E<_zP8pZOre84@ocFwg%%&WinBUI-(O55QcM4GflDW^K>plIJdqZNL9J$lRpjn@j^q>db4D} zqxdGqbaSFm3vj8n^c>9W&Fr}6-XjS?WM;DXyb`q``8|lURmAQCcrpFE!WYq39GMbM zsaeM2^H9DdCjY4F|8I^-E30n$+R@n2y&kC=*k%?*)NcT^Y{0DJ9%&pP2HZ3L&(IKC@XZzO{_sB&+siT9>n1TYCGWzbyiL8aY@J-R84 zGfCMD62ipnW$Z(5wCu5ugN(!aEBm?+ETW%Q8a3x(WT@E>IbN0k8#B*O>gDK5z8b;H zY*#9jM`Zm#yQd9_O+%vfOsagRO{Sf!Y|>1dq{_6E&zwSeZ|CtVzC&Hu@|AgK+#wuJuxCT+{0a~&b6UKFp(S*+}{d(KJ{TW7o7>iQSmL6T&aAAu<8 z)<0XjS=e!%l)CT9E(Nw))8NUx`g+xCn=#K1eMNqo)@Fam?{6=$%uB^BBK3ym@8zAF zkALOh&PFLR!qeprMVAdKt+g~-9(P$v?3~lRM*kGq&W`=&Ej@+g{krLRTaN9C+Y$;_ z#gw-%&-bos<~+OFL9OB~VV-N*g806eKt95DnqeE8cSV4nLQB6@DY0wo8;`;ZS<4E_ zPe`bq*~|^(bx5@e%QBNzDr)4gM0n(u+DN;aqOnp?$hRWbmr(fG2q>{;FEe$BabCWRyZ4f>`+79aPW0N%i;fMM_ibBU9|t_|mKG{E zS!7E@1?>TZnER`E5Wg9RJM`(Yb`{rvL4cd&&mU*0BmV&+no9b+^91dh>T8&brgctW%r0KWh=>}Nuj z9W>K>gvVmPgxzDICd@WwFCwuEczLcNORl92$$EVmDG%uoF@;L84NeQK?O8#@?|bap zzwLP`x0pebVVTDp~cg2?zWD}C(B1g4^XGZ|jhtlR@cxh{$H9ezW zMwN#bS4y0-$*$S(NKkMHLiaE_6KCkN%D#UD;QoLH$f}c|HI?+|870MmHl$5)VhH9y z=G^MFo170}i4thFJ2V@!W0xLejWBPeFg2N*=lMY)%UOa_II|$~FZ_T6L69bLk#UIS zn9mTN&OO{F7k6RYekDhBfSAIGqf;CKECq)to%&yJ6c+BqRRDguDs?6z_8nr zkAOJ;i1e4#R?3EHSXd?$0r-B=745-Jmhqlv%PD^zfY$MrRdfUDq9d-$Q`-Sp=282Z z__X3JjS1eCsB)RXUnwl2m<{+HJ z+kR~}>6ni0EprFCNzJQq6u?sIFl?|?oYM31x3ej;6;0QunLE?QGK8jSi}6;ELlJE! z1$?gRib1DiACGmcUB@d437V~W{?;ev?_3!j6#;cTm`OE-^LkDm_L(h&w0-|;zSDm> z6B=SRw>!cycO9tN5x-$P*Uq~uWG^>>B8DxE9-DVt2y+}Wf4xooB4h7ZVaf&|%aWU&F8Mhpfr0!-c5oz;F+jnfCh}<;D)6qOSH3`RKIDL);{i7*Omeu9UK=1s5o?C6fi}!yNs17B$w4l(HlP}>j&H?n+JHs-56zNz>4Aj zi>O0Fr7`C=g&&j`q$)=84Z=D2c;!v_LgxVN&4oJP@r)u#+>XCyab_y+lcCS1?)$T8 zy(7iPgKq^?%9BaFpN>7WLCC#3q$aA@fqGOmzg=^h=L=9@Q$s2_ns|--%BBJHGLHbO zO5}ZQpvG?aW&%96?hA?_;)dXvA4mxrLnQhyv*{SW(eaBW$XcJ1XpZ%EXkhWgFDycXqEo4gJcVbt(LS1AavAAD80dpt90SohfB#7vBc!}rQnNZ(- zOjv5_`o>~z^1V7p8)lV1TG2%X^3?u;CFWxc;e<-nCeuv`T<4Rp)Ei}NpMf9_Ac(NU zF~6={OWkk#)ZX%76954={UGf(vZAQBxJ}0WFUiPZw#q5`aR#37lIvpB{hV{LuE-_j zqCIk+q+=&l$q{V+`gQ2^CR;A+Gpx+1LLf}nMb1tT=TYG&L!oL$a`f799EuH7|9Z+9Qy)R#@jGI`Y!uuOFME=x%p& z49l{vUd^8Z`HTI2qM!Hhu0bqO9q)1qI>zofb9!{?^JD2+5YPPnZlfbFPcQ5tIyQC1 zOM#xDtyZ+(*a0eId|rqp6h;GK%Yv#pZ;4Cpc zjJr*!Lz5AayWs9M}oLs-3q0!eC0xLN?P|IPgQ z&`X`$q}ZBN?23;c6SQGqQ^%qb)ko!>xT%R5K_$ALKGXlX;^-}7A$zm;^IO-%Ul!S? zu;CN8wlv}Vk{SAK9p?*D5jFn&W6 z&shI>w6naa1EBVaxp*CTek;w<5=z(jv<{5IT}?_4MYm?w3nb#@o$3d>?a2>{g}h~- zx-NTNgu|Ok!m1=4c|+PWYD38sc>a{Y`Q-F5<3VrdK(dsADLzVY`(oW|g3=(O$%6dv zZFX*E&TjP}$X0%N8}1OfjCe%4zSCxz#SAVAmVTa9FR2>zrx7O>p+eU3yA!HIrj4~} zkojWY$8h)g)`#4+qG-PRusqnh>`mw#~_@{eHR;ZCFs zJ0;n3y8cn=2yJu}o!vJn#n~k+Ksat$Fb_X>^B_IxJT3eweIR$Z@>7X2KkjaeK6LtH zjPEiTCmRlWREv|OADc%8lu8Smls3j)U~$6n@-@AADBGRHIEgT~DYtz=oS~)r6+-OM zaM21$2h5!138ZV)gMUNJIyC{eo_y@?1fM*pWwt7|STDx&lr}(P-EcMtHCD53*FwWN zu}!y@74seBi8-BfRS2_zIjl^K(S=uC>uVs_1UY*6F*_1=dPI;pQ~^1mFfy7Jc7ws! zG2dyXP_vqvI%j)|NH3k|uF<<3*DTyuYC{=63vnD(1z1~ST?-VdH!M6Ss}l_6@P|tJ9n=jQR)E*J z?<_NkMzS+wFTe=En3S;MuNAn(AYG6D;nx4TR#@JiD2OsU-p9RZ#d@$SGS}7qRa{YG zO^b|?xQ+l+SY@ks0y%A1&acpE&~ z;1m_r&~Y{9sf+(N?1#0VL}2n7nssV=nVnahJXbdl`n20Vv&??Sc>i#9Ave^alXCs_ zt+&VB0kTDZ5bOlN&*I`y0Na4Lv8F;|hMe^Ze~6CEESg2{qy( zr}mP5ns{Gh!0*yVi6@BxO+GaFlFScKyCLmX#9glsd^EtvVmLjhUsLy|$4v8&@tN=*}%F)CQ>?A zN>HuKJnHuyw%$1!UfI-*=(N+i{|ViB1N3REOT;#MOx*_c3}l4bt9{+-{|~AO2CzK_ z==)txhK2L}2UwM0rU+PTK~@aTOR(Vi6umj1@$!$Xc9(UHP{c3c?f^B|wSqVd6n2AWs8gSMlh{NW>-nMQs~rGl>cX!r(z zfLa(Gvlv>)JmKHwwd|;mXpo(tNf87wZ*CTf+{~4LtMjXsQD8d>3^FWPjcvyyjZs8pCbc#HRUBgcD|iLn zk?BE5Vs#w?5ebzs8i5XhA7rqmHw5gc!Iu2FM43%DpLfM(gPgh0Npva{wZ#;CV;S2_ z7#}EgtG>#jd2`C2KTLo#2x<~CP7xB!aTRg|WY1mvlN)?rpyWI035ReNF%xx!d_(Oe zjI}!oId)PSR>KJ%{FxtVPU7b84B~hV)So>HLiE01Qvr>c@ENb^ApQeT_geH^bjbWo zoHsA1Ja@zpJW1V(P2dkHwKH7Yj)GS18zGNKx7BMxV8$b)pZPlqgEW!+-EWXsbbtt= z^xFnhKt3v^0tjM}c{<9b$FBM`ExH77Z@Xk$X&U+7TVq?O(QS?AKk0~B< zL}q*qg#X0fBu|ksf4Q9d#U{tqwHYc{dwG!oNF;nF$!;c6b&)cZ{9gT<`QT9O=G@Rf zH}n+X*d;Qv+6^)nAnSFZUh7Nhl^rp?5AM7lZIyE^sp*liC-%ziS59G;fqb)3%`(s3 zRj^#>uW4z3Yoi*fIkB$6<;3g$L30cegpF_YNyA_VabTr0sO0orMF2V!=}LayQ7s-) zL5(d(>9^(IJj`H~On9Fk4{idntJm?;2a8 zOC1Eo7p>G3-an5=WWQm&iE^8vcAtYM43#?dbXgewN`H-6N)F~NS+Wc`BcGz^C4D)SZTUcXY^`o`ltb4)>Ko=%*!>%oQ zBY%5KqO4JsTniW^lX0;+ximUUQS_#*O&X~sSN0gi>@c$X=Ai0Lwf~lK5)0Q``xrZ7 zW$+v0_H22J*1U*prNCceEN8`ZrWx)u$4OH7ewlaUR?rBip7T&6MHS}%)(G{dB)O`% z?J(zM->Pg352WaQaDAR`tyRwMfaatQ+N$mWYUJ#3S+H$SQKheDbBeP38I_|PQ-GgS z!g5>|{#yDGGTHH#DURhqXFVITTAp$po_}HMDK$tV;BQ#+b@e3fjc+2x6A@gQ_X@zQ zKayBHfx1CmBYezH`9$4(US}vNyF};Uc>@}>YWF?mV-*3K>9G)CM<$38caGVuo!ttL z(fU(7qR{O~*FT~n-T78fQD%j{|BRk;|1@f9028*oF7Exq|4$A5r~ zKacO%rt=HaA6YGPhAPO8^4?@f*RE1V{g^k5{x#cC8E1Ydrlk^KT3_9~rh`hDS-oVi z$;N5kJHb~z9riLe<*nKH7~CKs@h{;f1#K(@wBLk0ozkJC@c`XS1|1Zw$npX$+0d^{ zt~AFwZw#<7Mp=>RPaPkGN7VNmR4FQ_=hco94yxi)!Li!O&z7qQ8GU^+ta}o2j zZIrD8D6T_e6Xs3l4F~!xd7$qcq4Y*Qw@Fa18)FN1eimY=1E#`WEDCCebwt_QXy1f- zzTK2k@mWT?6ZwgMxSIJo=s}_U{k7eBFJTK!Dz*zCbH}b-mhFF#hyXVav=E$kFOQ9owbj~ z9{p*%ZKs_7H{zkPY$QS1+<|7SO4Q$sb>!?qRVH>5KGt)8ZnbUjV?@)Dv{q+h@WfAk8H zL?`~OHhs?Ge*b^mA#7~%L^`?amRRlu5Q2~CFNKri+qiNu7YmnumF_DzfNktiryJ$6 z-_zitZ6S}R0>+wbHl$Db%Z_`+%*55b%HFDlO=Ika=95&6TI-!uYLHx4bI=9{B30+( zUd9tmK07pvF0w}b_+0%0M~%3e|Lm4%n`qgTaca8sO_~_&?#;dOc!c1waXoRdUB}z_ z?!Sc^vp#B>Jw&5??)h^yedywjU_Z}YoCii{`sXlJ<@uGTu7}n2K8bZ!6LntI?7vY> zmZNl_IHz8Gspv?Jtv`#`-7G*NPI@3Vf^MLqK$w~RcIGgv`cETtYti{#GylYc^=Fv9 zq@0(JOSwYI{$xQCf5g;^*B*{v8T@!+8*Jk>s&(T=ygWUL@hE%cTJLVx8lA)IH`h47 z+(`^FHG5`&-OB&HEogB@1W_tlT;nO-=`1i^C89OKU zCd-oU{(3|Lw)oio8&AN(YHH7ZIg|H9Z=q8OstO}>0=JOZxokM}7mE17?lMB+zn)4u{Do3_?ps@4Ku&%xUZ^}MuMAlkb=7*)R$+@R{sl$lF!WDYOL|V#seG51 zQ&T@~K}>6V%Q>v5b1$7+?O$GeP;|hHQ-#uaYnCKbfsdvnqSLgQj~aRTu16K6!gZAL zSaqW6=6`^fH~;aT$KLI_I3pj99qs$1i|Qr$)e3pUE*lab7GE#6dk8cr8dkE%4Zhvv z@$oP-ohyz<PTlth!126doe_4x(YWj1#Nc_hQZ~mL04ih-_ zCBJoy3H57X*Um_4a?)H>OGXi95aHF7U6AB#+7?DaF!=tqRnf2fE!7(BcFNn5lB36L zLsqY1<@9Qwx25d;Rp6??DcjFR(wO3LtQui*T&^4n#A3jaBCGe*zagF>h8nG5l5UfT z`)1=63&~ZGm%*yrMs!|SYMF^*<7R@0(1fw&`glh>tYlqMQurp$obQEnARyvI*+}KqhB>Yc4waD^To&^8>kc zaD&O?=0|c`p0F*Tm`~T`4hIH!JR|@xP18%rAiEe zj<3OfrK*>iOB-=wq3TcLBnh_G^cc70%Z;OE!~oPZWI`^$=PP#(KR*}M&fuJYb1IP# zB4Nxb{GQYx!&Q_=N)|)|mU)d)e2R@5CS@#J48_ccgBDVT`Pl0q?}Cz9gPL32J&eM3 zZoYvU7AnxMT$kGliFMF+Q6ntSgB)K=-8_}=90vMd2*n+$@^A)Q+7YbIT=$*-m(UVP zF6Aa_ZXGtaJZ6e8enU84Y8~++T${UWStTf(+@hO|(RLcPOsjBNbUmv>!c~&ur6g=G zNc~UdRElGuaDR8NQ;a9>y=v{}{W8ypyW!V&5$|5xMwB}FnEfq~^yiG&4fV}#~>&H5J<09b}c?B9sc7-nP%krq}8dUVR{zXD_IoV3QZ zn}LWBD`ne;g{h4^g)>j@hK;QUYb;WBMKER9rW%j{qj9PQ@A8{i7MmJ*1Q^E(1)4~5@L~uxZCXQvW3ctX15dx z@65#5u~w!AAs$<*MlPU^`FuqhSXa)>Qd4isBjb9P+p=}GLn)*fOl zv(rJ$soG_rkc zi+_2+R_CJo_FC2UE1WN9`*L$gt?i~(4It$Y*nJ;>Ny^ysO*2i{0%vXZBE&}f?E=O9 z$3tbUkMvn?0V|*Wg{5hhUY*r59e-I1LGP;lGU>Nd?XOtu(o&ygy6Z0i`SBmXzSbLs z*=YI?aJFkKFTl>K8Ggq15j_*l9!EI7gzZ?AtGEe(&^Z zbv*EnH|w>ZzHT4z{y)Gi`hS3b4-PvzeB7V@RZ_Y1;O-N8i~&j5SO}Vkn+Ts)o0v-@ z4<{-nTM8O`B?;uBTM`s--%Qrcs!Xe;r&al4`C64 zHI!?Eom1m=hI#RDu@dd_&QOtEP7$NN=*jA&EE0iRN$r%d5F{vuixCrTPn*UnY3?*q zJ(r-4>)?K>xmuJ%yy)ydXS>}NB^C+0cUG;pAKR3eWx!?7P`RK|4ET)i#=gd0%m0{X zO0Lb6Oy7C>j-0B7gKdbJ2xp*P8C;}wFI%<0+z}}xPDMMw6ThARKxRb{U?gri#Ih%Z z8d9Z@ULR zcRI+PR;?0|vQ{64siCY4y&tv?#PfRS)Exn+t zWD;PWEFjIX{rVLyr&3@K^CmY%X7EM=*f5turATvB^5AZ(*9kry>vr8_4zNl-Y+0{~ z(O*At|d&>OLK?n^gKzKwEgf6*TEon^7?8lor-FMhd#_ds;nX8Gc&D6`64K zh;rQsy>c~4CP?8uy;(-ig=RA7iLTAwHO4uLTW#$;ST^W(1N{VTIV<&cV`tSwlw+FZNFf-)ATFqOBd+V9|9jo3YD@rj;ATH@+Cy-hdvHMt|@|P`g znc3(pyuod2d7iZaar^N)C}lPo6r|yV?sx*!9-+?8Yi&%bBZm3Kt#nuyB*rG$9yK0c zknd{7pi*Q1wpy=Dpe)1<&bhHViJqU?_S5YFSce5p-tk5Wg!U(kVA6YfL`IFv!zF8WAP~H&dk<3Tv^tp!R*#8 zP16I|1{&D1^%_j}dHm(Qp8aE8%cYKR{Zl1tH|Q_%+&fQp=k$p~58|by9&_z*zM3(( zIrtyonZ5no*H$)00d?RLz08hogw6LlsdF+((K0gFcthJ<|*n95j` zGQ!tvr3`eP?Rl_xf5>-^OMqGv<#zqBWFhUn20ra?1l7xF%X*}sp?>0!5n-L(zSlrL z6Wq1Q{Bu7k0`X7UaUGoLuKmpF<=2Mt4X0FpwsPqJ z&wUGz2Ce&9rAd8WpU!7u6{`kt;{w7|I6e+uR4%|?s%p!@Wa7Nu9VX#esO*k9I61RZ zlV9$ZP~YYZAxB&T`73iFP3**+N-cd_TJuYWLQM*JMA0vl1}T}8+;PPNHGz>>J>-6R zLs}@FS?^#M7Le)A>rlpVDh*SunwR>#43r|=`sFSZ)M3!^)YQ)j%|*{-*(#2N{Z;VO z&kJx$+H~u!r_1b>?aHEUj6Jkt$`J` z)~;XpY}55<{~4ghfsF^s@Bon&a#eKg4Q1y)f&}j-NrNq@lJhoLBv!q#ns0w#zoE>>YV~WwvEIXe zj28c{h}hh-Y=XZ$md?3Kk3>h~5(Eqex%87_y4*`#+O zSmJ{F+1oeO-aRLF4eCzmEl4g)eGR!+>m zV{=t}t0i{Sju0TAR!_yTw^mi_6TX63sw_vkgM7`zY;p|B@cVDO2cuFoeO-34k|b=G zEl&oDkDAe_HL-Tb!fl{6;DMDC2t$8mR&9E>@zWj0>2Qhv0K46P`WS?EUDz$tR!aO` zFV#eak&r~kh`@AmNEcE6#8wuY7gIYEDy?}=dL!{{T}UoS+v@I=AGGq-KeSFaW$~Ws z=Te4#<;m|fuiOY-+u&yAhW%tFrrRfG>)kAmHf_RiW}gHF`YM_5Amj;$Oa)*$*FmX( z+=W>|7jR%%+cDl35-&=K6D~+odPwx_+#Z6efi9$qm2LMy);R@5=qL+}VjD+&PfF5u z8#ranW&7G}1o@o3*pQiAsEt=WPZ=;9y~szxzNsmc1UWEEmDNbp+F^2+PEgmQ>YSj( z2ntU5nMOEh1k$>nExW>^vnrWWUx2rma|9+fOs~Im z@t2D6GL|l%XAo8x?`MJKr?S^e=m7-Pa0e(9E8*~R<~acS8p<$W0v2YyDB}Jo%h=wz|cUP)(E>u(BDu# zT;ym&$K>AX;<+-4GtD*`;q!-5XKHI+3T>z+2W|2q&$!`<2*rC-q)h=l{6XU~ix6aV zZKeq?A*OVyqWDqat=Jfv)I1cN;Wnij97|D zUTfKqm!}n!dD?)T=4CrL+R2j^cCYPnmC7GKt^~HItJ^{PCJ)LCQP07%T~6uwN|65m zLx`|){4UOb#1X1^A&B@f;Bs5!SjY{Q#>{1%@rPM^t$;@)S4upnf8 z$FK#j6!*{6_}OX^>VEDVQ8}cO1-&4)WD>T14DOg5M#6;Ie*U?xct+TPg%YUoqkTal zs2gR2y}wDkyK$l07v%c|`M(BDsuqjeHl64?8#jog0s(s;7=hAQY-w{iDlhGF>3u%U zjKl^${wD~~%liH_-G5Gr;r_x$4_AMSyR>ucGAXEAyuxWs)-Jh4Wfv_V)S|)_*9Cdq zv5UL&2u_(&YEScqw!QomQ4I(rDFJxpYrtx;v)-F<# zx0mK!(7frtjqraTEal4l_nEC^jP|h(N?qd9>kHOOwS)Rse>*a+E`_5!_iK+elMKsJ z%PYN!YfqRq{{t}O&~z1v;8M?bEAqqo>c!19fhYd~_B@9ZkLvvwQEf%GJaT~dmW6gh zCK5c|8H$XBAU%v=T}6_<8q>Dzz6hl~p&KyX&7T=iVQAi6_5)lJ8(Q`)T}3Q_-NHKf z+8aM{lbbvcDP=VNjuYIZ>1&nvyqPI7-QB$LAU7kO(X?e=#vE7)LOtJ}Dj6ka{J z_auOk8joVg&Q~^Vr8f|8NX|iDbECvN*g|B@pGLM&n0yx*Wds)4!dJPzz;f%M` z6Q^JH@Dy}rr?$Lp7oFxW*-7$EbbX0@ke2foNsr)Qsn*s+k`s5+OzDr)^EQg*fC@ za5Q7?OyTenfdRw-LBHgnW<`amKGUDQ+6*U}0vY&NPk9YnqAUuy>^@=gr2c zVHbb^M!ls0n`UQE{^Ri)bJKrn3h*0wZrl${y^&i1@Bbe>+k~DiITO(=aPESh;OHIR zmZhxv-^Pz0)>)=B-6UO*(p-J}giScNgEk)@C5>>H-TZPBr;%8yX!Gn^JM_w>>hgcH zVh#`6P>)r*+(7MbiYw#a8iMkZ^#<)iA}PXv`tcdjr=LEMzqJd3z+uEv+fMPz9zZq1u}@ZG zkz@j65jU^Qy}@(iOH+mNm1Nb5S~KN{yl5lbcguu=gHCW@ol#Lp!=0w0B6p;5MedQbbS3Sp|qm(`i;Btw>0kM-F$NPx$wq=PX}2S zj$LHp2sf6^z3va`Y7qDLnt4}NPx6QIAP=){ybV8Xcx4wyE^0mG7 z1B9Rdg{!g!6BPx*DOLD#`qx2*YE1gWV>+cC&{E;I7593AY%`7iHAtLz_pOyj#TjUdWBv*$7- zsrNsZLEkILQYd6`h5tsq+bh{k%M*t{H z=lv=Z1P}y~2QLZ~Yw!(z#>Nz$$ilCdg2@N*i4cvcH{W##wq#Q3WO#dh!U21s0S|xX_Yr zIG4~|dU7t4n?K``|N01rwQ`}L$>K5Ue$|5`)DMIAja|Iup7gD;jgcH7%tV1X@f^=} z4kz26OMZ^;|AY&bU(PNw$z4YZ_rpl9?R~G~_DEJ@DjMT=grF?37{eKR@W#ZeS`h~O zk|MpIXOF19?P|~%-Dk4m!M^cBG1;fD08y_qN=7R zA?(ESfykt7KKMJoc{^zx8`Mfj$(pid(GjLw_UR60gm0jL0gLm5^Sb@eXJF+uduuy2 zZ{0E*^XI#83{NFqluBjUbCP)NWlRb&OgWre7^L&`Z*S-h7H2j-d@9Zi9a}WAoal9M zgmP}^re^x^WhU0MQM2Xgd|G0_+;Z`0wwr4XwW%ro8LNIk+v3d6F~n6}>6e^c5kS zvIrIeSL z=N_Tv^~b#2ug=`xtz<$n$i_RY1Fs8So1bTheT#EjeEn2YCEnd2rigw|Q@rhRM1D+X z3I|W#wC915bf$_fW7Fb!2%z!oNB;p5s;hUhg?wEkT&epsLX|{2gL>;*_E6!>I0Nckcd{0U)hAgN$07mWZ z0O0H=2kD--z5H0Oi`DQQdrvt@^O_YF-0f4$%IhSUnluvClRI+nfZp`lrM?1+DP3g$ zH7RUvg$xg^5?hJCn0X2X_aC9J`}^D-KEU;Gz}SXvV+xUoJes~Q>ya_Z>Th#qWeEFo zgyVKR4qSXSB;^G`fwzP?%zARY(qrlh0X`>PNw6h8(C+z-xTW#O9O3w#AUl!L`6e&V z0P0`$Y9j`4hosbSgFg6F4kMnRcy9q3Pi*geg(N*Hoc&AyU%P^n2x zIoA+6-wFo^xspoprQU++gc+s7Vnt)~X1KWv{aIAbr8Gs_bkiNt??D?}})nO?um1dkUHg$cGyY z6hrh^h0f^s<|6ebofCwRy&#J75z$Yu=j{g-t7VQA3&>+W9!a~iuOv1pdyen_a;qQg z7My)`*LRH3vbpsqo{~>(({?Fa7XJAS&&dXRO6^J#W2#rO5|^8h1W&|gydCt@p8CMG z14tM|Fil4*3|q}AiSSlYcC_|lTB-8hnEa=U!`f0Rb7~B_wW#P0mmfKj_#c4pq9tZ# zN@|Hk?#1L-=j)QScj`-krAmu~GVz<-SE_i=lUI>Y{N3jfq6(~zKHt`+r1tvTO|Ke# zD+KUe^9p(Slz$rwpKJIvJFPE4Ppv?wWHjbo<4bq}4Iz8klmNttL!r+K?H0cUpp>&W zJ)ZtJMkOt3n@<-ixhN}5GN=HJ`<&Q+i}lMfB8r~q0D09~+y%tt*1#i-PHt-EyBH}q zaOYnco&5nODW|79p2q-#uymCGi`gr0Y?kW{!#2JD$%YORZ2YQ6SNZPPY30gcQ&VZS zzr314QFi$M0B`<<@BTWcR`Lu;QNB+dVDs@5`48X~xBV|hbJJ+@4wE@mLdZVD;-3-+ zs*;jo8p`V9@h&u`?T1oqltZLW#bHB9kMS*`J^;{Pbc?_d(ia!&B72@sn}e{eyC=<4 z*kf+*ADRf_%^V#ll;@Y?;+Ds><&8H7gyejhtE8zf{jXF?)FQx_Yw2LW2kvf`3!oOE zwtl>?=k&6p$1H^p2Y&mmR?F}FB)1f=>NEV-gOu-f+Q@?CTi_HK|4*pxV)RVeHv9a~ zVHh|lD7&&|PN#g%w|ZJJv=CV=;*fgcJcCb`phN%u2e={_GleZ?q`ZuZTJo|d!5VkN zg3N;A&Zw7^;{)KP)*i2V+wD$*W@AY0EQL|}aaO(V6iFNh;wd;j}< zxF;9LOeba}R_b2*GJ;LpLcPt!`LKLsQ-WKEeV5WrN%y3X_S$sKZ7T>txvn&q9kbz7 zl8!}WKtL%Y#;9P5KFoj~*=K5hB-p*V`rEaZsmuXR2pS>l#|da31Y!qqM!z-~>Rskt z^tI4-M71_zz0!Cqfg!r5m||G(AU1u&p3g>A8?c$^m@VJ|g)iTca`}G*SVr+eG&UrJ zj3@&=t_M69pk{xZ&FKQP_(pQH@xT$1#-YiKvny0Sa_g~gK&9mEw;)XVN+YUgyV$+mH8pos163qao5HEi3Rxv>44vBgLlJy)torM$z)(w{a7B#L{ZzpEufU`pOH) z_f~JF17~;gx6NJ=jw)>Td8l}GUvE?F_Wvn5_durp|Buh+GIMF}*EIK;NRn%p&HXlp zxl?2+xwD~k88(-Y+a}lC@0VC|St(YDm6A)0TvkzAl8*{SzQ6tc*}wZ^XXm`n^YwZ@ z9-4hS&Oa5!PtRCSPHH~4tT*z`rDf-stFs`=cCywv$;}G%Se1%Uf_d?abz#=Y7vC#F z-PK0V+}kcJ*$gIk*{6EC>!kF^w65H`qAr9OCsumnR-Tzvz!Oj|qQj6O;*Y(eL#3B_ zQyVMIdIzGTdSgM>AG^*&lZlz|lO2Wu|E5Go3yp`V6B8GMO`KQs^RKrpIXgzRl|%>+ zzWMra&~CjJbZOOiJiaqm?IfyUmh4fHQGyORn2u(IgElT57bbN-gU#)LQx|a0D^vsI zcP$079)H4HON!jzXdwp1|C@Np!v2lWyV}36ddg27{wE?KUckQQ<1=WfN7=dK>MX#u zb5oJMo+6fFwGRx^I7j89LyIm%c-=t2nLOq#oBaJvf3r*Y!L zbNzdzYKcg33AyIsRpYy5oNOkqbA|pjhsq&K|JrY9`qyv@RsHg}?!B*m!W(IgO2Ok3 z1v(uAtdl>c%rE8#a)qD|2~W{A&AZ_QBO>6|LoDlmfE{7lMYe(Ht?H!x4m}f8Qg>=8 z@0~507XRh`Epl7yCMOy7H;EK5lJUgV6rKKBO3oi(tz7%HG;sEeM9{*&g5fKV@4DiJ z^F(~*2QZ;Gy}x(4ohYo&V5TFtRF$?Za}52kVS2E|U( z@0&#x~sQ|stm~{mf3v$xX&)KfM)~WT)DjoUJhFNwTX3O&t^o02X$!bd(fyrnR3LnWf?AW?fO3>aM zlx%_%FLL>d4yT4Me3OhZvALsB`jM&Tq0k(9zwpC)+2#gM)-wJ6?Nbq3zn}VrF~K*d zK;`^dr7r>1hn)gW>^I$&0$Lo~fvqq<1ow)>Ze=(xeSOu?rS-xqGWE{aG^0YTRkOE` zUJcI+`&w3VK zQdO~kmvYlh&Svw%xr~%Lt1N7>Nqvt9w0v#KR4EZjTwLnvlQL>hvJta}UJ@TA4cXZNx!xc(yslS>l zy`B~JEnlpDs}6g{pyqiseo;(cW3i5GsEjsEC1x+@Qa`QADVDqG_4DSHp?4e@@d5t> zETmb~DuK#tr5A1y_R@#Ix}*O*emTUnKU^`z@1UMVr>XxF`lrs|Wt*q|2WTj};Na({ zK7EXQNig3z?%`p9L5&E=bdp>a!rTFU=?DT{lm#*PranYQ2p`|dG=s=*U1UZp{AW-@ zID&K3)`k2$3z3d$C2W?VZ>PFR;XZMp*!8+E2&jfGpwn|^BP0F4l~2@&U8OqUA$k+z zfWP(-i75?cs^f7>qlNUxCsYh(^uYUV{v`g3p0o-f_~-JrHhY_Mp$aC}4v7utl=y!< z%n#3eu~|9goJcL@-S4CbdK@5SR!&)3Pn%_yJNFEOKL7^`J`A^(5d=-?{5K3)YSTpN~wg(ba&yi7)Cc!yvC?+{vkU2-1 z`kh?uDDSpaamIVkdrCx-XpF2P04#x}aquUTSvQ+Y}S_)JPxWQ8WJOW};li;o0GkuSza>k7mUIs3xaf2UR z>q=>1@h+ImZfM@G!rCuVq}i(%O|pb}i)8-OoNw{HOU#pCQv>o}w`}7;T6~pr%9vjq zM@`W2vf!i5+_&ZH&|?`_c;F7HTU;M!f$H%`HO(xotxN1HL$T1q9Lvy;A;Gwj9=d2z zR@ExdvUxj4wPa7fdPV)-*EbUJv?{l0K=08Kqu*By!Hed_Su`1t6nLm#%0Q~J#rLce zYHbuGieJdCi7HRI>Z-4=*j`ey#JT7DufOKu6I1s?qua#@k_w;QhILj94fJ+0++lDr4Ts*BwUra%v$|0|6j8cwr9F zw3@XkaaktApN0g;npV-IN$fgS-sT<6K!Rd^8)gl}=1Y4<=Yg^7!tPPuVDCrv>r|li zMLOM~`j*h@p)=ffV7LNU3hoK^(O;5P`Ra)~H_~Oj?vYZ~K`tI&#k%o(7}UwS1mB4x z`KVxxM7OdNs2K6VKek5~DJD<8dX7`zZxTqNR_!U`PoQbZn`zn6IDyn&Z){o*BK!%o zmIgnU_7&l^--M`z=X7v7MH82HbG|hQBPIpvSzn1E_Z?OtqI^TiiYS@8gW<8S^D=#{i&$Yyu`V%Vxrc}1Fl9beg+#bhy=Q8NN*Lu^fC3JKp{!s5O%#y@DL&BlrcpUX`=Sk zeMQkxOuUrOzSq+Tq14Pi(dBn7I>P*%T{k>e=f=^f;B;5!lz|^(D0-S@DBC+AE*^fr zDCj9>yZFi#@b?4k4M$#O!CQMy+6Dd2oG_nu{5m6j&D0I-ajH$Xic#D|j^Lo>}7@<-2i~8p7;A3Vs?BrP*wLj22Vx?qq9<&B|g61@~H^%Sc>% zlgxgkh}6Hk4uiE`GyARhwAK@Gt1zkK;m+FKTYhlk@TRliD`!q0k7s6#Z0aBb#=qlq zHk5zR#$9CD<|*RboO_=9s2=c!H>O!e;IqMW(&8m&qa|T#@~Y(AGL2+qmDdC{3lt5* zn|HKV{LoB!Zp!0%!9Nt1z3h7A(z&|ZzOfpGmnai_gy)MVpIhX>gKk(Y#AND8L^NwRJ1pSY9(B#V z0v))OzZEOi7gUYY#EH(+kEit1L_$7j8*9UacigVh`ze~^R@o%Q?KfJS32rF}v|l~% z7nlggm&k-H9v|@$SAA1%uQM`LbfJZC;#AG*=xMDFZ9Qn&N4!UY;_y?Z-XWvxp>5X` zp+%`RfUs(jg*I3mHp&VXRP=c%Q8I>3boy1LvLkRRtk1~<`+ioo^g{$DS?Tqy9pYkG zuYQ_NxSiM6etEn^y{N}Qj8oH>lgk`GSEFnCfC!r>daHD(?J*Ho< zK=5KJM)FoZQB+b;pfpbonHrJR#T2`|>R|Iur0Xr&3lmc|V?bmbrgnC0Jm49r7yVea z&TZlixByb;Ddm8sy_QG{nwryuE7v+V@SR4#o3J?&_dpEsZ9OI4AEA0K5gdG?Rq5(e zBA2jZ_t@q6g)1fusl8%xr7 z`|SJL$|Bm59L%z(2{W-|GFrgdm>;(fhniK<|Az9Y<`*mPPFh&Q#IdiOp~M)ys3p$( zlk&DLtG*cQNv#@v%=;T?cm0c zf}rv$h7epBM2M?rpQi{vq|(~L)Wg+;c98*U>ns#=QNb2W@BTvIMG+@E*!SYa<30QU-O`m$b4PGwo2wRgV*Y_d>56f z*ZLD!?rRUUaj-(`&7PiLrK*!(hSXO0MZSi&)nOhSF0QNeG^#kaz23^NY!ONI+J{+* zl%Kvue!q28dy0{5vl=KytG5BDB%-x>dV%q|jfz{;>rHvqu6XeqNS&c_HL=t(yDhgD zP2o)C^Z0kX&*aYg-fUy#FTVZI$}80|ZTBRu8gt6EhCxti0I-?MchX2;dS#t28qWcN z{^sjlw@E`DxlXN9>XM6z34&-HOGv68-GeWB z=5;f|_^A`~Xyfulqem_^8yVPgReyYI_DyKJ*mSw5f{1-SXn1LC!r^jdpYtsb@_&p- zz75U}3)C2PeVsQwvlU7AIJX-kD*a=DuwLnbwiZR&tkzp4ub$5ExthAmIpA7K|8?+? z;Xwnyk9oA8Ii6LS0OkA8S*T(6S*xlYbcbu#dW@qp z_ULEawds=fCqjZlTuTsV8ka1gXEy@Hj8Z=a^GbR+@qC`;Zlm_uRGN=wOnRms%8tto!Tj&$hk}NTrA&ty4 z7<_c$NtL4dZBQ{0+NsRj=ZE zUpC6MV0${`aQ^?!CvRKxEt6m>o7JRa!5c&kkH|iBxX;8TKXs0)7{%s2S2fGc#WT2KzpHu-OS0v{+q zC~?g8b392bVBJqs&hAvbbQPqlEf*0Y2pQ>6a*RqbD$O2iO`mGmw_7Y$X5V|Y;j zQ?vKs7pRx{;Csy4w`De@0yZ4Ah5;a5kP4n2(>E<62kh1>Ur-cSAevsJZvY%1@%tFj z1eyNk>TCVY>?8K72V-wOrA?u~oqE^?vjdnK5ZiX+*aV=7_5!@>^>g@Gv=EeTO3@~Re?@JVJRujtr1_zAN5F8jvBp4w9F z8s&zY+nDE^yy;U!z{iOBb`$cnzXi*1?eYb{U#3Lv#U>|W*H$tR#0Ai_+@KfZtgdnY_>_zPR=T~$jUtD9ogU{m z&{i%VDIoMo-53cBEU|bolOXu5-X8=|1w0(uW_zASc>?2tv`=qz^k05@x?q@@fD=%b z3BBGP=-Ux)9gVR43XicfR40j#Rrf4is-#@uJPR!lN6p|_CiQ&K4_c=ii_;wX1wf7O zIY5E9(BmDGTC|ZX4kwaT?>8z7f-cD2`u(uY&PjVQj0igV(a@`IsQ&)6`IT$k_zvT_ zd@RVG`F=X6c~UTdA3jh-`N*tT`w}|E@qlnYUvCr9rN$;7bu4vBY>P-jc6){08NC_F z?7Ztd8PR$)zSji%_*qtAtt%W0W;SXglp;TdknfDumu1i{Lx~X^IkjiFO#%SK>-Adh zS5Nq#hM$*RS`=(Q#^ug1G(8NZo2}_w&8UW2!$z4@>R~u|Be%wbf4`Z31S=e9$MOsf z^Tq&;t=Buqi+)UryXGDjE!5q5XE!%GD}*Aa$H)-1?<>vTdm6v?Rm-VH3 zYSe=w9?vS`1dH-3Ko?DQiu5A1#2nPyLKv3?fnvS;2;yZEO~E7X(Pmy~eg~ULOI4o^ zD-Ny>)OZMTRP;x3le|VnwWwB=$LaiLt#rDd^JX0(A@!?rg=*udT-(LEUE7K!>3pY0 z$GY7-*B~9^0OtyL?0QV8$F)u@gWxOt{LyBgvF|1^RMKzpsb++A!g*(32MMy%hp;!& z%LEMU0!9ih16V<=#U0<0=4W8Tv4f!^|TjofResH^p&3 zw92>bMY4>8C9(vq7luLz8gN;YD$${;UlBD;xG&a6+jwFW;!k77JR?COGyX&)Uo5W$)b-fckC(pkwey$_iNY1uc_lV>}>CquYV{q zuEGUw)DyC+CxdD{`m=2{rHtv|YdaS$>THohceuqHpS4_q-Iaq1`R}Z{i*(tu9O9$E z5n~%A7w@_viXEZ{Rkt&5x^a zT2i)2vp*%^y!sqxc?3g?5Ft&5{j@9?rb?E820fH0!n$o1s_5GqEO5|#TOP+|-m?c} z$X}zi?GpO@I$QWb<9q8~hyCVEi$ICE$V>%P^=~DyqH80+=0|;aTPjzKpkaA9XGq7c zOUwTNQ$QJQdi*^eb0+rT_-yB%pWAq#1sUjc@jcigeB0{@Q^cu!tL#E~8Xe|~UG`@C zAom-y3xwz(Yz4m7A&-yS7J;Y%YbXb+=r=UWf{HW`^^P&DeFf7e7bsgF-@kN;?!%ee zxc!Iti~>q2e=+WC%sDnc`wjLE5a$u%oc1dx^RenGeIl+ge{uTc`rz8lIO0q)m=gvZ z*?pLA9tnG$wMi2EhJNZEBG6~mlCl}|a5Q~G->Yt3W3RDLd&}!ykw0LE zQY`yn(NK7#7y}-c$}iHg=$LN0?Ex`fCKyXTL~!bRk3a4#I-aApL*JB{;~R57ecNbo z0xh*c*29nY=d@+Q?u^YyK`mgKP8swF(8#yOk^g9TxH|{2X+YfZAjEY^`RC~5L#x0c z8?lIB=W5|TGDR(ZWyjQ3?kM&Qc~vpn`F70aIHk{hI?lBx7j5OIo;g8UTi>s6EsmWZqO1GAVUY>p!ya z3Vr13d0g-WBp(G<1|B6|S~*0}ZIi>ibtv^>jJ$+bPM6Y7!L%@kseimG8+<+0X_@_n zcXr^@;6LCrR$T3~QVal5tKm(A8Cn|0B*^(ZGJ z^bj7_aSv8DN{pA>G>c_jDpYSVd%barAAG^YJTQ1uvUS+2u&nMD0Hd!VAX%T9;O>|$ z4YG3-*Q;t|i}*t8G({RemczxeRl88KFWzf3djl~hrgOD7D8eq<&>9|I1bjiXMt-w) zHPDDJxlN^BD#;UWbHDU0q3;W$I5j3?F(EMD^pBB2N;{mevrfWdcF&wZhf* zPeM(LaKNyCO^SM4KfiRvP5YHw2u9oquu|vO6vHx`e-m0^dx(1u+s-F(^32nN?|_)1 ztH;lbii*^JIyuCKx(?iy&vU0TmC+OW&3*E8S%9y~ol?^RmgzsaBUFIT zK4nU>#^{4mc_Kpb&v7-`8Q*7+SOc0g~t^vO(%q>|1^7=__obptNO@;)-w@9e+N;7n{Vk9Li7A;eTD;dBcP>Tykf-8R4q&&8>?G<5D&P469)7cvub zkB`?OfZdgI4dp)ey@hiCv17M#b$1lkN+SI?vqi>2Kh-4uabIJd4A3vaz5j_4!eXK6nbV0Q3F5RktF zP9@0-edlHTdU;dRF+xW?kCFM_0QWCHe2Md0ZRdsd>dpT+e8PeUW5GUMuM=yrel`6{>9Jod|st(cYYZ`Nori1$H)m_BDG6XBP39|93&EOyE8< zrsZvHJ49&x`(koDa;4JDaEvw z-^j1m=G-;tU8aWfYs9aFX+Ud#y~MBeL}6$^{raPU5M1McX?3C%!`>EFp@8a?Y>gFD z4bXL6czM-$bC~6zY8m}ol}QvzDFohlC$Agk-o0^qZ&xW$>I(MOEfI3mWM&iD-4LbJ z8hQcSpK=zQa#99&Wzo~3S}}v!~HB=YkCdZu2xJz0@fl3(O;On#78fUG$(U z2r-}s6y3sOT}1t;VSDZ{B_q zM~n&Y<2gAZV|fOl8(X!IL(w=?r0p}FGD>s2&ylxXqUP21d$UXU@Z{)dl zwve2yGS*m|{%|Yj8YcClTJfSiH7C)g!9YH{h+@-pgAxG9URwzD$=W6-sjs?UBlu&U z7?_gLo=icPPxgU2(NeuJ!Rz&quaiK3VhZw z2|m^K5hM7MI{%@u-ylQCsTU!KQLfV$S{0hVG(YNFLu*Vs*tn0==a=cpK@1+@%|Fi_ zM(;7UmQE@)|4k`KR&&bkbX@ZI|4LXgbM@@2ndzVMN(S1RhJllrmyJs*+5!RJPu#rg zc*M-t*3Qvb9ZZZOX{GK(;x1Uy#MOm|YoWKe`0Bs*H*(jB@524}mj%IWCBbZB#q4mOVRmKn+&w8Lhv|s#+PI|N z(bS5GtIk_n;UJw4HCDEt>#S=(vLb}(kC#S`=G3eU1l=lFzSeDH?%Fsl?#1`sba@~5 zqb>0jw=?M)I?YIH!%@0$KAoaN_L7#m1z`E}f6N509-(G2H>zJCc5Q*j4RUH#B|_C9 zuT&@ISSf;~X`wtx-@Q=B#RM%u+H%fuE ze}?ZOU+OeXMjv4`=ia_rVcA@8+umlr=&*$vELznjkD;&K@F1Kt$0J1j!Ofiyovgk^ z4X~#odoh-GzX;da1KDm1EI+NW$q;Z>+D7e&>sC8d`Yz%-EmGs*q?rxt%-BoJ?+785 z*VKB8@O#=z8sNdz*SlcEdoNzDIv-JoJFlHa;A+wg%00wvf&2v&2u)?IQx=HfF*ZQu zl#2|kw8)3A1ArrWB3UBfa?Ae#A}Jw@fckQ+N;MP{AXy{O(7R5Dip)scp`Jzrrpo*@hjK-so+KVJYi_72EPGs z-fd}ue7zVb?FK(|ix1(*4yeZP8MP>o^auv2kajpq1U3;>7AReX2caputLIq?ve*T9 zmaef&)MjSP2?ELCuG*Y(`wVUY_2gBky$4w|BD;kZQ*qIic7zfF?#VzCtsMZUWrB~o zo=%-JAVQqmA$G;q=84qY+UAZU-VPVepXr_OK|L&H$9ugpM%FR7tWt=?`klp=6?_yq zUAm`RFdu3JR;mALO6%#LMplJdiH7>Itq#8#dlPJ+abXwT`eNz1rIwaq%TWm~*3{|} z3VIA7vq4tQISHjy1>=?2)Pp0vrg30H$@cNI>jG;N94%AgeWR5*v!Ujo*sXM zjI@=$vzL6|phvdno@4m9)xk2Y>%j4mvxxMpoPY-|XRBU(L6v#ZMk6;*_jOC7v-*X@ zVmZ2F@kq3Umi9e4r#pHE*dF`*`0rZ}v>|hQHSqqv@FgtZ@00s%=*3`D(BY|&e+!2T zD%}G1@)$iYSw0s-!+^m93Vt`V4h3cLy9;c)T-N9p7yRmGhR9N7xdSwKIen4vCu96~ z#$A7U83Y(}dn>I47HippYkf5Em3;OUvMH|6poZ65%&f|&e+on2&EbMD`EkFLsE&UC^F zwav7rF3;N9j>+~D+03aBx~&{na}f<6d{Y!cB&}j6wf2rSlV@U0P;^xN=Y=l}t@{ih zKxsGIW!G(^yyah3;JcXkI@$@efvrb+HM~FlwbCbA)l}g|`)d-1sP{bhutQF(Vxk6u zTa7A51YD6L=|&Gu{d(%we5wCj$c-P+KD{TCq!t3D|D^4x|37Y;=$~VsQ>Z|%(E^jby7(Xes-|9ls6niR@N1OIIB}W zl<#^_;$>|v*6VuE(%3Ty;Nb1!i}GGBV#Pbm7_rzUI!9F^NwO7p$L$!tf9_!&mgl|Pcq z7wZ1mn*uH^<1g-F*4sYl-dh{{$rX?Y*{b$|=ainfK|LC)4=lhUl#W{5LKydY9tSef zx%IWvFb7M^+nDQ;`o~w2gCQBrplLn#*-P z!cqF|Yjd7rGikBF1DaMR0veBaC$}#Zk^{U^pBNI({$JWvv_lm%Nk|jOAb0DBI)ck0LL@W0K zaA3dnq9a2~7Leta6YbwbFIj}jC2M1`u`B`Ey+-$Gjhhnuy|S-d(VhB{L_fvlCUN{= zUUb9p+?&1S6^gH91;4+pbFwDQ_iV5m`*4wRg{(rvnJ+lI0fdJI#!)&crB8p-29?6{O&D!Jo1|H|1xS@$!4 zK)_lBP}QIhT~svLdEYSQZ{kzD^4!aPRSiqNjnH(SeOu( z0u$!7iq4Te2a0lPY%LP$0we!HJ6C-5$m)hE;JYS`@2OI%K)?tlVRmfu0IWnbmu&8=V~>z4s}yJ~Kahbc zP79o-?!lVA9Zu(>kTyl5R|cnR7i`is-EG=yZ1xstGD316${SID^4Ch3&Sh#l8I)Lh?#S9u-UUQ|#gvH1~ z>P|(FhDz6~k1&4&^m78pcm)lv^>>=d0cE0f-Wzk-R)e4#ejQN{C{dguUMDtT%ZNkF!V2Z6Dsj!pV5w%# zw!5l%rs*f)x?daVJ-3|#gv`J~hZal*`1MSd4?kr@yA>u;>00LKX=?-aO_l`3A@ZP# z!B;DW+&H@`-t=tE*nRk|3U`5-w(ADRS{K@d;=DZ9oNL2wcx=); z5fz{V534;_qA`Wl$J8)IbNcA9K_@t$q!!IP%=dK@J@sJw6BPd$ z`PbTf;s-T2&`&^#i=H8<=Kblrs$TXDua8VSO5=p4Iom8l(Z_FThAvaW>&?CU#u8(M zPxvbWow5oS^o4dRA}bj~>@WoateD~_dZyX|5$L9;5rjCO-FVAhA~}j|qIU%1ca|0d zokeXl@-Jx$W*aB#jOU-==^{TliQK4hcn}*V(&N9GIfcKmr)U0*go=8Fb+*POH>IV& z(R9fSd5Nir%dzr5kdV(TCo5UT##c&yIfA>Sq@FKjExZ(L`u=N=u8j+bmZcw?+ks#4 z|AANjdnM%vL&IWz^d}8(95O|io?<+T9-I*;T(Ej^yC(hFtEjPlG2$a_S3HR9S{|z_ zzOa?Pk*#0WN`n#kTw;uuNr*5|_+HBxU7&M7j^!hmW?1Qg#LF+!px?e|9`>yU+CHNt z-*EkXF5?zsI%Q3w0x)>)73OtV3h<4I)Oy-ew>nhMlCw_KzoKr7RSyQ8W8h}A3*+f`qw&&PL34l zCU{j#JE#khMBUU|x&BgAdE4qlcYpasluP3>LXjckrEBu%rz|0uR)!AM=##%yoFSSL;drTn?9W2c8f)BPTrMNPBX$9*(>LU*et; z&Ld1N6`JRw;j>M$mrKFW_d`bI32?kW-Ebwun5+lWLw+*~YNSKN)y2rhC7! zg|~0^BgReZFh$%W5~okfW*&-31RruVylUg9NqC#3PH?&t)RyF|c8i7=Pjp$0xN{&S zq9SLzh22$vv{U(Jw>Np??`kDT@kev&1mq8`UYc{-cs2HkS0t4S(UIVya-$7W@Sb?9 zDu?p(yftH~&2mo@jmBKWy$Erf(q{UD3c+fD{{fJ)v|NWUlble%tcmvNsS8tERZXX9 zvL;k@P3vvRG@WIZc=Pkdxn*S*s(fGPv9vg!Nb?-q-;9@x{^xA*w;`}g3u74kj0O$8 zH9fZSM&|Xuse50DV*rOIQ1OVs$7(x$#ui}b^4!@r45|rm>_e>S{H!}_Jv%Jirs4G4 zGIniT1q^tW%5V1O$)PT^A-iSZj@;d-`$5r1bQ_Vx*a39=t4ddN9pQff5S&klkd$BU zkK$htd%5b7&aza!1N(5Ee(V058{0hj${+o`>cHH7TG%{4?4y0GQRhOaLv-s|u+>kC zSa|=~n7dkzTHv*e%`BKb61A+n>OR$Nrv_8GziZv&pS-7K;%)MLy&~YKnp7IOOH)?J zx<5O$?A($1*=s@5945zfFi*JNNfF>J=NJ0Dp+IbK%~Sd@SER&6eic3DVxq&64V+Sv zi?JB%BY$>fZlvgQQ-F&TN_zr>h$4~-x0)I5TVA22%t~014j~(ew8zkcpO>F=lZk0M z0v|mS6cMK>UVY@IWdRlTA=rTUr$0dLQ}_ai!M2%BO+t~M9Ts~VL&P)Q1;xhH8=+G# z)&y7F=gQKx-DO_FDVJFo0x1<2rf^h+)p1N%2EmVc zsTXn@J(ts2Jna6=wQaLv$5@Sr_4Zpa_FH|?ahTtZmN&^c{v6EF^{_S-^64>pbCet# zb_9PO5WSfeU?I9!8@y>dnyK3F$rrv+pT~?a&9WY7WV)36z)u*aP{mBnYVWu9X&|u2 zPQH-?kG~t7wO*+WfT$|CP&mr)SXEmlMhtXIEH&Se%6Jl$W-F7-c7~q;Z9IZMCEpG8 zAX5Z111IB7|_YudX-)05%sZ{5EFJrr_HhJ=V#Z?K8}-Lro;s zVRxM>u&I|XI^x{g7%^aYJ;Ngu0OJ+u8M4~ciBITCx&#N6$mn8d=#oOulXIu8&u12v z+rO?NeL<(6pq~P>ybj|hUM@L`9)X~aLn55d@1kZRHyRk5P#I(i({P51awS<`Z}$bU zc^rbYx@hJjFCg(6?mJ6TlVv&j%Klja-HcbCR>GM;G?*K1EJ*dL!y7|sy47ttbZRX( zMy67ZNWQ<3g0yU+XbU&%(D-VFPqk)B@oqv)eXZv&$&^~-bERT46;+mj_@?F|$x&;~vr0hbTNU!%W?%{m{~gz8#h?w(ENqT;8TMOb)-lDok#6iawEVP~vKj-_aR=q5fEP zW@e`QwN7}2v*1m)Lo0C)l{H^BwmX*FzT!Ys0$}DQF0lp|B=GanR$X znS<+&#E^IlypCi8f#9N9EaV=ZgV!lU*rJRV4%qrr}tYO(JO!zn1W;NYUCqkvbzs4&JBz z5AeD6BkmvNa{~6S2LTCtmVR;Ub@5lOXLHoGi|V{z#)0pC4EHiiR`QCxmG`f|eyQKJ zB{d1AJ)aOfdBoBQs#*(0cWV4~g5UjkML^|{U!8}?=DllvhGj-!mo-1!XVr9Ox7}T& zxiTYz(z9&lzk^!3FG%Gc%@V`!P%*bLEwtDAt#vJ?m&c?_JsKy_sa>a9Z5U({6a3hsNU7zRWiLvSP0 zvNm%klvG2<+~aZJeCItzoBAq7>+FEbp%*nyS?kiVOP>F1_%73ygh@(Gllqm(LGv=S z`rg3Qa_{!fyVT3$;PX0DGs`k^m^^a`+)Q$(VdiW1!-Pfom(F^eop_7|C z?Wjwa%&7uHu+$_Zs)|pDb&b?{0L9>mM7eIOyeg=mM_RS9XJ;J_Dq+Evv#txK6@{>& z?UgKdPQBEkznpE9X5>ChoPVp;HR6s(`33!Yg};_sb^S|S? zNz2+s^a%ET;EkgyA&nbqI@_yPx*kO`?qlv=F?*h=QEmx1b?oVxDz< z+dFbFwdaYDZ|{o0R6eI)6~L3dE`10z&GSAGpd#14I^VTp@IA}D9j@ds{q*$oyv)HQ zk-N-6Ak_4=WLl;*=24dQKvVQdg;Z;mZ~vwkFSfAV&qGz}hP(=4jN-?S3FDb;g{pm$ zNRZTej`^&0U2?0P!=9?}BgPVV$N5-z^_<_ftrKzgTnO4#R6*)2OO60wWin*funNsZ zw5yp@`r5%P#av5J>=M?#qBYoEiRwoif~JuH1f%CGh4|_{IkkgvygS0}EFzOr5KUc27!7r_)~&7v@KyIg_@X(F_(_xV zZ}GxD-^CZ!_%oCY%RZH>8gJ4itLJpWP{yHP1n_R416=S8mmMnUjfH7MJ!-9cw$WbS zI7&LaatRC~t3%}6@zRcB#vfOaPdta+GZ6ga`39bP51w#qE;K*x_+x$KIwiO|-;<#> znI}^7o}RA2U(!>3GORWd%VTX?XI$!jXAZw6XHVQBr`%uKgWVc2GU#Xs~&*!hG0c4z~$(Vf(SdFo~$4v(b7SIi% zcRYh?rkpZiA0j-nKrGC3|7f})Ykdf-*iM$MWl4SGq_{5_oQ zKipZ#REz6OR)^_M-lyxIrhbtncQ0DeVu8#=cOpd7+3&jXDIJ7#YyBN86%Bi=yUH)l zX?SOH9)7sUUZ<(GjmgNMLkhHJ^G)d|9uH>!y)XR%6 z$1lnm3_LHes0%ssK?gC!M~{^aGmuCKw(qx&jtaFt`c}CK=s%S^;-)l=EEFNauGa=d zNCg>{H^;NQ_?fpGnilP$|9K}jr~XqP`X4~2{;2<|Y#w8Va1z`&k!wOT;0v=J%HuQj zL_&5kXFPmwCT!&N%Sq}y?8;rq63X(gwNBI8UawDkd<*fP0e1GW+Nn8K9d62ben&Sm zg#*by+Ow2c@Q}e%qL}!P7}jTg#6E(H{24F3jiv(9`<>QGr*AxT)4HgpfnK|j#A43rD;wqXYM^RG6z{HEhQ^wiAjmYlaiT_PieM( zfBvs|$LITn`#!JpIu3m+Au*FWjX`vIT(n}mY2aWE*J?d>4<;k3BPr;?%$tmfYKdvL-d?>zk9_5szV1;ZFqU()ndcqp&rmeSFHO$n>1mA@|f*99xCE z*Gxt zeXHCz_4dg*`=ZJee#FrIc&nf>6_;3)fat8^pYQJC)u&8)xz_r) z7ar1^$_=y9fVvLs=U*L4I^T9>C1wP-Z~w`Y-5eZs%RVucoZqC)NV#%+47F35TokHE z+=Voq!Y*oS`gKl!yyXLXg%62g2{0=0MFwE0$=m3-TOKgbP8>RSn+%i5a7h*9sX~_( z8_FR8I5kv(xy7!y$#n25nxcPVF>DI43i2(;XOfmZulT1qFJ`z*IuyZmUOdJAkh-&G zK$Y#_N}JUE14P}eEEKW_3edWbBo1+9kP*V#bj=C54_mpk%Pcp!Ei%6!2sdi|m|>yy z5g+-~yf1eO5z@>S+qpj*IWCmGQ_mI_@dRn9GQf^{vpKfTKg;L}zU{X`xu!jUAA1(Fy8iQlBgmJK z87=Wa9;&RsC=>Kz_U@uWK7E#8erC@$%qU6fm_e~oZ*btP{{dq3+geiThWM$t7SkTN zhD7}Qxrd|$hF<0L)okk9*bwq2YT}e{{BDQZl5lH9X>a{|aoc9$D+=Mi5SUyFN{faSZ;;c!F+CCx?1Z z#|=n!VxvH;0A$Cx^A8n#-(2D#j#eOKaT1f`i^%oono15kw>GR$0JKh*v^GxzC*1LQ zm*4W8huSU)9-tK}-hnVF-MlXjEr)v|vW>@&xI1)g@66V23c0ULx!z&gUmzR;jMn3k zPs*bRqbJFe7n<$6I#xSD43ntVx~8y8W&LNTG{a%P33WpJ;g=6?Z9zxmFJ8*@>noD` z;BRf?g${SXEjqA5ya)5v)7;dJ3r?kkba_OjIQ) zQnzhRem@e!ta0!Fg{VPw3brUZ$eUm(##xUxcaF;uY%7w~@+l;ot>E|+qDs*P(CB_T zt0*WeS;slKAWB#kkP)h|T~xr81e#p&6mEh={cG4C4)Y$UQ1lJ(RfC;I@kES@8uTaL z1wQ_P59o*-maB^EZDf>l0w`OGoajiaKF1$XRlY%J8&OW6xD8Tps7epaK`EoT&I4C+ z6p`2?%p$-TIyPC9`nnk>O0o-7CESO(K+n8ExDE_lZuL6#MD*@_3O=T0yD*j&J)}0! zdBa`m87boFE5?n{hu*by-q$L7y6x8qJ#Aq?ZIRCbv+Eu)ikR^VAHxi~@nIK3<(dA0 z#zQME>YIx*kyc+ax*2bk;A)y*wX`yr*rebSJ%TFtYm1=Y7M$6 zm%v#RW58R)f`gqau5R6fd-E4^DBh7SuS|=783C|Enjsu9Mzxo9-zU3?H zU}!(bAnsw55w81G`?e@NFgYLTC?Pa+!?p5->i|$CN0ye7Bchv*SPMlmrnor6D}hmI zk=EK(3&&xPJea=?Z23oyPN3w;)D*^ct=2&hZusOwn!?T;+tO<4tVWAM#TI1HaoAs0rJE%vMh9YlvqT7z!@{pD+3_ zAn)RBeM-8%g2snMfSc(KP;+aSGM#{@JNXURFB*6eH$UyR(sEeDOVis+;!Q4!vem(6 z(GFTd(pX3%MS*kT%!ci>BKeczqWd~utNz_Z1GnL{hn`&7C>CtEcgtY1JeRa!Lo+VV z*rv!vgmWc;!~hX6ROl?c6Y4QWUT0|Zriz>!!Snuh|JGl88=}_oAu5ODI`KWT<7W1v z>fl$Ht@IVko{xljX3n$uA|xAaVkbUdXW!9H_?Az#{E5V*5YvKWz9!d6YfcofEH9|( z3Ap5uUBcwTaeBI`xNcfnL*X$kMRzH}O}XpO&+KE&jP|G+A)9wDq(!1F9wi51+s0 z_Sc3Y5E>m%H+Z51Q;h^C4zy0>IqLb~1hlf$BKS4zVo#Ga2-_c69t^6NXS?mnO;Lal zn(hHg7o&C=0@&_{O*{4p6FQ#J?gyxucuG((>C8%&JpvA_B)|e!Oaq*Y5R+~nh|{k( z`64|p5NrP8)aA0Xqi&{ng+Df-+!JoocMM75ipa;Mj5uGC$!`B`KkI`aSa|n^e!~t; zgu&ok=1w(x(piw|)Klm$^#;9k+@LQ>SvJ z9zVKp0Nh-;Ui}x`y*Mm}T`o;^M(BfPs;}K}sz-@(Y*QWn%9C5%U7*60svL-{AxI_# zo<`eN{3|RfahjvY6;RV0x%Q&7U5s9hs?ioljc0)I9?7)rVHi6gPNul%5a1LFj(QjP z@0vbHlX#3#NS!y$p6#67+#1OHZWVTbW{L<`S?^dr76z$<3;ll^lmcvgzj72&j-IJa z390p6WKCoQQ)Y4DsHSC(W~lvm!AbFyolb#h58zRLChO)W?BT*s)H6(*Dr^Ey4P*1_ z0pb^{|B5$pf{dxJ<1XKBUn`*fIkq`unUi=V=^<93Bkul-glZjxzJ|f#4Ta=6kN*KA z(Dd4Y4tzg3JBq(R@WolEm;f0c7T43}Dh{h1$Js|*3^wi51glt6-&BB0u;-4hj(h>V zYR8`N0WVrU#tCcBf&J`8InL?GdxgI9kwT5_-Tn8$wl1BvwrV3Be}ujwI`8dQn1JR70I5UC5s|C(1@GPBjl)n`v=pkdVpdbJ}+3|FoRm_HH_ec@hbc507WWYz?z^IjVNOfH<^ zD4l)ix7Dr4v44%Fe}NW(0~t`wiqBLPx8$J}_4h}*wlQF-m%b}!AW{!D7Ie0TRu7+& zbI_|6Tl@yGplkDFg3$$zd_`Fg<88B^q90(ZBYS%=PebDQ&mN0<1fnz)ZJQ)rgr1G* zn%1X=Cv|+9p4i*&4-vp)mmS`rWWDfDJocEn2LS0Q5&DzILuuB>b+H?;*o}Q;|D@=7 z*MI%!dd48DJ2bEvMY>_3C|3h+R3FYC26uG2dBe2q+$wH~|Ft1#kf7ZPL6KB3B#?zj zpc*x&2l=v)+md7lV=8~3ilXHw2uvDw$s0^Im33@v5AV>W{M}3O>avCkEFap{3}4}@ z8}O0Cm#2KeMZ&Rxz?>*h4ZqMGz|ncd_<6>G^7mC$RYh(|jRih+9udFf2NNvn!H#Ac zK86a9M}gLPQ?`AP$_l8y?fi%%Lvj)v^6E)iV$3Gmq^EM*mw?zuK6#3SCwE2Vq1Um9iG^OjonQeO2Y{q}B{pHcq3Fd5~s~WYh)UbK@UNv znzE^`Wi|*gl#3o0J?rqhW6|S>F9w`s9U&w!kWQaMFm9tJsvQhqdPDqXbv%nOpothn zK(fowNbuQ9hv(KbX=RH-(`=D_^6W+Vt>pggt7Qc&`;%I4wQRTRcInrsl?ejk%!3N~ z_Vgb+i^B77U+N#7i{4HlB?e0aX3p5|etLtWN(=jb7*7y0oOAdvualb~pxN z%!4pfg=aMF@)s5_(Nk0|wS7@UaqkUl1`u}1<6NwhuZgDJm$s1I&iJo8-PZ<6=QpZk z_YvP3sdJaO)|@*;>?pIE^p*Vne}GsSe81k?bw3m6C_;hKy?OaD&d`3J8tIi*-1Nq} zbEGS)Rq+#kbBqQpnR*596qOy)5^TylTA46kuq7?Gth(=ZA6bt0)#H3z;l_z6B@IUB zrSmA0S?`aqJ^(ISs!UJn3cM^4&1_qLVq0H;u3Rc6pG*DYj@7uA1OwW~KO95-fvsqBFSy(AYai?K z5Ydik;2To@kSgU{LuHs5t@8`8YuUgx6%UNq%T*CtJjW2Bo&v|&?wVnW+X zy;|Ns0t6=*#Z5uhex!)wbQ)0T&mzu%srDljgH`TrAs^1A&tgFxkXHfaQ~I z--W2S@ULvA;j1ZhYazQdn4;XLM+L@57mw3zoGEb}u+dT}4w<{}y0-YaWP0wDK5irL zbN46=-ibS1B@$T4|BzWtH_`0XC!P5pK`Yd<2Z=sqFkb41>_5d6vyoXJ_n1}|i;!3teIRVhdvBYj%cTF58}rIRGx88JO0 zBBO+$%FxbFyz>|EuXXSi0pGZ__!9NF{Qf0^GR8O~?uvYbZ94hHv!mQs?eAK&es{Wl z;>w!*=p^Ifwfr%<$5K#`ID;V&#Pr`N}m z9kdCjxYoTwk$!D=Q>}7Hbv_3HzIEQ=w4dZau)YTFv^E=Z zp0j)3an0_Uht3~(|=rmcIPPjC>=Xc8Fnm@356WLB{6%K^=mrJ>rGpDY8 zshYK+NXoRA<*+?o<@jQSX`lUQGIstbgMUH2DCRFs9q7}tqIxb)7N5=B{K`os{P1FY zN9lfJ`%YndUgen5S~vagK~Dt*9)nE;!CT2;=f$&(3KVy4N4kk;Bb9|Oa)(cj$@1X* zN&}6HtXlMe^EmN_;8KuAsykC>wR_*sBGqDks7*GINk|}1O zQ0repI+}PJN+C+fTGRYroE{Kdd#es=huWV8XO--k9&wo_`Cr#8CuGkxzvlqYoK|dh!G! zLyBO^j7@5wn~n1a+}R<6oiYDT9Po5ORj9%y;x43!B3*e*{8t@A#p)vGz|ed1{xkna zyycDDW9| z%v_Ka2-K3)e)_AKUl;khA0Bx$@ABu`$g=v`BTmy3=`1k?8HK9&r4-`xo3cu8PMqV# z9$hg1r{crrxHjJ?A+c4YvKL&LSPN{wqwxdWH~!#X0Ji_F0uxjRz_L6 z)*0&qy|OW}l71rU4;wLS{vk)<>>-r9AX6d!?kx4@ z2Mc_Hfl$|Ueo?1Kl@Omb!^c{SRLe9fG$sc0z5UfaA5%?=*v8=mI>4>Ezh?}GMSmaG2;9PX4B=`Pz0bDlXOR2j`8tqTn4 z0ig7A65aPBwfy+48I!;q1l0BFHS0oi;aTWg*md?50UTwQJLW2AoRlYl9r9St15)e4l+7|ZS@|>jA1S*!;^fO0D8lQ%p zq0S%US?(bOg!0muN0#Q~=Xrg0v?b~JsFZk_uFbY7@zZ$zC`3dtA+h78ZyUVvYzQ

    ;$f!FvO3QLVzm0Sb}pJ;&N)(GtDQV)uVPHQ-tubGKbUI~^C z^r%bU5lCYLK5LG!6vpXCC*5=aH=PTQ;e|;4Heb=tHUhEd*Dpe34vT>gPf)_WoS|y7vRwpdB#vV4U%QImM^yk=f<6K0`{*Z&f)|eYIx&e9?x9rZa}xaW6@(o7^0hS|whw5CDrOIemX51b<2u4bF@I{?RuDI^BR~({~L|yg*kp;sW zVblHky&OwyTs5H=jg&crh5byTSDd%=JNad4bKf8BG2T5YxT+6)*PDQYTdw(Xoj+{GsNbsu@Hl6k*=Ve9g5MwEW%-O9Qn{{s*eLvo2s%}2h)t5F^0tCTZd zxjJhGLk3_hV_ff1@V3?0_$fe^Ud3w7EBy7wOZ1UmC5%P_f3=j1v~|cSylp3b4fTdF zo5H*uq=2A@S2j}8fl~j9eFHvHyR_+O=~1bwk8zSC9wA2!oBAeo98u;(tsP?W7dbC; z1;uVBP(Pq|?kJ~Wa|wq8ryhb7DnlT**{%jx-jinC#Rharh&@{Ws+kbaFe~M9^tI{n z+7$zS1tJOq`FGE#KVwgHo?AW?B_eyVX&v21@p$rjq3j`X#ryKT0im(jMHO$QyVv<$ zhTx|4&rTiJ7B{chreeR+y4R)h%wSj@S=|U}VAnqz2T?Eumt4oDPqv8KNwTUN@+sfce-8|BYi#qGWAGVwM@q+b|js9GshKNj9)yNgko; zPQg~5x0IdA2ntez&brPenM(%|hL2OjuoZqT%}Cu5H;&^_L)Bn`8!OmPTx_QvT5BLv zbf0SbRvhbEMb(txpOCu+sj!~EQ-~o$Ee;3gjzkU1FL6D(8gWt7*EYWOs9txglEP8b z?l|tlzaIX`OntT`z2V8M`I)sWIGZwWhq0`&m~een6FjCN)=^7-3I^T1W3~uZpa`u@ zDF|RT2xM2os31OO2MNKc?$NCOA(F{nX+JP21w{yq;LqW(0Uh+@p3Cw_C`@fl=+n4s zsY9oW-Rlo5?@RdVO465|VX-^o0V!;WuuldrCvw@YWr=+6_@BkuFx)yg_ATC=BG!4TMKe^`NdQ!La^etu;bUIgM7V}n zol$RQYuQEdBJQav&EU)Kp=08QoHpoBAO?#i4V67thJ`W*dbM2piUMliDDQI=t~p#N zw>t>B)09g+99xl(KfO&eZSZMVO6-v5gNW)Si1|~0Cf)_8gqr|7=ZTd!tyH2yWF@@3 zzHP@W9VNz?ib1yX?;i7OZ#(q;D2wuw9W47Pm;VZV-ZZIHOxt&DQPBhdq-!t1%^DUh zmKKM*G;j&!f*gOew6tKB@!J&Gb}l|p`EjO^BhRX}qJN4D04RHpX7Cij^rGK`p3M)6 z|0{Ij0?=U1f(B@jA0f+bq1^BqMH{5%#mesp%44NKBX&*CaF!|5;@7r6{HT1nI znZQ35$0(wr@UK@$TlvTL5drLT9`v!c3lHWW26qpr5w+FZ*v7}_*Ifg$sZx4^>iiDl zn4x2*1B;Yp@+MLTDw;tiY-6(xUM?P+uVe7nZxfsBr}8eO=o%tp{;^6*0aXm8+(ma5*N!L7oLdL4t!36r5lbC{hO=(*9h) zBhuYd9lV}p7vyZvq*+szX+adZ`0%IR6epSUHP>!(KSZBSvQMqIt6uHdOaoA37~Y@fh9$M*uIL zb}mkhXYu>=Imd@L`C65c+*hgNnNT%U5!1v3bi2d8WP%!*Zds`0prx{)$WHft{T}qt ze3P`;FthAk}GB14=0 z2cYoKB^~(;Run85c8NNv!H~K9FUx$FucB89wgwN7@d8wR*fgN)D?C+fY*?<6gAe%- zr?mz#rU3bd!6Q!6sja)+n;g3dLId>GWfX)11V2$1#i_ifEPgg_4@LMn@dsge=w z{xu^E_)@(sg*WMrN9eRV!k`|rt6?ywYH2%1|3JLOBT_3MMUb|lND>K9%D&9Cw)#Cf zBrqg1#1<%xs~30>b!+Kw|G=N+BGbmru+l|7xFVo8sis^gEhx{%-#*YnG_I$lF;J70 zgpu{CC5$AFM>`YzGTZyjAH{X?+R_nX`=FPVq$?};*U`J$U&gYDfzcgdFT&|0UVx1QI0&mbN5iyqNFmC77#sGAL;WfH@s!Qp^u&ZZ=;L5KZC#dLA8%Uuz|Ka znGx?Zf5C>_-7Z|z4dhXP&r>%$aZxM3U?hKfOT}rJU>#Jbs99&NQ{p|1_hR9KfUM{B z?_rxGVA&P5mB~u@-_1cu!&T6-rggc&ge_t_-8V%O$;>@%PM%Iqyjr}|O1}jI&cPk{ z6LW0gFTFfKMPawy%xUMxFSh8>11s}-tNlTBMG)fV$e>T3N12E%RqX2h%6>DkMV`kB z^UaTteX@W}9#*P76_3=QUN~Kqf}alMgp}(&@9+Q+1JKV}R}_EgB;Sr-R0?l}|Mx1t z3A|x(kt5hxz;`^oBaR5|pkg-F2yNT0Z62#wbEkSZf2=u0939 z&r37QK~OMUH~i@&i5n^m=shIf9R75HLBV6>Pbm7|Gqekp)pI;zdKt|15gUon#v~yLF&uL>Uxj-D5U>Aua-l=hc z*oAj`aC}$@y0Nh+$OH6*kw@d2Ezy{1yl~jqhusdW)~WL@lKQgR7NiaFK+9)L`fX}7 zdLJE+nRIB%E>{fI-|p+g>yA*A&A}9b>{*jn`IkC2n*(ClX1BjGb;NX(xVrUDVX-Dr{?i1Z#hyRebu`M^qR(&$S{p9J+Sl&f*tsMU zTcNJt+Cxt4=3wbxFD3ZuNzTRAz*md&_6UHW_l4FJgO%D(Cpv?{v6HTE^o$1?blv7o z0N>vt(G*gEKtI^9&w9{04n_VCaE$!Qp#7=Ow+}2^0pmiM?iy2f+M?q9+0&~+lXfus zbC%^XD4mX(q7u}fHJo!bjtD{%EK7Y_xz=41vCqtC@|IT-YkFR-y7M4|j%Mu@li($9 zhpmF%ZoAo24*Du-^rpAl%@ybol-9-Rj*r;%;5wI!ezA!)(t%24{G6%RwxYXe1^mHK z_hrylTe?eO9aN3j(ajZ%f3WfU2j8LWdP~A-YMtrS*Qd8NNGni>60c|~)Y+O`e-}@} zxuj|vYg7qU2ihmfHmQLz0i6oPKm6y$EAAxcXcMubpsA4qjMIk1joc!6#pNdKD*-Q> zb`0`G?6E}@NEFDv5kB+DaOvQ<5Y)g<*i98A_4sDsk;2>P_M9l_p`8&Jm{9*ANk1c? zMjSw^9#3uy+pzB<=p*|(^F9COf>vJ)VpmMWQ`}tdJ9Zu&fxG!un&s3n32V*YtE*Na zd@hS`DRU<4N7y7H_9H{74t9z7+0eA$XtMN1ZIh>?R&ZRCH68eYBw<)-g))cAWFXg+ z3vOe_^StGqqPqkX_D_0$3`GJ8%&4g$pJM4Q@yR8fi(__2V}!(55BP;0fqB8Ed#Gyu3+2aTjGX4zDwq{7Uq(h)rk9L-2%2z?`P z67^S!CjLfU257UR)*7klnbVKQ$M`BrG|yG!#POSQ22|hRQ}#Y z?IT}bZeD2E=1E%al)WUyQ6i&Tc$x`tParx961N|;ecy3=!02I{r*Wogr)8@5M`mo^ zKfiB9-Y&%nsCh2L_Uu1dy1H;H@LIiSN^+fhuYmKa*pPcT`f8c4ukb$K8Z6P`G_}lk z-|#@pkzZ7BZpOzRGnp}pG#UD>Kf<5`x5J>br;2g)P9CgKrkMWKx6r#0M@nytaJ5{r8HaJYFu0+Z*aYx}sh zG8Jco-YLg@kX7(ilfZ5_``ciu$;wqktMa93g0mv#2%P}j`eyDxlDQpnmh^3^IG@kRudIF%Y2$byY$4j=<>sr4 z{ltDQ<*uk}iHkvN_nHLK0+-O`%>>`+}sefdOK*lnSTbUk33( z(p`L;#y;#<$5uLmtt=YVKCT`ACe{2_-lz+*?8y00!}h_;(<7Cq`iKqgUugCZJ+|{d zV3JRN?3Pt!+^e&$`n&nyd85C`28b6K%niP{`7$ltg#)=HVU%%tf)9ioj_8ZD2L(w* z9_Fh5N6TcV+kRUn+!?{cym4-FlQfnrdDcf_DfN<|)7utf?Edi-y!&nJij!w%uI=yE z1+Z+JN<1?L?2%t_H|&1^qmo);_R`^FKJVdSI;;AAnS1;PhZ-K&YgqOH=Nyp~2c4hQ z6B{>>CIZtzz9i2YH_Xi2@f;Kv_qx~I+4V_@ zz@4-j0a?*Ygx)()_*AheO28w2eB(&jp|rJplGYTX0X{rBSB}c;QMm(yK)cP`7}re2 zd5j8et~~s?_(2c?M)u6P2c;LXJPD}JBA=bWOJ*byd2|lBTc;<&s7)RaD#MxS!S;lU zf6nKm;P`XX?UpG6+B4D|9gKZ-B|MF|Av(VR=k=mA<`uxco00AXTu&yA{&r%g9W&+G z){Pd2Xg~cCum;h~U|ePbl$lD!t)9~y^(vm47|chOcG$QlYQ}(&OmFwPb?yE_U1)jw zMaYb%@m(jb?6-m~KlZahe@Bbe4y&2+^FxtQ%Pq@o-c^a9U}n-ZGAD_dRFu`Lif(tT zj5)v*=0X|eiH+#p?ik?nzjcq0X`eEX+uEe{3&$~=UlIo?CdoW0j+#`t`6-iJA=O`@ z3PaviZ*~e}abM^e4YqGdzbaCS^cBTcqaiWATkml~yWUJardwh`$|(l1TZ>J#uXyy8 z7GojyH31v;q2T{ChyZx0o*KJzba zvF-X`7E7RO*>pAoojYpFLJkJvxXuytN3Rmr1BGwdq#b3<{D))Z;TmbY6unuKwxq3s zoVHxHKl-uO?57K*qM)ZP+imd_J6L!ZUM7WZ_bN)Be`ck3FkPBJLM3t)xXb@mE%0LZ z#O_Ghh~nlxlGp>hqm}40P3%c@v;~hTAv?T*xMJ`smn~nKT0ZT^VLt4(Rr`Se6R+~6 zIcI)wPq}{qJwiReo)mlXGZ7oSrQZ5OZ8W+}R>?ldNa`V);B0Ga999 zSt?PsM(id2)XtjI=f!15CZM1!DUp03d-3rlxRhnqR!_r2Cdn26X`L^`^De(AfBm21 z{V*~X`F4bHrY`@S&Og8O$`jQWzU`pq=Ho&p&-~+iy1CUFYghMAW3Q1`Cx$w;9eAU{}D zk!Dmp2T#xn6p*NL#7lR)N8 z4#_zW0$e13T??f7PYP4(f$p?{-`ylolEO{-WA6Gt2XlTw&mklmMIOCq9&=eku++O1 zB9$OCymbH5`(=Yv(Bog-spzy>#ZJ05OH=?4A+$bZRPOXGv6E-E^E;zz!+i{1#EkFU zmZD0!9|x6^wLA$P*1vji{M}(adgs((xV33+PZEug7W{#YpdXTVvk9cf@l&9IDGYdA zSX0S@IYgk8il1f!cecT0+5%#mK?lglP}dVvW+UELL}P<>T(y zH7no=J%S~cwyfTI_EVoPk}RMR{{MQCOliJEmmjy-9sq-qZ*j6b^RQ}f8MX*}u@zsk zfpHbHI})X_ktYRzlbp|7m|uv;RGb93oMlTL(mCkA-xN{$>jv+kzm!0K%=s3{qQsj& z(uSKeKi0h4knlVGyG2E3#sKIUSFJ5^e*}G6swBXpT*m47K2fLxGnm#|#~);|&RGI} zx~^xxsooQDePJ;|R|)_2$Fa&+#7T}e=d?5QZp9V9;t)p0m1jA)uqFU%ig3S5g$ z?ETe`U=b3#;%gn!0&Z9FKHEOlZww$CR5~%;{bhc85XzI z1?vo@dz;78B`E=*NA@r!0h`^odHJwq z^u`5QkQWmsPZGwTF9qnUQYn=N@fmC^(c^fxeB7e_+ zzxuh#tN#I9#p?z1_A!(P8xeoDd(K%X%CcQvS#yDr#`(WnLJ;8w%05|-k{a>-txjhd#T0JSm9B??>&ZDo^kK%<&;Y(!E3UFN@xO5K(ZS-{y$1 zni0+HNxk{5Cc54CY(}X0VEe>9c;|kwA3#VFko}tH4nv4_`pZ{_<-$>eh_Ebx9Zfhb zm@Hg&IwCq01@452YL4XEA#LqeJw*cZm|b0w`iuZ9OXMrgJhxy+*Hge9BYBtF48jT- z$k6bXf8K0^6#O^D|1z1TJtQGjI{3buqE7}5B!h_Pa&`iPtOF1%;8Ojz+-k zre!1YSMn#BZng|(DXJB(7mG0sf~Xq8s(2Xog{!<#Nw#`FQ!hwtVr|gBsA%Vf; z0&!t#^T<`>;o2gT>quT73_LXgL=g_bZmaj zFo{c|nd09~fz{^Mj*It1ry}<#+}IP7t>T;sD)c{oOdYsgAe;g%s944v zMV!nl$jOz-!3Y0v9T=9qQ-hAuc~7&HFEH)9=0c$RfC@`$W}?MJOj^#!O{!5P_fg=# zHCt`U!VtPbWZJXbt{hF^R^3^;EG}F~^(*Lx7zDSQZK`QIu>#5I6XLqu^phU7#g$OV<)0-Rn|a zgUL)i@y9-E#IC=6&TRb1B9G^IY1fMao+XO1?K^Gf4;1S=ZA>6~b2O8*OSE*GNTr+8 z?dz2}V*9Yd-zGQiWQ@o(N~)Ap0CS)B?%mr_X4 z?RZ?u%q&)@)LybaO!e0kgjzOszq#$#-LYp@+VU^f5-Kq&l%`bd+_zvg>1@ssNv=E&PToW?DP_B3yuZxun~n zDuyB|a!R4!^6qRpMqqL^GFV4Vpo+eIjJgTeaor1{aUXy)4K-p<3gfy<9HAc0hDmGE zrgtVmf^6_7f_Wfxp|GN;z~qOYcy~d^MeLM#uI8*zMU(B&Obh&#&mQJ5vaPkouW}z# zDarziz1Yt0E=$ppH;#J*P}JxQPJ7K{m~$&vicRePMY_E zY}cBK`KKs7$K11So6mfgY<`wN78Z1DdZT0;`k-jeB-U%Zg_WfLo(gFFZ>w-T9~YCd zK8Zb0^6U0DNqn#|sIL78^aeuo>l4ro9XKZ5)FA|uXm^&}>d~B|=(_vCum9?0js?mY zwH53D4uQn8bp4Bbo0RGLWVx-rrb99U6+MFoE(O7w2)D}2J`AeH4|JVDDL?T4N_}nd z+W^!FES?G=McZx{Tml!SGt(e|mQDIFcB`;Nl$^U=Ku>7|W{Jr($ThJI9~)-4`367B zX=q2~c~;c@55P^}oVqiK+Q?@KriPMLaq0lR5gJf`YGWzwy~OFXot&)8@3c7*Cb#M{ z(wQ$7n*TUW34Wy&{f4W}EAz8|McSYmRq!I#$xTjnLF^7pe3&krT9=dAIck3T@ClI= z@f)fgvzMm*_mo8!V0vHtqN$+z+9B2q5)>9QsZ? zf57wZMi_oH+H(ssX1TtWE3Hz6Y9Sv|c1L$$69OlK{wn^(0#GLASn5KTcNktqGVz*u z(Fke}lX(yxvxBE`D=_W`@m=FVjkQ*`p@{ zZhtGo)%Pu2^nLR^BJ3UW`pwmW4pFpxL@xPstlJY?I%Z!RZ?bO)ZCEs7WEAzzyiX0r zMeK+ij@xSHjy*t`|FaO4tH4an9f6;Lc8c6MQ~m{hX0~=qzW?>aB-Yi9S2io)*n%+a zmU7VGhM+EU9EYPyNl5xprwz(n7a%VTx?km`H_$&vk}AU#YI8vnZ6%OIDW}HWoazsg z6_{UDi5Cm#F%^;}%s`j$cfsc}O*nwOv3eu$)lxt7TX+K5yEYr34+68E>7FHqgLZ)xDWVgMg-^0a|4p|B1ASslh-`NPfxMxpNO5VuG{g1%${oE52g z+$KtH^N2^ zy{+N`LIy7aN)1Lnadk;5;k~G@r+h~*d@$4!=1bsv8n>@G)nfLk2=ZECm)Ku zycU-imGwSq#IEcWq6tdy`=dSe-F21qV+>-YN<@_6EMVrhvA#^Kj?mmmEXX;)qxoOy zS0t}zJ~aK4Si2#=5-|kNRJpswCEQ@<1|WH8RV^aENUtIC>Bf0XX`nSI_G0yt!%4P? zIkVG6GyG~wZQq<~Yn&XhAO7M2&(TP}vLvxUH!Rrm21-W=5$GT;b{k`|B|pdUATJ29 zfd4ABlX|FCx-!KAs?e}@=1$x39Extd_#1d72OhX+kUZ=N5|=GBUCLx3{BvdcYIhK_ zQ^>xXQ%d|zB@_UrtuDopgrAc29PtE7!b|h73cGDEP2N9JL|XotZPO4B+V$I^s?_iQ zGVDI8nX>kIqT#hs0ZID+`4HanIV3dF`L0e~o$yfeX}d^2sJw8;JXQ{u9w3Kd)&f93(~ra-6OIT~Hw3+IZCPq2u-T-#`ctwVSs4_!|=!9>Lf z_Uz`!xH0E&s=Pdsb4L*P-YG1B;|Znsw|x?St_cuAD&&A(@_&-+{q=hW}sxF zYJ!jEZH_RuJG19Z9HAVsKK9AZ_^V^iJpw#}Jl2P7ka*j<8us}AC^{E^Cg1;$55r7k z&Sx`+X0jya6wNVbn`2HzrpTF%N^00bl=Jz}oae0NxKvs~3prZ&?W@$rV|AV`Z6B!(@3xkT zKxEWLnG0k#O17Zy;l7svI;?vV2ZGTc5etcv{`YLf#v!_+Rq_qaK}KQ0Yt7FO9CIVW z{p+0;PT)80pHZ%xmaq0zHG{hDW~@1&%MF85U-DcFv^=adnb4X_5&oEko3KIU(LzHg zxt>kd9%a{pBhEj4SJtn48xvaj`35(N6fmP$zo>6EM(wy!xiOP{qnJ|=J_44D86QHu zKYJ2@;FIw_%43uL&5=C$;ZdbLH@{2u!24Dxe)iGP1ws%#tLa}BKHN9bE)w~dNA%`y zm-VGv)GOQeb+YxARxR~s>>^9fC>U#KwT`FvtAF9KJq`a4&{qpZm)H1Me$Q8H*fFNk zJDL2BgU>^V=})&C7vR&EC8zPyVU59JAt$zL$)OTar}0y(L-Bh)WxUWA{PXZm^9&om z9A)w#zHvUVq9s?IZ$t{o6dnIO17Od2VC2Yx8In2FkHVgVVu1Mwb_J zYaJ}3?hyfso0o4(3=C3F3!?VCuUy#K(g@^23$Ww%&ZEp#4Mjf>2p;tm@YY`j>#PV* z0jz@b+CvEnz_N=UNo;foArE)K=r~=izKWk%e9=f%@YZey!H@51IrYfm;i~KhSe#(% z)Iq;)gWmnN?TiM)(G5IDXqZ<%ad90A3c-w>=l2zaZCBe@zjM}-C1xn1E_G3V=-z!_ z#x{2RDLLP1{oA})8C*PpbjAP7TyoJJI3d^+ByV2GxIec!qH76@Xsq*Z-$&%gxTj-m zvMD0G6FrE68RCv8iOGC&kKZo0iKTB7AW&cvNnnGxyw8JeSX^WzSbrzC#hpnjveRw_ z9lW+Ya2gqQp?_uO0jMIaV8$kd(L)OB^Yq$*cdFQW^!fSaL=leiuba6rO znr<$2uAM6I_hRd*mInqk3C36Df~%80DohzWbo?UV1M&=Kh|ve2Br3xBz=Fw_ zqk>EW=I`qb(tU4y3NYyU9(wb_LMwWAuI~{K$DuLGH6*{?He%SBnrhXM&-n0>7II8z z6|y-G^z|DF=M;N}_Rr5;cch+vnbAz=5XVAo)8HVoDoovt)4@AdwxaJ&>E13Q_K(@k zgEmBsN!OHF|3*@<^=Z%PAt$fT{7f_eSHVuvfJT1(0!&d2v5!F>w>>Drfex3oG@hzW z*(}|By6=b73-@p05M=Og_z$nh(>otRKMG8)lWqd%4dz?OLfRsM@|$U0J;@o4Iznxw zW@-<@&z9YmKo7H}`rM~O*kWB1X)SI|z(vLeTh;GLqjt2wmg*5a{j8r%iKixb&d*$q z26I?Zbf=NqcWc?A7!&mEFk)!?Y!A5FW&=X3$hpowJLMP8qa#*6>?ZUDZcL3%&eEI? zS<&6Yc1?24vAj25e|!?&QVNhr)Oo^`G(I@n`*ToX<}XG0%xTpC6c^XWJA#Pvxj`Xj zV?#YJMq(Q9nKpBs0NHSH;_Y@7=I=~ER^hno7Z}AkrhMinGO6_5kj1aG@TDd@z>E0b zmL+^5T4~s%+_b>gitNc5Skw}JRYbUDflM8f3_a(3jZ-uao!>JX?HcQvnC6Q#cEuSr zPDk!gZ=8m*{HGtR-@jxz@{n#6M%cdn)vY)LD3&;_cZ;5p7V+YPdbZK}(?VBQE8^>X zpW1cB7YcsbjFT}F#N)6zcWFmq{^=oahWmN5TTi3>U*s@6d%O%-) z&V@O^pkb6xuw~!MZyrQ(bA+2Fm0W;DqtpbX+(qKexWyj|<>Yxtipw>^x$AbM%sl$s z{Q%~%)k4W^kRWf6tunyl7Oxw%=veiC0B%sevY(fd98BU~8QW$jc9$5M->K*}+T}76 zAX5KNYNr{m{4}5QVv$vC-9x847JzNh!s5MEOfG4cbr<&uQi54avNs=6=B{b^1S z!ORJ7&^!Kh^v~rtRB%}1@zYz42K#=1aJYSIHt|+i%3Er`lU0>FmFdKH?elNvK$Wv6 z=p$1cBVC9-ya>h7%hlU#iS#km9?&_BiANBWRcmek-WDWuK$hfM%z$+q6X2w^{U!pG zI(+r<3~tBogqhC@%(T$!^S)6A^Cz+o^6=B2c8inJ@FmAun2-CCaXu zuw*VZ&&`;gFC52@G=0>V*a3x@Hn`%4LwKUX&M1*L`fZu%5{U(>)3-MP>WuZm&eTYh zmZwr^+~ML&L}I9G$<%SI8eK~6k_;E(=EBpH7a z8gLNZLg!C>^23G<3TTiAEfqz0+aNxtna_Bq)1%daDz*{K1NiKJfLyZ4ifx(`p0E4B z>_`7qT1d1%tg3?%m8klFlH#}8JNT0^D?AgI^B_$b_1vNI<5F;k;WLlsH<`183H8_X zvCn*1{F~>NxWlzmfLDLJyLa_C1?t*zr_zA}DLci(7@g0TC;u|yFZ?M>4cDLrLlT$) zhty6>&1^A(TIqY-*?^%>512)kUQRU#Aouz+t*uVqeZK7N8t$mFlZ^u|+a-qi=>Eg% zy|atQIqn^?`gf3YPFc8$@>bJ9DCAMBmOQi100RWreqrcIrWLNOu}t2Z7b|`%2>!xG zcW=B%wi)I6#Bf;KNheAy-~upH{?#^}nvA-w{@r`T#bU@Vxh-?P{;fM!G6jV0jG*6) zUlBefZC#Sbvfa+iv3(GS_&M74Mm?NaZ0LzNM=vYPF)&x2;`^#wP`^4BWnT?Be)q+y z80#I(zzZsDS1}V&_f&m#s`4y7MfJ)%P4OIv`s*(PVL!H>)ANOW^k1Bhn`ZIsV?GN) zrFk?9Vl?tsWkbY1)I9&lKr|Vjye)+nGCxMPFJ~zh=IplTbH%&8W#~RU>}VEF1akF5 z_kMmS2>_(`Fy2C&;pWD$A)=-H(X3L#1n>Q#x1M-*xDL7lvgf$#Jyr^h$f&sEky^QPcOld z)yY~B$lAAtXp}g&TXQ#7$U@T;0-C};&EM{*s$PHDPJ4iLB@5DM&o;Be?$P_}3tNzw z9iGpK5$<$6Gr0~z!^dj{XhVAzp#I7n#Aj9i-8aG}oZ=P&x z2Kd0w30F#xyXoP}A2srnE3h%Oz>Vp8k5RL~Kn&$=iM+a3Og?kl;-d1hbE;Hj}c-sD}#!5E*1GF4g;gkH^tc+2y!H zP9zU{IjQ#W;9ZjYc%t%^)XsewYt-PB5ar#k~l&}f19Ks6uYK#%`zgBAR+`bm{r^2;m zc!TfJmR8nII_$pY5BjY%-62erdWWIv&V4INYEtfp+pgYT+Ge9TyV)!=&SkhlPuSF^ z-GAp^am(6Gdv@;fR`~OL9%)cBHY+Yp?nK}CsbGGZFwD6D^k^(yJ0gQ5qc-&V0Wt@c zJjDewZsLk8M#3sbo}YIow@?3&_2RX1+Tuf|EDld+#yQ1ylK!lkifHq_C0rcoE=HA6 zXFi21F*fQ}E&N;vF32@K{+yG~#+S79zL}tV8Xh?uwb_qFGdKnR`baJmKhFP`eh9z5 z`KmS~Q9E4YrS1FTGY*kwTd}U%!$}vXti`+n>my@sxP@LTKBnT>CsvUYl4x2JdWRWR zXc@Sof8!BLwo7A>Q`~wP{G5N_O^A{jW+VP*^85$NI|cMRhs)t-843QGiI=gI%hx!p zjk5Z;9v_*}T4Pq8m^Juxye+4JU8XU$%jT`Yy`%Rg^#*6wms&|?G;}@xxybk7q)@%x zF}`zqSuJv0WcRbV)Dr8J=l=JNvO@IGz-G5Nrpg7u963RrrRya73Rc*Q?I&Sr$MkgH zuNU6wv(aDVkfAfSCB8ADQ2HdlYtEWS&%pz(g76Zj%$jNSmpC)N`r#Gh{{Y(Q)WN75 zJLx;k&bO*%Bd1VU(!ct?YMrOa+9w-d-Sv=jVr^$!gOz(*w!epAYAd<>9zg!(x__rh zNDtGm&p`P7OQpjP?{a+uE0F9n{{y)E^E4IlVLN*Cb=Ev2M_t`YLaGvCu-ABI{2eFC z#LpA}y&TC*bd+8AraY9`&8^-`0PC!q2Q68 zAfE@T1BbjqDb$0f)gJ}uvbrvjM4kJ<-iwgf`1JlOVvcvYPbJvqZZUgz7cm$X;i~fiPw*u1V`4 z+2jG1!QpkeG+!0NonFM}My~K_>#{q|1SI;HhRbo9P8dK??V1T=VQpD*_}1#Do-HJe|bF0NIJ0Bzf76GGQ^Zw zm^RA!gX88jJJx-FFhRZu+t5sf$seJ_RRB(7fQUjgjcN0n6e?RplpUG7`%o;;)5Dwg zKswH>#L0|&p?fL=etg-;$7zoI+HE9XjZhwSXOLczXsx%YdCzm=Bjmm!vFS z&>2D&&J?3f{!Tb@%1tW1;OB)l@NM=2_5C&*5;*Yjj<-;#)r1WdMLk?aE&s+Ws|U!? zdrzxg#t7xn!d@kWf2M)m!b2M(O#eydhco(Hm{LCS&4p40}Q?a}L>~iS7K}p^J+`ok%O{=JA zj%J0z-oMZhk8==G^{c;13r&Zb54JjlbeEaIyk-80x;V$udD~}=?mj9( z$Sk^=MWOaUH!5+dM#aD@5dIl+(||sc)9`;2Ejcd6el}93nfYrB|CmiVezCTrc{la*wbCpEZw57US-UHEJ7xrB`+5IpmD? zKb4C&>vTKEYs1-Asy7=5I?H0d?@~fPUM}-Lw^s@bq|Nr~V&#O}=~KyP-*g%8$zW3M z%D19zrBpA`W`Md}PM5_h-+AXpeakELUWcBauU?ZstU=#3<3@mgbip=;D4>cunK-e9 zpM(D}d4S}HVXTmlWcl^atTRmUKRCCUM z5g$JYG8-DC%SFrR;nz;;H~XaJsSRoH{B?=z{B(AOtM>)80mAPJo9EUskUVrvGBuvQ z8NPJ})LR^5%JXXAc0>}%Ml>^3%E&-d{UEnN5dgIGx}-xHm3hDE&;)ACr5wms`^TN9 ztNV+pXcjI6?O&_`sp}P-f4y=|$)ny4=r4H1wIMhk+Yhq`MZBYhyyVCGZtSj_LWU#( zD^ZW(5t0Hb^Mcefz$Olht&OduSN)lvK52CG@+q4$Wd*g9)|HLXf8Mm;W@i_nW(6;c z;bibBx5X+0ni0bS^B6>=@V_^s@1|m}eZaFke1dBcf4V_u zL_1$7M0tMu^Zy8}TScJpb-7#Wd^h7XUVZGyIu4lfi{*5zmOhng{@bTX3M4x!@nqp(mM7Wu!fcRADDNsxeL2L<0lXfF13%l!GwA9$?r0x9XP+kIsY?07opdwI|f{h~q*nnLyv!X+m3@+MCzVwaOR6D%A@I};u6B^I$<&O6ie!OTx1+fN$V z7d;84d`od2rkfuBZ!RFyD7xlb^*PcqE)r+BzXs-ij_ZEox*_K$C6p74U&95`5QPok zfM;z}#cZWBV;e2Hoj2S2qr~15g!6v>T*es|B<9Qsotn)~ghifhDqYqejYw-2!SGB+ z;v)E)v|Ur8b1L#of~L3BeEuge9!eaB7q0F*#iGwd3!8pz9pj&R0#l*D8wZObPs^}E zin@OO(w|0LqUywYd=c0E^@l^r=q!{kS(4HzfnZPkNe&h&dUO9t<@%^rxY5fG$DaLs z5BTR<{;$CD1F0^jg_PxK1j+x}*>o&l9V~saGE+!X8eu++aj4VA5iVdUo`4 zG1$8h!tcqcVN0}Q-gGoh)>hQ9^`F?S)znRZM#%z8>L!8j_C{NR^!k!T7dha?T`dw6 z|NaVQhDWSN(qn@d4Zr)v2`nTOYO7#gqLPkgHenSt?L^r{cjK4 z#&yaaUe)ZT*fhRNJ57(H1Vd z2K8&60{(ftRmvx_3B$&91wF;Pd?fieRL@D;+faLB@!pEd9|X_)@AA4H}M>8F!=`2r`5Ichj*lh?$H{zdukcf z2V!0L%Jt+3S~bT53h+Fim=h{5Y!@58_?1%H&8R+EL0$dj9SH}>bhc55p-D0v<=8_z zcEmTQEG+jy5;MgO)j+W z_1$XsojNK|B%6D~O0Gr*^F#wG3~@_yIhmI1KGRGIezwsfg*K?YFn3lhE0Xl zj3AlFTN;+bwCqPr6}D(hIY8mBQFsB*OMMSZB@nM|iDk}!HL@-1;6i~meF>k(x^S}C zS7op%{Sn4JM^VaC9@2yppRKbtXh0NjU)V06P|k}wjteIQ!;{YJ(Cge; z7aleoY&S-7iq->-TE--P&$F$be1%<{6&QEBJ4Er|Jr72U$v5>PA^wXUB zkrI~nk)=X@ntX#pgJ@VPOD>^_op2uUZOaq)PG(U8`m)NgD$Ye*kdyL$qA5}hy>9;m zIGo#pLO310$x5q$=qp%^?3v7UGf_xRGa8`lq0jGK163@tcn#)d;eOdkRxJ5Dot zH~Ss)_DnV{<=pWtT>Tq@0e7J3V$Y994I~KB8zgFX3Bjd$Myc)bBwKa zB?Pq2njSEMH-oPU{Ymt4D7{^O>;zt+X18tib+LOa<5+l-RGQOq?bm~LdS8`Lwo;HF zb~{B+ydbHLjF<-tzK3TPXojwqyvF@+l@vK4AlME z;Xov(!_uTIwW<4_cW}2LbCd*X_~3U{NvVc17}%jfkQ71^WkAHcc_`E_~zZ*=X(iqzJmNvHHX*g`99hl4&y#RE&PT0RGob zeqQ=9d;%b9E+z`tPOldhhN$dxYdky5=T1BGoOw4h*Ws3C4xNEau>Mm2>$uk7xHG)R z>tnCR^wGKE@US18RUvnDrd&&3uihwH1<%*uA6B}ohlu@PwI^sxccz@@L~BLO)Xy`I z&uUGF36ZuaxTarOj_PRPeZQawgV}fXN@{A)ww68JE#J{ME)UQx29Q_y?N3@`Q;N4! zN>^h}3H+id;MYuAISa5bGvvs{7qo(E0M$}1DdF}`zj*Kf8){Y`Bu$q*O^>bM=$Nuw zDN6v!lSF-YBPWP3WVcqc1bvM19S1rj&JG#Wpe)Zjs#$tNxeIiMG zmNctmZT+jLenEP=CYVH$no$VOBwXX7*lc1c+_7d zIq5x?Vm0Gpu6Ld(3^@mu*(D}#iO*#o&7C0Ibe}!dJM@ljP|)W$tNsCsY@ujiNF%Coh*L~HjH&>JTku5Wv3<5!>VS_Oqwh0g zaovvZ4w#8F&n9&UY}+M2jaz)@)YTSCP-9r3BZyZrn!ZN=lyB97GE zaZvqZ{vN_%zFr17^^-^`zzG90z`z1s(nK8^@0l20<^i>vjjX^zrEqtgfhYKS-b@8N zpZRn9h4G)B1%X#>!9Tx{E;%=$R0L{0G#Zq`DDsM*f~KHyP_A3O70Jb_1i3(gsdsKH zc^DftcM7X5l$R8)MeQAms+iruL<%!ah!ToWn`W=#W0!vA`_(P zBBzx@ZeGb!)s0x*w>*RniL46#2bk$kwhM*|bgOxn&zvk*7kI=n8IQhER56h5Cse+h z^(_Nk_CFTiG*vF(kvT;xE+yit5>T%<&RHgf$Z_7sT(( zr3>*-pL!SlSf$SG<}(0W!Ha9??$5hnSM${<4kX(XX9_(31EhI7*5Z)uobMvIzB4al zr&dH9vPuu{dJccIrxZia`naU;U^DckzW=7qmCCOzoe!$=Z#}i1lU?I6i&MKM`FJ#> zN#E&T-Yu1cB-+2S7nX{P%-hA*XvwFO(But%T2-^#lm>3lmPy!+)y?fj4~IlO!9hR&C#T7_jjl4S-pCz z5?e4~i93aier+*E{A6pj2jgtF5)I_kH?<8tY$+_NvA=QsMv-mh zdVh8_?RH`L-J_gPt9+e!7sAcNdsS0z(rim;v3*qkE6`4r9XYr8XY zpISN7@7>ZTYd5+ZcoEDWY_yns2Jv<7is(Q(Bg#X#dO?HL*4WU9q}LwY;`zwh0Y?0i zl2*}!nMtVGD)a*-GFt5DSv<5T(i#qN`^`)P-oein92rGn zreKXPgr&!*!sbdc|2ACYryD^3R{Mli4;j4L=&TA6(YHPl4ld&vM!8=ofW>))e>2pK zIsF@5@-Tq*yodCi*8;GRA0MJG&B1#2FgKvd?di%UEGPAiF$Jeb9oDeKA`bzYo|GlX z{kY@IquN!^mBdN>2de8d%WvWoeLtJX$kgaV8zJa-9bIQCUVCNM43oktVxnnJvxP9V z1=w!p=8{EKkjOMZw2}Mwh0RNNFtOo=7kF^QuO;&ZXncZ(MR2E(tzK_cA5Ax^-k*$u z26;_Mtqo+1YMAVMJ=Gye!58a=? zSY9~2c}=h1Q#BWK6knYCm!oE+D7!2$!V=gV-)L*?g;A5wE;!Z?Avidx$f63x0HdYR5Vtkb@&&jYozO~bmaWR4T08oh$QCE|h zi4~CCSa!|A?hsWQnz3j7j^&&7-c@?92!-wkE}KN51YK>wmnkvl;n`-{3vZcxcRRh$k&EOI zUNwd;6Olm3ohANFzErt2@`RP2Z@zt~WLKSjH9+`7Rm=PtAi|%!B~*%e z$I)?ZM$Edk{73js1xH5as@v|k#Jv!aBD)rg`}6to0*k-bKwd-N>}3Zt%q2qj^)p{d zKmycRD>KNw>0M3|NtX8m2Y}1*8k-bmE2dyS`j`6iU){>UH;OI{?sn;Uhd)Q1Ul%!+ z5$B;VgZ_@cvT%}Z(Iz4kd`MN7LfBa20#K@des85il)~q(lG*a#AHkDABV2BKofjIe zqrsQwToiC9zrS3uIihV-vY2d*ee;@_N)AKiQ#ow4=S}aQ2Lt4~xk-p_Vf}T;~=H!t}h!>j+i_3H=Gho;{S@T_8R=a+XzKAL2x2#e={_D zID4fp59v>#qK)GT%C&D^o8*L9wCZ%}g^1cjjNC7;R0SC2D16zx-CeI?83#1vrh&{DB;#ViY6EDBzwA)9{`0A z5+`VRf<43?MX9v{0%EgX*CBGxieJ;^tmeAzUvZ-sMEFPG$9_2NQTdU$}cJoI;&}}h!05A^3Hg&}R z73WX!-Rl)N`C`e+GcW($y(eChV@f#Nbaa5EwrK50{*^#YY_g7cn3$C{GRA#3CBDxr zl68qDUYIJ`+aO7+MsTZ%SjH58Aj?<;(76T~(jReAck-bx^cg&a z=ZbR8px|elA@a&vNr{uOVebE<)6q8ikQ?afWb5Ms{{kzOc*j!X-7*y3T`+cJ z00~9h$7x|QC+c@4&g|GJT3G+e+lTjd`(-U*!%9jtD5Yt?UznGKl(p+r>;BO3VHwq< zP)}*aJ|2bhCul_;8%P+^qj^2>xP_T|Or`F;U~0&K8U6YPaDn&D(dN3b+VR_B8&`eO z0>knDvnUHO32hGB?tD4;XIKW2sZV7~on~Lv2_#JQIt|V*u0=gGP2pEv9^uQ~+UkFI z_s#p*%v zs{g*~ zpzCg0Coro&*v-A=~^)Ag=A$vkkby>420 z6VEAHk-_$3nE7$;lOoNI{bel#^fv9vK#@R}On#vX>) z|CScU&tZQrbtKUg|M3)DZc>Gx?~G?QHJ8c(~W3q&yvge0npQJF5TT z)Ncp>$irPsaQ~AB82wrzy_K^Or+V``trggq?2-1rN=G`eC&Nh~G4}0`jL*KWx}`AUnPK(rMq@=6av{R1iP=FoP(It5SozY?j1h(=1>=Qs67Fm)YCl~v zY*+w2H|CYfJ|8p{=Gp|{(JA+yUqGP!qB7w9ml9{Mequ|}{K>tR-K!(_?Sa?uKZ2B* zD0CWmhP!HZ&+p=jy?TUrW=)^9pE4>x-d#pxTF}j4x*~@F!wOkRTRZ0AZ2S;QAW!(| zUrf=eZnk+IoHxYkZ8nW$r&>LfO~cko{Dt{iBBBd|1QFb(Du^j;K8JGWK1mzPf!4U3 z2?Dg7gaczTg8Tr>cNC>PUDbRB`gPsRzXgfmZuqIT6?S9mZ}F39H48(^L9)-^O)t9D z+{UWVd&n4n7AH%~VP0fHZ?>E6VQ2PU?cwX?_;0(GuZ6sQWPIvWR02u<=vtJ+Ve_8v zZ43fH#s>2lT2&)ZZocWNO}}JNLhs$g6ko{g+|Pu{*<3wux}rC()$|ux>AH&_d3w)4 z#`;(q{B+jL(*dbh**GadpkLPmv9HL`50m|HJry2$)X)4w=UK9FTE2GazqSeUPUJ)h zM#iLoec&ReKH|8OR5Mz*-DD|GO)y98qrD-PtdGeV=uDU=eKS%d4Fxa1xG|7sh*)ci zXykP^bW-^X-zP`KlbIp9f2$p$^L@|nQU-X<)rFDezTs1iu>nsSxkuiMm&3TT9Vg23 zWmPE(+7&3qS8iMP@_ncYR>fo7s0B5ov;Uu+;c029RiR|xyFR1EF{oy2%uRtu@g!3v_~(% z%f2%d17EUZIrKibDO9qA%r#VaG*@xEc?gn>jpb=n9bMxxcB|~|wu7BrdwHjk+JA#& zz*m`Fsx&jbG2&Lz%zN>$;jCnu!ZeFx&x@<0D{kjb*r5ooO%1Kk^ zjUBSC@$ixjrzV!C|4kEMCnPm~#kp9Q?~{C0dC%)Jb!;;8_vja`vg1`qpKc~OH9J_c z4U84bQ5d0`upO|UR!+s5pzR9=6BQSZDL_XR<-bPd`kIWl%!D#*Bvne!kY5qcQ(O($kiLnzYnQgzm|BO zjtiN>aaUN_dsHI!zF4D`ZBdf!Vr_}au2j}wV`AcjP_S^{O2~S@TYT4& zKK93jbNOCU%v3?>iJ-8pw&v(cB!)sx02c_(tjPpH-DeCmdBAaL7|UBV`W_%7HNh+%Q-ukg+GY)3O4%n7^LJT1 zz~cgJrxD;ekQW%eqi&E7g3!XvuhI(d_KqBHlEQ8#&w!E>r%MAJNhKZfz_X8yGnym% z#O63d4VBtyy!^V$L0N}x>+~G^K&&YP`&=glzX|gds?zOod6%^kf^rnD zpwt*QEx&WE)%`Gu^F7ve&e`jW5e1#?To=b1hgMp#1punXlVW3f5HOLf0;r{(&LrQ# z(pmqWr*(cnL60DZ0c+q2liedp3hFH0w6x)bdhoLI z&0i=npBxf3sxm~YiU$f#v@VMw+FnybT-=hOsn(Pqi7i-%~=?>VNm zUN3lMm_E%r?AZNXpe6gG87g16hICV3`XY5EPe$6cH>=4SQ&(##l>EqK*4lr3MMnNS zjiR_oJ$fwP*8aywu~u4n3?y|ob34wlc{cIsq=~!t$?^?gGD=y*;&K917`lVKH50jq zrRT_<;EJl9w$BQ7o5s?Zz^FEwdzL^w-`BGZws3i5%~tnc$`Wr-%}$zjyg>GLvHIpi zC;j|6VWKf*kt*q|RetE@_OEbY$v&eh#Ns)j68H0QOwGoVwulrTYzN3TCKX={qNhND z9XFHU+(6(#92CW2rBN64-7iLhx<+_B5@)@W{Wj!~)qyx^&S(Oop~5yDx=ZJMu*7v- z$-`_bh2VSYyQHImj#TZqWPHI!-=2s3FtaGEmItHeKUPGO@J*J~Vhf)=#(cUhf_(7+ zD-@39vI`DuOLva5IX`7>m)NLP&JOtxVEG)!MqG=on26}biH$~d35W^Fp`gQzWW-l; zoGJ9Y`*!9FB~Xv^)9D9&*%p^4qp+pNQW)X-MD^F>GeW}r1*axz@#*|4qA)EM;3~aC zVEh1Xejj7ZcD%$k=*xlVxSwOm07<@gcA8Q$Kee^z&%1Tx(%mzwm#BeYPKL_-6o^=X z0u}loa<yUzi#jiME6?j=(01?qdz5_DJR#)?qam&<{aKE=*{ZAxw?4QRi0D;*n!Z|(A z2)&WT1^G08j^wn?Y=HOv2MEGhSZdm-l0Ky|wasapAsk?fwrvNdVIVaP)CSRV0v>MD z^PW#x;Hsn2ouqf}>*L#6S`uLCqOI@}ITa}549gyCJ@UF6l5&ST9vf^~4*y@$lmP!7 z9C9fyWRDZ=Pn=LcAldc}iDl|MtAuUxmWmIOai1I=iRNKEpcP-vW4EzJtg1hsBz6wu zM-`ebH+8@J%6hk2YK_d#7ST?B(t#9=Nb6j& z<_2}oBpYf_!VyvR|3t*){^lDX1pQD2C-hlHNNCIJ9gv$1U&!*CTBpx1m5qzB^eABA zNB^3`D%ChNS)^RwLo?-*jpuf4vohlhn5hj}f?U7EETal%>|A7nH{{eXDGyJyCLI7#C+ez{D zs#^`%R#o5kT8bN^$Z4sR?Ru`@eJ<`#AnngK@E1e{Zm0kQGRerEn*n|pLBU;6D*ztQ z&-99RQqXLQ7RUE96@>0;8+|dVo)n$fdfmGq$Jw6v7vcRrXtZ^fKOC-r2z}80nXOo3 zI?3{ET77+&^B`&D0IZpEwVzmpBjDEwixNm=rc2~-0<|A`%_CYVt(G&H@*1oD`DITU$W;eKxrZ4P?)wutg zs<5t8500iDep1n$R5_!aL}&mF?ly+j5)7<-;gM=GMaL2gD~#GzvyXb6X2hqpjQ2J2 z)Wgc6fmH_R?zm#o(P@loR4x60S&P;;E^DA?%p2M6v7{`j1(?LS-KZJ|fc7%xIRv+} z`Z6iZ&mg0K&ij^Y*SvG=-7vimdRVQYy235=CCt_0vY2B7-ZD%=EqVX+X`H<+*x~#E zI(xF94%<2*^&jBGC}b*pr3e||;bRQ1L{;oZuO?SxJK&;lj@VwvcSihHrXH^VOa z-4?TMYZSHb)`trUX^ z*Ekp0M$(UlP3$dfor-Z*D;Fh7Y?>QoZ#p1|23NMM6*KBh}ZX6l(ASqy=6M15v`7)`fC?xy`t^DH)jODK_ zMp1PyX~g!0fsC2*wbhZ0QgGp4<>$nFzzMvc{6Sb!hDLCK5cv+op^^TTB<5S??P}{t zxxcql)(l&?ZqE=hLxV5fg0c%7T~gvay*xb-j9ao`kRjWbqQJT|X!wY>*#lnOR2dk! zg1JT`t94t#t$Z7ya7FP^j(UQVl{cf^ZH97}g|(%ezu^KA5eGt{0#9cTuLoG2+eY}| zS=yi6nfdG7;bqFf?lR5ue#TRKMEBFPDD&e>(w)VZ2wsl^c;x&<=g(HrTl`&0k=$ap zpqR{Gc7!i%-~WkHl6zfHA%`utPNFJ}XSGo@y0x9^8=u>oXL4J0D9##lLLB~MwnVbB z5$dpQeFoXWo1GF_;7s9S4)3NZw$2JkRc=fKO&gyCazE1ZAt&&L!9caJb|&{%l!xGK zf+myL0FJ|gARD56ZvP7YrOA{2p_K=?fF6{$aKpcvPW#WbiA9@)mw$XXo^>;^0==ja(Rtj;x*4zPjDqi;v&KTKeY1l+Wde3S(l;_^yIgd-2_?ViwX~f5NSM zL_eR|bBW6hR~PGlXYy8O>qWsk+rDaNkqq5=5cTY5f9gy#pGw#4*tUeF!<0^%2#85B zDBqsgP{@hMj;`MZL_}`Yjn9j8h2cKo&EiSUqP~%<3iZ2R--hak(no$>|+ujV*x5 z(%V0I6ncu-rh#DV-Ex_Sr1$nHsuE3DVaUH~r@o0x=FM(@7k%(1#6{^kz15_7A(o5UBIxM;;@@hj^C z`#z1L_vqUuKHLo!lWb!1TPWGqc9SX$!?=R8&k_wnScUQC;S zq~i|_8BkyHb<1uIKk18spSl$EpZVHkoNy4-J8Lzaa(}B;Cqqv?te-*A(

    uEBY%; z)V=d?nFYX$pxzN=IDg!BsUKoI|6KOBJ3Y9qXio8(pc680WFT~)SmRa z_@;pl;3b31)uf%fCNtU^HIp+cRS&=gbI2^Z5SNX4Hf80a;e`u3SK6p0#5nB~@6}9k z@42*BIT0g;1;$N|2i{pf*=QcTnbn|~CCEiANs48s+y>vm79LU~u-Tc-!W-lLkzjov zD~7>}ly3LZpyf`|Zf!}#ml_#*Y>BjHRZpMw!Y)Nnj>Z2e;>n4=vA`SVa_8Vh!ou8y zZ;4f0Z=82io5~ual>y*5%KbCXt~&u9GBOzkhw48^49yc9-}zXU2AzGb0Dr7*siFqa zx!UJN2E=6SV)Brj_;<&-DdFo_|5Ui#1J4D#DzVMbq8Sm+6k>+>&Gd9Q326fvd}{9; zga41Ba}Q_o|Nr>RFmq_mXE~dRkYl864mpis$T4E&l=CqihOH)t9JZ1}<}_!qke0+c zV4WPxXl066l8*|d`u_I&cYo~Kbzk@H>wdpp&*$U87uG#%n;%(m>oj}f!nq93aL^5U zzD|*ugR{Ns2+IKCziLzKWuo+ zksrKa^R&oR=j5FueAe}+t}}|^8HZcnS-r>1rH1TE|C{WqRGy(uyVKCi6H7i~h|gxV z#_v@unL<@3epe@tG2+eco>dfK@M2;LNg!;W!?U}+>Sc*suR7W)IJx$St-jeu)s$Lo z!L5SUqy#_oOp)9)(vo9)8C?%OFVffWc{=@@$E`8?(S`UNrFK>UoV7F^fj z1NMc>4)QncNibKv;+fPQS;+JSN6F+9Fd8Z=Ei_97IhEpp7M<5p_?)FuE6*8`_OpbT z_x$=~oV)W|FO_!pwTujdXA%Yv?y;dB12fTR08z&>r^Qaa%mv+VX@dX9($g_e3Pnnw42L#}7Jl^MHB5wcgcmP8o z&U@XIBj~EXUoY)#%W6MN#EvkltEtcWuJZ&=OskT=~f#y&HwUlqU=ZPl`HK77ZEKNCGcM_9XW(NoOzi5NFV3{&z`E0my{XIHR zmVu?dDFt46O)LmN857HNUBudiqQnF~Gb`+MnQM)Yh;MARzJ9z8K;=yq!0k-(`rjzP z4gfD0cQ);Xbj!AZg=GbC8AvgWb6_i+U%j|d=}mY-N)t;$S7NS{=tFZJzd*&TKzzfc zU@#>#!a%L;U|JUBip%RXm%9tc=Ey!c+jI{N#KH>j1SVcXpj6YPG@u#E?Ss|8mHbd= zMit758-rq}tJv{N=>3LRHUgua_O(ItA~&36=~(BDQa(<|DPe`IsjOHJWKb|M)hOM2 ze>8wPCp!>uOJDe;l;}*a=iV(pV1YpIKWy0AuZvSz_~k=X$Bp5C-!8La!z zbMaXM<+<^>f^mD!=zyM9G21izmXus;~bK(15ORsN`6lNQ$>#e-+sow!;X1#4?r`l65L5&vqJq3aP^1 zR@Ds9uruEkLze)N*&I({+c1CX_Gp1%2Qd{m&zzl}$&ya1jZ2YDJGx=(mN(CdnNts! zp>!G7zl;WsrDKe4-yIK1zt{8_{mJOE?aza)t(NhVpx0oLvewqW zTHm}QvRNA(H@$XjX^fSC7i1+R?o$Acc=1141}&RZkpjwr3I(U!CqR#rpb*FW66mcI zJvvBBsARDbBN?I1v2+( zgEPu;Al11k9}3UY@!NF>tX$W={L3@JSjMyR(vIJR!9z?^jf$pUgOKeC7_L%SZ_OZq zuYz8l+RxGJ(==}p#&RX*seGPaROcRWUMu2&E~m#e)eo>2Lh8^tco&7D4@no-=w|r(#*ns{SIN(F>SOj0;(A8}fUeA8EXiBCajZ$s z`BrLa91z56cI}@vgh5Wu3o>lVuTK}h4M-F-JlWvsy@G_l3G{pKi;5!=-rQ3_iwk2? zJ8235gblptT_VvRTTyr@R62*6;ryM5GiTQ5Bf}d1m2ollN8ol^&6YmGa?E_Ly7a$s zU=wAGRRCwRQ7egXM^>(Wweel9X4=A5ZK5(kP{9)~1<1RdV~F~3#y2wCna2!B?4Kz( zPRRDimJ`ziNL;fjKTgnl-c&=)pz{(vq2x(|+srxL<^OORISmQe0We1)!b(I}{=KC$ zz5W7q^0C%B-6@<5Y!%w^A4I6`1uu$GGCsKm1FA)=R>ZZYX6GITGJnbl?>KV7<92&; z)2rKZa_WxSF>wB1@f{K9(I&!Ht_EJvI!;)2+F+1gLoh4pGHR5bToPohdhhh}gX<&# zi+dE!OIV_cP&PXLr(XYE55(=Km+HLQ7P=EC9f@-rwZE6%JVL&hNqc-Yf@K-qR;$ey zti^dQf2u8&B_!hXa~ha$)oQaJMLjDVm?6AfXnd0C)#%|g`p3AVZ~VR@VlyFEBKnm_ zyXwwSSo-78SQ1;_l$%epZ`6%je<}IE39;*EUH-l$bn4ZLnG-1*It80EPKy55Ekmkb z=uQ>rYxxz?f4k+ccA9g=ujqD_%fus+g9<^@7KV}uXAZN|ANZrapl6{))&+USLNr;| zNRpjB@18&SE+Q#G4%rV>>)U8giWGkkcNu=SjrR43?9ahW_7V5CZp(87;Bi>GBB+)n zXtj$@d&Mhv{88@$h&cMRx%)_wzJsg0&o*-1My37Z{p%pjow! zoRI)#{69R-{K0Hd2YJ$kBVsE$`-d(4*|;)R|APTD+ZS8gU68h!Bu|SO;;3jWkLYuc zjYzwh6j&ny!zLDPYTbCvG40e!rsG4<4J?=9SrN;Q`l3MJbPbN$LdRKu1k0%R8LNw_ z`Bh^v4ZYvZKVSFa6xAo{KMabh6zPeq--vnaF>vMpdaED_Kw3G1W(ZvW(t4A;K-ZEP z8Wx62x>U zXfng@sCN%Wg!l&l*K}KFLv+nwEU=m(s&@#nke5zV93Kcp=wxV!29OPGZc;U_&>NqC zw*c^LcM^7^3pR+S0t(JyIy=&eV5ab=5LDjXi&Gd~RYNU4yb(E&T)goqI21V;{t`V`M*`Qg;1YilW!_~w`NFIIt}`pu`uC&~+wh=9G5 z2N(c^Cu5zd=PkZjFe6{ch2o$E)rKf(@bg0IdNNr<&TXPvEAjYmv?;{KM@j|k33GvX?VwA=O0aJI^$sClulU|h zg=GZ+7e{HX;ikkR(BjQ2NV8_tFH~f@AwwP(#OsvRBS$mA60fvf9_F)E z&NKPOrJ^Fcqk*mpS`*W|_Zv1#O{C(24CSD% zz$Lf2I5p+2^7&F@S^9O0rT8=Jbq=R`9*YT?$MH2{E{`!jwrUXRj*+$RPY$ANd$$xymTlnzJwvlL55 zNy%d-@{G3V^-SuhrAOfbMof7`S;J!xnr&m zop+xQSS$Y;ITlU*je7A9wLpErY?nU0(fCAAmqz@VBVsRr*^lUwx`O${z#POwjBbEh zUKAL8m{sfF+bwe;&=o{HB)fuaa{+ZcfY!VnTr_NVy)EaJ8bk;MfIwN8QD$OW)9i_- zWD}2wG*QR<^{wW`a|yXjyj%t78m85_7sn7mOU3v`W2WyIw!)t$b<&^`O)K&YS!f0V z6tX#LZX*T)<2w(esgd7f5_}cM245M0V?{AUUl(fl?ql3!yyX;uaFioX^85=BVtYXbgGe8L0R$$P(#uylaF_lUak8>FAX99M-M z1jB(WO0isoJGSjNJa-Pb5f&GBn&VoW(G_JaoIX=W?D&o6wzaD1rHx6<>-RmcnwA_R znwPG{ztC6kmw1)aR+!uWq%mC8euB{*b1D2IJ7&HA_Eo7A#EQ-G*BYk2$6SPh2+Zfd z-gxcyzcyNcLE5p3iAamSg|uAgiCI3@DAeVH{d4bP;G4{C{F}c>sguBR@Xx}e|%%dl#E>_zUBZ}QEDDBw|b7vOvGd*ZU6r5k*{JTA4`{r7*Q0m^1w%h zaFdT4FfD74A^ETaW;ah-x>^z2+T6$f+HEgaEvPvZlR&C^zb>~#{^cmiP&{1e0!8bk zVTA^FT3T71%cF??2Q5k<)=%pq^I#$$yFt^BgxzqfE-kuUN;GJa z)dq)JOX;SxXY8@o<(VApjFiD#t|eRrU`zqPh?)_~{F|B~oG{>AS=b^z-_SNQpDxFl=yQqIfO0p8xBm7n8@1(Nhj6OlQ18H-dneLgZV}8kZgXfsjpaN*2cdwWY<}`F1 zAWXhJ1$!++*+)&fGCPNLplb{h{*dCbt-krI_56Uj^l2mdEvKfU{&3-^6m5e(>;;Lh z5UQU>pc@S!AKdMx`G{*C3f-DlBM;ujTAzT4cT(oaqPIdfWI`gW$ZWrINVIN({{e^< z(t%$86FBr-I|42cdS+K&bhO!8c9~;J-)w(gF&Z8-u>Pt?-l?k+BjNQ_YFszt6aGkwow#_-AY9i&#GJ(~jvsv|ZrU?mQrQnH?QM`hhj*p}L1>o|wviHyFieI@D zUu*<0iOLmw1yv(xI%He>iMV5kx1lx;aE$JAm=}EM^*g<#1Ah#9>j*tPg512p4UY$pqK@X@`j6hlK*W@aJrd=`;0@(87NAaw} z$tMQ!asqFYV?G`yD9*P%$>d`H9z6tLvx6@F^%Y?luYEVs`m;fN=kjf{#_nzQt(o;2 zP|!kQR1vDPsy2QksQK>7sA}z;5bf{s`jqYi^kkBx(U%X4gdh>5UWP~HLr|idc^Y-K zur!lSGp=PC#jDnTXS?`_+j3M8A2zDqTF}pAU_WfN>>HIvEBLHY`Nx66bE2;btTX|U z1cMix^W9kDI} z1YI9ZS9y2V)e$((4{S>J0+P211X` zwQP6mZ!}}zwhbHlF{QTOmcCXrtc1v1`qK7_$w;Qz@Qbh!DfGe^%o4uixWVS&;Eq$t z@c4=+Ci=wNJ)c#=Hdi|eEBiSX3u1?y;-+`#-LPc?Go8yssbZqOt=fguF@+Kt7kl-v zUr3h81(0Ss<9;Ywx?awGqL>WNWnAshV3Q#dzVJ}dLBu^c55iYv&Y9rM?{O^~7|YOH z0ipC6v3t4qDM#SrSKsmfwX)S&?;|cv`D|#+K!TjV;Dp?t{?Vx3*9^yl<#Y@`j^F^8 zd%ulW#L}g>IEp}+-&D2sRs%0=Q8txZtqmn1-K0G|%W~A9@`^s|P z5ESzsZOx(`ebZGy;H?>I20*gz6FpI=8Ala$a$XZNhP))pBx$DszoqeIAexVhJMVil zbhKUI?;5wd*arzXl_38Qu=$r{sYJ%lPz9BRMdN1RXu6CGL1@x+qc8t_r<&2QLb7U<2lbqI6%}*I@3B7U=HU_P2Ll-|&{UZIr{{T}2@CBQ0kvZVF z`L#30%J`!8*sN;Oeuv$(tEwI}?%7{T#GS}0qXAxzZo{wlzUE`?|0y~G6~%WDH`SOR zX-gt-%{-*L-tNw#u#T$1(}y?t?O}TI@I=x5zDlyQ1nFe{RsrZf^{B)4R1d>Zi=S?Qf`URvE>TP7S>s)&k#_^KNr(`iIejJ9sM3^s)4W#|Nfe zJ~hg{oKsfIzVCAYyl(MwG2Qs~BK1wB`os%k6>@A`3F~hjNOBGDZBnz0X7x}A){o%4 zgid({yAI)9M9EY6n^yGPb2r^|MxESqsO?#O!SFiVh|jCFzCi2Uts8YvY%8i6YFAs1b{5ih!2 zVj^Q1N!RiOn3JxU*Sjd=U9@zJbk!j?*2Rf|uh@{wAbJS-mBs+Qlf*zovla*~cQjPb z2$p-HElF?%;zW}@OZYsf#MF+0RkQe)zwZFfV>FO%5|SI;KeZBpCVTSeLp?(i$WFYR z(J+>%A>_VziI)f;74Nvt&#HBVz>8So9mBpED&Iq@_dI)37&3fX195|B%4n;dyW%Y+ zpol5Zfc;lH#}zp!mIc)Wmo{<3c%Sr&$;)dM>e6a2w5HTS)(1tk&T-TH%$ky}eO(nNB z+Ch9ybab?;SeA4`)jOOd%OIIK#HYUG7+SyJq-$z(1X2PVq7c?-y;W!>Hup)pq~8ly zh(UhM%ejML<53BX26wY`BNB@Akn;Itqz3hS`P?*kK5=fsi&+=M=M|bA7I@}GN<3%MQ|EjVDR#$ zmCySxnBS^zFM6fk^BgIZGMLn!|i`-`kIk`e;{rcrG@4qJP@zY_4b*R0Sfi<0sjX6TFA|T1h$k{1|DYh8y-r zUj$OH^Kbo?eohNA>SI(m#Re;S3M;$%ZgcM*{d-JT!-puq4U!JW+`~LH^zNpM-&G6w zlX-lIwiQ!Kb4*@zWa3CvX3SUc57G}3L>HUX6;HGNA7B+L{eh7U5}Jl4-{*)kJ9H89 zm{FXH0l(U%1IRx?FHquV&u_mxI`NjbWx#RfU7RaRS6N&iaDa0bmQQ4( ztoU;{WBU~)vj_Fq9gJBQ*G=a^oAvNs@nnOr-^?`&vZ#iv=p)$p7Nd@sZfkfQ%rT`7 zAEryIXn9KM*d<0<@?+~6<3E1h6rB*Ah9ln^Id3<23rrpW$DdR36CGoGg9{z|qzSzw z?=4$O-7Y*!`SRr*dTz3Av+8?A5g zp|5p>*xo%)eDfoNk->z9rz|=GTsULWQ=jS6i+jjD?dP+U_(TKwf5__;yQkVN(RwrP$N#ut`E>^^kyvR}!D@W*(COJC z^m^cgb=Kll4j0_ClS9qjW;Z@%$DbgA~SlV%UKP$*)yE!n(wm}~X6r5o~{p#2rL0>vn0LnG40XzN( z6B6b)T}jCgsO#fOTXDw|mJ)HN84>QyZtK2np0XCBwo#Y-F|hv<0(_EfFy2W_!X|J< zB`c+{fFPJ)i~(v|JaMQ%h_!BY=fZ(jAyj;GBnO9;X<>WLBl=3`?OKHUT??JjGgXrg zI^(&U{gP9E+GJm{uH5*42hY=r=0<@)|0?E31)7}a;ZpCTH*cSSlplxFKU=8?8QGN9 zDv|%=#TL;fPv`7i;^#uZmXn=VDLHVzx0Q#qqqtEgjTp-D$+v2>F==}N?bsvs&^u{i z0Bf*A>624zSG=4KC%l~{kFG=~J21y9h*l5?JwLDeI-#SLGF`OFiqdqErn;|@{&IQ>t@%6Cu(!2w2bU-gLsLL$^&VdM>!MMh)k zorvJVfl@_nTP@Zn;r16q6Hs{z_>IDM%VClU)jALM9DF2BGJhl30FC5yK&BYY%3rcF zpp~40x-uZ@GR1@u;v@o@<4_WFR3q*PgyN_ZFH`HXa`Xft41zu~WkSGI)5Hj6- zU_{9irG5n;Oz;&jBs@bq-qyVQUs~ah{=WQ8W%0q`jE&kmD>7{-ZfMB=KkH9vm?bp+ zvdqM74E@axPNM6Mh@5%*bT+%|?43&r4Z*e-E5@t&1v;+(f#d#PT&EAV$QCH)j$K-8 z7dy(67|f62_36IVsqoZ@0L!lR9sm8zS9$fl>T2NKODir(htoszXxfpw$4~N(UaS9r zUWZ%}>8ZAD8)~0_{}vV-bFE)6|L}(2d8k^_R#c#ZmG>(hf%VNw+-9;4DRqi0 zfftO2#$p|=hSva|-f-l&G!2Yj8KK*KV3@5#i2f)U$R1k6iVdtZ&o6BJ&F>VY8}w3g z`6J>!X{=+|8rm^bI;0?`e1O?YA4FOVuT{5ht-+xjNm(~~b9;gpo(z7;U zljl%$-P>marcGOH57Wt$l*70Z?8baFeda1drP$W<)EO6W0%PHf_f%6JS<)49^=Tc z41HrK_-^5fi66oZ0H@op9S}7In^Gk&B-V+ZRM|Uaa2M2mt@XONp`jf zg1)q!?9kde47t{5dmD4~>hBUieYQ^&b=x%!VDy5j4)TJ`*tR)dYh)|q;b zl-HEntdNf0gcN^=>`o^NFIk7T2wo>6UJm(|B`R*LBw-m`J7-gnHGHOhp!X_ zCtZ|T9VoqKU-_fOsd42v*P>#EXNJ9bD(xe1;>og1P3jhj<7)*k`=U=5K2!i28^s*@ zAmX0WcxJ1}K)}b5aRQ7*97)@`i@9prjDP9w9`NJ$oxjG9zD1iK{aRQ-*nRlvWrBs` zinUGC5=EfCSUn=ODZ}$tSvd90r7VmZ;6r(>_l-P_aGE9EoG#Ro)YxuTvzjMv)2)-k z@6GSnw%bmhS&50sy^?=Dyzfc}4De=6Wen#qbR|#8yN@e!+`-G;>94arChIWZFZ!d9 zf7*vtdEeM@%i1t5P30Bc~Tk*n!x+qOegr6DTZhO&5 z{z#sBv01e`E9$)MTWp1wxAqxjWwO#n*fKS(GNW`owj-JnR-d@;sb)^v(vI?u=QH*- zPY`6m4rJX3m;V4+Rw>;99vxJi7e~s<(|%$Zu0BrAa`GVFO3e3jovdM}oh7fcGn}_% zZVmb~EHg#YB7ST$99Pt4T^-$ryUGr)diQliSig3Am(YTPQjHrq($CLGN&RUnY=s$e zJ&f~g;UZh!rYR(3DB>Q8qSIZ8#u5J9LWZIq9N++-;SWKH=|V1B0->%7=;-w=D5g%V z4Lm^~B|_V!8>7~pc`|us-bMOOOpQgHsZHoPZ;CG-CB>Af6BQm08@B>O^*0Igcj)mR z9J6ZbXM&LlUO=Q8?iMSJVO9`yPr-05kviS zIrWQj5blza==YIezUZwz1X6eLG4@VY2#k@@TMHF)Ap?5AGM5>J8ea4-P|_~?ILD;A zEy+|Tfe^mqOpkpv#nhX4I;jT!+gL6K*>{9DTjG4(ZjqjF&U5x<${hhh&`{`I`*6-pIp#LC0rRMJS26g8Yq$xr( zy>gUa0G2;)-`+-dUiE+D(UwO?KBe0@;Q?YjO~PgZHHol3;lc1OhZT9?LXgA1Ok|hh zv6p!>8O8AzH9k45*i`Y!`^}^IR-QPsu__h7{Hba^lQji9(uLv|T|Rt%{V`5fcD(+1 zJT1T(FiG25a5jjK6 z-}5V7MywZ#xz*nu@br+Bzfphm`gC%_)4TfgJHD>nlHs>)R-$8WI%v0_KWFf0CXOjX z0Dcy0*+V5S=}Epb`g)o1ukQ6#51|>C$5+|XBX5?yBdU}7mhki6Sfm1SrrD>dAGcW< zXrOJw?Ad6dr_}5q_oN&adD|7U1%bB0L+4VG3Y{Yp`IrzQLZ{4D-!^dTJnYDH-?_$=Q$MU(K7OEkcQ@x#wA%ll|8o_?HpEd(UR7i zpP&%85u%cF8#~N99UcI0DT9sCi8wY0Fjg`?fvGw+*DY0Gsy;b9o)tWTI&jKEQv!Ld zA-=oqHJ&^vWJ5-i#c7inTq%mZ1uSNx8Kmrxi4bq(3WTua{R5reLrGRXejDEQ>mw1? zWr^v@OHP#8`{`M3`P?)uVGNVO7$eS&T^5DnqqiWd^8W-%{oG!m6Mh8{)Y@m5Cc5cv z7DHd@45mhwv|FB)v)^R9u6t?}Xu{7vLOKY5UGG=nh}7%_!#}kL5;e57N8TsZ`VEN! zWx<#x*e!KwHyfhwFf{I(a6)lsJCW^`%W)_eBWObA9kWok%o>>uiyCaalraQUOTN&M z5z<o$RXvc-2cAL$yxx;t$byJoHRB3m;)F zzPM3i{*z7n?t~Jg{++39wQH%hl#w+_yGULH96CYgDS)`p$=}&B@ZvK zAE1XsL8$0>K(U{*Jqy)k>ExD7lqYLJ(a{|9F@xTmRz_ZT^Xd-ApQX3?-crsSG0AoR9%~CVVU6J@e^$db*?@j>KixRRX4%3NsLb$imcq+)B*D$#!fb-39!oB zwu;MYSgLKuZeo1$bU8zs=_=G9o2@2&>lK73YoMzTyd! z!v2LQt}CEUQ1>X3E8}sYyEIQAsW6fp)lKzHkeh&)R%IaYMbd3BRH=vCl{$)%KX}|{ z1$`9`lJ?GPYfySQ=(l8Oba={$rr{2Ivs(nH`)mWENHvNYXX{i za~}r81o|!^D>2vBH3Fq2$l4eTL9BuA9^R<&^gHArou3;szscyvfP!=MWo!l0QxkC$ zhL)(YO$I71!OCwaLMny@^!@DO<&yrU(Itils)St`Nw7l5S5foLy3T5Ftf~@@!>sYx zO1PCH-k#Tj)kkn@G=G7mKISJQ#LRwEOaS_L9f z?&$h~PD2l>1D$0n#MWCBeAs-rl)zRS{ZZP{JSB|ee!V39)`F}D?L~%fcqBt&6O&gp znmx$ARCU>(b9~O67ei-O928}YC{Ltb4-oP_64N8+R=Y_uYBglvORu--dZNmF5mYf7 zi38MXNt}HbDAH$b{b3n8lUs)#OUq)Y*(bV4k_te2kNg$^M^)(Svv<6k1g4ifn0i%W z`DOx}GK;j;V&t4m3_|j0tfktj4flz2&1oyA$3Kx>fVfzoYkFSw;3$6toMvQN-(*o4 z^7(w#qU9w_zt*2dm!$k7B~P=jQ+Y?}=RVMrErciHhM#*c;HM>cM<^W*?(?c_6W5`A zy*|@YL1$=;HY7s+8~6oL>&s@(J)%(fO+&5V!|Bg}?T)4O{C4?gM$-QP{|VS}onF+= z5xUo>!Le~cQHPxFh5BdsQCBjY7Ovh!^t z0N|(k1lWN-dw_Sh?6S_d2_ZJ}4M3l^3hY~lA%|hi%7A=| zkCC<0lTcSgmLl_}w(p7bM8C=Rm)5+Z!UIulPX(r%1@xH;xZHd?+uHnD047;Ss)xBI z@1K{i?4eb-wc=j##gt{N-}Gd&7@;BM3|up%OhV}V-vQYaeY@jhmaFNW$7BoR^Sw2g zsiEb?H?Yj~i$h39VDU8_6v@Y4_6WhXAQagy3xA)}3LO7N#8J|xo3nv(q?{R!1@V&fH5bqzzyv8jXsRjn*4+Ve8AI+do@He z15u-S!qfNP9Whv;CfGS()0Qn+n&E>_IYI`=fHg6y)V$J8Ir;;tHbK-Mz7iDRV%JRo zJL{%(bnN+QGFj!tT{unD6>>tup$CR?vqt=~#g20|PO*YJWN@*k%}?t ze003@(Ytm%Ua5jEl8nrL$kL@P3okaJzr()=FnLUVG^in-DD64XN2%c;o2TRof4?b#vME0Vdpc}q3&GEKt}TMUuj-5 zkV+Hup7((B7e@4Z-e3`ojSJSevjUJ99yd&X8vIOlGykw9VmPTyFEK~XRLhEfAANAU z%FE*!?=2@y0bXj712b&BweZ}jKbz6_3%L? zyNZ)I{U!R>8THlGh^a5ISVkaCeI`|S?EZ277#_WPxJlg0(o7Z~9 zqLz^DQ%M0inTXzNN2JS7*sFxTtcfMr54|O0LAOlWL7%>}$m1!D?@PdXdlU zblRNgE;}e33Y5<%)eRcG^v=+b$)HC=KDDJC5U})-H30!ZSqgHR2tU9*g^+p*zqI2b zN<*+f2XSmL{X1kBYtmg+51dC$;%)p~^(Oj$cQafAG9Q*@^zQ}0Ap$P1>9Pb#E-K|l zFvaB#F%Z!3&cHFRCT62Z$XRA})wdq1+^#`Zfw6w<^PRvOo!xjiO8No_Jx6Xwlc`Wj zO8wJNVe|5P6zfnowm$~bpvM&eo4B=>=*mFr2Os51niLN6c7r=L-2;5I@_h5?LdE>t zNJB`$&I#BHSaP|Y3DKlhQf=14>k3x(Pzx@CQrZm2W4ylVY22(V+oOSn9p{M1Ny?x4 zkDH#3OOM1&Ux^&)kX;&+FR&Gi+N_MJom%hZv&WTP7x!DFT(ZG0CO>Z6b{Q&eR{0qL zEwS{nzwN|d3r6jTK7FRfKdc0|p8Ry!sK;`}=GFZ|ob;}w6{^~jC((V?yONG~jxTO@ zYR1MV+&}ddl`vSDeR3|SK!K~TGJtl2@m<3@l zcrRzg>r?n)7NEpduOda9`9Q96UWVgiOHPPLxDJsWbDLM$mQtuA{3A*0am{NQrWOgo zja{8%fHb0K1UL5nrq(Bt&<%+=Iw@J=Cgd^?J(ccUmlhZOuMv!&cFp^ZR3$uKDY&0A zJTW`q<-bljM%TG$Fn9kI=*XECfLN8w!|JGK>qiGLIiI)cn!S9DHAEzC)EgToB1X|N z##-}1l=X)tRR?@6Q{ASwU&P!XjhbQmBwIgZ!+I>negey#g;=SK{`gJc^y`_QZqwA^ z7_%j7&v^H!C-Z^Fu|wI89|!(c#LTuh_DM}IC!JZAOOORFhXQvzFV$Zr8^+_&i#tK) z&&`$J{7t@(o(5q6)dEb3Xt8#`6{pFZ_fyBLj>Eq%juhAf+YGv2$+|V2*P)0@Gyy{{ z!}3M!4m)k-*Muryx8J5HWYasJU&ALx3BHD)@GIE`h^@vnUEiV7e)W(LqyEzSOM<(I z53uW(pbcfi9|?j8MIR5nDGt`LUN0%1pI?%@GL{a(T;TwHvoZHDAgV`KgRi4rE)E87 zRxTNTZ$<(-&4Pl8$}d3;rZ}jwrDZN#IylNsqdrwH11XeJrPWn&wiK^~e2MBjX*|sO z9%YRh7neI?OP!UY0PqFin;D@L-|0KVD2yrBo=5(;OW>(!`pgK(1enw&vMdSw1PM4n zs!sBbI0w+1&hJ+sFd7C}g){%uPK*eo2j@DQwx=ir47hEXN0o^W#~YzISnt{-7oNHz^)zmBuaC%~gHw|odfy1NO5uTge1pp&+44*XN} zp$fue=fo1hkW7U0Ta?h>W=l@+;z-!PzcYwGRB>3Ty)R$@0;(}M0(1Q5_d>5{=22AC z{)P4iR)|sYoE7A3lvuUMW;IfZpCv~Lz1@QmAL0Dg8O<&!xlxGnYRiAJUT&Q1BzQ9D zbrjBKOpKz^Xh=AJe#n4)sM@X+tFm`=oPz?5r7bU^S-5^i+uUF(x*(Px5i~) zyn*Kp<%aT@UBZ`}QXW*Nq9or1ZnL|q!tpsFo8<%Ojhac<**onzR8~l&ZTq`_Rpw(& zUca205PeZvtNl-c)BUMeg{O5+sLk?X*JCX!@v8PM>awWz>UocOn~5jxw?GO`8-+xR%UmxBBjClGHA=K!(pux!g5J!CoV#jJWiGtI zZ!S=1Z_FK2H>=pr)H_5a#KK`ZF&iPC- z9VMrc)C@h~x=E0=_V-7-?IFGGPH`*){X*mX=$Haby^%8;V6PG zb{ediwjUTOBVe<7h}4Gz9O=I)_A2GS#{w{vW;TtlZ*zb`oMtb zdoW*D<{>)YROXxhM$S{P=>I4>&#tPEi5P^X zurp+q`ZWe?P#iFZ$J(LY0{!SP6!|}}GvrdsmU*{?E0pDPOXIH648f6m6@UaaR|>8e zZ<*Q{+=jDSu@ut*+(2qg749e_QRl@eEy=@qN#oFnmX1 zwcfV9mU8U*gS&=ZnUkro0|r%!Lk8*lHyxC z7r)#^j$62w>g%}ug-<6vZahPhT4qJeW{~eliOvJR+biixw6DPWA-3mvCEbnJ#7DOa zW=1@kG~$=O)L72F5%NDR^{eifsqMNIA)x@QN{@Mj?Jb8~-#NKUV%#3cLNqKS8OQz} z-W6W37-o88<(%nM|8lEy?rBi`w)~TGqSdz4E|&Pv18huP8Z<$D!$O)Frk!HcAUHla zIjH5)i+u|}-jHZudM4l#)e#j0_<(%Q8Qvw0&@O_EE_q6vjl{Od(0N0Vu*l&Y@0`r} z!gCb#B-_yPne{vv-(0;1RZ4;*%BVMQaPUAU5T~=Vxvl}ZV z6iO`Wj#MJ6mJ3=Q5m)d%P+*#S9IFfeCbR$Wv3TPbE2!NhGOjkX9N?z|~ zwQ}w{c)GB>DJrL@C3ws_)uyxAsU9qQ37sNpc^WR~f>p7g*6TF3{45&Ksvj%~@YB|L zt!z5l$<-hnntO`Ue*J{Z57YnH{5`CzH4JL`p%tv@REYM=*kNLt)vA(&qB*?n4Sf3^XH1~+YD=g8R*^JO>Q?Tm&?=rvaA{KUkQ$tNekPi#t!dh7=X~i)lll3gg%kb$ z3+}>@!h>^EKfE_E z4=eONLEf8%v;V0lsWv?X-8390+Ut6$C!WHpJ=&V+@7KC{Ntxi0bm2K)`^@<_m&kqF zY$L9ZLv$*OG_x_Im`+@m+fX~v-$6pj)#$go0Ud`3fuEQPX zXlVi^fGAnHq#yiq$k=V$$m+}dE0X4STDlcU3)?3Yt^JGU`$bSz zj$LbJ_;`6C*hq{V`S+?^`df`cGBKV_R1*LPiIT&0{sR;*e64kuJ{lJJ&rML&X``-A z447TKPH+{qXxI}|DJp}60dAhoYY-&JzL04Ozh+`cRLnG+2_kKViWn~jrwLOlb}sep z5&*e3%h}*oNF>F9D5K?zq4BvK2>}q}obS{a2(d~Vv!dqM%~8UtuTyrJz!ee^fVed< z#|{Wt;o1aId@8^ZCdR-JxjxzYP-L8<7mPMzD%+fhcpMD{{{~I&?xiRG^B0aDh0Lla zsvjq6@V~8!m%G^@%~MbXQQSS+k6DhQCm61N8NWo{cGm_yVpvGznxz*-ULX%*ikYwH zUTE!|SJ!BSoX^#RhVCuhI{+z0$efF;P}{&KLa1HFI_u$BSZ03VuGJ!oK5NyLv3|h2 z1vj}?LugK8_HM+?Y<;z>P)D>ukKPWIbU?cA3Yv#OL(D^F&~htP_oF3?BCBT%StwJPtKlwYqs~0>>OFrM7}cd z+Mzfakk)LGVZ>Ehox3h>yf?ESb4WYhcIt(6uC069Pa%D7TV@iIZcV+Xb1D2khxt9^ zELgmfkNq#!>_WA3tS7dQ92TIQk5OAfW`@@(b*2PS&Sci$vNJQSAeTBesry$dD5wh0 zWZmmeQ8S5NE&vHq`2A+N8ps1p|;_SVzuC0VTiBb7uaykgE?+Pv}ameUM%-*elL_ld zulC`*$|rhw{0n?4fbVOZ6+F;*5UQ^HmXIs;$40@f_LdDXYd|SL<|fK~0v-TF{|U^B zvnQ(aR_cO9_7=EXas_vMj)doO( z5s1K6O1ue(V`QVq0C# ziWb$uhB4mC@uZ{hlf%A85)*=oLe^S%)?A8`P>5h3M7!m6*)naJu}cwqOvC|YoC8L; zu6yBp6SUtZYkJGQpLDWqh^h>iEJ_TO;=FKlRan8&K!VW5XOfslp-Fchy+Py*p<3(4IloBD^9bgOm&Irxzg}=)u4bcB4xGG zGJ4WV+a)UC$-M8R`$(R>e&Wpe7T^0zV;47^a}@|1Cwdd)h1|NLyTpsrCe`6EyQESH4(DccC zvir60y3ccu=+jF{H>;lC$Nunj{SlG;Az3qE>YsY^`cck%7wN8a(9Be7_36X;*9+WY z-|~;ByqiAP{q*zGMJIzdCj)W9|Hg#R<1v3G2ad*C)a)1Ue4AR&y}k7JS?&aOlhxJ5 z`>!K)VoR*@Pcwm6&cdyw&c8cwHygV22=@wyIX#v-AF{^p}$-`GU(8=_g8`eF><(aIlHFm$$_0VG(B-N6v&YK-)d>s2Jx;uCf~I0$zupFG!MLMVjN0l#t*~Mc<)_xP)$w zk!@3;iL{^T`fq*692c=qEj=mp_22>{edu}l^%!FhGl8B@Dpwah!3V>JlLu_F;@8~< zx7)uUriDdV2|gyO7aG|X?ip-*-T2bDJ~ie_Q{ z%va|BCTcK<(h%rOcFA^aCkvnRYwc8#M&cSd?D+1+fkxV!+u~pA*cb9Utv$Ax!9y0# z8ZVizPg;_81zbYh47S_8scmUM$Tc#Q9nU+Tj5V=(esr05s;!_gb^ER^qe7fy@vEWJ z1@OgC*RWXT<*Br9A^-Kv+ocLMs}gHHKhiX{xDeA{B1zl6+4ygmdN)(giIU&FsM49u z{#z>Ryz*V$Ir zLL<6&#=Q(w-&x~1a& z+mqe&4}NuatN*;ro7UZBZ?BDf`9FYdZQH(M&uC`UXXC@abg{C41;gt)BP8H_xYBX* za?zpc?92|Kxe^w4o~MEe*zk!ShA61bBaGWv?3*kOmRLLGE1eNf>|N{Lgj}+CWB_3( z@7WB+H7MdSg%md_)%~L*XW-du6{BOteMktPM(s+de>i)7wQLeG0iF4ydHvG|dFQ(iHQa zY!T*d2eJt)TFOOY3o^$N2GoM#p~+C#IXTP_H;8Y5Lv`8LAh^}5x(=njP|Ki&fNM59 zO%5vV`fTB9OVjGg(sWUjw-rYT4FbtwT`is6tpTo)dqzFLG$nG$x_ToTEd*;3fm-DB zuBe@`B5&7r%#HtE$@}#~e3PGz>jN6cm6tfE&8>lvwU%*-Aw0X4!lXfx$pu%Ez+%#8 zDh#A+!+itAEu%I7$?4n#9K-;EwTREG*}&KwmS)a}oE8DGULE-0VR`{%n5sr9Qlc=?}*+v*8yFXo@AB>eI3 zxY1%82nK#J!V`Uil}j8|5FiQUw+qH|MDc~Ia$n+-0OdS;Jme7Q8E=ULRB@G(x2{~B zJdacF9HYd94~jvWdk*59EDlH9USo#R`97>w{)?sSw+G?H-QpteqP&`Ce;)rv1-z%) z!6L@-X21BJC#M8Zg=H;(h!6%4_31c=Sz?u_#Pn5H;~o)^nOungUt^6n2W(wETNF}G zwszp|zRUObyyi|UEon;p2+LJ(A4BJL0zJj0kHzBR>73Kdw}FK6!N^D;M5Op9+&_*o z&&sQ1ZYZ7NXZG|Ah4t{YzJ*!lY>Pm7w?{IdA37{xGO)g*0W$=iwDpyYxjO;xWIJ{X zR-Cv;AUeNJiP*+mQa5I{srI;ItQ8syGN-_5}p{ zd@&lj$?s=sBx&<$pmO@zdiJO~4~+gSvt7;fHdOxexZ@Em;|B(%Ys)bK_=@a%fwzZ# zH&N{SWa{n-YEQ%O6#U|Ec^xHn{z&udOqItlvf(73PgpV~nHJA7u6dp-fkr=02 zbQ>KF&Q^NB`h%LFxZcZ3xh3-X=2>-7E+^}|&iM#I~ z$tyle16j)d;aB>|61yS{g1JTJCw^|*w-B&WkfBi5mZ^K-XSE%1td0>tN` zC`H$)HL&!U}*{A+m`v)M#{ z6~@quz>uWTHa5pdCnd`*m?%$E7|N3baR*ZEM1^G=2rS9ol_54H3PR40lR}4ua;Gzl z3v9Alggb2A+ZNMe$L>>(4dT(*6kfpUs5jC>m~B`&UmH6U0lx_=n6RG7IP3uRk85Z$gX9^UCe(+YY5Y z@-ZQEu-@QIZi1)2Z&Tf-y1IQJQ(IwAtLyl6s2E?o0mz|3f8daF-@-Z51QArXyn~2Q zW!Qzka%hrU!~o!##M$F$k;levVT&QGLjv!k0M;7fw#7XsRGtQ)550rSKXPWJ;NMol z4IcLEtFR4N$iD%P>KWoA``c05ufDzk@%N3(M%r%>3Y9V$S&sCmZ%8pEpE0_DsHyiN17;JX8Apx)ca1d3~=gc zt1^;0qpp7^nuDiXMCa;fU4<3wsS{^s_Ir-{57W{w-4B%jKFfceq|DR>)+ZE#{Y}%z zlj1rn9n^hUa`MOx!jBjFkrj?keiKMp+snq@j{387aBnv4iSfqtToPMqoVFu!G*%!Z z(UjDKg*)Rew^V-0?wB-5*==Sz85LoEzOaA7&h&G~{n*`UT5#)ol^K!G*uibaPpsgb z7Y~ljt9sh&BgS{l2XDmN9N^xwIv$%>|7irqvOT$bq;-^^RZmdOEG)Qt{L9Og!i{U{ zMatP)cOK-ow%}T-pMqwlQ~1hNe+U;~UC{I&^H64wSo9+jzvy$0*ft-%TE_$mR1)|l zO(DedU(r9=RL=*CF69nnr4&nPhrHVLX*lshu5e;}2qWAGVOCEB@Gn}?lw^bXAM{Hgzy&?g0L^2b2_qaIucgon7XXBH2 zkmYs@k=QoEsl)L*v1P&Y`qn-LrH+e?#3h$j1&GBu97sviT2lj*ywaB^gYB!e^Wsro z{v~k0V@T(EeVLasC((MjaU<)o;zsSw_bj;X&sK}tsWh&v-qRRh;rA0Nlt+F>K`06I zEcKpNU|>a`A10QZN-tu9Iv9VU~&WZcYl{mmgeBm1^idR)8@(IktFP#vya5sx1<@(qhCbmhFyO$)YCc z>v1E*h7ba*Hk<&kXOZ!FEnV%fY#WvxSpjqyi7|)_XIVNZ0;ib~?(Jnt+CGE07;Hol z1yZf0%Xa&#LvgZa7zj6^EC39gXcLzSe&_x#E6A3;$Z;T3Vzko{E@Zf}SoKDWH3Uwl z+7Ey3zs7o~xMf-ZI#!XGJm4Nd1zM?|E$KQQ=9D+6FEwx~?AucY^hDp}oWbm8T0tjl zoJXqL)!uZRIJSrQ){@3}pN%(3LiH#953pE}Caw>+dMR9PHvbmiHOeRnYF1}y9{UITANn-bu==`oT#!?w7cSrDG0g z@ptND6?x0xiBjzFLj^GPsRA@qkhGE3&(k{noBfRTr3@#dIoGDE#SzAiiI2qnK3#i-{^Eqn|hb*cEanJ zmbO9;^${z${V4Y%)#48z#7{Ug*U6oiyi3$T$ZHmFDd5}pEX`r=SLFqO9d?)RKhmNv zS^j5z{q40c?<(Z(`Xb#)8vIM+yKU_?0qa-4h5b|?Wxc}=IWGJQ51mgbwcJ?y&iVP} zzPfj3>$k`T!xO&D(*b7>4~JO&?xaL>0V^d|0r~3`+i$*93ZbO}9HQHX{RkH3bQCSBsGO0?my%lEl=u{R`W8n|LiNu$h_6i(d`DTQ^ZB+P*w=Wm;Yb=v#NE zh9}x%6fa?CAO&ze?|W-C@>E80#r96#PqD}}fEAF*I{IKS1jYi*`@-GvclB{7(N&^p z#SyVxI!sD(95@wV&7x-EYlupi1z$J|y+`Dm%6hOW zXuY1=^ui!IMz~c$68?O~+giFU+lDWe1$eK=CTQy%;-Lf%J|TW&-d&<(WZ5T|qW%(yQg6|tk-SGPQ+unPj7s8uv- z|KN58UbacfaeHE@7rK{z?5Ls+9Kd&f^REL;{{@1vc=gWBS6AAQ=U_7_IUIVE-u@}n4j@Faa!rkb?ak= z*C6Eg#=jc*rqx@2#s)PEha5U`Pu({o{Z8-fpPl~>Z2H^#lW6hZO4pmfr|RA9>Fjai z$9wHkDw+Eid^LWx=O|m>|7GWvkRq>A!3xIab>|`R*Teb>Yv<#}H=%1OhR_3S;PK~- z_Kz|sUOSOOe%6`OHV@hW4nvx;^j1B%yk(dODdVJ` zd>q6~ON@WbipJ+Jw^hlePnIX8b|M+ixlop3t&JoY24n7wH6QLka;J3t1H$xjJW%4k z)hko2zGA#%4l=V}W1&4krk8j1Hg&Pq-Dk-cms{9;z*UKA1 zN7PP0ZF>-V5oVrVs1J47nl>|t8P~4w_uUss(qO>^-P9Shdwt51eux=#qc=GFxAn6d z3UyOa<>7qNJh@xuJfDo)Mf1w$A7rN@HmI9r=CRYegh{g9N27_}>diq^bw=p|(Yw~Z zH>|iz>YSUsqKLWvZi+r&qvy+u^18oH%yA7PvH&O<1_3QMB_ti9rD-#)`Ta5y#RpsQ z|Lv~G3(+Ey zH6ANlElPVWt)fgHwVo*tmC-#5moKZ$o|nyii*K9ROg+vw{vHWiUaN~oK6%n)VFdq1 zsbch_+}`e?Z#^g@ubazA`-H&U?euEus5VfV@t@d4QLv0Z5()z9{`U9~p6Q z*f-cBL!hYFz*w$9Gg{@E-I%W$?a^`Qy?-Md)$?)>$@Vy!Y;I4vZ18@1)ke`@7m}H5&K(r$yQ?-EKZnhv(Nf^m~gL z*==x>PE7011mxA-^tvOG3)TSo`TJh!FBUYN)B|6mruUJZO#J_NG2L9|_SO<4H1#n> zjO6$-Y+L1Hcwh4D(W-`p^P~4hT+Qu_31dxi&!2+AB(2nJ!%ItjqRm;?@$qt|W_dS5 zP*LE1Tv$`W&3nuc_r0s&yj|+M%++vt_KTTF*UsOvK+e*OyUsE~h8nZo@6`^-;%i89 zi*2awP8~r(@Vd@n*r}tzj4kLh5!mq7uzbhLwp|RCPrm7QoHgZ|SWgy*eK!7NJq>-Y z!}Ca0%V+3r5Bo~;a_$(Ca^#7%kn>@1JF0tO^HLbsnjx^72VR*-hsEI_?QdfygR=jF+X_#XM6atZ+z69WWe6ES(}U3}vnkF5>JtrTgtR%UfDD72oVg%;1X(bG`L5lu%#;!8xxX+7GhM2WAtM2 z2abCO^|TyNyE&*n4gJq3fL#6j_r;Lmzi^fXw3!aprW(%{!Oq&pc-t6$9#~)F!nk2Q zIhwr+_z!R`JoZtrxFfW2c* zq^~%$jKEmX5;w?@HZ48HUz1n%N6;3Fe0x zr&^NTN_Xfb!+bG+qr75{m0=#-d^kf7d{-Q{^Rv*jmih>^^f+bc82K9+;At_s`%a%r zfXX-I3f!_O_|D*q#UaDs(b2U5e$LIb!p@nsi(TLPD{3DALykCKRAwWQs70Xs6bMtq0G zo$-D;DRtVkLt%VuLd~Gzi1jcKI08LVRwmXz=Y;ULNPG~%Ij?y(^Cy|5`{!(CM#TNq zdH}(pvFPUC$`aYew|^03LCtK%k2UmU^-A*gK{e|;{}r3X*T!tWAlg!JF1+*lV1%dk zG@p8NeK%Ja>m5p0O>!T=(0aOmTPeY<$E7iQ(Ysj^usgnq6{W3($j+h_+kC@wVO17T z7B)h?ai=z3nL=J(C!8_G7YL8MNVf$eieR~7{7TjVdM^N2@`f*ltKb$trdUa|u<OI-2c<=0`McOR37X1x|fAE zh}QvkR3t)PM_{8YYpp@Q(9nR@79C7%kHSzc{wAn1o4hqy*7icKBzD=n;C`L^k^LjX z-}Ow}kG%41cA#pcuCqbEn{V*eVn4bex#-9%K&NO%*{f&kO5OC-@oVDcOD0)Q&`aoo z<$qI*nq|r?eJQOxow>*Q=B;nx(~U#k{{tk(f5?ZkeL0%6>?Xj|X}-g8uOjP5EL6-O zUX7`K=(Nfv<`#*EqE}Gp3iR1C@8)=`z9hAvD(A{p)LWbzZzUq}g;!cAZFejZdm`Lm zo(FR1dJlW`u^WXwztj6?=wFUmXTZm;Rbb++8v(I3A(`1V%bpov+J}PS{s)?J{dH!n zQc%^|5yfTki1Ue)wqNg$Z(bXCD3`uVx!kjM!TR~MZSjNhQv+_!j2F~o1)^%U|0zsk z_E^n{ZrdlykGC1ar?*~OYPP%7*=I!ScDh~QQnKGCX#e3|;u%RwecMO>CvR3sH%cde z;5W_<@~-^wBN`-n{H#&Doil%HEwC1N+$&;Mp!V-EV(OCxh?o|))>ipw1iW8BL+uM4 zK#9S0C2U-GRgzQw?XEIvD$m{b-xM_Xdb1GDvcEMS#js^9r|Q`XyQ%)(17eHs!^~}o zC(d?P{ceZJeZ>zLiPp~iVZ@L2oecSgTiDpw zI`8?gFMK$T$E*4%!z=`gmr!)=vWE;FhO3GXPU{m=@*_ zC;Fw@EjTop1KhQ*j_SkqU(n9lGo0Qk9YY@GPNXu9lACa2Xta)!O-mpdr#5TD#fvU_ zyBgV8og~O_(pabiLo9_{r_hUO3e7LJTsZ@w=wL14pkhM5R~tURl-(>zzWv?H0~y4} z1ifK?$70U?YVA#4=;jH`C}K_ozrb2NWxnQL^<%73eBRxqsTPM7k{*Hb0M zXj(cHxmt8o-_GQw^G6_dH5@-AJ`=LAd9`HQU&EEc=yQnMn2W5fRDqRHI3$*1{r&?zvJNy@1@;0FUqHF`*=70zpeDyM@k ziYLz!dscdQA6VvigOYn=M)QXasb~5?u-id9@Xh4y`d$<*WoKvXfs`#CUzP3_^fzr% z@lsfPQyS~dwp$9<60v(TAbmGW+Y}GADAS(m)v2m*<@h}9+JDG*?m;*mcWi00Vz2*& zGTQeYH|`y5`de4F9(qJ=+ey&O7<+E%qv2cb>yLk7l?bF~1INE;2>MqXfP)kgi8pb& zTYnIV_YA)W!!gkP7pJ~#+_A&2oN)*RgpmF6gKQIBsgJYK9aH`EYx&-Ab$H$q|EX# zP1E-?(RFWU%`%#wcZ-GFtuq)50^?ZBN?o%wi0QUk4)b*OXh+YSR<|Pez}sEDzY+J- zNTKzkP4>AkM1p~DK4vsOF+^-(^i<3fjzbE)M+d;p9mm4RFt{wn0OQn=n)X`#EHEmw zn`t-DQNcirh1}F@&=SWI@*5z)cz(2rUNr8upl$!H9BY$J+%^($FJC(=o-f6NBI0_# zpKcZ~V3DGJP9R$Yg@!Sy;9m(ICv1=u*grJZ-X24ZDhVeZ}vHpuf2*Y|aCOON7o z^MCG*vz^&6^v(Kb?x#~-MdfZ04u)ShVVH)X4C%()1D3$24&mu0)9)Mp2hiT9^IYuZ z9X~RYwPz^XXT$-f6#9Fj?Z?EAZu{pN-FOSh9c)UP((mRb=P%EApSA?I4rpM`H3V2% zKEpg!s%@SALX?Q+P@^8dz6mfC|KtVR2uEF8pI z*QBPzwW{3Yy&ml=KBxP@N zyTz5;(y)_LA8oq)$!C0J(A;eWAbnS#i5u0?2c@3#ln9{{!mWy_o@;uS|9JhgKq*xC z&iXZiIqh?mDExQKzJ_b}D38GZxI+^Agc1XX;(-%L^8MRXAhcZ++bXueMd%K(97Wd{ zg`VBMnXRudagdECGP|~Q+i*^+nE)!DtjCz>Iu)%h*}R|N*jNM=5~oK@^bQ)5)OW(T z1L7o6UQvS@z5&|BEkA2+5Oq#|UjcTqzrf0AI_8@Ll^rEvCg z?qOyJ%a!7sIquOy%NsWYU*`izX>1ncvfFZ_8gA<~rhO~4Rd1E8C>S6+7h>>Veqk!m zvG#pET;xB0m38+C{eA+}g_fucs2E4)97gOBY3X{`KPv9(NL*{V6D1Nd@k313(hkY^ zs`5%7JPB6hz{Nv+^5kGuhrI56!t_Q870`t+Y8$!f>AjhW@nT9Ww9*+~iLg3L&DpAe zz3neWclv6c@R}`OynwSoy2{gfvWUIu+!2aP{|{n#(KRU|i&%zMRT5U`T3^{I1}-G7 zt629%uO3t9p+?I!nMQ?d>hu;PORh#y2dV!P^X{mJ)sIYLX3*|O%tJy;U#**ICJTN+ z_G0|SD-sj&>sn1oO$X84^M3kqj^!abJaMil-{LX;c5v$EGDfknds5o_qQ( zEfLU`4q7QOYG8pqFD|z{?~=wC-)4#vr{^k++H{=K1TCSMj>hi#BDCw54Bfuu;U6as zTVAE6$m_SQ7-pKO{zgdv{WyJ1imU3!6~3liiSJzP-qwAk`n=R?R+3J#^1IK^eeiE# z?8%+s?*c&Jzn-x*?LjGIdFC6z%tjyf6G>vUSfVkVl7R!h7wYPb+I=<3wZJyv((F?2 z9uC(SHWaEf`Xh^34$JAY=JNY&=)7x~q z$xYwKAyt|RJns5GPT{58UrkOVY;fPIx$Y?r>Y6C;wA%EwjFzufq+8NQpvO<;q7dC0 zqvR;U*Nb?bJ&Ri0XlI0;2iJAYaHv}7AhxP-pt`F);7Wxfng_mnr9Ag{yL*=a*u;bN z&g-2kFOh9)R!v-lubqJu1~2TqU)S>?@pbmmsFC{|%LGGozIpRr&?wHsbS^$$W*Wi4 zv=DNgSBlIU)X-EfHtXd$#Me;K<3hH{Bj%uEHd%SlJVyU26w&k7-J6$@UvzN~3#_GY zBXG)7VyEYU^rfEq@yaiKdJ2=n9zE^FZ^7GD4NEy_CjyUJ$!}3$YU+l>@{l zkBP*BR$1Wv**eR`da=0~OSG7}+B`0N`%PH+$jCM;5RpOOR-yJBot#!thcZ~zD;4Bv zBQE^~R0<>EM<>smAhWJPah^{10S_^Wo}PcmB3FfUJ4XZMX}GEFa&G=sr)wP256%{P z5b7FXBy}$}(%;=H5h&`rN+@o|yuKqM)Jy7@8}8?s->o?XtJPtiD)(q#X)(FzRDW$A zc8Bj3uuJB?a>27hU4u1eWaw8q+bry(_{7KEazziNoC=?G2>ort7;BjM+nGXqmv8t{ zJU8od)h|dTbdBZkDWXfKe)=UjHoWe;>Ia{;AA@%W&Rn=ArrIq5@&yAGJHF$RlSX+T z_H>fgVjqork-l5LZr_Br=#$1c!nPVeN?4-1H?Y4gCD(7;FSj4?{ScAcy|eE7*5P1U zVZQ!l#`lFJxwFpClL<2xvr}f5%O#4<;aUHp1CgO)&4E6?2MkyT+-g*@@>sh}P)2cw zi`He^K~K?tMcJFg`+1tpQvKwmjhj|aH$8emnAOPF*3z6zPA^Zd4I2N|k%k64<{%)* zqDy*{Am1sW^uk90DA*~xIfc6sasQFd6VqmBH1Tp3MF8L?vH*lT9Pq?yt_rk2SV>WO z86hc;o~%ZD3JXLs_n+OF9`P6>*3y*6DP7^(`12y=!B`ja$q8>vDQ*74&LGxrFC5-8u-lWsC zu(2_L?(&TJ-hee1CxSc(A2QJf4K#`xRPLdnayiJviOBTS!?C1F^g}YXO}LD$etwc5 zyv9JK)=;$2D!kl& zWgFJF-G(p>39JAx0~ZW@xh25cdbRAzsurUA;3f^kUMjnTYS-9-XDVYZVFfz}u_#yo>t$%1v|~=e&)--O5{M zZz5jfh3j(NE4xH1tZ%~|4^g@6j+qOx6g~jof`fwVIrHhGK|Ez69FZ7&&^7kNwwkX0 zfOgXtuDn0AeD2@Y6?<2EtHZ7qeiuiMu60QE|ML&vZRdTw=h=|(^bb}2;+p&p%iumF zdV`kBrV3GGO`>aCBdKGRwI!(rayjaE^INP-;*h4<(mV22%CeRCDEsV5>h;YtSFVj> zkCYTu`hD|?Xm9E`)08GoZ)@JeC)%l~XPle7(#WA*>u|g@c|M%KCH=zEzU*@i)m*Ro zdhTJNy8Y8QK-;hKpEF1~mE%Th{wJq>CCLj5b-aJSJ-a}#TAI)S*SJJ_3992!J1 zGqd{y`Ejhjo?|CwlcX_f);uMS)}dcE=)^|*Lus=T1SHJt@E{xfTiGTHpmQ8YQAPH` zxR%3l9-S|5v~(gHwVOZw&BsK*blD14xae1pUny=6RHmArxCrbAsw;aj%}{akfki%a zG={z*n@j4*Q4_b===x)tgmwDlhg2$kwhUC#ex|QJofg4v;;YCh zJ5>%Bg&bTJ9yf?@GRE|f%wqz4p_%kYVC~YG;V@FgtK)tmPv+}7)!gD{5@a54AEJT~ zq3^YCKNjvv#MD^>;g_rDfdU)Ju#b^7`u4Y|&w?^FZIXfaa<7TvcMFwXiR&F|;7HooaHI@2Q=u z&eXGQ#ecQ6w%yA59*M`lP&74c_-GX&sAa!Yzsd&Xb4`%D++-(At@M;`n}dJVHJ$<> zmM#viHJxbP#tq@29vATQ^%QVaEkr(u+z=kx7e!mH7h~|lzM5x5PU)qec8DxQ^1B_8 z%1A8xdPG;~0t~{wt-aNVo1X72*}<;fto0%2rMV6mr?Ym!J9y!kQ2LlS=e!@9clSne z-oO|7uJE>xvBoXpH(8c9Pp{SUUMi;J?b*@qJ$3aj*@@4dC>5w641XzWIt1nKXZ`9i zr&|t>Rl^Ili!#kMRxTU1N3l14+}&_aue>>Avnt~ACjmEEW%v=L<7#BB4{FK)iDT2o z+LdAoB@Tn%MNo0RQuq*%fZ# zZH5+FH55H!QZ>-iWh*(7E2>-&1F}2Uedfp<#V)kaSHs4JQ`{6d%=u1q5)yf8QITOX z`U=llcI%js2O#~7s1jDlyn0fZW~US1sav^Or5&y}@P*zMv^GLBo5Vyrv>F7jY`GpD zlwNS0GP`F!x;-4A^>!UM;yJ~4Xq#po5`yr`NN7^&*{_JXBI}-C$c=ddk1Y7+MUIiJ z!Rr4}bguDC{{J7}W|)a)=9EL5@Vew53ep4rQbFuC)X+XbBshPQK#LJZ>)+;+M^k=YT;4 zK5E!HnRADi06A81Jvg6=41R0^2j#Q|9g{s8vz@MTs0(eQ*&v$2G>NwJ88H!l6h)@K z5JU|0A=`$8Q0X4-j}z2QS_!6Aw>y3XM>qvVIO89>kL zgw`6RsaSJ%ntQkvK=pWK7^aat{o^22aOV={O~+cBud4Qbp~u17pz>9c22(#%?jT>^ zDif=6!oNUAmDRcR&I_$J~bXu(1G>f~XUf2s$S zj^W1g=)W{dWodp({{#4kv_Ijn*F`7Vd{M30qq*_=t3Z=54~=dA>dg+3U4JM6x%l?V zS8=@>k`7L69RnN^clLW&)8bDeQb&etHpO|e=eQ^T_}j+Wz1iPS=DfeK)N^G#HL*>f z9sSDAsDvesH#_w8d!eKd`NHuzd$ioj#3QbZLslXprrXVX^^&6Ge_!d8uynt|DDi_` z-~R_7$nVUIK8%aLXOfZ`J+%B)-^@q#1WheLs{gMckN`K(#?L95O^v@xCw%--Im2u6 zYiHAXh&jd(RNY zr$L@6xAz-5M6PE8ry2i@u`dj58z4il5i=C-r!h9F5zp)R92eof3Eib46BAMnr9TKF zMVmgV)qcLH5uZ%Ytv^+7g>^2a@(1sm*O;PuH^M2jor>a5%#Q=U5)o4}T9T^rq(=Vg zLq`sCrx(CXBMi9Xz463h3LFJ{OUt#WIlMePk|DHvsM1rL)_DtzyR8GVp5=a3&X9n zj1l0nlSPQT1R&nImAcay6W1Ahh zamMxUH#>z&&JSvN`>QtqS8e#(39Si^7~(K?tZFr-`cLA4)9y+~PCe^6xHp!a2orb$rYGyd|=kK6Y`;_2~&zUz*u3pUMGing4`jj>qdCs8Iap2t^J4+-l6{_#dsMLci0bGTkGI zF<%V(dc5`}=|5GDJVvbo^Oi*`rcri>f0pS9w>#W%=Y^!bcr}LzRgV@A2~gd&ejQo! zv2jbhr+eBHcQY0ppYA%~qPBOwQTono<&;<2a_~*Mn)W!6meNEMi-#bpya<>@0eDjX z)>@aQ@t-pUrPZMnu0!DKD5v!>SbN0=p}q*~-k#2fU}o0Wya4k~ph3~^$i&mb4G|Dh zCx;$B>%%W$mPxdN&w6|<3SGN~-P8_JCLQTIF7+@>WqUOvQ(ESFYyf00@?EtgbL_(5 zfH*vzze*85k; z$a#-ZD7&c0($upo*5mUK0`%NG{>kPp5ykvKS;9r2Bo*0teJ9h681yaQnhyMVl&R0M z%N75QY=Pj!U%1V76#O|(;O{^e%?m74b5bF!@zJ6O`ZajLwc^V1D|j=3 zpITn`A9NAhcQMi2KF*OO1_*yFNlBTH&R;x{-DZUo3lxHRju5b-ioxC)+VjKJE*v7J zRBla1nIeVqB7jX+x>ZTr^q}&c&BiJ2F%)0uo>Yoj5X&r0%e*ZF$bCjHTqz)X#4bg(ZcmVKJR%2H|PCc9FO^UyL7=F)|SjI zIz3(Sc$KI>!}9a{HKQx&0@a$)nE+?R<47Iv*dj$d3BNU{XJ|dWVqzRJ3Z?B~EMDmW)0f z|CgG2>_AYeEhO;WRJ5cBBzAoquNbGVBuMXqk110}ylRrRd-#hy9!6*Ph0`LpJJ)Kb z7viq+Mq-;f$E5(V}DmZ3^t1mt=~iL1AHRRWJ!uN zClt<)59~!t{WujW4iS=~U+41m2Nk zzH*ITvdoVkcm=7_>r)G}+faPu;iU*GU?J0@{c<40zq|d9$ND^efIu$9U0&nwq<(zE z(K5aW^=?xZ!rpJ-k}Pkvp|v-W5h0P$kb(Dnpnobg<$PuLlCC$q&y^5WGb#m_lEC?(24N5*=GP4-^i0QkO+l(SWOPe(WihxXnuQ+h5T-9+h z>qyov5d_B)$jd{8IPZ;v4=o#2O4;jSoLHU$I1`%7K!rD22f2tm16@W$u2VJ3y5@xT zN8B1?r#F@STa{!pgWyb1PkSXBN<#q*!mOOY^QFbRUV~BorHpG_cxZzMPCO-0f#n^> zS97MY>SRlm+vYr$rHZf9Ri=(5hpy1#X~myb>s93Ym#z%48pC7Xn)IdABD)UF zmQH+1u;6G*NLty|M$Hmpp<2nT+lOBf5l7Uj^n)XUsY3fd9>0CtlEiP8JmYIs`^Bzh zJcT`I>*<9Y)!S=D_~SZ0uVoiOa&QrMH$y*E(Tx7SGclR=!buaUUxVIbYeUecSfg-d zr)LySfB3|bVZP@z*>%Ov6tC!nckuX z-;TG<3imR7r|j|tO_P)Rc5J&@T4O)CTDg#3_q{JWb{BHS@X_NvM%+GC`1%f?2gqyx zOrGewgmkOlq$*Z~OYW72kD7$boT-6*gnZU;q&|$j{_ILqquJrhf1-9oYhGP?sN~)X z>RI&Y36Pw}2b(Jl6Q$v494x=IdC`A&B2%R1VdHA^_`r_-m8&#hb{;*Wyg)@p?Ude@ zIk=ElTpy8GI&hir+S6%WMk3F9fZg*hZco8YUTr5k24IZsIEy}aSbXo5m{{*F5emuL znXBRu4SadoVcETI1b>psvl4f(ka`2)Uvue5j&y5E%lunN%gput_A#G4$G69Xn>P`Nr)b%d#81i z@SHO4(rV#FDF$sii1dI}2FUI7ZrnxN-%TK2 zV7c6q5vj^DMc>rdU4j?e1`A^8qc-?>56uo~JfON$h`*irh>7QvTp-ci88|t9vK1CY zff#^gmdZab;y7u&{M_txl^NEoFG3(+Z!U(FV|k_K-HeDuFh-XDV5fY&wcPD`e6x!w z8=X{5e!}u^{&QP;miWsbTAD7(@Hsx(Pxjw)W5NvfYQSf&O^;VnYX+}(tLZ=moyYk% z&OiC?1?i^RCuWAhKKhS0V@{=w3feXR1wkP=LOp}%n=u_~?Nja9Mmy3c@|kOsdvL>@ zS0S{zmo&aoc&~@hxpUc3M^#LgFL_dWdcBTAa%d*FjIvJEuS^0)tU z{fe#6b~E#&nw|-dtKCZHHy`SR&a+NVKCHTdJvtw^@7%7|BOhO2z9f6Z_GJ8aarS`O z_mf~&i z`u3bUv08L1@7PXe)X#&DGaD5Fq~87qxjjB;Cx|o&xh?6s(AVA;`bI5;7hhCOm3d10 z%|~Aj3gj2*O?S;Z@fE7vH%*ukKlt>|uO_aHHx5@b4mGeamy;F6s0`ouF(v2`aVSOY zeoYi%8_U3MA|se6qDglZvAm+ZLwx7hnDDvGEOUI{hD^Um^DhStn5GDp25{ne?}|00yaHO&()9bF=9gfjkk&qCNstU-u)28pr3Z z+%k5m!pS*8qG@}$G==_5)nj%wXm1_H(VH=T1-!7I4YF5u;G7t)08|R z9bWH5G?84Wmh(i4b=%nf<~f-_1b}5o)F5o9k7nyj+HF^131OI5SzW8 z5*+g*d5AR?6)r(OG(m*v5l}f1#G;`w(*;)rMVK_s!du=bTv$Rj1{hff=%|?7Z&J?c z#Fx|A@aCw);}6F`(HNWpyUfbj|4O1BHT0n}*z`&UA07&pHd6OJek1-^v*OjILd)U- zk8I#?|8K$olssPfkUOsj>mb%eiu`{W)~L#%Ds4~Ef$-OhI@C9`6Jm_#2Z$O9m)x3R$RY; zj3nqZ8^NomasS9uCviNLQ}xwsB*OzeujbqzU`@Q;2)lFX;3(Y~-YeRb%dNJ>GML&- zIl3~|f}l{&_`0yeJ*{Q%_Zsjec8fq)@fHR;kifdA!xA{7e*J+NW40SYC6l2jLBLHH zbLJrsJ=k5bA44~w=G~xExQR+KWbLm>qm1$fQ(`H$uPw87k){zrY5fFu=o_Uw6Kwun zmGe2GXF0OmZY-hmniSu>vmnq{M@cOth-)ZN!yKnO7s|`sH$sx14;41QS{B$V&XQJ0 zbPujBQ5j?S21YXPTJ0R7XURv|nJtiQ(bbLkFO=MZH7X*eV_wBUH86rT!)IlMKp^n9 zkUGGtV*IWY<1SnpV_J%V-rFxAC3HdggyozCYrX>CAPSn&X}@bh)1n>S=&?>JC$a_q z>G;KbbWpY5PGng}65~&hbw0OQFH$R?iV^wR=TvRTe=(G#<|ATH-`nk-0j?kafx;O; z2=1;JCq72hl8iKY%;d8W9+#J5Wfg(W&N5FZM52D-+9L3KM2do?IG$k^a+iN!Qtg!b z+u@ZO%`AaLbo1^rSMgYeZje(3w0gk^>iW^jcRSp7w_wuXT6}($72TP_xAIL*sFB3N zJX`F(SS{2miT?+V>J{Dktmh(x(zFOMM;63+wlUT#&zZr%04f7#~^WQw+B z_*V0XY_H-o%0IOdWMS$4pZYI(?v&-7Sgw_bZeA|kwKBPM%19p18LklQ{WEQCeNm9w zoFA~|QF{QtGgXN<`J8>p>)pL*t+sz1Kd(GHF?p;J)!#dJ+i+jAWy~$hJkZ6t2N|g= zMYq=jhrJ1pR+OET)4#&;+bjD=M%%A#a*rv--!PVWpuKb7)p)xn31;vcTKWLLZ?>VW z=T6K6sYDWzI74nupsKM~q zEj-)DF9qdx+t##By3Pi|(*K)>a64=Wr*PnPH}B=TGo%Rk$6=QPO0?@Qd+n1(X$ky7X8cLwh>;! zkxZ9NxJaLA#IyeQbb-EBmPTNiUAGZ4M6{m4?bav?Owh#B5m2Gx2#A7DwowbJ0lOek)X z5|TFO7%?Cw2xzl4eYPpP3-W~Dwn%+Om!?534f8JoQ2-gzh8$B@aPJ-!mL#TlLPqJC z)DGSEOm&q@qz+>kTSs}pGAC{iLvDarq-zbYfq>J)( zau(UnObGw;&p-!0cn1g;A<&{?n^?#;x^8Cs40U-PO-(REz>ms8C%IfI?cKBMzm^S&tr)gl}+ zTQ=r1{dGC7A$KCD=rpVIk+lD@Ufm6rLf!^$EwD=Gvi&t5(e<=G_4wvmyT%lX#-6v!9n9YxEPg4M_aZJE&9B(oWB>j;*Y) zQEk=6imCBlBYWZOspQLS!5fdlY{;wS|HR1jp*I9a@+(h9iczfGn?Q%llGVL({fS>FZ0l;Z~5;dh5ms1Av{ADna&p%X6-h;fS1X zQPB$TPJr=?`$qXX6{`h`Dn*FnLw%{-7-+-75X2g0P&!SWi+|{vNln0Q8Mi!sHeN>epP8h3y#Mv{07mizZp@g18a!@gA{N6vFmJ+ljzS6 zZmD8FZK}zf+vW(Y3;Y@rVcRX=DS5$MBf}u|Ek`=b=x0VfFW3=+}ws1_}MW8qwP zqk$BUznrul2#`L<6mRKqk=}Zg?fv2yS;eKht%H-s|HvN$;`iAAjvttiUYD+i*tcHN z!Yl5c2W3Jj)@)ErLf(V7ctu;G(yK8@Z@^D!MI3f9be2>+$~}G<>Nu0R5ePqp(nLax zn}0F&M=_;aXz&H|d@*DyT3=BSuaI7<(BWyb)~a>I@jxE3L#$PY<2zVV?`EyRwN4@IY^VwLs3 zoH-GwP`i-fd!)5_+0omh@|G?rwkqGj&vSI%(fP+KvWCV^gE$4<@MZH;{ll-6OFry- zSz&R-u->j&dB0DAzi5B^-ooa=Y|med)+BMPrL40z5J?tHM(u(_5|L^2!+#k7lFBtf zyX@KxZDpC=CWM)6D;K(U_1}7kVgXP}=qr&G@iKkYd=XC#0BTK_{j%S^9O4Z|v;^*9 zBKKys7V77W^7d^IbF5vI?jt>bg7KO3%?`$7_HE?bB$#FN_cj~8YR^UB{RZpO5{nE{ zm4v0Gwom0yb9;^x&1bq)nk5RzW*{X*{^z_t6pdzAqDSAx@PK%hc6R1z?q}(MHFce% z=>Vls#&bQZFb@VQeGSYoz^>7E?&jv`sFLU_U-%$Olw1H;!B9m|*|z~UMTISD@Sf34 zI*jKdRUT_5Fj{u*QC&L_6vz|Y%)71{z(qdRBQ@qjTNDx}pf@!z?oiNr(3khLQF@+( zY6u}`ZKyyMyODt-;h+InqKJ&KkMh7EF3M?;9)IFPD;u?vZZ&4fIhvSo?tW%TW|V>C zGDZKUQBIgdlg^z_YF2^%(`E@?Qs6Khlzd}79k9}#3PtpJ3(2Z3S{>|krOx@_4Lk!Q zlHm_grWU+~u&;6nrW^Mgrb$STp}clTzqoY_8kwaq)5wJQlh-by5lVEdbl_wYm6*qu zh9%TJBwH4>z|47tg{9fB^SO6}DAmT3$KDX4pdRbKmQf&n|F_kgbu3Cp1!<_e9?gDW9g(De zG)5be&91oY`e1VR=sNbnV|ILP$kXK~)00Y;&{*)(Z{G{jt$br|j-aQO!}tadW{Et& z;8TFNAN3^q_Wd@eUi)31W_sLW!H)c?6k^wiYyf(Eh&%MXCc;XPEwQH};a{e;PMKN7 zzSxSh^y_i=SdNXg@G7d?=j0+j@y5Ao;rDi}uJmPisJx4OO7oq+?n1)bKbM5E7Jl8% z-B&RA9*O@%q*;HNBwhwjO%1G=bXAbEFW2xJW%4y|Bgy>~`}>vI(2qybNtNTJe<7=V zm%YRlKP5L=s(t^8L&eaI%Gy4+3Ncv5om|~@m81<8u zuc2zcE3ByD#-uIpOvlfV)^FWe-0y34nuf-{Q@}3?WrrQ_Fb|B}tqObcLVS%O&y>H{tx$4*>+NT|+KB-beM27?GB&v}?-!%YEZ_$@yxArN00B`4r0 z8IL|Bf5^iuhD2zl)#DjbeB4SK&866!o9$Aw5o&KoLg)g7;Vk2YkAfg7Z1Er;<^x6m z_{n)^TY#81ATkqFEs%|QK{q6^*K+Gs0$dv+aLFQh96$r@B<2|nKL)>FIFq$U;vnT% z7AEs7?X|p(03{9TzrUeB@gHHvq)jtYN-&le=2(CT`0CrGW(FZRa5O(z@exfD6{;1O zTtY2?jC&-C;@J5^Y-p1S@5Uv3vvkrM1qJln!~fKlB+K+<9p-i|Sbzroc&Ss#CV+Ymd5-LXvR+;aIIaHO z5(^j_1tsYJTH~_eWD~ApTrW`O3yYyZ2uO_YXf2z!&c0>Bz$k12q(cQuGDnswJ^Z7o zR+ut~_sE(dF}F~`-`>1Ijdb1k3AHhT#$a%fY)KE!IEr9NM>ew+FCcQzc#A^l`|riV zyUW@0voF(X)(379&gER#Y_EUI%Y(h2-~E0_=282hPtu;WZ!GCsOX*Y00(4?B>Dw9qS@jDbKG zEe?o4wlXwV82}Q5fU7DyLVb+zI@@;@eg<_Lbk=eI@fQ?s*X@(zWEE zaQ~xN-Qd&Vt#_^fA75a{bFrFy{k@Ykg$VsG^9NXtzOm=>H@h-!e7M7e+}a_#Ru$1W zJZn{X^?PykC$-QL!?2$)!09bV z=hx2yp7aZ2U&Du23gOY*ScL<)2o+6lwB4v4@r4QT&k#FvTGqpLzI0Vt{NfJSdBmD6 z;UoUHGwdmIk^AKNgrbnqGru%F-R>a zt}$Qc^qUbZM+T;}%=Z%(UqDj*)43H3yN!D|$MV*O z*c^cI-^}ocojYPo(N0ea8Gwx!-(Iq1#+3Mo>Uj!Ie-iKwtP|x%io%x`vz}uPH;6o? zSoEX)OP8ehdoIcu%BV`HwsyCo?@11mw2Oe}25Nu;t2F_X?UEUGzpIR}pZz<`ROsQN zD={G0;4PGN5z>e2QFWS+*=yyUq>V!7I=~ElhKhpV2tLh`ZaPA|q6$BfZ^ zajTX&N@t^_By`4^rr~zoAiV9jeOgV_BmZQ9@D`^YuD)(>j8i`XnS|?y=|LsGyzAI6 zB4s{w%uX_m__8+B1VaFNxCjA1UK*NT zVp3E4 z9o+w$@N#bCzDrV*&&0N|$i`-WcR_KMv7p8IDE}{9>lOJc^XRd)`9mfh^PWGm zOmK&y%8mYZDJU3S5Bdqy8Kucr{)#-Y{p|8wNP)Tj0FV(Ew-I6SBHQ`+77MI8nc$$D zeIotxwzifzJa~BKwP)gsrpRCa1AJHixN1BKtwY;3EM#QkGXHz@`r(;{hCHS3El+?0 zZ|6^(Ja>Jad!}IaxYq3N>>Ib-dGR&;9T>$Q+=;`gUEA!gq%9zO8-KfAxU~1?R=2!w z-5-VH;7b+|osY`Hz$eE6d!)cf;G?nY;D6>(O$fS zx8iru+QT2m(<_P&V@3V4`0kYAxQ>~HaGA~0*^y*!XQ-A*x ze0vojriRnw;oC}B?-!qSxXH+H(|9!4!0&bo=3mNQ5QuAxwuku4+Moq~PGp0?OVD_2@bKPSJ z1#XXyv!+BBvW#Ox+-|W`*6s!o$&Ny=BozxE=0yn5e>*y4i0YJEZxWGg!oGE=xJan| zJeDt0_rv42Q-7e7R3{*53`GBc8#b^?%ifQ~war5!W_lP6ax&%(VEK#R+kw~!+cgN% z>>aRVx*oQ^%`#Pmu~MTJc8Sp7|0n1WoTPZ!(V~Mo2*FBm6Z(xn5JVHw5JZ$Z z^UHG!9?rnFo5#d)dD+c4|7N#mi4 zS*BgI1ap$~+&u|Jk#{@kR)g8PKLSm*%f#NNK!ecv8V-U8YZp_K4$6_wELdYg@jxS_ zm2^|HYXIAU0C6QdUFEdaU7|Aq&h7>vV;@bVZyR@69(L_`vP}OHsbK*JTu17q%*37L z$Sg)GHHup^m{vHY4(hf7U(N0g3Qa>jLQxOR*Ub#(mM`pKW`y8eI!e1((-SS-P&IGH zq#7yHyB={-3h24oI@c&Qz7f`;cb1Ch77~KEx^m&sqa3Fd`l8epYpq>hm7j<5e9VUC zyLRX4w#hTMvoUFQ_@rjnhP?pfOOszt&8h=ujl0b5Y>@7kVOswp0e6Xxv=enSMd%L( z;`Ie3^Vq))j;et}e69_I^szV_cH9qII-wd`^D6p#v$lYSdTm< zgU=E>Kb1V_634D6U)_Saf~~al=u5=y`lnlhPGaAg)=LCZzlCf+uR!TiDx<$ zKmPaA+U3r*EywBIU2NGg!!v>|12VH1722hpc9 zCODD8z4N_RP`ouGN*3)m!kgb(T?;;Bj0&AhEsD6twVj0NS7Z*gJFvr*o)~HwO$4nplk6Z{9ET`wCC+^3+-GNN%v#*sNSJV1$-)|&X1Pq z&U7tPIGd&Ci(t5Q@y(2Q0t`yZVBgnz&Gzu_izcmV_)ByYG$ofooBH1Ju>%amGAPF| zPzomj?eDXan~zYLwi8ESl^e2EKK}!7 zsWz|27Hu0^e!sD((TcrYJ2BOL6q3L9EG9hWAXDr0DYP}Iw|O`ygGWoAllCg{>6lj& z@2mf+%v|t(EV99ErWJgR-9=r(aYKX-O)ddCy!ogHBM?7PgNGi-lgUpn@Sv( zI7E;={SUCF^2vkp zxKOn3LCcr;0z-DTY?XZPbFgn9t`a`*>07Vye8JC-`k< z&|R4SO{{bKcmV-Xer~+EFmYzozm5iGVuSeA_^OE5r_*iRtX^pw}D zcZ$1>8~tqERkM|^Ocu29euQ!RRmqoVGh9_kA)cm(!tFzNivKN2Bb%a~Ni6)rkwo7?7L= zMWt(8NCi~oyzITs3LmMv+JMumJ_eG(SAZT#VW8Aanu84wJkGk3oA}t6B*d{Ga#(Jb6_JA`wIsEYnIUrU zoW$uZlq3-XW}k zr_XM)^&r@ROUWCgJXPaP#cKpBO(LtM`%~<{DxTJn!6NN^T59b70I`xNdO&vjWakMR zj8xu;?fJyBOsbGQvCEfWW^nUvI?HbQ2IG#l%hmEc%(0{v+`FW0D@FH)j`abj6)CYF ze47r-PEnp-=tGtRuf0D4y%v>Kh zlP4ib?Jau!a0#M{_RQv9q}cL5)EibQjj^O0DbyVT47-kB=2e^xI`>Jw1Cwy!unRx_ za7rfGT@z_d(4_5umRFKzw?cpvPvFZ$Pl@oTAo}OWg8Yn61ye z2iBNrFI7l4Ww7u_B-l7z$#kuh1JPKy2pKIT(AlFohGXBjE5(g(p(TK$9ul>ZLE+YQvnr* z@ylIcYzw^N(6I>jdAMW%arAyfn0))A_RWsVf!wW!jrC|~IO5>Q!^D`ws9=O=tc&d^ zkDJ|o41$GL3fey=6fcIudA^_P%aeFg%t99llyi;Stjd0dK{>IA+}(giFxtQ)(5=1% zowwQA$360%G6A!+#^Uu+KpiFupb}c%d3~iIFvzKWgj8?L5<<{#Thym^i|0>f;+iO| zn8nOB25;H@EXcIIOm;r)0z?4nwkV+0AYRO-abiJ+Nda9nb+l^vY$SoR(NJwl(Yc=6Sw(VJwq)#&EH%|*S&9yGZi|hE` z`z|z<3?!WyJNx_LxeM&_XJPcqwtsh-4i0Tzw3It=qC!&Ijf@K3_ssD7enYi>UqwTx z-K)ITzjNQKIRI*(a)jXr!&}7Sc#irWq4utSUOEE5NzB^;pB)MNZvRwLW_XuLHaWD< zN{i5;P39+S@B3kAmZ0-WgB#=l=mU3f73AU79+VascuUa$;#HeM)mri6Fo%F91_&wj8z)@o}v2#*&Vw{kiG;0e`N|KSr*qA68-bT5tN$sNAq^4^huS?DA!WlW1AvQ!zqt zp&!CaI6(4ys)E8b1swJhzQQP!AC&1kZfT&X?3CIjl&>hi0(go1C;(UsLCzOpjh)g* zL*yI;+O$J0E}p!75EITiz(1R=Sy5wV@#5Znrt5T1)HbU{kghnx|D7aVTWr$*9&>5Z zH>F`+u*9~enSnRFNH*()g}=#^k8oV7CXTx6RWiE39aH7Vrxtay3HHWyvN*BJ;B7$b zSTXa{ljm^;`_YWWrJGxC={S@2D0OCNck>)Wfh&G0aKQ_4Osu4sqBrcbmW>0w<%`8* z$Q-P+0{{$gPF#AOe-iENx)8t;jET)+Vm_pk+#o$13e3s`AT z)6|s-wAVM%O{t}6wvk$FbUlmpoXMB`IS(`&p_ZK>fmw=3#Q_MOLd)>Qux35ll!$mi znF5&(VjAPLMXX~`m|UChktHkAp%5}1FH~=C$gY-UK9@ICnV*;3;_!-E2m=tV^*<4T zR9YAjAtL*kv@JUSBM*PNXC1(x0-PO`*q?<_Q7{Zc5`TE{P@tjD;MXO~JeU#i5gnyx zVF_M{SRGE(8WhU?aB+ z&RmY~qJL4)jv$0iYCdRQ3A!S=iNq${f3VEG6l?KVob*Ao7ppr9Xp6fWYw+%S%}3|P zI7biFb=T*;p?`RxPj?*Fhg$3!HC{RH%!&Upxm}*{dC$6(j$xb2;3)mY^&gL;tLCxm zY9v!9LDZ4T7C)6ZaqP?clNXverJAqRLIS^7ANZMb>_?tF`@9bIhB4d2qOYMOsl;1+ zAI15w`{}YoNjS*ca_C#WyOqOBv^#kw!rfzs*R8YEFK&H2PV%4le0+e(6 zMWFrlvi`^XQR?&*2O^w49dx-^H<7+eTEm)k)QX~0*|x_&77V=e!q|5cJ(a*iMA^PN z6QkL78vozCHcnmP9NCN+VPVlG-+pY!`2OAuw^>&%PjlYxCM_)9M1Acpd6Z`e>%){@ z!4<6VTC+fqm2BYNR@*7R`8`amJ(7gKpmwT(CnJkS`UrBPV*mx%LPEAR?!{UTT6wKO z$%2kynYn##v+OCP;|wC4LbS`AB5Zj2nRxC{vcgQITwugBUP$wf@VHBah;SUO4inu4 zC%3USW2FTr4Z<}M10&JqNiZNzq+2>;D+NILY=lO{^tIn>h2NTu;f$G0z#y~{7U;0& zecjm~WT-4-W=#z$UP5+uceXhw`bW`DX6=|NGDXhJ)6jwb_I82FhmhvDy| zPL}Loco<<;ioz0V<}RqD5s(+e`IJMdq8)8@@}?>T1{K{xQg{JUW5DSgX-{jypCiln zM}_JrBD(Y)aaUAPQbQk~k=9LEQ<&O)IkLQESY_qfCOS$J#~ld7{UX$R_$xIusJ=mr zLj@bIBO&lTJd9}p6qiSLenbi-+ELttDCMnYtkhG+77Y&tlu+`tv;~Z9X4t#(J>+P-SH9i?`JHcq7b(%VqQ4!@hP$a#E%plj{{(yI1nUSXp@SkJVbjzvY&nUw6_W z(T5LikOGX}S=7YTt|6pv{tB|@d?^@e&i%;VL_PO~nVuzzzA;rk_(R2!fHcKTGv z0X?=RLJl;wsq4*6_)MSeKiK{vM?%nEjh4IC@O1h=TI3whE;nAse&Q2#?Bw09lNqL4 zH|0fv&!(o0IrndzJDG1Wv~lf3l=w#ecE8U2<Oo(Ih=0kTADk%l8izlHoS<4!rOv+aATG z+V!xk&gwVI!&Rw+Zdgh9LQh&#*NLwFBKKW@(+MExohLUJ&%H~idWrN_OzJUI9fj&_ z6M>B({#PtuGHZ0Ue_p7jr?i~o(vOD2Jt>WbOP2kx0`}5VReZd;0->_m~?-8{SnB{AKoaD{LNxh6KN_c ztsVMpK?PIaL_@(o*Jn6yMchVaMk-&?H-_NLn6_*ES*UF+jLL&jD4rR5$T&_6{ReM- zPJ@?}0^tS5cdU7P|6&H+l+t@3<0Y(C#Db}L>k3^YeF8_GnW@wdISdo8G!ZWQo7Tcx zp$>;=9;)Un+SKW3Uc(2Ydi)X;U#;A1^@L{7)IP zz{b#}h=lqEKzlV_0TL;8CZVYBSBpH>?|iza9D_8!J+C_aWc612RlCld6RCo79{v`I z4jOxX6^QZK4wzR#6)7=L`qukw%Y-!mjZDnL$xoqd=B zm3a_cBud9sF`_b^y3`~&sR9Gi4jcY)FGl)$WMtX6I`CFg*96V8$jSmao;&ehv30 z@gb6m?|@9=bl;DkZdKdunn$QV1hk*~b{x!*$+7wxbAYUR1q+bdtTCz$Fiq*|n|Alm zllYoLSLI~jijg?mv6?}ycxd|6E9OveY56!&0A{J_|38SHxQ{`9CbyX zW@|ssl0BB1y`lG)FUiaN=(i0O{ka5e=~9q+_?vfVIwk7iX48)%BX|P$l&)9CKSA%E z^VxrE+GRVc*K!0IK$`)uXRA9cdb&W3RC=5m&9Sj_Me{se_Gw-7yzHBDjQxeG9m`5A zwbaMQmZowOCmc0M717lyvHKNfTEQ&35m#yvNLy1p`wbB7|=6A{ostqSWfbHAn?#V+xGaxDy%Pim^kt-oD z?Qe0(#D3!zZ&18516atA2x*!k#N(h~P~sES(n>z7vwcU@_Tx{H&Cwk?h5!db;*d0inCQZ8%vZF(=d|Lr=4U!|EyFJ2j&u z^N7dhY=75Fo;Pzw^R6V)EnA$(x~+UfX0WmE8XaeG({YK1$Zkyjmy36VHIS5QGBwDE zXh;tQge&SeRS&@hn$yj1q>8wfl8!RSQVvRrvz_8P9J)*ru#WTha_W9~^<-PQPVy{o zbxmMHq|k1#>W*wWV%dn2&;b3XL4F;P)U6Vl1Sur^KnQHyZm-nF#PSR@8zDS>SOEoN z*?q03F=K;ZfQWNzJdfZ+3d)cXFrbMwpIaxdB=iW7Z$D(-5@QfzXTc-!k#mc7y(4aq zgm|ge76w(04$b!!#O1^oCrHcpyOv6f^ctGz`QV6Txk(EB)K`&jkDl7_eE2hEG?bU7 z91W3a+!$<&5n>xK70b+IgAkeA*orv@iaT|N0BlU^3X0eBsfata{qFKLvm=#FMZy1> z$M;_tQ!Mq_PiAKITMQ6p)dD0A%c2GtpyuuBjT-Gm(EI-ZlI4kil3JcTtADxKwtbKD z{{R;r7QozprW>dZ^#0b2`9F%z{gDa%|KoF?3B%kkZLTx9<`&X6a}A9Rxkg##F18|- z+ZIA@TNE<4nQJV$teaLz>n7Kv$r9O;yAR}VCk4VsA~{USOWYVx@$Ky}dekqi z+WEw8hu=|&TD8`5_W6_c~zF~Ro-49t9+oY*^7OnTP-M3#VQfs^h{$7SI0kItZg7NVD5!m4=l`L z_QO!8i*-3gAB@GwQs74)I&wM2zPT>UGx6mX@>?uve2D=_F%42hk`6*DZO|hJ=Nxlm zFPcuv&AGLa<-CHt72N4O8Q?R-smFu{kqCVHLteGwACNI5@^BAbyWNQ7fd!)P;*E>? ze!IV3E4mt>P`#J6-m=g5e@j^~?h*&Grzz-@aAkE3QpW^>*--dtoZq;n_2NWge$w1t zxh^hGdZ#1rJDUddE|X0UhM@HTJDpu-J!;0!Z;O6n0M9n*^JTKcH#r+XWp$#MpN8m4 zt}w{ruO=4XRL@VJi}G<`S=HHlirku;`9T%pW1Yu14k5_({38XiqObV|xs|aP6bH@= zAGKbTy9-slqTG|4hCsb)L}Q zWA71A4#C8ZV>a|Ga=fTdwOAs>&2xQW)>3+9zwA4BE=@nk5^Hr!a=S)KJS2D@S%Zi3 zDUdUsAe-$4Jt=A0zqp`eG>f6h|2j5sU_%(xOQ6Q8>qa;{2#Qr)#^^?)m1N~ zX5X)1dw*E3_QEgx4WGU*1Q1XONOPr&Bko;`TMat=59;{~h37ZU_p9CM>PfT& z;#V~v1uxbaJJG)$#^_x4w^U)QPl9hgbJ%*Rx!+;OGMLd!F<{hGdh?u*;BZGolFzk( z)9FodiN9F?yD(!Dv9j(5O(z|WbG8%8^qF$!Q=^7-JXEVN-_DrTuW#cZD9C*e` zZu@o@^-5`KLIB+%&nkamE6mIx^N#O8@s8YT`x#6)5D-v_w|>HJ@;T97;8lE6t)>DC z^CGZn^U%ulCt(n3ECelxPygrpsyMK8_J}Bcm!0|t^Bo8aKwPBmp}V#R!T@hiI8rfU zG?7ilfpYOJZI69rCN}K&2YBiSRgyQcNYIN1?}vpwpKRcWaDJi)`7}@KCoGLgcz@#R*a#Lef|;eao7r0 zgGEFpQc#-b*p;f>W$|04tQ@g(7zyBIl$@G1+JbM(mgSmjASF@P z$*5)LE(hz_C0Fk1%Vx4a+J#xQnDnPPr&S{nLE#L&ga;76oJx1VcA2wnimZHER>s!q zRBoOD7kTcD-68I@DSHT>d;!_CKCnhykU*g~z-X(M(#CUW7lb6b-z>(Dx{v3aTDa33 zzG+pQbI)x1*hqVDNMw-Ne}JY{UyHAt<@4b1H31E+dC40fy42t7HQXLhLLWy{B~V)({V{=6~aGY-!H5Qy!Kb< zucK%@EJYURD*`hyZ=A5XWdhWtM(7*KsBHzC+<8m-5-S>)OSDy zqWio%lrhK!pT^q`^F&g3%l{_E4?1@T;Oa(4vqbtI#+PY%{XgCNaqrzcevr3>x^Ak& z{r%r$*UvUKd7rL5D)xN+#zchB^B+(6GTrmy>}+e-%!Bfy{D!_r)cdk%MOC>r!R1H8 zrz`Vg)+fK3({maW?FM~cb*KOo#^N0_?|aM^3Pilm(d*Z(UnZ9Baj2?b+xMF|x%FC$ zL$qlo@T#n}hUFaJ>)dmqUW(wl_`9H*cgvTSh!+i)h#&X8wUawW1;_|BDU$nox(pPs zNn3#vvfMrK4@(M>s>wHllPM3l-v#f-G+wrO5JJ6`b7a_ScVV0zk>c}AKC90|k>VjP z_`Wpt6-w6c7O+i7f0*bNOG0WB_>3Gd%3na2E}=?o1f0E_dyZL%FI>2QI2g`~K=es40${?Aku>K6jCsHxccz9V#qUt5VrVQ7ibN*2+GAx~$Y88r z9#9qBRm25W?^Ob=Zp;3tEXja)Zz#8zHS^D9H-i>*LnAV-Vgn(f7?3vY#`!V=WU=+c zA*P`lLRTGAjH;NjN{5YWkjGe2Bq)Z2s6TkmnF-8Lf%8Bic!<{L*noK1JmqUy(s0_=YzbwCkt|Zx{Me9r3NY1=6iN|?B=%BNJ~{fGLH0{E5R{Dw z1c=E<^01rPMcT6*iu}`Z(c~Zl8%BA&q1Re1(U9(o^ZJWDZDW|&o>=qb z<_o_&hj92(&4Xvo$o&VnwsoL^x%odp{*=N7Bw+ zfrfq+JL`i3ct0y{^M+Qug3vJW#{Zb(j|~T+|HT!P3%sm&kK!#;i6X)*&i$oHuJ_ft zZvd*x?9|8kr0##D!v7_H_>?*hI+NZ%+W9^jZ_u*CJyHCoWAvR+E+jZo{pCAEef!E~ zA#t!%Qtdy$@?_MT{hmKx(d|vgw(GB5X0#G|3Iuix4;@EJJ0qq46mj9!95moz#L(w? z@?qPnL!AM6vajwU^t3*@o9GMe%p~)bVjV&ZEd;EnmAtdaKNeP^_F>gIw&=gvPXf`o zOWSw4i^-~=)iJAm9?AxBmG;XvNp@x&pYJ4`_g>-Zh=H#AOaEO}qRas$yQjF+!@P@m zdjg-AXCM?e3)R&zP^ET;(qGyIgYw)DUB^h*-N3y?x;v&`7G?*h6&XKC%N~7;d@KaTqTcXeg?0n+9M@(AVV;W2O_Ig(jJHY(T-JY7~ z%ZCJqNGiMKXN66CwRz5QpYp3-NI=^hLGm2dnT}e!yA4(Z>Fp;c4@7#5jj+hcS4`*i z9fFiI+#yJRat0=+r{rdfmywQ&k;fPZhA(7^PVqrD7Ii3$Sq8>{AA3mjyD>=4?)a<1 ze^|oYSjCSiP{uSlN-8P0+RR(4|mOOZr(2qm{=Y&*Q`pnR%6*w3`t zNIZQ}i|r%Ee8=fb0eK<*O2de%3LFbZc9BQFJt8b1u#ukHSPm%z_=vQXvahDpN0t8P ziZ7%Zn3XpN684g{bTcT}U~*#JF>(M+e>G_17I1P-D-&L9K86=uVBD!dn9TVIfj)CY z+67Y(2uwx{B8@L&ct-|{gEt4Mlh%5Glm=jM1 zNHr|9+>%}NaBRa|UUiWxL5z7;(m|Lpi1luL+&an)KlqRmX;fo+C-U(`%d3lx4xo?A zBnh}vOW2E0@X6MIgwK(^KnJu9W5DWhL2>{z40JaGf2RlVTtmtUrPpIZ^Qg$QkhDLe zBo`i4ul!wfD4gn0*fshGvgAgXJfoC#?#QG4r zt#rw}wU^m&VVwPqreuurrLW9Gz7vLdlQ&mcl~RTF{;5f^g^P2CLIR$439N$_i6W^- z_#L?$$m!Dw1<`l*_RLhvNMB{fN!n(0pL5mhT(&tJ-?69Lfz`V?8%hR59LeBi|9Jdv z*T37*8d7ssa7(%hngTY`J!rFK{YI@)`jDtkvBdo9F+)^M03?GDtL>$S>^x z0FMeYX1kkmABmujM$0kb2j6n9wLdFSMO=%~3|uqaE2kgil&rsD6n*Oh!-meu>nh2s z)7cZtyXeDc?+ak=j#JYlyXF8nR`lWw2|i5g1N%r$M~IIFIQ52D@5c3wk|w{)T(Lxb zf#mvKF2ct+AhvmHn6Hmk4r*(o%eKg#>IVJr^!1Ab6OylG$a}7aJ*IFkb^H)#^XGhjtx_#~ zcmL)5KRnN=uyd!91+RCV?c_>oB|gr%XgH0>^~-hT*#9yu6YkeeY=;WisIx0xuuP|9 ziz%1O*YXT&cqD+`zDHBYJ{^<4@6z9g{>+UhII2w_j=QV1XP$;TUR$U8_Vkczl&nj) zxnGJw(0_p2x{+6(|J%bb%bT$qU6}QsIhb_0`kr*1jQs3>04<~Y`}OywthZNwvr3p- zudE|^CpS*MIG?QVK>d16{c^>FuTcY;$46&RoB!!J)`rtuv0HJzSh4%~)FH6v5rW6{ z#=OF>j;eaxEvs7quYSgT(M$X1BPMlb_!a*x*D6ir$*d!*ze$&zltK{rpJkN4{}s?a zdCEQ4KVA60q80oD@5m|9xtyJyN+IQ5&vgslIaY}VkRw?XF8z%fce)?mweF+ill0UC z^m$T_9ar=_@{c1|2Z}qzij%RCDrfqCZO3$FM0lf`#E^_aG~dlf7{~#lSO#-Gl4$#I zQx9z99~-h6+Hr$b0PWxf9bMcON09@tMew0oi0FiG5&u$^?0{sltqvQ3)eA^>>lm@uE0B%klVoW=beV9(`7}-43Mw0I6l59KL_s$A!G+D(lcCsHr zRyd$0Ct@LUvGwcVGTOp?yTZ-drUtNRqsK5--CBN{?wlZP9kvJ?X0keqD;{ zr)3|pQ`)D7%l8@^WCV{BtGvuD-c@k-4npT<>-4`JGI^cgPSW_d3VAv)ld|jY(C6P^ z5)#z5z*sF~Eke=JH43o9?xtf@EXH}+c%UJ4OL z@lIZ9_!;wk9!q?7Y4uv)0#>S|Wsm-*=}jhtAExj$((QAx>{f{4m`13{b@FM(xFW0f zG*pZWt-D}c?O@Ym&i(oRgYm?|DbvyluPUls{YS!K#v(1)E8OB^?E4bL4gNofvH|s0 zfb?Hsr_ayl?k&j-=&wjc=t3i)$?c(B%XIQ8+J%4$>^jBQuts>X+o(drVLq1FfYX z!l&K$=yblUK`Q=^HpT=;Gb8iKacq%Lgz92x#Q^D}d)fJadsqepADWoDC%HlM#~Que zf+l54n@TM0xlLl*?<^ZHz-5#G@G8}Mm-#3>}l1tW^YVg zh6~&eM+ZP;WRRs-iR0r42}tP`nen|3&+4&{}7PD)G)%)&64vM%n_^L(x=l!LB9H> zC>2t$a1Xfg5$TS49?D-apBn$_-k~%H3c=Zu5s;+!J5oRUZMK9OD55L>ES5||0BAU> zq=TPxCr5m>T07KhBd22{55&xfBwk?7g@Lgv^-~AIvuWLk@N^Q$i)JHgteZaly`LKG zHNRwngdN^Go!cqx^II-|bU4?Xy#7pb^7k zKl20(K1jR0lM$z466c>gdAeV|qPzQjx+ZeC@-6h;x=McA#24bwz-GVJzku|xk;84V zzu#I;TvHMH&GhJ3AF|-vo1Sch%?t}tSex~SNr-5Z_pE!bLK3vWrt-Cb!V`O?x3xoM zG|uQ9O|0O7Nx;*aA2dGko^db2T4I_$@?0EU%8ywmgeC}j54=xKXfM}gpM8)Y+1dhp zn-nm7^AFuNw?gu~;zYqBO7^?-N<^Z^ix;N{cJ%ek`{vZ_@JSMJ_QpL^QpkhYrZYu(1%Y?j_wyd8eTLs@#F!4a$bY9fe2o20 z(0|JVJy31Wda&&=-j%z5PHtXLy8MDvpiE8+ZCVhF9Ecx;J1zsp_(1?gB2a=&L8RT~ z#cp)bC-0d(>9}_AZMloSXc5dw^{^>fc1np&(PnBK>9psEY6S;TD>BxLPmvN#Bu;=< z$5{ITQMjD7I2_TRPyzcQriSN8Eg?oa5fm?RWq?Cx31RJRSc!>c4)NzGf`*B&9 zLz7Q5;}-XP1r}8Hw0af>PzaeDLs)iowrubZ{p@j%U||qf4cjL2vH(QuG>-+zSG3;Y zV9eyZMwp~Ds9ZATlSPgnlm4w7>Hf!%PTx+h^t(W;=hs>V@WTeSfCV?8cPXW zYvzoO%>p@g#hu4r^lbmIXTij67zd|~@GdrtRub?{?nlILy&5!m?8uI|Mg`xsQi|BMIi;7rnUvRT~)Q zNWPbm)EoF-*)o!(!Nf_SRRAp-cKiS~BDW)`O2@mFjBs6rwnE%MyOU200`6A;QacK< zt^qu}5=NHH@7S`Ja}%$A;%R&cIIXM?b8-sL&hX!S(SAl=V}Yv!!`zcAUfJ@`>NI?G z-|E%{3+9~i7ZUMl0a0;k%`?`GWN9oV?%d|aHgiUlE^GMh^HsRutDc;z$tj2Tw>0&*YFBA@I!S72Rp2b1r9UfiVj^5Jo!#bJ|MV{7ZTqCn3xD3* z>}!N?ka-GoG~NbvqW0`fT-cXhg#kgqK;(5`Y+>n@lh?`{UlYX@hO5Vpo|A13%Bi#8tX&x% z5S4m95?Wi^+PbnsL-pm3OI)nTOsMUd>F=8ex%quEGn=XCpiq&j)zC5i#+>dt^@C?u znjn$AYXE<7E2+Zz!UfUWIVxzAKH%W0n;8rf`})VS$5nM%BDLrME9pK}CE*-y9h{Lj z?IjQck{|8T)^4xeSb5%8XU2~?i=M@{XrQ`ZRqqU-F&`5;i~PRuz5H*EeQv@?sj($U z(*(7A<<{0ohp6&%bAU1bWq?ybzuCL?GoUK6=&;q37^kKY%10O2{=(GlWRPT~v|6Ps z*1;d>zB)eQd~t9E$tX%V56|1rw?qhKgCgakxc3r=13g*4q#%;>uIg*#EQ_(vbaWGs zmd8K0!3M$$Hy-9d=~2i-a=?#|WjID&pxWj-IvMsR2d!p7;j6%swS5h3$wrcUBSkRLalCKB>oIm)QCi0Ze1gTtqtz zErcE01(+UtQ?PlLj^-0rRyVuI|6BYn#-D!mGxn2Qm>90)F;7H`Ne5Pa>#Sj=c23(58b<_l=HF6gqJx#$ek>D%@*htFo zuSzCLq?adzYO1rY!4t6Dc2RXB4wZxEKCOUF&?&|HnD&?Pb>DxF(F6T zt4N0ts8x|XbwyA{()TNYddXzzs}t>_5VZydt+F{y{30r(9{F+ohQz=1N7afMx=sdfMVC`|(+N^{!pRfkQQI?;FTDC> z%MUR>%Dzv^3KJwWM4H5sB${Ioz1rnYt(hm@w)q||N^D^7-a_1D;JrWctb*m7MA$0d zvLm8wgflaBwz_g;7ri01^yQuT*{|2a7&n_Rl=d$VU*?<4Z>Su(#(5-}cE|1zb`fLi zR_pKj0{al3>8H>_Vk4kkm1%%FSS+YmDq<^8F;-R+EFin=Ys=gUZ@WmXw35b(Xai5X z$&&9Ow(TCCEQ6_l&WV8Z9+(nA^AAiNAw;o>?6<^|LFO(!`J7VPi<05j=QvNPuPOw; zJeIvEI6AFsB;lb9uquU>{@JS!Yl9W>bIOGMe@v4Tb2v{C4>l4ZSso}Kj;-Z4)7 zbONh{LNPScK&Gr084HCH(I%3ywHOOJu7h(Ug`WU%8#DOAnNjY(U|@NlR*nD$u=tV5 zSEK;+qZdrP*ac`~$g7#LSFCzm+cP!-MVs=uOf#&X{$tbqDV)tzqRF$Y&vI<_9$n;C z`H0c_*fZI(IgJES-Uj1xbr|dTs|Uv$#K>S{736k^am^FIitTC+2(b;>v=w)P9qpf%@&&-ne$q|O@t}IQNf^h;pp-fG72jj zf^O6?v#3kV0b7C723%w}hA{THCI=6jZV(E@9Wm2C#nCAZ03Ds&=*n-D<*HYPJS=c1 z=EP-r%k)FmYf`2&-=$stNH7%5vD^gWg7N4J#y4wLX@ETZN{>NobofZrMmLZpwV%YW zJ-l|9?G?suncx`{uU^v}P&H|MYfphS&GfntlsL+n1o%No=bLvrjHsG(Z&~J3*A9-T zy&C4leZWRSE2iqLzS(H(8bA4*lWTigz^>2v(+#2v&(~U{$ow1JJ4JfYA)oc=wC=&i z_*G$i+(4z>d5K}bl%zh-$4(2?I#c|Uxod4cS)YDVc~xTgNZgblQC&_ZIiy-zNAbG) z$GOZ?0pC_7-@Oj7>IfVOoJZ@5J_A+?vVhGCm*ESp)dCqtD`gl+Zsy6q-dj((C65r)-X z22hS7>!2_^1N&grg~^cuXip8GQ!a6}#fT-1x>Jc|axBfjbP^9Il}HA3CpLNSSTuo# zf^>Ko12J#v1*n;%Xga50H*8!ayA)-A05l`_^9i`*95pwJO(PHybkBTAnes8^ zTX{u@iWBY73vvLnsvn-@$&0Lki&WI#!XqYN(IRXms zye`|5N^%fa8gldy&V)n;+T_Pl_Xp$LPMl${EPS=fJ5gWzjblROgMzA!NFy63>}+3X z8rEF>L){I`_9gcJVdN}+WZoliuY8zrYkH!;axWn932KM_X}v7kKJ2Fd-LR8x$R{K0 z)ynm@Bx_0Yiy?R3ka`W@`TXzjy;ojmYxm7WKl>ep(|gQVnVFYxq_r+j%#f7h&+JbY zcPe=C_GC1$KMm`|X1BwAfH`SFlzyJ`RU8){?oxfb!`;K{ z$p6ITElCchi-D=;{{il-sI1tQk1m?~AxCBb8mMB?9ZgSTVr{q23vbe*-Tz>>^KhdT zxA$5KyUIj@VV=K_$_bOaLb56bepN}HD}4Z&%y$2U83KrIVfT~L*Sd9QCDvQxDr)H~ zf1S5e?>0&Fgdf0Q%p(B%vzWg*7b=byX1ZdNLRgP8@#O&4PP<0!8YO{FjY}v$ zz+`q{L*-KZWno@{ekLFo;g}pe)T?hpcpCGQDF=!a6*m+UN4cL4pdEgD zSQV+Hww4+m?MQ1`zoPG#nP?K_W3Zr zH8&FO=UI@T7F~>cLsKn()w30XrY2h33h`wjys#IOi%7ly0K&e&J=#rSlJF3eciGQV zBNp7C-kiV!QF#MBX4a^ulzPFaGOf2yE?XQ7Z4WRaX*{D$nQapyI``2p$WX?A2;D{` z#f9j3vP8*V`SKq$Z6>Ed>;xa7zW}KCTf8q6x9B(fj)_%^ZB(W+HT=qayJ_VnBZ|N@ zVAH2Uw()qK_*w}UUSotYQH5qeB4cCq4bAu@MG|1W^?@?pXIW}Ob=t_AlbZd@pQ7C2 zYk+-2`Gd$&`B{%ZLq(~&DBY!`)$zvQ)6SLjBRrjF1y~lWLe7i;deNc^vum^+T~HT& zbh!#%0d?J=zoCNG?jjB#xK{fFM$eM zf5+5qfsdk+ii|!^^OOOJQ~R^_vHJw~2g8yenK6^EgY%yq_Emv8y`2-+l7&^{S;K@?f7piHv6;uS0Pj(@?j@ zkxu0x&m+HsQR@d4)RqA{ho}hVS?07W@8=xQS7Zy~ixCyX(DSio;__Sm z?esqtlq_4*7N{pX^{l=)bM~QWkLJBLvlzF+Hy}4u(jd}HMBU4R3Zr+J#b(d#L8yl$ z@2A|VGdlD~F{UN|Mpuf2O+cyw4k?PhrHs)OXojjEwIR@mE}jOCFg=a$w|x{G8Oowc z=n3v(|CsSL0v8L7$0~2R%8(Z>n8;K0{mQw+A380RNS^F_Xl^dy{c3()oykVW9NOqv zub#}ywH7$&*<<#DpnDF1A+b}j$E)~y;1;uO%)ZFbNJ6NFf6i6kyf(9;BNn8Ju!2=Y zwIU(Vq2D0-=5cEL0gpnAiSEo;d0CFAQx{Ms?ju2jFq-n0BAYFR0=2tYL(TKPm8nI3ZYt?-hOEijFl3%DS$fy z5tSySeU$P>yd@2xV+a+mAE&?qm8!CsaEKLJkaHQVMs)$WQHbFbT=jZw((zj$T>&rd z&2E56${tmFnmMa*Z`LP-NWx;9?!C~RcC$^;(xvSoLf{ycNO*Kgm{f<`&2CyDv5&-v4DoMM@uYN?`x8eUauxqZBt zxQN-TgS7=NZPq6Xo;Xg&9UTeEMd4rSHmZqPB^|%=Fo3m}a<=vf-BO+G0MyJYOh`;L zH^N6qqsrLkOa}>~Afco8ui)yH_e)tQN~^M#@GhJCMI=i(K?W+UaDf!D0b{=zaR)!k z1C%qIXn@~%&0776?N}QnXcBAkSBW8Ae*HO%=W?KYB4zN`#-5nI2QlKR75QrZM4e8_ zq->4-@I5z~oCW;i_r-}5EHD$z)ZeQew1uM~gtCUnltqCTLp^m8(L$NtDul zf*i?EQK7T(sEZkw9FT_=Jcw~>+zNK(Hg7N>igJ+DX3l2!qQVRh85~R%ISHg+ZT3K( zktuNYMB&HBU`ly_JsONy^$sLYaaoUFXHNEk53U#G!E)Wk(&7kgdwpD!=#srX60e8~8^b6f29k9n}l)5(2 zjKd#cZ1)?S=mlPO>T=@LSB1(eO_IZA9UmtAPwY@o*`)Y4Vf)6BkU`Mpz_o0eBdJmC z8Kh)0zn1yvRDk}$DQEBHCkHN{i9MWS@pa0+vN&N++$}}Mw|w@QgjZ5CNWt(_^&YSq z<7T^JvF*jHW0?g3G->ukRyLJ${ASaE;Si{qt8glTuEfmzDGc9800UyreeQR#eOyY9^Z|-2zGZ2O>2Y$!R6cF!gw~ z;kH5GP+`*VK&K-A#IP4w=W&Asp5wc@%&TgH+c;&1@LxeXF**hjVgvYcS@OH*`0@{c z#?T2Eb9WWW9b+Y1_M%cA-Td`m_5B;AjsaPqAbO%H&Q&1it-00LsJf;iRj?HJCbmdr#n$O{uYihjW`pP%x@ZN`t5Q1Ch=b|Jnfdk?q)I#KL3EmOXPdFkw|R zn6`$$ITnw0X>zCi+OVcBPACyKt|I3knZY&$wDhWD4!M{ z(N}D1%@h?sY-3C!B7k9Dw6WU6Xg* zL;}UYgeLFE{>OXdBPdcuG3QL5@4nJc!bj7_a(9o)==fYy>nUPP%C=qsq+T2ZN{iw& zd5Z-7SWlMIn=@MS^2)q}Cr;(xM;#0N`8e@V_rcFL7ICiz(7dEi#sbcjP5JV!;amHR z=(@X;owpb|Na%lnLDsAmo81>g-9oX(w^`Q5Hj1{9x68fV67=C&g{Lp4EC+09t3D>-8)5mRd4nPaa_pTV(A< zwE?NWMb9*z!xego$)TVBJ`VZE0`>)xw+E^b@ij~^^7rPTb>6+9x@7E${`Zr(Q>{Pe zRjeNVSy<|Rdbj|n?)y^T>f#h}Z!nhAC)>k}&|tlB?pzREyo)`F64gqIB+-cqc>25D z(ShG-<>%Xm0_o(K*+|?yxWf*`y!47f7GX$@{QS{Q_fnRRvLp}aVy4QW!ccL3DwCTX zsUWUjxwAfhFX3c?f{~(4_l%_(OB<%*Gblo6X19t~Puj77s*OCUaS%ToEE+ItP+#Upj^7`^k8gZEaV&-B zf5GN9U&N}7Qp0^;5@FJRz&mSUi-M7(8|0vISEa3^8!Twk=OwmPE6KntTLQ~M7(97X zsAt^FxkH6L%Yn|xokIb_$OO42FlDOkQTH3p13dbG$iT(M`;for(51nkU6|WR7oCd; z5kf|Z1P4-$l5?o$a357bzR|^MZ$!0}6(y5X?UT47pfAgax)N;G^$^+_qF62Bf3XPh zps98WxW&{aNZQ{qBw+q=`vWz^MvF-}dA+>u(>rW_o`@a;jT&))XZ8%ThtoX*3VFDU zpjeRzSzS6PfCL2H?YRq?$jOpC^;k@+0A$#PhE*?v=85muT=vNM0HIiA6}PpT8OQaa zgx|%c?|0y-N&_(-^~0XjFS&^7(XreEVM+h=Yw+SFgo!%_FL(6Ar;=6jUlql@wEXvi z;|Mk4?TNZ9eZKkwf{LUF!2Qz1b-Amg)Oy-sE8OncvSV*y>&S>pPp($$NJF3h zqemW^(PF*Q6U8Lm@MqNwUw~gT+`;`#a&P&*57oe%4I*y_k%LBit#V**H~a5gt@WS|{cPC5o)PGE zqi6g#?vy$m5ufe6`uuS~PpV$%ZLht2x8et@fnTl_*u7MSS7%8zFIPx<{nWj22EHm7 zNpts$09=I>PO(v z2hXHGI=((C>)k~C=Z&xWpgK^&wbHF{u7W!Ev}|9UvB&S{k)EBhWziSUI=ZD3=eT*s zST0u~a_0O45n&o8dbFh+9YUW}?F}*{_SD`MTD5Fp*|B`zPxisD#%ti(F{i*iUi$Wa zhJ$B#hg;vFT3>tnAl~2#amch|_iE?gb^bhU($xG@e#Cx<|lGM`~&? zzU}w|<))1~K6%MNE@-Y;Ti2Cf~oxc)=Ws_e+JY$&qb^U)(A27*E4SzU2S|E8YzOm4+XYwu!c8WWg7mDsxAW&*ZFc( zb^i+pNe)})FJ8zo$=;*LlH8lIW5LYHE6mG9BAPt7msJ~xE-;Y>tVx`50@xu98F;bI zu1NPgKwf;QAJ!qJ##Rpvmm=&rN0Ob3RKwGp^*?mvV3cCjl(@ZtFPmg2l{mY zgJmqTHHejD>;nk}`8=JPzF=At3AKQLLB_b-j__(<*$pb}=&=;J?MN-kB-8qMk43AW zkr-PZx?6r{99b;otrmIIU<$9` z4?CP&k;>fSAN7EEa};XMFeBtEa*EV}*KDg(QXK;zh^CznZC4T<*ojW*6%HUITr7sN zVxu!2!?`kWu!`i?aBGq|dhd|0W&@E_Cb;OQbv_v&RGU$)lV~hNRYKJ#6 ze``+*a@UG;-&*XBl57+6iT$s8TEj+JtDG!hi`Ce3>l7-Vxm7K`sQuun)$h;!Vj;JV zJF?q68t?XG?J@aKOB>7Qr~(dhwWX}ZvAQO}h=x&|<(@-uS#bi2W|253G{ctIMhTj{ z4vDGzG<}}aF8p|DH7G%JuK&o9V|XJP#V*i%&E4*AdoHP%Z#v3&!T@0aVNNlw71N}w zBGU=j(|Cy!YgS5w@TVmTA-gul4o5N#YqtEaJ$~?Yax&9jInG0pxY-fMZtvUjZ+-q` z$oa%3E z7~4i`UnbCzV_U<0k9K`wqdKWIK@fKlE6=%rNTON)VX`W7#ll`NJg`}pRB9)J)C~!M zk+Z=sFZ9$PRzOv!$&QDJUYL77ID-9RXn}_(kSoHdG@C9rs$C5Zm~&CvEJ_=|ud{Eg zLpVRlsQSEBw!J6oz)^NyhlryEGI^q$!>S1hbZ!Fa0Zie~(ZA5YkP0tE%O*l>Vqrd9 z)5FK+>s%y3^BLL4g4pRW5+mhI2iMUr00Q&k8^mKfp=5faqWr_RK#rLxdMVyM7ih_` z_h3>#%}XwuuQxcdQUQrYb0h*u*1B-^-2j(CVXfswCu_zoa{#4U|!w*LUbPsN@ z?oV;R2T-kU&sb~hU_A79;OY)DuoaBMawLo4n2Hpll5;2;d&Fd|*E;bP#M+9?)6 zjhHA7r4nju*!!TWlRC}5lH0=}{$fkjk!i)}Fg#xqyU>Nr+NmR)Dv}ofx=Kr-m?6T+ zvWPjZ)NRw2a6JuVPEOj-InC>AsGPnoL211kaTZ53DsO(w;-UMSvlUv+F+iN*Yb87R z@=J|?_bOTo;*;rdiuuox?tjsH`Eox$(h^FJc6z*EcvV`R^V`c8)6Qy|8JN*TKCd++ z*v0j|7sbwPxYj!w?6Zu9Ycur@aR;Ye6slA2Jn=5uEVlD1vOZz|3j>mPTyj3AjD70H z`5Z9t`9sdvgr+4Myt60ssmD-M@U zna+OLm-s71S7L{vK5}92Mf>jXx))le;vrh4#$v=h#?J1K1FjFvW#+y)Z88z2eLU_E z=YP6drEy8=Ziyy@<^JGzo?UwTN>6l*)89C5Avt^}Bh};=Y)MOG%axV0EtXwV1O7xC&;n^+3uG{+;ogU!5ZR|;h zvd8|--S%i+E0STIzE|;%@mhv04PGxaFJ~OCmC36Wn`b<}(%wx%**%CJ6ZJv6qCUVM zB35w|uEGrDx>CaXQ65>-ggW!yf%3?WDpuLrID&*1TGv|GG&bi`OrM16U7YHt`D$Vw z#3TkJwy8<5i=q1p*Gf^|So-rw6Bg z%2Ov}h@1kw1}eerJ?xmmZ+No`u-vArNR6AWWb7Y6)lstPu_V8>=dyY!n(A;AyoLj@ z*D4SbTLhT3nejjc2u*HYYqJRT+(4Li&Nv42-VkC%jXx+MUfiwFx=h>)BU=;*IyOP!DIW=_j zPcsNFy8++0LS7=eKL^%8w+|dp&(Oe@{SJ|xaaS(FLVAP&&LSgU3X5WHQ_`6sYIvyT z(Go3Y16PAX%k$QTux%qSImD{_A8Wq3Ux*%fe%XEqjg>S-NeTXJM;rmSykmk^Ly^7M zdJA2eLa_T&FumO!$)?JAQ9xXiSZI^CI;+oY>%)zefmu1$KO&!$8h*IR+#%>en0c>X zg*h8SxhBG@uJ?o-#r7pl=doB%E0Sv)wOFka-NfY9P;hb46SF7GNk$s;M3`!0qz32X zXu!MVTt;}_jc{;RV+710$hO?7C&ZW200=h|t?95f^bBp42gxNGvde3ydr$YbsoNg< zxONj`a$v-ln=V(t!>y>`u0EFKKY7)xJDKlRI3)Wz_28|bDLeML)VhvjbbI5`o8l9@ zP>YlKe#SkG2mT(M>3pn{2JCZA?NI&-UQ=x$J=Xek)OI&w7$8&2^qe@K^%v*#=} zPF5={aY_Vxy0ybDy{IO3`;wqr@noyA#$-y5A6ZmBn;rB5YXDAS&QeJvw(Z?&B{2uz z%%q{~^|Ob7yilTa^m3R1Tj5{27<+)=V9WQBZ3!iv#21G~i5QUxvHq7P|HsgI#wGc- zVHj{Bj@*_jaU$+LQ^Y+$P~1{lQn{_fkyZ{cO-)U3Yqt5kmQj}5$SkQWB{S<^ zW}3b)U-`(7hv&Yp>pYJm_Ct~DV?U#Be^3d591&_;weu4{w;|#LSpK9w9bi79Z$178 z6`R=X{K>fiyF%box{P^CJ`X5}*YgWM{!yxnSj-r4L{__Ju_XX(zu&sflN2?LTCE%7 z7qGj?$?;;50UZ&((abom6p4?jY6)TcxyH5(420;_{#;6D3h9jCV?pKx5zaU4wBKRH z6sc7h0m=(KvPipf1KKE$jZ_WN$zWM)`6JmU<}f2V_VSLdAW4!Di6HKe=_T+3nG_3* zJRM+2uaMCM{8pQ=n58KC()kNIL>QXQFqmAHJi_}Xfl3i(iA9dvKL<+KHu~o~Z??Fx z1Ycq!=r?4^)8ZBZ`6wPXl`0`aJ>N~D^&+!4yfh?UH@qbRM2|oRLbwz8zCyeFUzm1= zB<=mfNCSzXlhvmu4vEN8OjqO+e#uxO8cdI_(Lr{4X-FX$&l$v^GdJ(in#S;8C#FGf z_Svn$5r{T(VnEhFz!B2|K4EXvc15KLE^!_R*r0`SJ;~`B9guo?d4B#H>yH1=%#<#Uke+UDC-$xS0?vIa4~_>C zRU}Cmea!ctHC{+Y9)n4VJr^0B00F_~O?jc1Lts<70AfohNuvX($dq}nTi6+FyICh~ zt1SmUG4z%c{w~nD%_|=y-QsXo_>nj=JF)#jNQ!e~cl9?*oM>%qp17ovXH;qw@DXp} zSMp+(nS?sADu3%`7k^v8u7OVMP?A^to3KkL-R320T#@m1+4Qun{m+{{tLp=kJy`nb*7~mcgF80cJPzH=w8HiFVIlJ!`EAs> zOISJm&(8*z~L11;r$9wUdu_u9Cr9VbT{f(IV>R0HeOD-XgpGO^}YYB2C&j9Bv z{(#Ny6y!ZGnc=tn-90x_De1$@&6TwuJtk=)D$u&nZzRZY+vjP@eg1pwlb%Ex$egJ+ z%TW*4z?33@j4I?gxb{OS+|CjBJF%E1Vr(i>pxs%( z`|;FI*5i3QR`sQSkZd6vX}*aM&dW=Y3| zI7`GP*~J1RLY32LBZ|3V@8FEDGAf+AbTB;}2rnikOV5I^L=9MOD)K>?41JCW??IFx zv3-g&bW=|-Ap(R1jxRaVdaF5g+;1g3WNnLqB}abd1hJ)>1qed0qPccg$y(hVz-oec zGhS$nxn4AKsMwd|&7#tQvKpSxF=P#VP~W=mEI*8F#NeI5Mwm zC3ots@28bDKdIhcT&7 zVDevIno*OVx6rSF8(-rCx}Kjsn}TiM04%44e9tjj{*<#Ewa%T4)7R&l6#D|Ze$#B! z`)B|`KKksPj>z9RiOA%d-;7J%&Eil&eCDrDH>xN7I#K@X)80w-t0u2RTlIoXBs3pH z3}ii7JE#dR%AH?`*V@#5rB%WlA0ChxbihQ-%&23AO&ax9Y#uzvW)>wIe-Jyg+hcD>QYLFYcZym~kN`=)`b( zvGCpFTOE=Aao|^j@KOfNtdy{li#;2RYYq^BpIPT>koSLZGIX}0tRMoEChy)GQQM5O zHM)w+3ZP;7t-<+z-W2N8=6!oPOy{|CwuX^<)hGP`B*6*KD3JIc-);@4uQUZDhy-QhNP6QaVkd^#+)oN4F`kZSNzVeCpAG^|MuU7FG4jy8x+LfR(wMXn&qHU`a) z4l8L_@OxNnkv%q=dHLjJN4RJzYSEML$@Ai0vH3||tCx5o&MT6UX`vl%!_MF;=L8P$ zsEE!FV$gQrXcnARw;)fTlDRIyDiV&K!zgv;)A5cG)utzFdY)BVkpDR1JWAzRH9t?% z>mOqBEb()QqrxZl5Qj1JW-8RFpYdWjSdlHa(F3dSq}waekj7MLqK6awad0Hl6#huf zB%e$?qo!L#Z)p`oZ(p2OoVy5V6bl^=r=z+G_(4!G#;=iSA((%PeIoqLw_rsqQ?v>c$M_| z%|}?##bd(68sx!+vE*-&`~)k}B@$5fuyTokv>Y?0He~VbVV#2>Ewkh97Ltrpp}Q5_ z=)uA$@igmWrHhwu|EgCuShqvJ7v5-j#fZ{;^o%@fpf6Su;&X~L>HBBk^SPTR+h+wY zl>U}v#lw`!Ed8V9*3Dmvoz-ad?>m&vVP3U($WTb2d1yV7R6Dj%m3rz};>mptLtVrS ztMIKTd9_GRgv$-K zkoY~2*w*^Z^1)Ey=>u+`Q?Ap&$}@I zDqSgvmn_tj^ST==ci{sRa>*B5(s|vpWukmHQ`OUgZx!LPO5Y%)yaI3f2Mc93yijWA z_hGyO&yp2&q9mb5U~GhzOlQ38t0XyzMhh1~(ovXJh2jYa94^XSP0A6mVr4~sFe>as zC+45SY)*vvUz(TlhJiRvp&F_Z+3BhZkh7hRO7LvORCL4OKhZBhA8;m4boq4rpvZ+J zt|8ZF8CSsP!O-W;e9xP-bmqu2jPlU}LOy|v6xcE#vCzBgg|6E9(JxO{{UvC8D*#8j z%rM@fOr3o^1Ij!99X`N-;g`9AY+DEv@6O9XXF(3-%04BJ7;-eac<;sl+|ge?yC4!y zC&&rTf;|3b7~X*9f_TE28~qvTW2r8mS(^Cdt$gQto|28n1Y?jbTs)aApiE~fnV9Fw zLr&hv0=?rOh7%wql^t?N0)4&T^HCGoFGi?2vJ(Yaq%{Xg=iZAwM!YGvc9D7p3fAN@jkeJZsu|IaRe#;VyZ0o0}7jp!4P|dja)T}Jg0aqqw zE!>yztEfe#?6dE7=|H6jj!|KD2k{^3qd$ab(Zo-^JpM_U=RwAVI}RZM4EcGFOqqgIa>ATp%rzE2^LHrQ8DXKD^u_A3bk4~Xj6nHH z+dJl0jCro}B(uVmt0nV{tXcmvk3qB!e6T~m&%8h$g;&<{Uu0Xy`)w%ZIp>kSd#g_H z@xe86;w|v*IhZQ}lL0{V*9Qk6RCjg3&j>ImoWGRn8XhJ0Y>ttcdP2Jh*9|LcE)@!a87nN@XL9|(lEW! zlFT6>7HP^c9nG{CcNHt;{h~n}0YR+uV=9xLR7U4Iem=c6V6qVCians7EcTzLsP+aD z<+e&_snxd#hvl6;jd1OOq|g5Y6hn@$rrCbvIn<0gC{Qb%vwsk*Tf;1UUySBZ08^}e zGb1v>s{gp*%BCKJX|Tl_hHxS6Fotbcge-Nk#58U$mZ5iS&P)fQypo1#VF%=6iQy4e z>qbg*{-sp~P`Kw^7{G_j)C^r>t~p9lQswNW2}vH@!{-oHeyND~W|qFHPmftG0w`ou z-R}<-ukt@3)qGgGcH7UGl7a^DE|3)$?%dURIkT3STXmDTo1Dg*MRtcR{IZHqg-z$) zz7iGU>b4hKcROC7jr4i6DZ+11e5R^dVb-glR%=h+wBwjQ5BKc%W_#{q#M%4T;+wB6 zwLPpC=Gz$X`WhL1HcIYJh)$UZb}}x6TI{|}`8h+FyLaZwpsn-Tuxz02_VMPyt;#0oxROgXu>p>iJgkrv+YzZT+Cdq~ezwDJ#ydTdCFqs>pz>-z1$ zJg0APF%x=={f_U&&2R4q!EOBaI@BN)>)iRdr;O+4p%H!( zY~hPr{xU|1z#~GNBwW}0Nj7a9^TqQO)U#w2dGU>gmfkr*WcaMA+_3E)yb6{J z-h+ptKT@{kY~mH1nnuAN5~^1D<~5r3B6|Kf^GrQW9-G|&)YHVl-@iwB$}>sB64eNW zptI{`-w45iQz7134!-m+9_s)$y1py=e}I_#WlzeRfCK~mW*eIbTYt{W@T^mhsT3CoWIC71DR} z^>R0=!%y3XH!leYT^Wvp+T2&x&AN-`XXvx^yNfByb(bZ%Ni~!|R&G|#jMEPzL*QB3 z7AQcvHlOLGVOPkp01MaEN2R>=CqPftB(EwdyVni;W7#79Qg<~(+*m%)v70W3)C75! ziM0BE(d3t?fIVO(JBO>wwq3#Tr@0lhN#G&fP4F? zOW#GqD9M-%ja4_&Q4w|6PO#v$be6-KRE8nv<}X50FAE(o!MtM!p`3z!9P6L>_!pOb zTL496LB2eZ>H0VZq=2`;G81+48@G}Gm6W(4GKK4@ufEYXc7x{VW^xOj;dDHP3cKH(%u7^Qbnzd)@pKVY+kWiI-iV2~2I0_fbIR#~(4!%6251xxAX zpSgI+xx9G4>ny6f$~vR$%{zXR^w!)zsP&C3hD3a}Kp0bo+2Xop-@T0wb~(c~PyV3_ z=)OdG#rA%+Y@4*@-R60oXes>o@jWIqIpFcEvlTFg85NTcSqL~As_PN^M!HJE^$La8 zKLtxF70}d+7HY=39~A6*ODiwbyix4yTIH6aDLK}4)QH|I-PK-UMrrkHw{b^GLhVQ~ z-2kftYPXjPa6VPD=w_<}edbKbw!FO8lP1&~^i-F)S$|3F;%VgNrabjFR0Gr5aW(ob zBmR=qC4(rKeP=`Q5pgNURjJU9#?7V*$;+#@{{y_N{1!c)Y1>Gcgy#4)wJGa#tArAB zTkMB;Pae6W<&vq_y8a(3uLu`1{^-#Q_j5|fqwVtsaTif4<@dLl3lV7qL;bY0`)@ZL zI5G3>QyI5T^81V*PyeOBG*>cjcyY}7=xVgwTE*bYpTwh6Zok28Be5fyu*4|ArpN9T zCr(}d*?G<+C#Q*U9)ZLP3_;C24vBC3PnzUS6ca-`N;eHj(S{oDgxGw~$V;0y#oA2i z#EVH5jfaft`7c9MEezEXmaXr-lRRRLK6L+0O=tXA3jcpwwQD01d8gnx8^tdtJdTwb z!yr!T^7L^1_Q_eivV|aWU7VR)!xA&qG#a+C16ZMcdr+2^iP@KBk@@!#`z3e&Af&#p zg0)gmFP1T}=kuSTn=1#xI=yN}i8lD-l0OM9GPRV;IDY5!_EE?WwySrr*J-^x3+M7C zZzWb6ij-HQX2ucDXK<^~EwtE{mq6#xNN*j|Nl8_rqi-nGcS=yEmS9o$=o~xP_$DvP zT~Z9FhDcxzCybx)yn33o?K@d6;ncQ+syu>*%fk=k0(nMULa-;J62EyLPp<`h>cx_L zzy;l7470LvysxAyG^w+8s$--rE$i0LriVP;5{tWmj7njSzy!<`t)-J3qnT1Ui6)HY z5%miq?N%KVs6ri>jAG*5fUO4-2#Um*)1y=m9ipax;iZRQ3bON1)?2mO8NK`; zoCx%U>TS+R3yOriKQfO;|MWrx)0<_(n;y1Ev3V5cpv~-&cKT8HUrc9`2i1L&@_wvc z($bCier!0xx&fy_0~s)zNf)o(DAX~j6Mkrow}echbARnMhUeqvYG-WF$+%j=MJ)7= zZWkugkm6LVGaoEii-)*SpCN|RbqZ-;tnUq4+qARopFNg%?Tog6Ha_HrNr!1P+GELQ zdFVuTP^v_K2IwlFA*kW7Bbv1)l_el@zK^7H6Aa?Urm!RcdBSZUjjF-#1X<{nmr(Q{ zyyFl?BF4aWzq|^h=uTcW3lNaMmz78QmXh|xw{0}w#Rx!8&9Ad!Ka`Vp63(BDc`<3o zv^hVs_}B1i&S=t;ujbt`{}>vmrcXUO{LymJX%&fpUpIdaWEo!W`heotm?`=(?n~)O z2M6Nb_}D+YPBR*o-l}Q;rfpt*L)mHf;;Da4t zm116H>s8Vhx$!&OjASn#`GCp(s`ez#EjP&QKV7rQC$>=WPr7)>e#M@@15C6lbBHW6 z_GW#F_IYqNRt6qkTiaRtRdOtlOJpWp?`l$B^iV&8G+1^VcO43fP&o0DrMf>I_t4vo zWh5ZCNQ6qR{(!gM=nYp}beX1blta7m=nUa}H>2zaIMt>7semm$5uQfg z28T?5xznTM&yrD7TX*lXq&Kn;lYqOPbTFbEbi?%+fV{xyuRvEW zGRIzyNlbD4W(Nxhg586OWH;-ng5nSr$MqTwDXOIuFf%IAFRlV>N{{0gFmMtsjB#JK zW+NgydprEql&a&FJq0@RrH@T%MaXmimKf6?Nalctd^9E7thXkqC0eVv*^5}Q?7+rn zBk=t3bk!^+)Vu3v^Goi8Su$ckce0dmE*}o2KhSHgX$(zr zI$5_>iGZL~#8q>E3WZxi{79Q?wL zsQiQ+nw^bU(2My1zRQ@Op7~jDwDrqXkn++^V*4WQbNBDeiYXxpm_*-0T^eMQaf zqO$0TnMUo2#onhFriyL<)Gt%p&on%d=BrdTpUl>N4qBdWVg84rYM68d2cxh?dbK+J zBn|1BMl38MjL4E3C}k!}%2|VJ&r$b~Jv^DNF5!X@U1`TGJUieJtMe0#BK{t}#_yEa za*l)5;Dzwrs{&P22SE}Vvh4U;7iwOBa>=bd+9?u*;>J*hPaFagO?VRNc4ckh@!s8X z=q)x*4{OOXNPLvYC_U^dJC~i0E=z}(Mwme5J;2?v0rJPanTf2zrr-jB&1<}JTQ&n+ z0k3Hn{2*EOjb5E!qptHPzJ5x<-;rRmR$oSqL{>0drV`k$+$cs{WI_U@an(wgvVpfZ zss&jD{&5pg5sWy@Fqc`K`q}~d*=whTBcb1~-`yfF1N1q>3}})a#9jilEE~W6wyTRO zc464p^dKimf_%j9BM}T!k#`iE6)Q1LlA#E$sR|+McJWGY64z*$vtMI%^mj9OxnG!yY=o?BtpQc#Kn@OBBVLyY>!oG#Be@SG zpt(lfEkqe$fGb&Gi0$~})m2Y=-ZtJ42v8>pjKZv&epZ5F&4p~LMhqGs*lA%Q8G6mu zcrOGd`Nz3Dholce7&mX6=UPnOJ2|^U5Ro`WOS7~!0vw2sd^rtS4N_f_ro84^O#Qr9 z22RK4OTP0*+BV{^5Desy6{5=bdMs`SzDSR@xg>oqU}n$(+i4!=nEoyr^sqRyaxxm& zw_9-TPe?-(%UFoew|n*M{WtNai2C@}=g%*A*k#Xs9vB&Hcmie!P`7XbIt-1cWs`t*>YJ_|C;WzZ$hllsVq;uBk9xJBmBvf5tiacxX=>PYzW5=<`}M zW^D)d@S+oPZgv;W&ki$t!8kcf)?X7^BCeNLMbV+x9ReW=s(Y+9ZKGm(B|V9U6H_92 zn9@tgtX@G@n>1Ji%KT2i3S|kmfqWo0*59@!W|gz*=Kg6-Aej+jQ^ODiXb49t;x2FU z?04Lj1Vo{vBWG1NGMBylt#}8>5WXEj)8)B&ts}OZa&URj2%ASeVAoX%%U@t*n}e@&7PFc`PsD}WDQE0 z;yKtntnWH6{fULQW*c>;{Om{qwXS-1fu_%9S1()Dp3jq{zL7XtLlMe^f>FsV3|Z5x zR<#=HRf5<799zT=vAB0q(J?Jx#AFFCkrd!$)Z2)Sn?D66X~nj93ojosQXUfd#(O2B z#CdxT;FgvZ87RD^1jB2<3esVq30Vl&6|+Sznx+C}9f&^f$C{YXF1q#@PZ+dOh<8V0 zQk>)4DCh+&-Q+IB8wO?#k)#^X8KOJEXqk`jOz#LOliMscm-&C9UFzE7OEBg6Phj7p z@|o4j0LAvRVLZ0XQHg4>F*VYiqV%MG(eVzi*DOFZ*lh5YS=qbu?l3y;Iu^ubg@{7) zSishajp}V+c4N&pJVUsQWYFLD*R{5{5o1C1q31pWFF5zK%HalsJ-}uJckB=;J}ap! z)zLQxPgWJ!i+{jkttu4r=%VPZI1b@dq6CJ$Ngs_*q1uB?>Wqpu^IaB_A3L?tx%esi zeJbVBv@TTQ<9Cj#Uhe_Fbtt1e6UQ&QjeX#sowFiwoqIf8Kv!zCr7^iy-G&I%EK>*j zYmTByY7C^SXZgCKg6{7bzDW|jnA7cdy>ETgTL6LMHg&tfgF7bTt_TP+V)*3--1^Z) z?k|G0qXy^c>`jMn-rY&^=UqXk2J;LOW8d%}_$f~#v|^PUbl$h0%CbLIF!1Z43n@d( z`>X@DP;jF<^ZeokZC^3VI0ufyZ-r)gso0DCX(8cJMr(EGTewedB7uH?-aCoBb5UH9 zJ07*~HHfYW3JJe>$^LEI-Rclgy~eitx#ui-6nEZsxokIY>U6_@M{bulo0T8izcRe} zau_c=xN%{W^kgQ;@pM~PdQnN8+Be<2W6fIq;N5?@BZ}!@X~I%FSR?PjS_h zV>(0geU*cq0}HLx9VX` zF3_<+5PTp;xZ3nqmqNBzI&B_Z1y2h^9EM*mJGSV9%|j(>^|YuoZ3jGg#+)?!!#j(< zTmJ?SB<%vVS3MX5nY|zYA?{~dv3D&M_`%9iz+TR2UsWf_(er_S=|9-k<~7J-AkwHNotLOp zl%>Y%>h7pWsI~`eu?A8}@BF(PyeK*lz2=Wk)_9W(LISaUHh{%KYIN}+Xw+*;Fqkyh zf+uixoQBlWQgDSG%f7)%osNF3uixuD#WWqJY+v;%xu9%~Wr*3*e%i`_!BMksY0Vtp z#O5y9Wj^p6!1pr^h?&WQ@-41N91@7natx6{riaCyOsxE-^bSYX-$A^Q4~!JAsWT;l z9!CWIytf=2q;n%hm;|GhZc%EgKYIX61;!9U43z2HP7kx{@!vS)|^t5HIzW`btDe74>M#k8L3-f_jh=*zoKAeuINws9!LnZ8F(h zYmTfjypPKy8f!AO|N7(><6>)FC^dtvOT5KI>1L zVGTzO|8aT$FV1%==0eBrMc2uq>Dg(*>BX0Uf$gCH8vhx(08q~HPQDw5SSZ1Wt>M2( zHzpo@TQE38G&%In&prFt1KCUmm^?uAMq;Nda0G9IU(}!6Mj&MddxpSh^+@MV5^EDj zzQDFvUp~IzdQ68>ar3yEtcKgKPI^u#AEZ9MIPhf481Q_*oDERpDP8r5?tc^wz8iYY z!mibEL;&dY(#{D;;u>1fQ*0(fgxTPnO;SXaT%g?b!b63vT`hr1U9EllsgA6hd|sJo zgP0tOjW9zNSx4>frXdH71&GOx7MEZ(^?vSSnn^cY7Z6VtmV@8DQ+94GjRaaaBB1Un z6VJ3cu4|uhcWDCiKMM=GcibUdP>xYIqiD*ui!2{TLs1vg+l78Id^o;uhFq)!6*z-< zLErcE65d)9jk`e~E#}n(2X+)3)!B!@Y_s?K{BAH2trw{I5)wdpesPJ3qR4nRRgYMw zZt*2SfSz!Z8XohE3<;?{T2!hoA3(K4@_NcD@>omX>=ti|B0=ckP-ZhB`NuHdYOqw( zva|?1Bkto8)3aC@;gg#|2I?|ZbFWKR79K{E$pEJ`QyeSl_ZI@z zK1j#YbhdIhkpy?B>`dkls?bou9Sc|N5X8AD4IvO2+bZ9I``U5JJHFPWQ5k#~_v|R_ zX5^Ytol-z9QHp8+4NanttR_2G;UiKkiWeN5GP%m}INN2tk(nL`yVk=wnUXNe(oW|Ik5j&x z}pYc4g${k(*VEo5-YWMYw%RR#-*^2CG?%DE6;Sbjyn~bI1lFEuTrg`k4Jqd=n zL%4y#atXPdn&u$I#($F513ZpXM8jfG#DxOBXmM)WZbkXQtW(i=RnjGBRKL8XPp2wU zFYlb>4h5FnVh}kum9idUFg=@G@^eSCA|{J5E1D3L{bo>E(ja6$Ew|j95%KMy6IGgY z`Q2?LW>n>o6}9NvWt(`t>kYA$w(Sw06I&0ZYk#QQsNGyz23<;HHm9o!^f!l!w0q4HXTfFWvPM0j4`2xK0ZvoNYw+$v%vR% z;T>=F01YYVUGMY;dIN z8Ah^oF-$1ir~L-ojWQE`ChZt|wiu#aJk>wINt=w+5RQFPR z&MA9-^SQe2d|0LH+EsOsWFVlo*=+|uC#xk{s4gD_Zt&Om0^wI@d}@pZ!9SZ6Hu{YK z5uki^COSjOa$o_U|)#XnOC_owX_eSoheui-qBVJd7fvGLgbPFMwyl< z&?<<4RBX^t_oC#!)xiW{T24RbDN?XqD#|6mq(<;v`i(xSK_F5_K1HJCkt^3TAJOo~ z1&n(Q@T3?24W_r2fmUtwg~lrH&t{3W{xHd{FVHD&d8PFPI7Z>2&ir<--eO!o_51i| zKFW!9K%|+){FS7cHA9))mj>9=Wj8y{ToSw9JClmOFfz-%zd!38S$X#(eFl`(YMVVW z6g6TmQe>7>Ufa0+_ohpHjQ98*$(DtFz`~|nli5+PUvCy8=U?%X<~=u4pM>QQf34}y zT+RW;9kV|0w@E&m`S&lJ(H@bKHbkG-pQjBq6G4_%ZY~>!b7yD&J3FU;_YwQ7avUD7 zP}BNfc)ZThK*roc>Wu9as@18#da<+vr*xfT{67GXOFu1i5`of}cxq|i-EqWQZ2jyX z;T*e$Bmkn&poyPvu!4T}R52KWki1XXqa{Ew3%TWv6C@yHFnQVB@ebrR15A<-zp5Bp z8+KBsm?{Q{?Zw|c;_8MYMgIB-_P9T%Z6;SXyKMTrf%f7Xp+KFGZI6?VDk(xpo|HE0 zk*GD-yNqLIdkf>gi!_3uz0|=kM0BYEb6pm^>IV#3HJ?m)w>D5py#o=y&H~xhY!n9J ztxSkQfsk9OarDy-bB9$Au}rl$s+CS@v>z)qgFHxaX`oeQ@j&0Y&3Air9;$JqP$gQt zKUR0VvsUFg^XeT{Pg(XEvIL!RDUf2eOG=@_zs7Xba1NI->?1Ur&B8Y@?eA9w-alkS zX%iC}E^|n0^j$6P1d|fvttfke6U6};1Wxj1AL8mmLl&2$g`JwTsEChe(T}O);U&$Ijh42!pQ-095KKT+($rl!vkZ z#w;qu>qbU1pbx%wuKJNgaEJYXcnLPuusNeCo~lDTERUs}v=i4Wd=%fo$CnAm|dsWlr!J zi^qxJ_dpCoJ#-~`;@p7DqB)DtxkH}|0V}RrNpa5sk1%g;k`Qe91Ujqd zO}M1C2&(vUMctR^qAU8Gv4_B2H=S?u7eopz`67HTG<7x{{vdh#S=lk3x99lKt-Z{! zfZp<&O!ENMY>h+oxt}LHdVZ9M!Wkh;Is7*_$fvrcX3toX+cbNmv=WxhPDk;5+p1H5LzB&`zZ7}4!#>Z&RPWabEud{@pzCn~r3E<^cmc8UdpFm?m zhhwXTne;z{60lTV_=Wh1YptkJ%9?1!yFZZD;HTHu1b>@7TH-N_GgZ(}hfx>Q`L(g) zcaONpMr7An{Xt6h?r`M6Pva#9Y0TU6mU!PRsSh-NhAFvnt9+XR=7cuu#2v7h&$TqA zKEUx#8Xga1>bE*C2)*rXm@ibO(v{z;reVh8ds$CrdUe)37p*>+mm#LF3nb4Mi8fJSj6~4 zl0UNst$aO=bzdYzVxn06o8%TiB8lU{0Fc#iPGbk^T?qrih7b#1E1v@-MrQc6>-X$8n;%$nTtNQpXnLfUlQi9E5a+<`LK>L33XA;JB*nRn~MFMH{%0(Br@ zi@n5rx900lrtlwg|C?wBz2h>Ko8r3Gp-u-ijr6ut*}@jA%*t!# z{09@#Q~{MGUhDtI|2I*3L*B1P?sxnhNU2nWf=12biW0L|mAnN*w`je5-R`S7Gl*Ng zc~|XdT1b4F*h2npt=PdcM#ShnKd!|#Di*M^8PHT6EiM)@u<5~$=Hkqr5hkQgo`RcK zzwvn`{9XSv?Z%feb+bSI;ko@mxNe1okH&<~6&?Qkuc}~`uM-P>6NgTHpyAW6LJ>5Ym{Zw-bnPy%<7wRvYhhNQE%?R0CFQ%cI zZ|ypC%qZ;Mn%bWKAE5d3)i5)!F0KDy(YGc=lkU%5EpWa<-JMeUQmh>oOv*2>n-`rW z=#?0_e){YeI>Li}KFkZ((%-CEU1#XbUBAWrdfR8%Iij4Z8q}T7RQ==f_{IMKZ#2Mi zWURsU2}u$@&voRPpIX&GMr)~e$#+8Qmj{G~N#N5;H208L$+uhJ$Xk*g1#Q+(i2Rqa z#W;2SY*EG5fuzQnS(Tr)E1Nx2e^GDKp@f-KotFCMr7a0Mhu@^Q{;PZ22F46EJ`r+q zTp&q7{xE{FP`Z5F{+*^*CPr? zat!z%0KwsxVB_)Pa#yB>8-;b4LegKmD0Pw7_e_hp6@1$ zRRIHUo=q~q+k5Apx@{| z=GRUCCN{8jY+v_%UwWT@bEcZ*YK(F3>83-~-`y+aLpT&``m)LCQex*p$2$L0hIxNl zL&H+p4CXRT{7S1EYSTi*C;0nWLxGCV>Cn$>e`7C%{{H5FTjxQd0PTKbwBUuolDSjk z^M)~DHWr%=Ps$lbuG}xD+4MH9JS=`7p>VTJKQZ>+AHw5BC4qnkx6q(h6}pSJGW;rb zcQa473qCTGt_zfN$^B?W5yz^trCw{tv}T3Nkgr6Ft>QzTi?ldh+i09=^`BGhNP|0# zQUyiT1zcJ{eIzZ2^v}#RMji~l!9Z+fcM~j3y2&N0LUv+X5>a-AtusDJO8!t>&P{JT zQc|KWK=Q-dgn)-SnQkPPp?$uikebmUyaMr{#1_&H5ix;b2JIBD2Ai(f`t8Q4JPl^u zbSI96{3_3O$GefO19&KG{f}b=f%BbJj$oL2=%vjph^Epcb=s&PT9>*Eh{tHj&{hfy zn!ME+z*cO`rI;adDMva($CRVae7`QqAuc2NZwhaL4~b_8varU)_Ya{Fp$|t%S6U^k zupb5DKK^5}hLMY?*E_5{^yED?K`ffgCM5epkB=ui`jAqPoheAMO}d?iLFtM_pbGHJ z*l`RO#Rg-rCZ4%0nKE1TBRpWd5d$2IkNpz>E{r%s^tis)!46|P@9@5@=xcFD{OIbw zr9V5lL9R0_H7|;sttSTY{#)&BTQEoocYm4QA-MJsXCssA zCcpJS<_Oh5n-u2ZyCP#<&s}SDXXx$(;*I60(9owhnqL!$l1$#1&i@IvnKf)u<&*|{ zv>}lVIeX-f@3}PJORD@ZTi)`%A_u$cD#W^d+jdhUohDv+L~Fk#t#7^WMU2ylUqHdF z+$yn|H2s=n7slgPr+(}Cjr8clLl!6W>dMR3Z`X&Mbf>36U(L$~oJ>~}th`Vg@}FS+ zdePC2CNC*&dHzhpD`mmfp(x@)eZ`G%vd8>!d#rG%I86PoE4(Xi{jjxzhy&1Nr zFBmO${wclA7&HC$d%WlLjJ|?8wkJ(lTXnP23!!15a5^zHAlpy?Y3DLT?jq{8QSZ?Uy3s{b@F+cFvZzFws8AI_gYI|(i4MPw+lDU=RWTQiRnuj zG^)Ph4M>>3fn1ad_re3-@Yx-D81UqL%TixPlcsZ}1NgRkTWl^;%~65@FiN0$h3UM33Ic$4p0$En zrgso-PPJFw(5?-98-*|KY0D4$)7za_o_T-G;v<1?#CGf!Iv$VM)TlJa+@z{Rin!tkdY;BheaFe`wPkkTGMIEe(@WVHo`9rd-k{W{}G*WF> zL!}y}`(=CcEnru7`&+#|v(}LW(5xn%Z8YK1dSa zOOU@m8tIIWMs~9{+7cpTx*=Xus>g?=`EJM(gj;4D(>JF zo6b7BU3Cl!+!F8FsFFKt7=C}CN4 zB9JMjGqMx!ad_LE8yNVp_RFS1jde_W9gxEv;Q z$?Ej551Q_vNl4k%MXIh;7f%%xoWGI7vQ92(DaSK-%EPm+n_crY&a4ZZx1tY?lAD1~ zC^9f-=V!ExqZ102tuau)j-}o|(~eypJWpYV0xQBv!Ce`fnm%d6O?T}`N3>{7TlTd- zLV!hRF@`pdIx4|ZT}l-v4M{4&Nj5-dkDkMM#NWdd<&nW{}&Hcm+Ox(h!7tw zL=3u1Hg?YaxzJ?s?*X+8jm)iMT^Bk!+AUtnzL6B7MRdRBJ;%P$0srgSCMX0Q8hx;G zb_Aq`h#qg2F{n&jZ|FMEPR0CXNUo>F0dVST1%g{;!}XdWx;MuhPv3giwHE$4t|ZT5 zLqY6>|4i56;=%Dp>Y<+?VJ_OTH`@LYRDWLknQS4WoYs>(AgUc$7YX%#Rr8J|F_D&Z z7Nn%^P(GjPI4b3P%6tzSY%T8tz-CYe%W9i7Dmyh>KG$>mBqr{m`LG7~J=C(Z`` z&60hF96yXDPgv#^2kY+FSl-{4V$$5NDBkhgY?LiaIw4HV2bY!AYGj{a zCB#mQfY(5E8$Ht^LLw@b3^ziF{B(W3Cn{A#NNW@ssPKKG=W@u+-X2S^88X5ocI`zf zPIfu7i*Pc14W5-Opjp{Z!CDe?5D_3{6K2Zdnq~_#Up~ zS?YUXXc0u9kj~XT&XU}#j%p# zuyhr)P`MO<7kMj(F>*4Hf}h4xq7&#rfw=33^&3USC!pAzf=7|bmn`vht%f8emN_?6 z#pE_ccv^dtTI>mXjlc64zV_4KJ28i|CrW6FQ8=LmFkf(n0F=WlIMRL88P+Lt5p>Rb ze9nN2w`R+Mu{T0{F1k%isg?b}z0AoNTQd?pntWYL`8gT7Y_T0?0!ad&jdu$|lQNJ| zC`C;RvufrK^u~d;fRZ)%!vIQ>4Hgo5QPLBZctw zvMP^K>YXQh&YyVc`wvm`tBvJ@(a^|JV+RurNo$HjgN^yg>Ze`svjc-iBPF~ml5YEt z-|M^9opANwGB@4K4x0b_LuAN4fPy_UlepNa1bzQ&@qvoFfB2PJw_>;Ym>)(JpYHhe zTZ=N^%RN|ki zk^T#7C{9|q;luTdf2U0$P!)zKSsK}qT;jVNLP|%JbZ@(L^L=vxJd&&mNi|rg2jcw1 z9-(+>|D?vB`e-zC6E|>)LNmwYTjqz=Sv<0tPDk-10ZJNO*ZEP=)vmxMg5uH~i7{t@ zyiyi*sHq69XZ4{`9=e4~H5X#Z09 zakKhq4X8%c-_qj5`qT!Z2e^yi4O0uIm4642&sDI%y4oxE$?Oq#GR51K&_7{u$@u(vC<0RpokP=0~K%-muu`Upe@WbTFl3l%er>E#z4IZsuT0fvElV1_rj2{7fS@Z zoCH&mFK?g(6Fr`L5`)=6_`hKnyoyl6P=1@FCccA9#SrWtC`Jc(xSkyGFjm2v2v=R;{EUzgk1q@eAt_0b)3=bhG1I;9ml3Fq zK2$4QaVI^n^yM}#%NriNCsncRiwZ8Dq5#owHtppcqN+AZAO|!8Z9p; zD%=mDk6-y2nlm`Cp)+;(34>-QNmRY1^X-85!v{OZ?!NG$+_9s=_RLHt4)1Ho^$!Hn$1Sjn6{7@JxMlaip;Mkvo3o0ZkD<}*oAh} z9sb!y9U3iCd<|7r#U8T0Q-q68D0F5()uz1R(-5>h@2c+#@^q%FIrQvLet4 zvTmro8`Ofb#V7tcUpbC<$7HxHhd|8-u^NBoKce6yji(H*%X7}2^8xC&HFS-{w%zwz zMQzqgUqG(K6_q>)2#3W~Nlqp43|-&*?R%~AyCoIx6tiB3iPW&zHMt`gZb_sV9>uE# zL^wn7R{;cQ*ZLiQ1)3PTfu^g*wtm5LY1c9<5`Q}}YtTtT8|?FxFIq?UJeR^=``s#c zBxdc^32sXpvfC_$wr~p8+tz1t_W6mdky&oh(2lEVHiGUN`d^u$;{K^0kqgf z__lHc+nS&v$10DRH?lQtqsgu3*AZcq$dskj`lYCsC|6hcdCwx(rni4)FmcOX4I?_o zXPq1)3y&E63$P71>K{Hy5pg~#f(PD(KhU-+NNsT3aY&*DTNDA&<_Xs;2^MfUBZb^Ufhb9g zAp&!tY}=K5%+SlTG3F#V6l*b1<#HF-lbmZZn?aG4`*%tG_#f&WG76o9-)yQ-901oN zB`~4+c{wO9*w*=31-S~>Fc;B(O;KZbMEB6Hv4e~at+TV8(ang|24Pf=_V=iqy?N|V z)$4=Db}?y`wI}DV2Do&v{iGKb9)|gP{%Uh=B@;bM(YKz&{No7X#R5M_JYm%1TNv~5 z4%ZXR-AC{a;ZXyckKw(+G6020JKqzvn&DHH=jt?)YyJa_r`;kJ&1B|OaP?NNFQ_;7 z{RjAn7xOolX6ycH_4n*OQ|%(YB#Sl{7Q;8{y2He?hKGwVa|*&A&Z`qzw+*nxQP+ZB z=7y0(#9I8_sUP|rY7vn`+sp--KZl!35nFlDKxt1gk(STm72MP^bZTZ&^nC~civHc# zRO4sm$tP_E4m^(ewenSb#iFtNd=R#vL?T``)R2~IuYSK-?*@O ztZcuCBmSzW$|U&K_d(UCdecUM-683X8-+)fiFHr=IyO5jvYF?h*;4x-P4Ago6{qr# z{kaXlL3G}WyZDyllh^9=vD}0DtcGdom>@Ljc`7k-;sI>g9g>KP$t=7YyDCMh1cbh-ik8-E`$Xti5 zEkEn`41=c=LD>873D8?7!)-R8!&6gt8wYl@XzEEHLP$4(*c);>Izo1ZCP)uTmi&ol zP#pV&OeUZ-NZBl}&9q}M{2f`zm&A$!yyAN6di$rg;|>|nv`NNquD_uOA8?XAzONFt z_bMJ<2YEk1md-#|6a|RJ!~s}{QNcdDTTSX9lhd+HCA9u(g`ch*YtT;_Vfo}v5M*+A zCsG#%&^b1~r|__j$#yVJ6nvDE?mQ=iuNCVtHg5acil}lnSR;0U{cdd-l-}G2j#U&y z)7d4R0YQW@Li{*+r8aI)Sd|~3U4YrbRK5qob=ollpg*RQrO#e8ekNB~4veG{RNX(x z-J%Ad0fK6jCJe}dyK4DwBCS$1>bkXKlEhAb^nN>AmRtgabV))cdnbL)GDVKBA@ib1 zoVHP|y>{0oblMSa_`DKXm3HOu)P`gCR=#)oat;#`_>!<^^Bh8Zrzddlce& zimm4IMDEeFK{oDEFVi?nuk;xEt|KG5hjsiTyW}78$M`tnttfIjPrBgTVAd zSF}}BF)vo?zgn4o-`E_h>`3?#9!8bY56g zl~=!wznpdb<#ts)JJDZaQ1bOThvRqVh5ARU>t`|*)%+Q2naxvZlXdf>?@h!H)gZ+*ASpGCJq23?mb(coF6rZE>nYrG6HHDcIb(42Y z$`%O(8omtdM@W4hJ-YG~#z(bMO`UriD!H-N?GAErU%_+MP&KB38Jp<@~$@P&>*>8X4z-f{7}!RFzfaFnM6eY5$VyUnxLSr<_zgFy{c7BZ*v4in04~%S$|+Z_xu!Hvkxf}XgYzvE9%!?oNpq{%SA1V~MalCV;ts-9 zzEEJxgrBcyhqNG{=h96O(7Z`(8_bwzTaC!evr26~n1|Ir0=CncR=kGgCqf~}`vtn{ z+;1cqT&hz4O(C9uk_`lPTU4;_()f@p^As>wi0EI7pn*8m+c<@KzL7gqY!5X?3Cq?O zbBSywtle7?v|oh{Uddjb0Z9hl4zjQ7jsoAI+>MBkgH&E;}}?q&j%Qr zMmn(vY?*gC12=~)4o?rT)c63#%`qJ=VlGa{6jWWx`}atISKaQE?7v43S!cJ~|G+dG z$6pM{OVuPlw)MM*zY10`O8LI^SXIHR-+XWO>LIU*gdH5%HSU zwI_cnGwWtYfAOXhkGv+F_3m9ysb1aL!r$7GY@Q}~RC&3sg4%n8XcALbc8?%dRnuSJ?`omQJW>kO0rY$ea3*?uaf zBsI$JmV_S2`-hH%PxFbr)3?L`I-d&amE_nL(eqlD0lfIL7-{+F)5UilRf3E!d#14M zgx*;4TBJ$cMhVj9w=qVc>0uQkX=Y#{D=L8R3F-RW@r6}w@aHN5k!KA#87Tu!&5e_6 zaXB+htjZ8ouUI}Wn*`0ZXHzUC=^ut^3fmgs|* zgo))1YD!E5tBvdBAvudQCY3#zb=;9v2~@gt&v=wBC}+|2{FrT^Ki{}=)^|)El2TOt zqgeV0JFi#z0xE^=fDB*C)ohKOkci-JoZVMR9kpb5=jCtOfM(V>(BW|BBY+-=t; zwBBs-9h7`gJduKHbN@wXmp_-6ssL%RM*!vat@kC~4nVhevaWPrz9_t%BI~kD!u8`( zQr8(iFG}ZS4)2Wtg#^T?dNWLEVX=x)p({dAKYE2KLS?V>q&I5!uoQiQkH*O5^FtD?Vtv31wHqVnD+-+B=J=AcJf>8sbG(n%)QV};vBhjQ!0hz-D#J@wVlt1`bP+=2cb3c_nbXP&{F?04p^@-{aU13&qy}mC~O7W{Ny}~-Uqj~xH z#A`+kQyleFz+p~zWgN~#O`D4!T?W*T`xnOh28p2@J@~SK@cy zdj*fT0n*)59Xh-3B&XNbJr~Kc??}rx#-+#YT?8!?+ss^%8=O6sVS4yTy~yjn`?Coi zz>geR@pJ^82V{@|D019zUw_HqSN7b#E@}S3N1G9;SoTbI?55)&Y=dQ_#?cjjvLSGVI`e(rmgawokOmW{SV7F1Ji*AJyHzgujNl$! zNoJN=^H!o-AHRX}uMOSr(Dn=u%~s%QofWo074Q$vd$e zUAMJ~<{0I_VoH%@iZBS7c$QdxF~$ka*4z=x%L6+{ApgqejRyU{4lpn+$P-`l(C8{< zOJskSnFd4qdur!+Udg3bvi3svg6%t2N~y2~$JAwP6K%_DFJF(fI< zzp!$TBSG|H=Eq6c2H2X)-}X^Rt+Ppmx3mQ9MtLGV>IqUXKmG&M*fK&(c=i1coG;Vv zaw8_P_6%y?v1!2{7OI%pDIXTVC&|hIe8IU5RV>z%6O?5$vD{=kWLBXjg>Y!-@-aF*P|0&n*YE&9%RemLFGen9Ol#dNKY8p`Pzn z`~6W-!)AB#wTo9|V#m()+?qHUTQfHw-SjZ+?$ZvhoGwk?REYDl^lQC85F?>>!cM}W z_NP%-sv_Cxeu16;0o0yL>j38xHX)?YKC^}@Mr0#H$Kid|pP9M&VKeXHMvCEdVlhHE zt4k$@2WKj&yE0<~(%zXoJ8{*2@s0WZLq<*ZOk>>p<3U~#r=?oh$z;!69kKm$Hq7RZ zO_3USYaRKF){2}2cwT69W64hb2N-P=t=z(29c3l?z<|?Vzv?;-{q{92;H&%G{fn3P ze$$s{!?){~I3>p}K2ICF)=YjMQ&mp>=%S_W>nY?F3k@AHRNc`D@fshHd^BbEv}%Sx zMHuA!lBIbzVc(8ZXa@Sq!juG-h7?Wc>ac`z0J=+}s3FKWKKAq=N?)tp9P%jau(esw zKEA37@3hbYY8z-1hCJvobhHYC*SBCzHR*4#MBF|pQs(~Un_u>0X|_s`t#8Xjz?3Kb zU~0>#9Z_Ev9Df8RW|?>Jaf&o@qX-D$dBX2bRh~Y@Rb{2>lypWM$4u-2J3m02f#+v6 zPyIHiezoI_yDr3{G9Od=TSwDAz%2?C9S^TSd;Bpnzx8yby1_h@uF-VCTV;>iJ!iy-TBKu^?WhfD$jLo%{ARBd+thayKj>te>3-Xg^*yD^T zc#CQ=FK7E)N~v|kNP}bEf+c_sc(&416B2kNOQl5)^Te)$c^k6E-Mi1Jy_G16{jXDPX zPwd)R=h`GQ&|9~MJbB)Ug98rT$1+fHBetM{r9J*^sjhg*>YUo zuiE$TCu(~RE4+0pN_1>*=n|=-CHYie_HN6{ot^{i(bA1O{sRlY zn@-Tj|6$4OYwu^si9=V#UjFX8OxWvl__Fc&7X{X>XaBB_J%AmzuJr~EH+{*ISuZ+O zPfK&i4Bl+@@Ey@{y#sd1F?7MKJXI@X15mocH)_2NavFqQ{o%}0*4@tq=d+XBDc5(f zJG+V4ejSaCT)7yo4U+k4BS(ys)zb9q(hpUN|F_bLT+Jp8qgX$O8+dvg>f7Eqmtc)T zOz#{c=_2GgV7-@PoKOOHo!~65m1D0ZSQ!zPh74&$>=K1zN6HFvC5qfqI}Rj2IyFRs{>B zV5j($*<3v=HL*u&iuS2yeb^M%C}r`a6Kto)tD<}bQ#@?l&cYW0{g}GEs;+8W=?fnz z!^9@NkMUw2Os9)8xi5{4S9EDi-Qbpgi;CJ;ovi1)!BYfvTTw#{8K5aiC zbwg2Zo#M~MFQ^2|#RMN$K?PjETT-mVpgv?>0yRq2^$t(H-t(^8TOg>(m<%23E^d{g zcSqqzJtAV$Bo~TzxgHQ98>^G+y~Vl3`Tl1n!q1dJBI^eCWHJJk-TI)Brve_V_pzqd z19}R_qX=NW0{M$>%IYh4n>)`{K3-(NvCuu}hk^W-^5L&GMR(`GH;ahtg^xKk2X@gf z=gfL82Hb}>h`cv>)sesNQ>=N+hZpqM5o=`aegA6gPYrB17y8+UrUDgQc!^OresZbh zxk_p$f$_Nk_cK#?A;gNUlUq)F{`c@zTEP0d-^LMcSaOv-;LJe{#H1Et?ELBz^(a;9{AAocRa%GDP@LBJSS&*}#1S-)wiED+; zO&Svz#j=;!X)vPZsp3oMT9NG)y7(h`<8_6SNx@Z6x`uJ7xsSUR-RSL3#Ej#vo-bzd zFX4Ul@8%zhZa1UlHlah^aD}>#Xy2?(=xk`rC$V;Xe#5*&-F-pZrG)J|KtZajyn)w$1U2^A~>SpfC(RAh^M1Kn5%pRpx^>Bye6VdWH`E@ARHR|Wf zp6e_Ra2T_YE&Yx35YJwNM3h`-SmQNv|sAS;I7J#6D4`vv_W0->#*k}dldsNgbU zD-d>3b1o{o94GI{OdB2qyj?*y2wImVpXb<_GH(ZQHTtTtP=xD?{;s+%>HH0Hh$AJ1 z8vPR+;8TRX#OP#N9U%uoD>tt5Wib0WFhP57JG4ZvgucrFwH~4>>ZZFuI|&NHg{rB$ zM%lSvMGMe0>uuU>(iK3BkkV)Cvv>TpEE@SSFDOPx%W{^DKTnmt>Q4cC>%0=&2-|s_ zE1XUVKU?=8dQnOy+sc@djAP6r;Cc|!@zoY=vn>Kifv0v5G}18)MTD?6=Q@+Lpbgi6 zJO;PO)wdkqr9%wSOja=btl#zrh1RE4nEaK z>-`7Vb>&PHTeJ~y@0J5duUE&$*8=uoXN?wHK`q0^0kD$#9jNmb5|O^9ha9qsNs{BA z9}x|nw&4h!sZl&)eq=*G+`FQA(5)IFyVicfvxePu4V%&_76$2tofMH!+X-uuvFu}z zI2AIxdfpW|kzySE2OYcJii>of*j6y|%5DbG0-x2a{4o1-9v+}av=)_WAgmPETHVBx3T^6 zxVS6TcL?;)U-nO2RQ3u#KfN#WhqkrFq2a{*eBp4g=CilP`nANUJ7>I&ejb`RtW2gm+a3go#~fhRe6mT;`7u^H1;k2_@Qz^$$}`&3lj97 z2{KYbv>b991uHMBC@$JT@V;&+XJfRXd_TL?hV3uPYUjZ)`&-XXPSU zs>Y8Iu%K{b58M;3Kf(E)V#_ON5uj5d3~cB2SItEPsbIBv_Y%;X07_-%fNwR5-hoe; z!gyka!retz?tNxrhBFpQlgs+Rb}~T1m7NSvw`p^7|KcMt)RL_+V< zENCfU@KxGj6@;FxHn^L;(Q^r8x`WDi@XsVSk}d>P>zKn+^XrcHGj{dfGrAk*igW!d z`LIcTc&6?>r>JA5FkoGT5HUa$n~egd@Gmk>a6quMWQu)+IOnIB>J^5FeaA$F#c8JE z)a@XOtZ*McZ=SRe-ip00{j6Nac&R5lS&+Cn{_9>+1llJIYo?X9H`^9nUlyb)R zGUWVxgG$^GnWdhZT>rQsbb^>f{LuE*+y^;@3ysQ4|JZqX^u5=EA@Zhs-LJe&>43%< zDe1r1e-9p%Jy05R+=DCftE&6g#UJtZ{iB9))_0BmHgtM+w1^Z8jq$qOg92)=`5WZB zFqiCnwSNcY1_c=2ZQV7ReEX!++J7yr%o127`EFhqGt&-w8lBy!f4RC+kn{50-4{AR<2lMFI#r#gT}l#p@5m4d)rb9Em#wg6d&z0WxDGpEUP81=30QSy%H_V0IR;Qy#A42t~ahTQegB zxFP_~v#(6`bO~WaSMpOf>H*rMM12+9G(wb_OYsvCNdbOqmlV$Apb%Lb=TtePEHMu- zYgOJNPe%VCfu!tjdyME@hWxd+B9Em7?Jnm4=duCKHWs}EIo1Q5lYTa!3z0~)qIO9UJ38WdVh;y_B zy^=FAn90#ILWfU_dCWJmSEin(vr3?V#a0gdi+8|Y&bvy89`~kO=4grg33Q&)x2aI^ z$^N#_?1xM-X}yNbR(rpgMajHep4u85$YQo1=cK#7O4Z^Y&^U1IOS_EKdw^u0;M@Bs zk8fa#!aG9^NzC2ru-usBfc>~V6qov#tz%@Z(WNh%ib8J7g7f&=2H(>U0^%gNvnt{0 zEBxbpg$pajdp%TnB(A6Yt>-j_>DB~OXG~0)(qI2F`h9QX1KgJ=mop~)W<~mcI*>iW zJ&F<6nF;EYDP6z@*mZg6zYRG4j}((t#9Xa*_7}m;vXt&Rnx)<(ra)UHF5<~YJ;#*h z=d`YxWIf~jsmg!O_o~GLD5`hJsuo=tP_lTALoV3%dy4k&a@d$-`rzJj@JQTXJ}4>C zT)k9mgDwn>t5naBRb9Zk7w2HkDq}iY3kNFV0NdpFvvS6#S%s_6mxal1dL4QhyA|GQ zMiY&HP0|ePYHN;oFI&wzP$=@}nh44lhkc%%I7JNQungV|jBGZyWe56QxOr<$?3u#) zegEFBKgTSEFrVCk@h3mjaewyORPgQJ07cn%{h!PIyytq1dqz^YQ{k-`vmVXYy`Cfcg(f@Q>^vCX#StmB&u?96XA5xAXk1VQG7+xPEb6rxSoq&&o4o8llwf7`-vt~)613$ zcjudiO}m@039t0VItrdmWYYi9g-W+x$coe_B6eI?TGiZcKGpX-cr06#S@wqUdiCom zo5crB32~0C5shF>@P8KKYpoU{^sF{i>3~CxWs!LH1+seEC=e(S0L$4@_PrT zwwyV?E}!=}*j$;EGwR0K2QFj^zlK^XAkDB_Itb>U8xW=GWzcl&VNP8cmZ_N$%n%cr z=%r1?3}DfOpi_Jv(2yWs$Tlv*HV?gBQS_NnH>PDo%oWAG4)Sz=61P!UtQQU}rOpw= zQVYUs|A9$Jb$O!Bzc>mayZN2hNi#fDrKSRH3|hGuSYe)7oMACAzFDXqX?H|yG2QpP zrFX$B;3PYtR^S1O2W}Dl$GeKy~43g@V@a-yGaDr`q=_{PLr3?TrZ8M-1Md!#H_z&U$8uGiM%M zZNr0vrvpDGHjn*mx>Y2?d+){ne)(~(X?A-22o}5efyNN7yKz-6@dH(q zn1Nn}S>?j4l(04*YgUSqq1EL+Tg%&6 zJM8~j^OIWpO<$9Zgzzx$B1(KUJ3>V%jGrKWOEja>Rs~~r@I(eC@c`-!-+?Kz`cc(n zI$j&EGi$*09@`>+@c4*6CGu7U9Q#H-@|+H20hs&Bi$~q^`p%-6|GzbPy)hapsP$$Q&DLkD57eWe_0FhzI!lKNVg#Y;2h)9n`JN(*5VewMN?jWP*h=;nfqHmg99}X44Qb<%Oov%Igopr^0)ccw3wi^F zUF=4lG+GH<3~tilTn2D-ZRpf#fuxHF=at(U0^I+r$1g2RT%n{3Nkvx}sv2a3h?%K? z6_Qop#NrFIs&Q!6cF?5o0?v-81c|!J*)vC~Vx{AgDHaAiHP|Rj?k~Pt+q5l%bU+aB zV&*>iz$7nxw~yIz7FGRW)Ohe7qB9u}8r2j%Zl3)UbHM8Imr!wt5U5IzOStsrXPW7$ zzm2OJ#iJ#P(NHEhaLs29z8{uxcvPW(;iQ^weIuDksN9O09Flp?3DechS`ocwek#@behv#>l?xB*F z`0CLE_sJW-&Ih;-x{k^}2oiA?n}W&$Hyd?6MQO-^1hp^ATEDV?^`lj2%N})X(9Nd= z-_eH3laKDxkFGATExm&Yj;$EizNi3|@ySO|6V~!qe4{RT@9OY+7pdH~a7|7CVX7a@G+Cem7er(^w^9IWf3+a>FD zpR;ZD&NPCizWr}i`FPEigQn?XFh6ou6l!& zta!w+g}cire#hD`mm(2zxp(w8)96w1S-}{)^vVRSQ4|M%oC2KV^E7r8-Lf1r(y?u{ zY>Fss>e(7+77ebZsQH02qS?^APPWfgyvZ?=L7&oozDO{Q2Yo>zfO^LLL`UcAR3ds> z39?iV)E?i0v+i6qQ@ZJd>ti-&O%5ZILh@6=Kb3BoA;wj6wJpAQTBU&n)@Y~f(vE5hPNn$A7*v&x8-g-MKs{(92~I%so-5Bba^@?26&odb;U zo!=|*ozWA$vSd}0n6YxGkvVVig^j7j zZ$xCEmOwfR;m>6LZ40xV^ifm68j$yb)KXwCUrKETS}=`8e_}U58Xc2Y!xg0rw4*kz zz1Md%b}8xym6M#hYG>k%VKu2%8QxX%#+$kO?{ThNYEWV7+hWd|=UFs?25W0+b7KEk6kws2HCdyWjw${T8 z{SLf7#iEMFMJjol5NV!MBTlSUxxg%AtQt4O8}4Pkkz=cd^`=TYNcYrU_%cxONy(G&nc-lDQNM0auP-30V_}>!^j%W}r$6#6(9OJW#HV5{K=>OJ_ug5v};| z9@`4aMV99HM4O->EK-fCfCcT?oUV0dIhpD};v#i+(3hhVJII=5LlnK0_xO#Qe3)4u zC!q56Tk#+Q$)Jiw0iXI?AiS)jb963)CsRR&Bo;R{|Af{`Fho=Fw7Lw?j4l{Cowufj zC_cqkAcntK5K6fcUIQYKv&$fa(Kr@D>(F7?p_zH_I*k6v_pwQHhM z&;+NNSazDUcebmNK_M6vo!N$lXfkLs6cKq=bBH8G#M_(-i`is_GW>xG!80|Dl;eE` zP7WWBCzk-GTQQD3A^uEDEXel3EuEKrt$LijS#V9n8zH#k#R;SL-CfBaWj=94Z!-<< zlndJ?Q4XUUjMrwYXy&ijHBSsvw=@!Qcxg@pZjg&exJm2CKK85i^VwP&{)5~#1}senP`sdG#J(wZHfU z4|O%Xy3M^!X6~X({YRYw=Q%B|CD&vieY{! z7(CD~KdL_vY>rl`xY66{7-^nyiuH;rF>qUsbp}=v8$}Wo+}R(^ z>E@wDcUaIUj{4{GFNW4tTy@;|RwZ?u?=*$keYE+wy`~o|+9dYGe6pLo6{;9|cqL#i zfuq}3Wf>HdNRg=rl0iIlyaHQ@kn`51zx)n}{Sy1>j5{DgL2tSx$(SIgiw21Ja_FZx zx-FoZe|{qS1MwB`yh0IR%6m_NChbi-@*0h;ow4%rredNj~n_C<_&ST%>5ThhFR_jhHs&)OA~QZ2!Ze z%=)bl?;OcY#}yJSzCgUquK-o@w*~<%v_46FE;SG4{alm1tWb{+^4>;)4ob zdGZP$iPb1$`RqJk9RDyb_D+WYO^; zR8vmXHg5NzhjoeYDy|L~VeHio1W8*oQe?mu3?T*3j#+VNAw)FB3&>HUdRHvnfZH-b zSzSfKS|jjD#}OXvtsmo!o|Z4y<<@_I4UBs3LMw|QUvDBry^)-FXG?8yg}J}=y*>2_ z=rk!`!aRjQ+C#hX^n&8g+E4>Wzmk9Ty4ARtK{>J4R0z{}2ornb>SQfSO`GB+6@Kpc zRJ~Tf(J&3V=Jc0SKSLAcKjS{Brgnt>{KjncdtIB{^2dpb`)T$cfZ^oMRX<6ukkrfU zO?M#GtqEB_#IGmTRs%y{hsqqfa=-RpY)1^hj(c#bz0Xn|tXVbaT)g1xKneen(ZVeB10@W!X41U@i;sZMJ}*GA-geNN=L-4@a=huaiW z_Fc@zyxj^cJahYr!D&C6i@_}}_cxVfE*oJuW0jg6InkmToj{P3*aR1+YOF~=gFDHp z?E_wvQ%F!e!rqT@^P`HK`tYmdIWw-}%TcH_(Xl-|BpcPlZ&v~v^~qHJKC03cBXot{ z8k!ioZHtll4{%y9zI=qC^I#k(R$GhWP2G#Hp&%@fPRA)KL3D+jzHfl@SCX&42M7HJ zIEDp-orWAY3uUuK6i%}U>K@1NX0ghTjtU>aEPe3RH~UzizIT*FqkPUr`$$(pB~_do z!qldv7h&uniX|}nAZ(AH_*)gQy*M4>5q!UN0jwmuK6uzDv2-B?Aa`F%iV+flPvUFi zh+)eiD();Bw>ABTrbH)0RorJayYY$5=0_oW=M#H?5|3Fn2wwvjveRP`cKd%6orhae z|KEqfiMVi^E8K`9_tpS$i=yJlQCU)%N)9ypR)z|uxfR@dpy0^J9M~|jRBV{5uq>&} zk(n)R{~n(I;GF9^vL6O<*}-Fa!u$aK(R2uq*%{h!;Hs3VlMN+V5;%J$5CF zV(`IYB`Gfq8Qyo35y@--31x#5OPhzeGVFZv8w(JZ=D#ua=7plrt^2h8b{!WgG>$y8 zNFwySS{qYXQuN?TFZ6T-3!QCZSFp1Cz@| z2=%Bq1Bgtfr7-eP>o@Coju`he8Kt}(c*q1kp?Pr?p<~(6OEdKdEG)-8}k55@edrS(d@}xH%!yM*|v|id$_NO zJu+a7{DEkAs{d^jBGggE9l=@{XDXHPFAbuke;X+f;|K?z#x(&_a)3Yu?7pKr}O0SKJJG>|rOT<6G)wc!8U(sHp@N5N&YV9XkO`-o(nt;mGXSR?O5p8&) z#a7Fr)pqTQNefU*$Y)<*f!1bE8%J7)hkV4zWz@CMEb-#* zlmy6jE-(@tO_5f4eb2cIn`NFoEC8CNkizefw0-YC2)St$tMmgQ7&|t&Pyt!kzRn5# znEim`gNjYxWR%u8n_TV(SWqGFh;8%?NBS4uTbT^rH|$2;r1a#Z{_tqyikPIs1GDq> zT^t?rjN4(vLS64-h1P$N7-76OcvY%32gUdbx82Fc3T_)OU)WdSYz%V+jtly(1x6}- z-@m1L|KvbglV-PEfjcE258C98k}==EI{iyCANL*aSQAK=Y75*kkW&tf)fPIT=(>rS zXN8nX^q!y-0++q8Y;<26yzW4lPsbr|ASz*+YA=cx0pw09yOBB40LgUJ-?=Hs@^-5t zT6;Jwx|4&gkf8!#Ax@0FBj7hb!$Lz1**A?EJC3FFX7@qFaF9M3aCsi>XkS)*?xs8R zxgrSWumVeBojP@U66;og{N*Uj9%P6+g@v%-$$Cksqjt$hQ_o_)-BJZMekN3a*cw9oT+kA?E$lpU|6tf0@oQ z+|vw{T{wSwAUC@<4L+J%ce!%SGfakM7GEE|aCZs@jxsq5Uf%UrWIKB2I5YJhDb-vL zZt^XFt&RjF9ls{_CXi&b>GpyQN;4A^iZ3ucLs?+%4($oYR3zaFJ|{7=l(Eo)CmiAB z=r6OEjWt?kk29`cB0@DwRfywRkFgF1iC)@6MOWf(HuE=&D*W5St9ceV@QVDGQ$wtT zCDBc7oN!iY^%{gxu4cFld8V+*J2x=%B8!_kO@^+W7OOBd4^+%v0ciDf-k1w1)p_== z)AAj~_o5wa9KcYd*NH|KnTn^r;JL6XFLptT*NEFS-w7Qv?E@I@yOai#Eo|xL(C=>H zgmKt8kj=^&_$4-pck0n_zqRo)kEmwPK8sVI=VO6Xh`5$mDqh>#iVS)T z$uV2D7m5Jt#swAK)CbxgTm>x97L;^GVQKS(tYQC_eO!adrI62QPCDYTB2e%MT>3|# zAitK~(#0r*DfsXZm^7XBB9zXU!5E7zVwB8}QLDQ(Z*3@K*~*-o~>d1BfJ{f%yR?kDcN{c&j3;e2Z#L&4}Z__^oZo5nsx70eA#2^c}M z_Z+u-K)@N$2kKh5!k56=Y&KP>?8R6nR=rtBqf2GghLwvK*9J*(#7wf|HmI?mS>1TS z>?e+OdYdgydi(*re}^6uG(U+lQNdz5#8$nYWU*5*4dQV@W9L+ZUK)!wrOBz1g|=>g z=vodrYR1NG_2n(t-qDZkyicb7v@F0ZATwuDx|FlK z(A~$ln;|%HaQIUm5-ucv`3URO(r+_*&B4{v)~ZRw|3aI;r1?&%St3*o?9f%U>h!ef zm!4uFA(0P({l@CeAMNbtmpulQ=L!;nSLt0kbpryhkDYUP>Id?(ZiN@;DA5KyzwEcKnuv%w;IdUxC+q6JhFwaR zn(1~r_M- z+2+xo5!ZuAf<(+Pg{dq0lhrR4tR_xP&F_$iyKY0Fv z;l_;yOtDVDa=fNT{=U-ltxJiyuV4P~C7G)7aQwJ6atfH#r?~?eKFBGWyND(6fTwss zy)_aAtz+v(IL6IharJ4QmtNs+)YKh{7Ax+CO2%Aw>YEf%((&Y0B(qBTe#40mOH~G# ztyVY5E0d@S-IZ_NrxI@%y%7vW{L)UhsU31Z4R6z8ZO`c8gYfG(T(be4z|PsA+s^|TlM~mHU#<{A3oI!$8`Z6 z&DbSrK!+LE(qaA~h>uJt=G1VIl3j-oPa8Bu9%w~Vytft0euiV;%WQrLjzRE+sT`mN z53FcDZuW08&b=8Tm#>?4eBs!w=%>s~*GZ0{Fkm0a!7}7qzaYa#^ikU9bmR= z&fOe5)R*cltv~F{E#IwsZS^Omq5b`=fDpr+l_M0y%m9cNTGK!|-U|P}uxE33e$@Gi zQ7v});LCU8ZbKlOM_=j;q^j(Wnb%{!3KTAPf8pD=pUL8O?u6P{zg?V}laXIc$y9E$ z5#HZ@peo8%oFkM^|0#{$04`1D-s*Ub@vM%>>XVr}S*e$OH{)<-LqyX1VPb8;C2i~L zlb3>p&%SF`n;H|eOe!aUhRp)X7Z6`)YYvynu5cmAkMvg!ZB3$e-9b zef9qVH0Z%Hb)_+Gld7v?FB@sN(L$(qq%f!BiAIgSJGb|-kZwaBvf1#)3@C@Z$2JII zCY9jrRQ+k*@<$WlXCpfLlst)V)D8!DQ7kTIUqlX2Pcxh^J2ZT~p!3-r5mEAVzPM4s z-@%qA#yU&=Dsl*<`-?hjp&a%R)J-bzVpZ00N@YT<;teKQHG3geoyP4;0)C+6ajHqk zHWSMvE7^R1fd_-Ng-|f6ppfc}HOn&qm!*}Hp%4ejYd?1sR%Yu&CA(YfLzG^R{Y74^ z@C8>|m-gU(x4<74-meImZ`QeB(iirx49j4TU_aq)Sf=$ASTjopq`GAcSLwj zANl?2KbCZj2L20i>hWQzfRGqcyU(ev?VKT$PDl^QnkublJFNwv$>+peT(x+lW5$HE z_yH(uTg~bwG?>wAo?6()hey~fVQQ`yG2Ui7F@u@H& zTMdH`tje1=W@lGqzikB)27CXS#5a&c>U7=i)jxB_33}dy4^hfXsLEQ2`*WuNa$C9h zOYd4=DZm(Y^{~c*o!)aAK52e72zlLQ`_mB!4+c+sKgOl9OQu_fpqS^rbcdc1&xmhX zUcCNt;-Dje=rcdJV0LXTzi2fm0lJ5)((-F~DyuHd`6{V#l7};WR5?zlmoe%2z%e|B zB$^jih}yBp69xI;GW zc3kQA(clC|d?KblJyU=G1vkJlZOYF5WDRxvSyM}8qBWr=aoK8xU?!Nrmq|H>r=X5NwE0K8j= z%6SGFDu7SV-5~~WrOxajQhB(QzMP!jMFJ+jY+92{3yZGL8)y1ACS-oOTr;1@G0lPZ zXtIiyG_GXPpm@!SE20n+IWn{vq}S}Byp!clmw;)`cfqH@uR)2h&oBh?5b_SCC2FUa zUuwtgr$X$Oh0KJ&>luKgP%Nf`#wsOmx91sfkycv3hef-_SK*1EOFrdx(X?kqUBC_z^&Le}84QXb8bs|E#-;29=<_?+C@b*>rAb=UP^p z1M<*e95aiD3?mE4lZ~n|ao?c?rafvHjVVKWBiz5l2utUf*Dns_3Z#J1WHrw;_&;t~ z$Q7O{`iZLyV_$zio5)V29{ywKn;3h-CppPFoCy;u^B;G=G61;5el#)JEO+LFYF0Fw~%Df zD46@?<@0^rOr~bl$HDj2A=&9XhrS>FwhqyfG*f329k8nC5#ph=9HWnV_bW!w74dKz zgP)OYU-F3%#&kdP3bxvmFJVpr0m1vulbV>;Hj=Bg0eZz~yme-K5o$-15m+8GzWpwo zs{tZZ)FYjS`>K4ij*fOxIo8vMKh1p?t|`I0*`&(|9bA^^)r@GoUhr}2GO~N_{NWE+ z+`o!%vmYjiHaD!7qJ(ekA2cJ+?vk9R1DN(0y%N)0OJK3iz)0 zUU}g5*0t@fRCWoF8GGaUvXxlMD10#5EcyJkq#?5ZY*yzG{K!xL8_o|I=K1$pkV_6Q z1#EJ$E%NSyq-LqWg!^(YQcdA`tBZRyKdT}T@(T{*Aej16;aXpnN!i$O8H--@jB?KcM%|G|6I|RzH zR5%@HfGxf+c`4R2Nc0Xj5sCtST$SAS%5_@7_Esg>j$K*Gmh0#|<$v@3O{3!XiauaTeLm^RZNA6meft|9#iYU+r?Y5!myG%@C$+!& z(7Jn*`xRhX%~BoXA?;YE_9XIAn23>i*0G|S2B;0LDdo|8Qcs5jwokFV7s9|I5I^>z zw@V~xx_@;bAVT&j6ZNVLG4}QgiN0z$R0F1AAl1Z@!J^_**7tT2CYQ~#lbpr9T)F8x z&f4a^R5deMPQw+V^&e65gRbh_CSV#wXBwtJ_9?A*@g!yl4$m4tj)0PyyJ0%qSMpiE zsJbITiW;q~)(`C8+GnIh)+U8n=12c@f;MRY?zQYrb`D;EAxMLt@1u7alJP=W#oYSg zmi_BnEnpmFf@JT0L}J#YrIDudqGuVRaJTYbFloD9&uQ7=!Chw{OB?sTIv7-7sHLse z>he^(Jty{!xHoG;hPTrm^{h64rJ4bcWRMnEweM`TQ3OocFnrW zVuf9&d!7-lr~wQS^~8!sOm^$0(P*axG^LK59@#pFdX5OlJm?*8W%*-m6J-GXT7w0Qq!;lE^^V*`3aHM+M z9T-~*-bd1C#!8cL_@Dq-1P=B0@yotWU2rSrsnC7-YZ8xK^kx4U=Qh$S3U~}D<3|f$ zmR8m;pN<=Cc8IIW(bQjSuMu9hd7N1>uyq)eWKUMxi!@b#jPp+OB-Kl%19<<9yBW;U zPCrKu8X9AZlsBjKyx#xrHLA#ewZ|zs;%xKim$yWZnOeld%}b%bryAEs#-vMFu2FtZ zdZ@4GZZt7$Zk2zu@|fe!e|`1ZtRaH9{lV61aQ)onhX*6w=xEP9|M|b6{W9m@{SWY? zT2XepHbTo0VJdPG=I}V4CA)JKFAZJVxXD8%b)Ex|@hK`;5ZX3gy!q^{|| zux_!`?JVm*e90>>8?`>OY;f13-t!9N0N*|$+%F?UE>0iSBexLnWgouELFs_1Py4S;I0B#!t{$Y z)6(`S^imVvTU#dZ>d<9K$02tbhZho>5K@(<3$M^}5hRh%uw`CvlTz!@z~XgZtXmV9 z3NoUhmk$Xk&3WZxsFEb)BNtZI3*ezims|6Z6aX3pDe)C-Xpb@-5!dXaJtoT(CzsQs zOLf6g-9F`5Onoyrek@xVSItKrLQSyl646%5&DCH@CEPClDRT}2rPr5a#%J-SDE4!A2Eo%PZNmnz>7f#M>G z@8&16uBF1NGfQHaUn473oe>sr?_sbcCvCFye7A`aOu>R53xKD);bD`U4QgPg zy2Y|G7Berr1eY!OW5iP)Jk4hKF2zJ}*JI>@%J|ezGKDa32<-{kh$cyupzxw4MSwd@ z+Z~ol7(xIaUbaTZ5DN{m#a3<2TTN=Nk2f1k!UNKrVCEfjlFYaF*xXNB`8p{K!o|5d z=G^JC;8?+8tuMMl>>oFoGGF*UP!$_X39P*daus=SSG@5I8CPl-X{g!D$wljihP-rw z@+NYSMmOmk5e_7bXDeFD_e#ny;NI)$7SX~{A6dpSG)oU6Z!4Lg2REIkw|Zh*H)?)_ z!Jet7G(7&$oN$#9k08IbW}6k`3JJN~PkTY@*md!aOCZst{un=wYG-sXZ;9`3JrNEF2=Lo)9&EcM6qni8_G>y1);#k{Am}o|mE*igxa2 z%t=c#CByAV15rLv^VN6AxQg6F26w z^e3A5r>k7piB-~%m4`ll0OviaoV@JBPLer3a4NkfPRTmlDc-<-ZL^rb#*CWUj@UNe0sgs0mc5{YvL1)XCSOitpBEMS z$&){@iy){AlYd%%_|o|GNRwXOqpDS5i7uP$RC}=ZR%ZYfpkuY2Tj5Da2`tduu3z&~ zxF$$Jj>VOlSiwch9^O41De)7Y0PZNhSyM+fv3_CJJRFh^9ONloxAY|$6BAoxp-HHll#!)rC8qD0LF8O6D`zZOn zG%>jZ-}8jF91X}4dw*X!_e%B+Zo(>pdyR5dK6}~LOF)Wn7z^cCT=Ad!bhZNka6sD= zT6TYi(o92PTO{a7JW%2C1*3dd58)C#uE2aWF{mF8qrprf?7GDSvyh$iINv7V1|X7k|@g~o+CUO~S$ z(Mz}^Y0|dnd{Rd z+*!)Uwlq_5(`HD}Mix>ih%HdmTBZ(n{xx1mkO(O8`RX=OefTh4`U%^5&tsgu!2vEi zSBGk=x#dyAaPycMVHFJD#|4we&-~!h02gR3BV#P1GKfkG#@+?tR4F|>RnGQ9y|qi12~2=lTon7H_UsCMVCHQ)Qy zi~IWLo7(;V&gE$SJ{pSD)%U+)BPZRJ$GVTTKQaVdj?N~QS{#QD>viV<9nK-jPRwXwDYO-iw%3Mbqh8~BI$xC@} ziHm*;=V`%`iC=Q-8sITOvdbqSDSa8p*+ikK-i)No4f^jd%}p16$iFYT0}Jyr4E>O| zG_{oYz&<1-zRe&L5`45JEk;CaU2ICeq$6sF>C6DnbO^Hz3de%P*_NLTBriCbZEEt< zPBq0I6eP|jj@_R00fetGZZbs;1-lseKvw;8G>16mZ~94Mu_7s-t#W&_36IAj=LPAh zVEHL6SS4N?H3hTl?R@!{GOpv(msRGNYNLXZLX zDt`8YAD_6 z*aPK@G`{uV+q5}tA+uI&_#bGFPopBV@C^zwYQ#gN#3?s52~G&#MfIW)`h=N$O}>`{ z$6S9O>ow<<*j?q3R!6)7d4)j8Hy6~h(yKuD zn9pLH_r_4SvBPx?qQ4>^GApF#qYC|FCZ{{NKER7uHwNTc5he}lJh_&ASJ>vwI!SUA zqVGLKT>uZwbBs3RDM-wm6qvHg)LUH@il?3=cq{C=_s^P`=fB`w751SxX0MMY^k^f8 z*`#*ZHOW(+EE#`UX`Xnj*$|KdO@YV){+KmxJkEd&!8h*gQ1VvOe8dtIRYSZerq|d5 zR5K=1Nxn!)vfC`*bv^gLp=J4>FI$HFZ7_YsElH-f(Lovc(K4L{y2kAkofwqf8}6Gh zZ?bm#sx5mXEYjd9050lDo)eTrBM6P|3Oo04&sCc;oQMwUw)4TnmPq5CL)K1y%FB~- z=4}60>k)g{(^$SP&o)x@*fWndr)5I3D7ai7xNj7%>DAsU1%b@}MV|dF*A1)jlyAb` z8VZ!SYM`Lr4i^u+rw+;OtE-Nj{g6y!KFat8E|g2w`qS1OqWND=H+J~1G3KM1^pu&`6)7_IPfkbOn)(qj^L*YWD%q(9bJVou zQBsZG7AdtW?o?V+;5B_sm(#=>s?Y2K7BGl_pWqVv!POr>FwIApW+i2PCsg-+-6^IQ z-wb{4H2-?Dc>3YI6rraaN-R5SVJMif%}X2PN}t?tR9iC#d*0soBqK6-KE_d(U2ZZk zB{I#h-Rij|&=D9^Io2b%H@O@_Txj|H>3@^f7_0QWJ%^w-{sBBE+68D-K)hmV%w*8h zW$}gQoa65LH_p@Z00<04?*;x$?6U#~fe5g6iIA5pX4%(ruiBITYQ;F>(k=r48>o_4 z4vO5pAuv|*p_K)kuGj+%kILSTabhDl37|^eQ+{>Vss|wEBc_3oK*=VZJu@~eR)=8e@38*ehe;AD{919IE1qe#poj+|B0@kRInX<>? z9)^DyE8klp<9Rt&oP^sNM)(MmorSUk*Tyve9RF)>7t9jy8L8(&{j0HxH(YxT*()p% z*5`*PVrS80oREIBcRu#1*w>^6)e!+!Rm{PEnCz2&U)3&b=MI>`&b~_FIk77sy=3od z?K7hCF4zl>QLd9KIoo-s!oz656O;SEAnPVd0xX&;E0F|GKmmR9i61GVdy||hPm&u) z>+Rs(v|nDk(Xg6j;Gu4TJl5g2U`xU3pk#YZ)&vmwg`!a0 zfLq#ll&KQMWh;gR$;|24BC4!EQ@S{(A4~>4%cG}+RuD-CnS>#%wUylVK)5qq8oQR+ z&ep*b-?U$qz1zKTq!r;cT+K+tT9kpa*-hv9%T^uv zec;9UlLxL}>d34esvN%d?c4o#t)DwBpag{BYu@Sj?~b2}as!j~25f%$kuG(e_{(W? zUpjH&&yA<2HEQFmUs;BI9N~OatoV|9b#q`nvlqDCp8$FlTA^xvd2|2jldX;7F7?-} zMMCFaEGJDI%MWW$YvgFXLB5%yYsg^tVD4My*TCN83P_ho{w4KJssB>HK|~#wAUIhxm5CFDnZw0-EBA zc8!mjt_p8FfsdVJYjY+9L7W8e{x zH~&WIFF*a&msiZ;#*RbZ`+9KoiQiUb%WL1~j=n3OluSoKE;9^5i*L&1E=7?& zf#PnS=-$w>P3E+8!!rSWMUhwX|td-3KeMIpGXZdzA(mA2euQle7y>Sjl?}!r=#qOBN zQuf&O7isfT5U~7xR8Nw<2Ng@e5wBJee&^jw5jS2Ovex#7yHrGl9ZnFE&TMa@Pr7i9 z_%(cl4U}w=FKBz1F#umEeW?7^kal6p(`?4MW$=}bX62QL;D?ttq)x~sLEbNF9O>-* zcq}-r?iZH$=y>45M-79~;KT2vDlBXNDlfjuACUQpQR@$2#Yju(-ZZ=%sA&;TDA-i4 zL5De=fb{m|Z^{5D%-w&jy&hLmy&RlOZ8wMfZr%*CQ9Z2lU|hF-!h%gm`>(On^^u0o zo5eBZ!^dUn8XfaWlJg^KC!&Vkq#r4NTa`Xz{2lP`{{64`F8KKy*3&1@dD?-^i?)Yf z)Gkk#>1oKaXr=1Z_ma||(vGFqNiTRZ1Q8%4^UTrnP_4*QNqe~2X!ExcJzdX%6`Zo| z>!55kKR`nLeup?n-&3vibkxZ+J0xhB_)#{(u)B=>!O&q1qEgK{P?l5>Zt$-B=B=F_CL`Q7hR|$`A2OI4{z0OG`g8#cT~&4zq&AwBOIJ>8j9If+MI^W- zUR~7LNqL3Sr)mc&3r^aZ3eB(n2jICbE3Zm%QjgB@tZkZOVm+qGiPAI&u;rk>xO_v? zm|K{4pQfeWNL^;N zFjz+E>X+ITPodZFjoZ6nKTwfyJ!mR0DzBigx}aNR^BO)=?)mS&tE*s>0P`Yjraih| zM=Xqyxs=NXSfoYwXK73rYmQF*l2}gk#-_AW;$g{bn$S$ohh|y!oj{5VOrD^e3X)U* z(kJyA?|^KPJUrERG!X~+s!zf750U3sLLr}YHiaktjGiySi?n{( zSaoYXNwMcx4W;q$X)Jr(l8P6$J5CRYCCl<9SnSG3cv;fbv}3YxHEl(#U2u}V?^!Js zVhdFQwbT59;wFt2d8R;ITA*2}lKoQZfzbwQ@Hb*|!uvtY`h+&@p|sydDMs&SReUeb zm&F28;t~jjs$7%J5J>F`@U#VPihufFr+W*_xi;VQI>Xs;z%f!NHbRh+55`u;u|9p0 zZ|YKowLiZ|9eKBURpg1$wqt|iF-+mnFL#m)p_(4UEDu9koWXHE^2~R+qc_5L?XZaC z$5Z?=^Lvcom8smq&dk?U|6O%96)^r9Vu6RRIk;qZ^eSYAoT!Sv>`cG*>1k@z(O=i_ z?Tgn)!Sp#dpsi)y>gcrjiQ2;^4ZZYzOwR15z*II;1D5nb`I=tqbBBNHqlX4l?|@GP z#tKCi71AFqmN^DwT=BTLhp4*$a?TrYbbs2vz;D4iQgoKc;e!%aHThu(J^PK7?M`z; ziQ;F{ja_47WSxMipjSEH*ax={mtTyYV;`rkowjajUs3?X$K~c2IMFXdWW8=`(2flm zGn%mbG}~gmhK2k6ulLyt+IO!52RBtOQp-WNr%UOCA+4$Tg}{q@JX`Hqf4t>T+(J4Y z(9x$V$cwsH zFO=%Oq;K&tYl!nEIBo>mEW!q;(?`Y5Q>3@ZbZd6|jOPWN*f&2gjHg7s^04-9QDth# zF6G68Jr4;F&s7PrvQT~bPgG34+#9L;C9pvto5T0V{4G29Q<4bSW)=sZw8KDkj~~kh z3J#(m&R$TTz{Qm;u@LN&hEx_>JC>54h%&N=eQRP{Eh!r5V5owg zn#Aq9LO?-e6snuajFOQPJNlM-8nR7&&qg!EmxIt3m}GKn)@_{B54;b^L}uNSMO%=s z!(sT~6Cw9j6yKa-n+MikVC+MHN=Xho@+~9i;&lms%S@rJL;GXjvd@~2wsF#dVP){2 zSo->te9LzES_F#+q`lktM?n`H zxQpPBLrvNJ!fTv1^K9~Dd0>I;8DU$A_h$92dYuyf^+%|01Y*T=I!^F5)&Td@MJk~g zDs=sP>n9M;dyCb!oVG8zrvXH}6zf~N3fEOsHc3*!2bF>AhPGO+Td+3cJ`(p=W29N>NL(HnO-l*{N0N2n8XlX^(Lka!37abm zBjscr4#~IMxrQqk3#QETY?rO+4~|KJURg13d9F z6jWP50+)g}xuqeY|H8^J?=ymQ2rbRJJ523FW9n?A4j*{qnRg+_RG`4L&J4y2XG9~3 zwu*@>yZNff71d}v+-U5a*fB+sx3f=YUHcTGIJ3*W+!NOizczi;xmgak_}09n=NbXc zHapI?wpLaydlj;515OaKd?pb;DE8)0uP4#s#vRDPRhN%MPp=I*vEW}%1bbLT50AVy z_S+a3KD=}C*bV19bJlk{_F~f4K>wa!f9ZlvJX%n)A$a<|Hc~x)*AtYh+b@q9?!Nr+ zt!Yz(-ai)|?V-8VUfdNBGp84_cxKG0v-HBy#;8Bx$=?2*y4I%(a<~6c6Czjq^p#!V-_Iv!ws!T=Vq5 zKh%Ubr2|ELOXGzRBy+`)wz;V-roJFMS*fIs&C`|b5=@%W1r&IajKq< zELX|CXcx^axJ-OzRA(|3^-96(+Hc0P7FSN@E+m05^eFOW2`HNP+PXBbS>3GdU>MO-JN{<#Q zd<(lQ%?p{VT<&hrt1s*rk;f|FHMTIG5|XV>5eGXPg}Wn+?#1n}LD1Q{R5ql!1+Fi~ z*@SaHYiotZpjdIXHR#Ck3?G_V%>-ql@Q22uA#6w}ZA1lomHJ=vRu?!>nEV!c*Iiw3 zLT?FAk9I@53y7shEdD2vlMcA@(&LZaTxN1^5p#p6*ViV9=@h_?OdMvk&R7mY=QtNXpGE~*cs00AH#Y*VOsmP&S#V4524?>>9sz74`d~fnd z*bl`08EYdsFaQuq6fi(&`#h$`?4JZCKA2kVm{@!tox|#x;r!>0Mg#Ybu#J!Q))sFx zwZF-rT7R>Va17Ro{0>cK>faY5dHqChI=u3Ayh@F5n^u=A;-sFMm-(PK>(les+(NUg zH4<~|1p04fo5g77%2uNm#uQ-f_V0vpU7F3|kAYgj>Nvzn&Md$Vv!JZn{pww3gLo-# zUjm`}qh{#l#L%Y!@EYXlh}nTQt`u^#70_ewOR>6Z5MZu<1dY^6XdK+hv4*$(i|76busQ0O8OQOe2IKd0M#jO z*S7N^4X!ci;n03rPWn~uxg|@vI z>mr*8RTje3eXRU+VmSgV2>g{CKcPj)M}?x!AX*V{916HaAKe`KMbP=Pf3Ut3C}tNJ zx9hK`rO?cSj3*jBuWwzf^Y)|}+&}Yzv(ui@c;Nsw#->qP*tqM^N$T-?Yy;umYdd4oaKe)70OQv_c1dq-4CkUMl6UaUlX{ur1^c~jljsV4WS|v)ClOr*s ztjILWm)^+Q7k;DaP;RNg-H0`~npq+LY^XcJeLio`!<1FDfK)E6SIZIc4$*}*ROFD+ z#?hy}HO=YI!(5g5`+s^YvNkMsij3LYbqYo;k7>v8fnrIFz85A`$$6y(+N@l#a~C|= z&nv9M^H@8SV~+&jrQlyeA-3D3G4v}!R)w}(ON{gFSdW<^qi0#{_UUGAEkM=b7}e#7 zN$FC%oj3*7@qWD9Z=*A3Kwyb}j2;(sNJeq^1;|D)fN$R+Q{PXuqs;A5rB^q3f{$(W z^w5A|sBY|G&A-V2!TA3<@vBj7WBhb%+-aYK!9~f8Pv2G?{O!FzBE&UVwt95Z_gLLB92|q&9uf))ARbm$H73Ug5 zTh1TE#-7yOp-GM`lJu^2JYChN{oE; zT#U-LAX?_7E=8|6QF@+g1dZ(s8atxtJ-e4BZt;YxQnhcTuM_j&wNZsNAh;kdc^?U7 zdMYR5=9i9fpv1$HPy7S#m^$1igNqH%+J~n=B#TfaFKuKYLeK%bW#|8^XwJ*flq|aQ zl>E%Rgkf_7?ZwSX#>aqP)L?Rei(6ST#N`wFcTwgp&#LeGNQ;{nwsmnF(3)=!8bdva zC&6yf2I|I}Jaxyj9wLS0(;_=PQER@P38+-if$vH>0lY&RMk*@HHeUCu0&f*hN(@dt z2)W8FXvRem$l)-!^b9tcEvMi9U{cu+IEnRR)>Vh&Hm^`CyHvxri)^`0%(7+M4x#&T z+tbZb8rq`K1aij2d{I$Qo<~uoq7qD&wcQgzuK5vakh!j;wdo^5?^hQHU_?&fO1Iay za14iou#r}^k9E?K%+t{ZWOqI10l?OscHZ;vO-N8itOPDg8RXBfyG1Lc8i_h4xXiPj2ki~( zc-<^4$bFzLnS^!SNY=3PKCw-VfcY=$ z*N)c{U#gq+a~98R-tk)-c4B-@Xy8c2A68R~UJ%;3;rlWYWBR?W=5X+LiG$yDUS8VJ z^b{LHSD1VKn;aPnTJKNh#g(2){a~0pw-YEk(;!F$MR@PJKUTDKP&iii>+1Z*kYE4w zMFjyXw(Egwk;BN`t=yDcI^8isjc4%5KfST7>zve5EKk}tZh<)kP<{1pdg@D#Xv3z# zJH`E*+G8bed6}Kx9UT+?2jDx5RVf^}c_!t?L2sOQ1yudpj>3z!U!*VUbT_q)w5j)y z>$dTwnZ0Q%!5W<|bo?lEHr1IC|C2D0xoL*^y_K&l_?0U(-gSTX1g}?KP}6lZ9Z6jQ zjZpcQ;fakQgzNvM!|xxm`1a%=$G}UDcf|MKY%)F=x!te7Z>wHYB$AqH-g&p@yvF-- z3G!FvUytTr4z(MJDLzE9gku*s%G6h__W^XY)G|D3-tizVL4m*N)+$axF7ulefu{7k zU^vAz?QfX;4g7V@1=}ssa+=1fDoezzpH$6}*`q-xC0hB59H@{tx6;9J@z+_;Uj$NI zS7Oq20SbN8pfSt~qu1AEiclAo)}DV7t8MXlKr}f6W1<4I>HF>zcM6gdW7i=d+WBl9 ze|^t1wOw#(Aem&nRv?be`rf}I(Qnoh1?mrW^Q9{X}OMybz|LR$P!U0B>AYQRNvozfA7z2@Ao;c*Yo*!&|7bkrN8EbMWVX9pakJig|i&B7xGAkJ#be`vQ&smt!Qt?VyIhKkH2~Y5!P(#Hd|$ zg`a4X+Y*op3_C{FcFx+V*f|?F&k;=x(o=YmwdT()JG8+EAQi;Nc<_|fiovqkq+=TD z4Nb}4ZW3*)uiy|MWhg1Dh_JeIt-d1PLw8L%aaA;%!3{6S6lCWwTPO0y_2Kp;R&+9D z@(xgwq`+H5U`|}tF0~1-3@;};{uEJBI6&m$qLqX$F^V2Ytkp`UM4qCxVQr?TF>Y`nILs<@Q6e?4pOahBnVOjH&DN)>Hf z5`NSRRy$E#N@(YMo_O=`2=aDV0cZ3iGZah3f1iq&JVhCJ()u)Suu9<2!#bcpVD?bB zV$h9by`5Qj#tiG+{!C|S-L{EJyWhEG`y%;4<|30{2$%J*QX!jjrdK2|3PNiQzCvWB|Y0s^0n121~dEPmDR zJ5G>ti%R)$%xRBGTRfv*Y2)v5?P=iz^7}RhP&Xm`XDu?)LEPwl`7$7MfD(`bbQ}FA zMPcY>#8DrFSY}ITv~F^O4)uN~a@#+nU&eW_*3N#`p$l4oU8{2zb4*H(<8 zmw7@YyAXLfU{;PuOz^U|K@;Ip-#_tayT-CE-5A=7PLPE!jIjjLK_gdb;__bU-X>Cs zROHQ_=JrFKvPF~jHcjVK)!7WilN^O?U{2#L)OLrwav*)hiEy^EW=&(!BSPf{W|9a@ zY;(uC*q#Fdqx5TNLW`iOS%cgDR(rI?Wl42ztDsyKG*WzGz-2$1SyhLwOg#5 z^jP63EKSt5`K}a4S_n7SB}D$50SyU*Z!O!hosC)e9SYYwLC|KN!aRqwi0|nM9Le@T zNDk7~)y#UIxRK+d`4;$SFS~%7`+2;Z+eQQk;Xg_877WhS6jHnvTl;sv;G>vU`Xame z^?LEyqI9DMF0`MY-i#O3MLy6g7kQTqb@Y+wGGJD7RA>-Ua6-xFTk4;wsa{#xkWa(e zT+))>pbJYv9vQ8>Bhr}{yM~vyMAOGL)Wvo6NzQl36W)Ccl!0L8KCB`Hv-*}roqnLA zt9PMK>J6*9qET}%H^6cFmbUpVO}uScR`?+W2o3&er^Vbn^`wK*xeo6q2O;zQ(4vsN z8+=g0V@03H>YVs!o&3!SwEPHSOy?)PeSpkgl^n|sLICQLsO<>=KOY%MUDIG@fQ8g}WtLP zi{BFS+WEsQ1*BDsrTL`ZmZ29bb&z0)j<#gV{De!rC zeFVGuZp-EgOV5SBPFwd)3!xHOsWH9bbNU%BN<{+qZ=J>mSn}&cMH=NPuUJ3+-eWf0 z-~WQpZN~Hc0ZjpeS9lyEtJnZtT&fuHIRaOH)My!vL(UNPl zV$gx2&H7P;t6imYsH_WNTkZui^p<1+k1b`QNt_)$y;2399AwT&kQd^lXW4|Z1{(?s zVJX7!z~>tXk_Ey;)FuC&ADRDD7X4kES9~v{x_i@q@(+}AP0S`uBx5BgMbp>d*ZI~R7B2Oi~ z0kb;P*lq&vX3+s}F-!O_&-XpjxxlRi8c=YksTPaa|9NgiEFi&{VBL7U-+NIU^oA1u z%iB861xf929#6Vso1q#6+Gm(^skzT(`vHw;1P(A=!>29D{Cq}J6K9e($0SF!R?nnR6W zjRSQ?+?lD0LpOXymVr?@Et;p~?bc=rWmnugBg`y6q@K|=Z=16J?2qZA#B*S(o zz>$+_GF*e+>G#XDQcS<;c>#fA2SM#mVDqb63cx zE~!D7$)a(xMV+a8S$h?3eurl>(#hQ()w zp>1A}>RNh=35Ezt6YahshkRJR`vN+(mmiHn8X6Q<@zR`33Q9H}AS>&&u$H2Wt+`du zox9VIRK55ZTE(m%&M<$>kty#rKHyUTqeY>5?sra_)i1QU$As@`GAz!pSVXyD(ME2@ zSzW=q$V6)2!*B>Q3iS4O@hE3fuFvB-GijnUk#F(B0wSCk!wzqkJ40CL!vKDYsx$Jl zP1S=8s@n0ndq3>%e_u9;RWQ_6-cSxG&YOru#iVK<)%#8KMqCSDO+p89afuYO;#1u( zmKAJ2ylro$rifU#JifDPV2gA~X;-s4=@h1pf4!^y^p39j9|NZdH7NAn%`H)kd+uEu z-TRYa>9G}4d04)dldXr3><8}sJ@*%%1gA0h5Dn6)Ghq@ zqdepGh3=5afIN4ht0OxC&Bim462bLJ;`sq}$7WCe51@Fppwsx?OI=*svMT}qeYwMn z_Vm7ObJRd7yEt6hbhEcb``!lw1EhxZ@-;SRWop=Ny@bE`9?il-bgHdT$>$sxETWFR z)9g{6t!4U{d53tE`O7h?q-tNlY~(8`f=A%6mLnEZ-?;(sgqFEG>|zRWiZZHl{BJXK znp_;#dy{eIBeg|+bSox6+M&M6Lfi;$vLNR8w>pMOcl_n3?#N;@<_jaQ{B~Pf1${~E zT-LmXppn$IT_I3${=G+Mjb;7p7_J0{I4O)x+FRjGP?8e3URgWV|jc7H0bzV zgPuXm4Fz}k+?WF|T^n80f1d612K zt%n#sTu$OCmw!@EbGQu^uUc19FdAzfyUx9L(dIp#aLqTdt6|5DYWbm!Qg`M&8MS3u z`zUUQPS~5rK2COmbJ5GndyEEWnS0A>@;FYd9O?;v=J7BoIKVXcTS`;{rz_Wl`_Ue9 zhoF~M$OP`7Er_N9R~V-_`&>3^=0LB^N$vtc9LOam?}p(9@;V;$@8m@U)$Yz88+J z`a^P1t#{G>uGaEhmL!ZQ@KSd6C(60L2jb|QkGLu=HaNN~!A(TfXK3ocQ@=CmvFj5V zv`c6}rVp9JBcgzV@?TL`aOzt0!_Ir~ZUI%hVs3ADpVulUBtl$vW$Qt^7u3pt^Q$nO z-nlrM_#Kw+Jv@^$n#-!hI1KMTJV2}R!x*2nUHVZjXKc%=Aa?xCC!p~_t7qFiJ&bEC z{zVP786-!zi5@APhn$m3*B0b==$Fg#5s>$N&Vp_C^l?d(qRRbT{@Akpv<)TdO=rT; z)|W`o+YsDKCGS-&0cBD-{`Hr=Vd73ZQMW{nwC~wFQPP-?S~4&fv5(hk9cCtPs-8K& znRPZ(ruKK!0?%cOqpxiS<&8?3eTug*w;rkKEO@dzyod4-W5djp$^r@X>*3#gx5@-g z2!2XK-ijufe)>Z*WGC0|>YWX2U!g8p0V9UJzOv<_&dkN*O~-q6OcyRb73oRza_3cj zFTPdq`&zfX-3mG=%H(7HIiAy)LFyU%V5I`hvaB3)st{fInCZWY7x)1M`^yXE%FQ`J z93!^$d&ceT*~IpHV;#Y`H?E;-AET5R?T^4|@}3JBbqmUY=?5G)CwHvSX|HDy(MM*p zrDP^BL&5Lyo1<%{iYaX(cjHJN?ejc1-syMlQ^|n*HNdNYm^LA^fgEF|QB=fs)*whv zcaNqNQ28ou(yXI1oRwm?6Bj$_2#ls83lL+h;IYd%j1JAVQrH4e(Iw}6YWijbjrvPK z!Bs`~EIj8pU2UA7`xQLXnQd=Fp9C;MMU5CwTH_1BZWqY!zPR$3#LOLXGal>dS=nzR z{&{s+tf>(E6Gd_i2u9zP_gq+<%eth({{dEghP^n(zuaX7r}~2cvXdIgB0mu|#92)h z2*5|jFhSGco-nLiq{Hoc>NBq->O*?=jhYjTk#@@6q!gf} zlYTpm?n4&tJk(%Pg_814L*78^Nr}8u{KxTvzOxN#sX$9qyX4Z>HI<(*bMGFU{C4k& z-QN1XG1V`t28B@Ui)`}wK9eEXB=s{STWF^-5VVBf<4Kg!R|S3DDP^U+6jgsh2UG%O zd1Ew~(skU9AvAf_!i$D7SM*7N%Qeev-(Gb?j)PJ3OaQJh66FEaFl_z=Wz`R2f5-`jNhAyZJiIDYU? zkHA*j%7QZpv6kRWOm1{iUtGQgLu(|9N81{V&KC3%^!3;R?t2WjyQWFSOVAhtKM!{E zy=g`=F8e4X8E&Qz5h9!P4a1+D5uCY9EhVhJ=-Bmqr+6nrdg=1MT zC2y!YSA@R%hFZK`$6F3PU&%N@R8y;Jw>(+?NG6}2CJ19}nZqPL@9RlH>Yhr8gwe7^ zgrj=&EmIt3Y`T*A>dl$m!q23;Qev|D39K$ZZBv!1XkA$^^({BAEhIrxKf+6m-2G^} zePkJ4)@J203}MGm09@eThGf17PRK8|67hYqbWi*gLdmLt%V@VyKJC7 zdkmM;K*{5Hn9w?(gMyGQX%4Eu|9Is|celzuoUxTPbKc?ajIGw}?8~DEfQHC9_S`e` zMN82oB6}-3&wTh_m8Sb`o`aH&+*2+x^Ipr56+1m2{u4#nmdswZ@tA3UcjlLsrPQK9 zs!_!M0F3zP?S_wcxn$aD+v=LRXqC)zuh;0Nu1B{X1=$OKVMY)nLX^>So6A;=+-b@C zwRqk1=XpcbY}LP4cA%w?Np$H>zPt?6Wa$$Qwe=)% z8SpZr3X>AxF}IhSeaStJnC<=*uNuW$X;KW!Y8X7Z=h$wYDEr8Vug%+B9bhgz3O)9c zy!!K=ZJDuo!lJ&AKo37%EX-2l1phv)K#12lfJkpN;-9We^U@qbnF!yVe!F(mL{FE@ z1x&BBO6N9ieP_h9KR@50s016AnY2%wb@*j>M}t~JH~gJoQb3)2kSS-dRk&<+osd0^ z$civF=Y~rw%*m@@l!AaW=Zd=YOOc?R3V_iOh=<%uc@>%nubLl6Bv9uw)d!GUbbvH| zb?V6%?kzcqdhF^X;Ii({)hUT}8JiSG&%LabkOVIa$M7Re$+qXL&mE_vk3e!>BG;{+ z*VXTz4JCP8OH^sm*_aY}U;!0V5Z%tp4KI*U^x`jGlL1);e8hmCy{kd!Z-r>C7tJTW00h3?sBXujp#N_UOlnX7_TH< zSZxUn2q9QHJ_&OvU>LROyJiCw53r}#05(4baJ6UXHu|C+7N11^^UUJaWlkOCV}ax8 zHBi}3;t{6o3kXNTFJ9)$>XpvRm3$dp?siB6f>W3t62@qgD;G@nL-IIG_*@~-Y{vm| zvPlfe2ao_e3fyix_VeNuz49uN1{zS&h$x^(2?W9=-7nA2Wo6UFvpLRmaV-!!%&nIZ zdp};$WAjEF(OS|biIgeM2YD3J-j`KiL@SJI__aAS&5kGTPbE8-V2rp2&Cyd^JO$*h zTUEiOkim}*IsE!6pmkVe0S7Ik+0P%btLX3r5Ju{*w~2bzG^(6xm+!4&UsR5ot5FpO4U zbDS{0#<&j6bLCrysWvBaBvFp=&&;v{D>|PZb1yr(tz*Q0_-x~2# zW#_kaiw|E(AMd#g3J!_Za#Lh88{hs1@N<7^4?XNfncflT6BHFQ5}@+AiE?_+98368 zHZb^up!&Xh;W;diXeU^S?uGauK|_UK=2>yg$`>|H@ZE@O^s-J(u+0ce8kk`7{HM;{ zvi3cW9r36hd?9Z5SY(HXt)yZ0Oo9KoC1yvnBELVhG4?v>PiHhKzlyq@OX&7WTriXb zXL74RMjt7l?KZmOH=O_NxZ+p<@+;2F|72_=7L~Pp)>UG9ysC$<>vGtbCFyoDBQYZ4 znVsc=V=5wOcpWgy7p%*k3u}BQQ}_21Zf5N$v~Rj zjr!)(5dzmtXTp0VSd({i5OKfw10_g;AM4CD6V7}S!rco4M?z<6>pYiL)G7)1jo%(V z%?N!JjaYV8n2Cyo4JeSDK?3^7)+F6RD0QJr)|KjfW!n7l6>a&@6mKoFXm5RrawZwO zz7KpOXPpzin7tMYW6#^H+1{GRe|mOPuo4G;Oqg@u9_s;1qSN6O8sXDJ$U>D?U(_(cx=iW@7l{oOF=~+g zq&J3@zPakEswX^A?{CQ)3B?#bp{r7Og~BB51Y~%e834#B@@X0l=R)G9FB6JtJ+_A6 z+SWQ^Nw>9KbB?t^i11s>lI*avw#LFQ>50bL)<^vCYg!dvHH9f?i2@_ywf??RkswL$ zge)fWYSsttTf~Nv`}$&)F0jCgEc{laW1zT-2338FPZWOnwBrd**_EJWxxx=Ri&N@n zadX{`>}HuLSHLBTFmfFriNw3Wc;bVHP)F#4bNmZKyINQRH0mDd0Zq{fCk`owr!UJq z?cgzvbYM7+$w2H#;VT<8i~-HyV#JrfufoKd6W0I^EQ@`#Itu`WL+fsm&=gBo>tt=b zGgf$#xz}9}b&BUz=I!#?W#l`;p?;WB1}$$0WmB^&v~0F8g1iU0z2*xw$CzV)h$4Tp z$ydxCNip?}evzpvA$l7JYZ+PbO5}6gtR_dn>V;0&^J7v%<22Z2)TXUqiqZ3~~bozW_YSifJ$P}6Bi=Lt)= zSOwo3&iGrh;XC0E4rOs2=!Z7mlYgL%)C(5!$T`~0xi%x`!A6b^+N%$Ak@8kG!y--Kq{R+`hpRhpdO+(|G_(6 zZO-_?m%YL)`9s|w+2KWy=zHWC7gj>yYxM6{NcFWH^g~aa)PUHPL4e(e0j`lZesV1gJVDIOAI^>jxZw6a_-^I~!ytFnh`V1Ueyhqy&48b@ zXh_O*0oL#x0Y2OIF6&BOUJ?o{Gk!I_S;=cRZB|&ZgJmGSw*tINDqZjaZX(SeeP==D zyq84nFz_m)@`ew)xYW|GtidpCK=xyS@QX-s1r2Nd_0u57t;;L|?T0Shn1~QiR}kP^ zW2-BEG;D+CV0i5qH5zA1MRD+3yL3P^FhGiO^%ECOh^{Y$2<|m#pdk;6z`GJMxpp5Y zfuVRiGW=<2n6n@Bl-JKd*+2zWbysOS>XTAV3Z#S247rQZLn!WMasNaxj^!zUJ=NU% zb5j1nE`VZ0OgjSfJ9(@usTn!LNy_Gk8E~uno6^p2?}rJK_?e)XCM)56$Z*(R90+_) zLw@Mp7K>X}WC3WXlPV^8>zAlknOgh4jy^fHXRu@qrhGt#&Y})+=6yU~c^$2QAy@sOmw>0$l+W!w%vz zihgA=tu~I$`Y*ZDv<1t*X@JJnHyraTLH7OZ~Ge@X8t zJ9()i@Gdpmu)W*uoD=ftW#y6ub*#tLT93K8Bb$lWKn|NuPxy_V#Af85V)Z)SO**}Y zZg38-$+#6=WwvQwF9}HfLF!ypW?gW*#h$=0 z3fk^ybZYu%yTVCnmMQKTG`(6M@VI~QYuda)`72;SGJZLEUcHHo|8d3j{|6X3Zr(LOyT{c0W5`7-K@{ojzxL5Uw7`rB3}^>9vu;(*W>p3 z_I}{pZU-kwPa-FZ*I{nJ8EBJaTis|I+9uj+@n}L%QeBqE_cV04Hf_@=P(ip&$|jz} zV_;;B8&~pRo6fl=pW&SN(^d4VePT=q=zaV&ge?NfB?7?o)s@>wc5^$UM2!ndDpVzJ z1@fkI0zR(soFH=k$+d2CS=&UfJkugd=93Cn{U@=idz1pqSfrA}+uwGWrBarqjRwE? z>mHTca7&ok>=OC>^t0sCG2x#_e!`Ara4?T8?xF_!o7wacm@G!|Sy}NsIq09vxoJ;1 z-j}Zrk7s(NKav_xJEsW!ETCSguL0*p#Ef+^3yOBeG%a?wSigMj!!8A6+>i}{t82;m zdFSsVR%3C-^E=H0An*!k#Ff926>UdTYUnfa%D!y^@K%Q4PB_af z+j=|n)b$XV3gj7+Msr6>)Pc9axOLrW27gPz4KDy6S!?lsfUp#1lJTWh9_9B{r(F)< zI6j=E5mAcZYMiR!pt~l>KtN6bRt#I%bZOExd8Agpk0|BTlm<=%$ST8t#JP|Jn1%NS zAfrW4_6^0D{v5+|f+M>@-a!|9d2fPJ&p%MWQdAuN1ED*)~KY@E++*yN^0f0v~_N#2{%qYu(SS;0Wt^_?8c zWn9ATB|WO(R89y2BvRdVcEfWJJ3ZE9 zQ|3mFtlZo&6d-Wft$g8rPcFO*070a{#JUT&b9IM-Rvpo<+7nHrjNS>Tv8hN3dFOYxZ1`0dq!(@wt|Q!-mUy}n9V7m4@h{;edK>CL6(CIVWN zA1T~#d%7mr=s1$|s8A617TRn5s0tjM)Rgket}e?w9rQl{Vf}gu#jan23q`TamH)Ug zuyKb$l>bx-+^RU)vWm1TF4Lvw;eiqN^ii9Fx%TZXW&XABo|zgo{>rjuct$3&=gJ&b4>dZUw`@#n(%C6jsW)zvbgS;$93LDNZgpeN zynH#T5oP>|GLvFsfb4jZ@>sfkCm1w3x-7jHzLCdw=?w^`HOaE1auIVD_Xu+muvDf_ zFel&_`D3x$o8NwieQo9`+eQA&NAByUJV%-m@#i!aiJu0ZP!deKG=RpR-`FHYuoY^J9c_uz` zxbb9t8s;6w^oRuONs|bsG8%q)qLNvR{vRNU4&@zz8TO%=sy%DY&^6~>`MXou%XUUR zq0lE9s_+D~vxW`yL;2-NSOE!A#BsMGNT1d7$&l62wnu+_qlcN|{nM#2)~yO(bD-TL z8uxGB6+{daWup|bp8GuND`0f1s zic+Ao)E!p8pCBqw^TaUG#c>~!Bdp>t^<@=7!;mxpi%nO=dblA_5O=uuOs0t`2rrUb z5(&IV%(Zfy+AAcnTT&bzEuyd@e)yRoFR08}3y&q~(z%$ruvx~(SW%L@bSh)SMk5Kc zmF;WOwSVr5{u3tuqkq#gSX9X`@BaaiOf5sYpF7#jV6VVKf~oz~1m-Agkxc^C{>sOm zZ9nkOeE}l2hJJgS~z>1AcORxOi{icBUDQZhfkyj)kq-%0i1NG^2C$OMt z%b{=nufwsu?>9^bekcc5kCDyv(qHwqt^CWe={3)Zu94Q^&LXaC5B?Uq`+#*hw+_Y+ zTO`{L+IJnd(vGf8ezU=OFzw{O{^&0t@Gosw$(WCew`b>hiCr7HyRvaDa_%pEvF6?= zSw+yN^9`Me(@`MmPfnj`a)>aQmi_dWMW9^%#aodZaWgh{Qi?JEqa^R!~CVAKngoyg3G{IDUsLktXs1 zfzA9dRlE*-OrJ}i1r<&6>-cUpIB3Qw!Rx58cvZy1GI5>DkTdzJRBEp7nuT|Ts&T|N z!*prPu$yD> z0Wx~{+TBfxSP<0RF~uWVYzSqgH~H{o1ii1fhf>O7JuIsfQmb?`o;UZ3V0L2ICo+C2 zD+5YvQSUhXeTBsfyW@`-om~Hc(Fj_$LpeTu0Bk@=f44CcTFAeJz*$;ON#AhrG3$Ga z-|x=}dxWOpxOW(+lqMo~gh_-@yz8H9IDS$X4Dzh9Py6MjOtp0fR? z(?Olpfe2$>{nMP|2YkJHl#w`HL4-CM!6OQrujSq;tJ09V7nbTsYb2{0o{WMX%l)?7 z`c_14Tu_z{w&{Mf6^;RY1~KB`+;jrG`9qOf`B8V0OGGJ_oaF~eC|br^|HRtetNQoh zm`sp%dK|byg+5H($O%vCR(L1hdZ7!3c=JcVrOxFl0_6A`>J&Bf&>+vRfye^ z20`QrgOo@xu-G;CP@k&iSXx=u=&b1e_DYJdg2YHRXhe7JUN164jW0{B3e0775Q3W@ zzy%+4E~~isq#_LH`SgSuW~#QOuGLNj3?{ZrU~@vr+Z`C2R;i=Ir(@38GLR)lET ziM6DnGp+7VdvdY_L)S#gIr4MD`-qAoEE}#o_`REpY-@<#JIAnI$0sd+U)9Kc7{cVD z#YBfhsvl5&&;dfW^JVHAbw#njTP0yYTXH|f00?J@Ac1ze|KUE@=PxTa?DE-Jii!j$ z6!4tgn8)MJB(*Q*;sn7{o&+I?7h?#eX~f7KZUBXhX-He4R8MWi$LLcV2F?2VtsMJT zQ2e6nLQ3~o*Y31Efl;#>s zHlOcGYoBnwW$96NK?X9}c)AjAhCO@sMC_dV+PgJLHSON`_U4r(ozIbFAB7q%p4S%q zIsR5GrLWj@?zf6;Q!uUUoQ`3EKnHJ}OJ|0T;D)s7x6a@n@W`3!X*2l|6~hSA-2~VEJmgLxZ2B8=sK`WMD*b4 zfY(`VCl1N4uBRsnh8FCU&_ddN6@`uXToBxcef|Mcpjw>f99gP1?zqH$LyaC~&v!1? z*dFDPe0ONszufyzGNYE3^IkCX#%AxH@TI$j)w!XT^!etxxMK6X>u&BNkEZ+P*zC|h z&%m<*+g?+)FP~@d+nt`1Y&2qnh4Jfx$~`e%cPP4B81eka|71;oQJLp^ zY&5w-8SJnO!Qj}RRLu<6<=B8ZafqpgUi0gCyFw{qsPqlKnl+~p zzfjM%I4Pdz1$XYEYdf4HBI=7Pm1dWH?~O3qA57$E_!x<~CfClq6XQJb`Y`ysiy72j zTNDZv=)KhPIv*@}`P%;grv)6R$WdBVG0lJNMW915`Dr?$PP1MX2_4Pz6=n~XH0#vIv^-TcU)r`=3r5`W+RL{2sr2bBZ6hqLmc zuM3u2iUcjD`1E(+3Jst9JIMpopp%v*eEP2;9&GG$Ik+q|hpE!#UpylbQz$z-pVTI?Vdma*dET{B>l`kgEbNC8 zCc4~udmn;vc;)|2%AiUK@pfYRj~?rm(U7m*Ge+CKPfQ!u0yozt;ETf5X`BJtA-;Fn zk9AW%N-YyqpnjfI*;l&?4jD;PIzE=W?f@low5rJZ~9`&35TS6 ztRuIEy)*Dj&o>_woZ7lkLFE6MR17#E20zd-7qC$B_A2mEUzb_Cx1L#*$Vo~ zVj0%!dIodLx9QwF>G{pO8%eoI-I0YH7yx(FkjG=ZMdc6W{J-fwwLM*k{Zg$W^2l6< znd+IJSDUD(S3Y}?u#S_WZQQ7Z^t z$NucVCqe8=zUU6OOaB9~ZJ$PWrOe7KdwNL;hVobrQ{K<5oxl8wd;Ioz?-sLB?+L+# zhvGhNZaPT1i=OS<%o-9x(&7(?5f-!-#>Mn~hZ0=wpxH5=B}T$A_S`&s&Y)a5l)DOE zx$;X)rrJ`e>({aO!e+5;uNZ1*%Kgj!L`Q06Ep@9tMgBFn^D&oMo_s?UH{51HHmf_@Hm!cf zIxmuA6cu^hq~&-jjFB+bXm<7j)b&VoS(6^+-Dl@JA1o~kC1p%_G#OJFM_94A4`)T@ zj{K8w*Dy4&qEn#|`-{oUAw*n@eITh;$Kx|yLi$KF2oNd7x9kiUsqqsa-L3+ix^_d1 z_ztwF!!XF^K?RBOi-e|=0k18Q(tK^ZE#(SDErN)+hCqYdj1H0Cq(>XYnJ@B884j;~g5*8p6@K|Y|$L(T2G6&pH(2r4+z^8g>1-Q1?5vx9W!2T?& z=?w2bsgK-l*k%ZLYYs!~^xf^?&G?g#AM9(2RM$p6yEYONMA<~DaNN6A42xtQZP>f> z`dWG2dCp=htcg1^)DnVkV!q)5!*Z7xo_pjW9x82-dBh(Epz%b-Pun&Mu^*1igu?pMS>mP9e99#l#2ivLq2YF z2@y5Nb>0vBSov{%oRO5?K&9R@r84xLxvz}UFgJ&JRDt01#9N}e*2*FO`Ng85yp3H5nx<=Y^j#k`w> zT(*#;9vkcC_1Jf06WyT9OAnAeUQ1B(m96SOhj3fyU-o@>OOK6m!d6Tdx-lfWnNMf$ z_In+>Wl5Fo9yx6nJ6@4?m%yKHNri6XPz8_3e(fmveD%#|kx#krzKm`NHQlSBs{kUD zWdSXRUSMRk&xk0;M1T*e72>`yAksKPr+~pHOzK1!%GgyHFl3Gq$R8lANM?g!jK%y%z4DPX~=QsDxwItcUJ|x?M!%d@VNdrMnnNtwkV;v zr{z5QC;a+Rnx)4xuGozJ>QX0;v=19>ds-hpy%SA&GUSOH-fqB#&o6ddkY`q(nY3$o z0sS`gIapkk`o|B@7s_aa-VJmPV5R&i@ek2T$(ACfCU`Vrvxk^jGKumVRAIOPI zEx`~47DG@M8ijQbWvHf2+0-X|a~D zWgoh&^s%Oout%;}8Ug~PIjWlB%hDOTs-!e~RM2CQ+6E#RKI+K-(=D#SNqBA`UZku+ zwnG)Xv)d3Da^Ru#%TxXb7`T()IkKoJ4`qpz3y&2bBQ$!Eqj2GEiVHwid~Q&FxSOm2 z5Q+VL3C!A&Vmt-Cyz66!eT;WlQ?mm(J38Dc_pgc;(0lN9m$+=>-6!b9%(sW4$O7JQ zelT)o3F6th?uJ#uT;+J6?P|!n^MrW~k3~Cv?JI-4#_uB>L$Glr8jdg5a0JLeKH{g) zxZ|VGbWjuFMfQ3sRw|RV&pNS5uk>3(lFL#RQ3ae-R8$j*U2_hzW|PvP)ZD8Z*?+FH zwi9`(>{x@qQ{9ITM;(=2bxspgJ#9l3Q95#Gr>+veuw;x$8F6tvS(O69z`@=K5@qgS{6m31vpdKLzv^GG%-K@mfvO&dg zblt((=N2lE%gd775q$K+aw84(`bEl4OCr5e8Dt>^0x_It3 zBcykDw>J|7^3VLR58KX4i2Z0JdxoTykA=Yj%zZtkAfo&6_r~hh$>c1B1cinWvu}rO zQBP|Wr7}{{Z4+Sj{{V?jrk^y4YhEekIXp4psfbE<$v}Q;1b2qp)e*o4jJ7*ujV-S? zIp?&EcA$drj~<%-3a-%O_YuCC5Dhhq_;VyI=-GK5jh=hAJc~i5D+^8T4D{;*x8U9J zSLSqQomT-)D8W0=WM&<922JbUF~6QLi_>t+oos|(yqk{rbw0{KB73Ki`hp#0sl>nZ z+b1A4+Ck`Pa!0baTt6E8eLvuP)Mn&ofaz*3XwGB8An^LhrW58Y+HYj@M8y^1`N6)3 z2=S|r58OAn>`htxYqvM*%Ac1Knbz)M5p(Llj->>m0@^Y^I^6n+9M3JT>}Jm?XT3xripcn;CyRa{f3|Hivzulzwf+B#B}=Sf%!H(ycx!o#gc+ zJ6dPxjH*rPPUEw(%-TDUW#MJn~R-3!gn_Tgo1n3BA~Bcqx^}tuMNy z{&P*n8lzKHQv;`2)zkx)lveX*T-4}m1*gl^m87P7?c(m6i>l-|4bbk>t}%7)08~UQ zd&=lk=Toj2j79xLADp>-8D-Zm@}JJS-6&TQZ`HSya}(=~?o*%Ldcecn6gN0PMsoe6 zs+1T3a$H?#!7e>=U^)Jl@GYGXH+RK%zIz>d6e-W;l)L``?w7i;=3qma7HslrdJ`cD z9klw6|6%2s8UFtPpr@+p{ROFcBE!gv9(yqjcTN1ubo-*1th2!rlCBXM>6(zZI)IIy zm!h9JsxXOIPa*bHveJH&o=+jt6r;52RW!7hSeuDD8x=jxq(go+#o`%uN}KhA#RM5u zLyBAk@~*G$T3ClvTJiPyUr3hrf*+$uE*^CbH_1r#bpB6D3Kw4wYIiMjS_ib1Yy=_8 z6_9U*`)k8PuFUWycEl0yF`4f%*_qg4fY25^W;)NH0XzoKe|;%QJv$ zcmD9$*Z4gvEBUP8U6(XerPphydFf}0ujAG~$(vf5f1S_#Oo9LTDXM3QEdy_%hO@|g z!Tjgf6-MBHG(@IvGikklxWq)2hvY0*ZAI_3KM;BDYTv?w1TEJVqagppWhGUmdB5Db zs=?drLQ;@WpJs-<6)`7;02@t|(fQf&Byk=|@gbj@FQm?w^$CTe=XShb-{dYD#3KrL zWJt3qJGsu4jchDU<2hD9h*y<%LP2zP!zZRLuI*)kjZS6wyQ$$zT|b1?P?(b{2P!(% zL+CRzP^5_;U9Iv0LlEYlQ{d5{8m3=+;By3T0l6+^$t4p?8@57+%rb9=zuvn%RPIkv|*!IoDv^ zpKx~jv}>q}#19|s`x@gKhb21ptGSChCkvN08?Ws>urFxBt0?EqV+IZoX2r>oTZSgb zpF$gg%pi$5r)u<(YXg~-@g^@mq4i4*sU9XO)K59b@B22({faaAUb;`cs-QBkiGXL+ zwxc0R-wU(yTf2~JQKqIALG)9Vo29?7wz3}&h^qoIG75mj<{rF9b!&8DmN4;)W^0#e z?s9vt0sn-qw5!JNr&qQv4jwhAU-r|OWY&xHxW+XahcH2lB^xqLWU=MQhk(gtn@$OZ z>#5fFHM|#w9Cl7&?AvEgLj&zVWjc1ir^ETZ8M(>dWPEW&o%4HZmV^4DI+MeYM>Ku@CjQ@T4TY2&BPjuU7fj?ljzHTx}t8mIMvSdna z$nX1^xcmbY_o!(6z-_3Kbv)sn45KbE-NF0F^`nPp$m{7|k$yv4jwz_8&-$`;WL?Xa z>S;Ho%!N}>NrT0E+I$I@A7=+1GoLHz5ykTk)F0{|uD*ypezX0>WoR!ihZC{(RP9Qdk=uL!pTQxkyezoNGk-hq z4rmHn9O|4i2SmkjI@XRFKr$2@K)loNM`ThT?qXCa_WiC`yI%uWJkc#^D>j3F(+hw$ z_-cXaw7uhT-qXotW1$d|AOA?*%3JC(hU1q$_A;!K#y84fC-vss+9U3iCJsIW%o*7% zvU~-H`^7x2o;W&-2&X)}f3?y+>d;e(NSI(Hj%So!dZM6R{zjQV&*R9tbpu;AcQ!8m ztpype8U?!n{E{I|pR?NmNR=N%2dG1ASPJS*Fey`WhumC}8Rn@x3Jt2g$I(U_*>=Ne zgC*A07>*ibF~@qZ6C0owe^%`$$->f231o$oLv49c3EvABo$DmsTk0?0oDH`P#_%)z z=Ms2EPf;*~A+P=QSPe6eza1S?Un5jgiW1%dB_-Gs+gp8ipbN1=X{2Ori3kZd;#uSs z)tqMj!6#3$ukrtDoSOb0;2;aCOO#NR8sF4!Y0_6IZ)PA34eH83cVyj0A8Q{27C8Pn z5VCcCTDRu3H%VsBN4E>fd%W?A(f2nR>A{FfY^xShI7WWFkl`{fuX{u7_-H(CJE`c~ zu=y_M>;t>eS@Yie#IMeVcE)cWs1P0f!>X^%pT+PDv+4f~9}S7Sz-!Uk(2~6a{Jtx; z{j(!f@ZyEoDKx*^SmI1@n`VaHUAT|y)I7{(^tuPOPAP$DWd8eD#{ULNaK<8CI&AhrB)f4ZHSU0lxDtL`z}I6giX0VSzri z#^x(`fDPm_;9;UmAK1Jf3(ELWZ9WQGzSC&eOd0p%$z*CFu*twDM^E z#u|@Yh{@Mj?HrNejH)(2m^hNi&9MO3O6y^NznHTJ7fIJ)3G=#iE$dTo<`E=$I(n0-;>2GIZ>on7r% zwB3p^hU;NtqL$vcJH;M1DQQvN-bOZRDPPQ#QyccS&O;m_8k09As>YboGPRdQ-sr_}OXwHxYlxK2|_=_dai$`f`oDDj=RkjT6V8<+5mB2=j{Qvl8JwI@$z z*+tDHL7nah^fqI7|p7A~>O_5`k2ChLr;cROH!m7mK0zVz*vomI*mvK0z_`3(Gtd!3!NPFHivf z_7s2v&R+~AASl3B&e1BygVNkcVgp%BW)!p!?m!Z*p^f()1q-~zWd#yNZGsO9Rdh2* zly_m5L(@7PxLqNlNW}}hAb_(3ym0;l#UCcXDG~L!w3&K&p=l20|pw4yl2=<1?OYPnP4t34yXZjiG%!{aM*mn3!XyuvB7Ny&os4UO{>f zk~NI|WcFMh1UOY&n#-ATL-#WeBM@*DAD`Woks1p85atv~C3pRNeFnSX57H&5>{z>4lUQSJ^=waM-PMj8;paj-{F}X2o+7P=pVNpyU%4fN`?!@I?dOL!q5o~a zB2V@YN(EFOLjFmMAX*8uEDvRT89>+B!0G ze<{Ex78vtScO&qnmS)WPEw1ef!Hp@oQiirsx!$+TM7OmS&Of#o`-RcOk5_1K#v^JG zACmK($+0>=;o2Ez@&fzc+S#^m9t8al!0S#niAf32Qhq(aqW7;8Ue216m{y&VD1>*QhVGuSbei`iQ&+)*)m+q)O+o3`P7oLHuWtlaQUr%93Yip05?Jd zLVonK@Q5_NWe)M?<9r31YzETB9eyHQ-tKvX{`qYDx6jQ;FB7G-Rzup`Ck98TbvkgI zZ4|j%b9m3S=4HjJSASvtPH?7Rq9!=i!ZFi;VaTw2Vf9x{O9zipWFoj(Q|2|tBe$QS+Ju*9+}>CPT6W}VlX}b- zGw@bF6&G&-S7j-v_8#FHsxe$m3viV+cX#t!-!0y|IV}auUI#htNH|M1g~#qkmLJ-1 z{=<=eBPG_bPu071So1ZFA#(m~R(SGtco_u5Q!4K_gBZZ&=YLllIIzM_1=>RkV)hDn zFr8+Ou-Rg}A5g#>!akLeC5T9l$532u0k7h1Dc}V8-rPku1$k7p|40MVxi-{n^F{hHrGAsersq+ zH$Kq-eu)pKcT@P@%TLtPwLLkjU<3nRrp%Sc4xTVLMWiY%{%uRkmN)$KkRs=J zjN5Up5Mr1OepZ+YxdE3}v%0D_T^1++0hb|~wN8Y*b zb8fkG;k;m1Ybdfj+hfz%NSd|!&VLBw+w<+j-LuYXVI^oE?Ok%!J!)NHr?6{i#gwD~Vd!59Kh97Y7f=x_;tqjNcD;!bcJ z(62fd(--UQ#^{OVN{Z0y?mLtz@W*#*kLidV$>t1^?~hl%W*<6Tm!Eaw?4GGqb2I<8 zpU=>vvFBv9%3o}8lWyl~?0+pY)3{1nv21otp9`07sjER`yw2rxoq9ZUDk{|bcHa`6 zlu%>RW#B1F`8RYz0VnODA0BXV;xL&`_`|ewdUn2Of z_jFnT-Y3KV46Ls_Ttu$i9(+O=V3Q22e>5WdWeHkqJKYw9i@w46WK*uY$fT3PUJu~0RDpSamUyijjj^5s_Jb8ej zMntf^`a!G;p14Z^UEujW13!kk(6D%S_ps7fARr)F;QJ}Kz_Fq!{-t#;TUP6 z%rZyCH!Q6BbN92}fE;L}5;vbIqes9UcDs?88r2M1#^|uH%sEQi<ouC=Vj-KSI(xd2|lzTJK+Kuir3 zL?*r>CXSRX)v|*h2QH8Hzca@;UiMb-)pFpLcDA!7Wv-axovk43@_cg{nf*Q zL&#>5SbL9Ykt-j1nV0;y*BxF;;@ivVV(raUI_Xy@TIRY$<|ip?zy+=^yyvAlySzoq zz28#1jurlcw8v#de#rs;M%dxSZSY>&$nxAhR3yLXCbxVD5UfODH&8?HG=-w18(_LE z9P;{?(%96O*$ws~o|vzN6)xZKi+QN?o{1ssZXuBw2q*WZM5STeb&K(z{yz6+{Tg37 z#O>BC%sItovesH*beHmkgMwsqzn?<(q=Kfh&uh2A6*#lw%5!6p%(1u;-c0ML5~!Kom@0Ub%$cDroowk7;u$!^IC{+^V1O(_ZE{| zSmE0=eW@tek)nDF-xHTUI-vT9m)LG0P?F!re0#!IW`z8B8lw#!O~+?SsnnKRVBg%r zvI%zMZvmzDGnE1HJ2*WKa9&E)N8*+0)L|nc@{QMkDfGh z)yJ)wL66XiJU@8RJoyd81&G>v{5Yj)V^rNeZt?tMNord}%VcMfSmi4MqTYJ9?W>2dFNXV|g`Tfc zXvqL}L8oA|8zQ?Il0j!j(3A?Cj+B~$8CwK8Dknl_$>?XAMi##=IWKyLHXO+satZc| z$PY0mH5oPY{z=@kGF4O-*Hm5=9r`-|lYXd$uAv z=jouw#*ijHF02srxw3L1JOsI#s4O9>QF~X8r&~Lj!auwZKC}#3vmBz;=TmVjQ~&5l zC~1roTgT?~%ldGjyn6R6nd>SOc$##jQfQ#K(!`r|s=G&IOe zIJBO#;$;50i(#(Qz>?U^b4Ka)3!C0%N#1J4f&r>BG-z2~IapitJLY1}alMrY7B1dy zLz`*|5H|2&Q7~MyD4Lk!(=nu84+_**qg9m`Y+s-}oexDQ z2K)awi;IT)MLWJE6Q^vjxA}_VrVK6O)It6a2G4A7p1+QUK@P_|5RboBdv72+#*5>A zXvAOQl5k`le~m3YXjM(WKc9nr95fV4fXXudYGJd>g#5NO7UW&|2CXC%Af`QNZQB0; z(Jl|#aIbN^S<;=KVFs>1fy%ycUKYdr?x5hP4k{U9qkj%2EC1K-l<3?ex@o?Px!=G^O_R((j zxCIU;PS^bY@i>*$O|yr{y70v?%v~QI^LwMFo^FRf)!Q;YmEHp$s^%f#p5Cm*@F`w#M;=GVv%kF{Sj+p(11@pd`%xgP#+NYA7^*-^gZ($ht$ z+IgX4l$26(;gS)?>%_c-pI^Qwn7ifq{{Wa({`QDLj++8}_jPs?wfLY&+al_=rG24= zY~~`QqkA?XLQ2zP>4kuhBED_obGS$Nc6Q#OPj^!7t=5K-)OP__F>~^_F^5SD{Vltg zGO2{2A#$70ugj0xg9Uav{{y|GvEL1s?L7sx%GS=9R&x_j5Rz})UQ|tkHA6W|p$>PR z2LSnL&akH160Mj+q{3BB6N_XR-QSWViWHyeCT{V7CfC&=`RsYILko4Es*1_7TY&k0 zHo#ZeZ&vl5^*p?g143sPu&2*qS41-}sQp;ub?M=Y6Emn@#LI+LcvfMO9aE)MQ*Z>pqMP1jYj~UyQhpINT@OHcI^#oDVStRlcPAR)9 z#7y`rpH+Z~tSt>*cL*0B!+xUeKX?y804qI}xdyMwvaw4+IRr&Q5QRfzd>BruU*QyR z0Q&PZNvA5FRs4#Y_pkxsl)Sly0QE9M0U{-;f=m1_FspxD0#ucO+6Aha*e!A!5D~p; zS-le*+pv(wDMn`XJ@VDI#auToIuvgjeSl@!3pSv4TlEg|=#r)s5C=y__8__vnVnIs z1ur9npQl>cvO0LBINp`ITi~k&>S(=puwL-C6;s$J!K^b-lpe#24e1#y6&USWIMdCA zj;V7CvSAh9FAv!p;$v`EXfI4(QU}pl7IcV6Z(g}tr9d>*(9saf56(jGWsFjpM&+qA zWnu~{NVtD+o}p(nu7EnH_N;={2E@@vNbLu4qwvm zH&;hp`s@jPA4~qV+tAvXhoW;Pj;xM&x!x3ctHT9;wmkba2Tt`cv;@c_0K7a**FR%m zWLp>67+O1T6dAwXavlEV%f0zKmLTYq<~sw=D7SIh+d zzJDaTn?AoRS;RK-gY^6~`Jp&N_qAC0xwkKz{4PD!RzJdb{z={`IomN04LK)v$)lVjVZe=aoTz(1XNQtt)Ly#Hz61CiN^IIW=^V{UEO ziPGOJ{<3iH_L0xGbSIAKK9#7x%l#7_8@H;YRYxsl*Yo;WD$$~*?WG3})p0*>Vf9`E zioy@wm(S|-a%;Fe@pQ|@41R{xO#M!*H8+$jlrYIP(|NVbcV;IwIc}18kG(k}Gt?zQ z!9XX)8vEm0&NtI~W`2QMHpn!%_Q>=3i*Or#2~Beg^Ty$AC1+aUqg{w0@|y!ct%D}StYli)qz~@b>DEX)Yl1*;uR8|Ip#K6{t&>^355r^* zdoIXHvkVZO`xmMLBd*Nn{;-OC6Q z%%c_It)`EV5PlRS=Qo2#!68TK5`_50^}Yqsv*VnoC)_s_m9e!aY}faJ{L>Bq>v-SB z5z-;4s~Q>+>h}splNC|R(>P)CmU?=xTKe;) zE%p%WIZ=syE|+{gr_bOtZmi~123@L?3F-Q}n|GHGTaawEE@BXisLV-tFN3`n`RMrU zVHuWi9|yHS+=Lj*%FJ0b`y62ZIB>>dof!ZtXjaG(e96dSk_k$3*UG#V!yCwtGHn-i z5-lc`grXytV&D7j<++BfW8aJO-gIl%)^-uCEd}B1ooW^Y8bUp4K^ldPoQ*VGrayX%(T^)iM44YL~(TQY)igIjzO(+n-cS0>$3sATs zD!}K&X1SKa(YVOX6g-vR7-T_7ye*t_4VQvRe8z9{CEa%ti%cU84 zff0kSc^1V>Yj~Fo*C0+<$QYP5CaF>M|0-;48g2SDu3P`7X51W1hfGN1prZDGDYr)! z*d4T%GOt@Vbt(r$HVgHFH@n4+T@!6)i)jJNtH6(WU)4%sm1g*b)UUhsbDT@wkH^#} z^SwBJ|0XA@eIIno6=Z>6B-2ZENX=85;!QZ;9Mf+t(=1i)} z3E}6Pul#x$YO#N?@++2qr$pah5z6*mI4S+B>ZW-*f)SA|yOmPJwk-3@ss221@+zB7 z)5RC^Yv&!`k>Vud=h%G{blrSxnx%3th&wN7{#zL-l$)1h;dW(~HEX1NkL%gQ>ff?A zT&#Xhcx`_IUeFI0eWs<;-JkER^GDCr*QtUG_4?6xiJ=0zLWmr{BHF$fLgo$eHP7_9 zdfEDcpp^yDs&-L7?H|&R)cd7C%s;$TX@PDiUF4!21rSeC4$q*>Ip_i+XMoAlt-3XA zCD~Ptt0k{v`Q*XvDYXdQQ>TI;S>9O;^)$)Og z$oYq_V=&Kq0gT@^jTZThwo%v~qBPz0tAjXOQsjW-uMVFp8x)V;q;AM9;&H3JjB?#^ z2QMfr;j;wR=R=#MQhCBZyj2az;*XR33J0Jdw$`#{ZH@Etayq5WC?hUx)7H&b`WWZC zv&a{#ViR5SVN$xoX$XCXaNJ2a=H!dum&)9`X5YzT-|4U(^ZCm&n|lLS|Bw4CWAB`$YulYq#i^Ax_(?m_v8nE8ihv=zu1* z-_a?f3heLN?SS%@Nt=nLouN>MpqF)5Ev37;4KtaMS7OHv^ZQg{svc=~zjCgxeb(OhDU1koJe^ivtqSBy+x5DpNsC4W@RX}P_g_Q(@i^K-iMA{JoF1;y1COs zY67}7b}dh~mp5L-;r;{d=-R#6p8WCmeyBUTwsiZ@UHdKqy0BhY0%8w!;U7oDKMcom zO*c~!9+9`h1q)s)@HjE`=c*4s@8&1FqWgdJY~^^8NPUzVjbu+(+qY=iFf7SEOIYyQ z2;vBJrcaYRqElN!Pyc&1ktFdyfJK=i20)JOh|Q~mFxf~0lf;WG1sn@Si0-IcYmBrW z!~6NWIyN%Xd%Ftu#~AX0jb`STb5wa|C-AQ1@tmtN_`C8{v3JoZ?XD;TE8ZNfNWS@K zs@hE(?d(Q(7;}&eaHt~lC!)GxOkUV+h?qr5r%^Faj4v9tF+G#t&FTaGw3Lh%9q7R=&P2d9SchU{(Tw1W8FF$1{=iM6Sw)^o~sqEC) z7|~xAb8NpI&#kHoXedwq4)9(VR=a(TN-K2g1iZp8NSZwAh-9n#M7=GlUk3fE-Cw6~ zE=kU|y0>le?&R);mZT>|b**j$t|Y5mb8}OL&jW?}NensdpKM{2g@_BuXaH}nkfAwV za5sbg%c5Bwo%FsA;6(Q^uQ_>;Usfr-%XK$yzSnQPhzWL*{u2?m6h8A$ZS2R(rN9m; zceZA+REK}(o7Og;G;A(k5W}D0=QeVSTFlhdq;!!n2>Q-p6tqOI-hQ2Osc#cbe*_(KA_?jG(LTm7+jN1rh?z6cJ3UC5b*1i@BakrSSbcxIH%McmE0Y%4XHP`(c z;{!o{XK1>SEfCF6hIpmT3JMYTY(-d{!OlFi6nZbo6C68;OY#&Ymk3>VU6ZcFN^;=r z5q3<6u>919_u2)x(@$Pt-4`=^NwIw{k7-KlM0hqZ^4ier1v^uBYv#m zB2qe#uzO^9oy&l+4eFHoGX2oo3Thh)_DRPXU#z8DK9XmZX0~1Jb6f;FW#l0nskU?{ znm?y({oPvpKKT@fA9yg$&>~b1-gY`MwjJiBpbVkj$Ec38B76rkp?W#WQ~v{;$xM4w zTf#0xdBg|Vno?U57)qktip`fwS8c;$>DY;7e~`&hxXX;f|8g z2~VRZ1X2%u3WGc4n*m=g0n01HN4w)^ZQ0!P_EPgEiGT9@$lC1c32bzm#WnTr?|zG( z&P&?KKCaKh1|@d1p+FZx+E57d!rXhZ*UDL?p_Imf zxUUa}=mWW7()m4^nh80JB=8;aczlZIeqz1C%^iz#WbLlJEQdR$zufCod3GO0ced6p zYlW>h9PSI4FHOlpdb3%&tIMILiu?s#Cn$26L);sxeW?LFowviM=YpC&*5?A)z+z$X z$cY1k{q+VFI6|ZX927(BPVmJcAn03G6}}AOYHaa{uD=W>?BjOO&QYISB}dO;5ZY%E|eAHt?XqtLhE)lc!pSX`>{#)U@$+S$>zz z7h-I#ftw09MMXFlU2L24-+oTkO#N$cvFeH$WA8Q9q@%#VAHlyT%I2j)=6! zhwve-6)~ZUkxi_f9s7~LUJm@K$Cj%ykVz9} z0_8it%m9R?>^_6pFZyYDE(N9j0x#7|NvWGR=y$6`a?-d&t<)*`XB;xyQqz|prl(&O z5*9IrsE>S3oL9DxuZEHG0OC$?Fga6cm#~=&GAmj6R_WBNXM8a@v16)ILH%*b>f-6< zqR>kskGc)Wun`2HB-@`THSCWJi>(9d95iPXa<_=S?w{Vg#HFyMCpZyb9=^^)BF z$_q5j>nQ;$3R_xd@5q(Fpb_sa8n!DpI_2={MXc_UbP4oH9LBCHEvTF@Qlgv!|1vFQ$6@ibI^8L-m zO0E1V)!&?7xix05M$hZTMcL1eS5iFri#mv2iu)|ctENXkS!Ls0eD$i)!r5~NDM;DC z^q>lOq{Bkm(946b@xSGsyU{l#wnv>;5d5kx#ZmtdiY&(V!Q8n;DzAO@`<-jr{pXoa z1*=yE9s&InC=lS7L2q0iYs_xCj)+J*lISwJ^#-w|Zw)m#H^J0?+_PgY{@bVIjr837 zKkv&O7uq8Tf6AoLm%p1=RJE}GnB*q2?h?oPG9HO#Q!lDNk)KnI=QRJ)^`FgsHaY^o z?8@9LJl(1j!g~j0fIO*r)0{r+DRpQ?pWOp^kj|&(6)AjTyrhzW;eTRi z{HFnaymq8$Vr9A1-!9geH`|iZ{^Zc*-zNB8`x3{nfHCFAUP8#FgImN+pp?qwZca}d zh9ef_iRI1Q&A#&AJG#d#BB5U$K;W2re|_Ro_2XSa3Rw=+w|B=k$iVukSPqe^vZhFz z>^r-xI0pL;^XCQ;LewF)4EqOM1S=Wp#CcB!=d*ce71^P1WJ}J2MR%P!(@6s$&IKP7Z;1T2mzg*hP1~66 zuFe%hL>2R@!6dU}1>?IzW57<+Jun*QxQlSQ^$DK^T8a@c*0ei>bD@_ChT6!L8qp2llMv3$Q{A)xz!mU(WHbc3=sbD?p+1C#bZ_d8An`f>}Qe3VyP9`1i- zr_qRK1W$QD}}&v%UE*_q7U6G2-*Ttc7-ddeRZr z($KetKQ12)6xS6tIsr4d3w0#~sQ8Q7)*sVLbwg2Kb*18iY}JO^AyMSGX5q=M{KbI^0!b&`paW2WOH=U0iorAQ%^gT=itYh z7}~k|b$AP>{KP*h9~d4h_GOe?YJ;`+`(Las>OxFtsZ}_Q$+WKWkD-%M|MlUn2WJ_x>7ZT!`kix>LC2nYizD}5&j_Z043tK6DEt0~2G_2hQ^Z=nrjH1A zMcz3pJ7L7XJ@*}Tn=h-k_E~9ytuI%Lkpg<=J}$&nd1Y5X=mK_QK{K(PvrtLQTkK+> zpbNJUNOlXko=?>cf1T_ct{X+tuDvOyk$ZlNT$K`|!-=L|I1nCu{tn}F<94#|)QE?x zqkOQuw~@hw#cy&I)4lloO{%cP4zLviK2L35T2&WD;p{V%2IBuXi= z@s%1}dT}E9uf)O?o}=EKS(mCpo>(nV195;K|K)?bMXgY8c~Ycuz*ITjO`pFV=vLe2 zx~-KXe;c=<^E9{IK|>E(iIEd~?qiIoGRl8+JuwJl^C&R(oq_1 zc%=^ebGzBKt_kZ_m_4lP;$AiIyMoOjdI`DP7pga&?|-Aib_cMNa6RJX}Gdymj%pvW0uJIWp}5L293Vv#xc%&mRl zx%Je)`d!iX=w{bJjCx9k4@2xq5@-e29#>uT}ltHssHm74JB&`L zxeLaBkZ*T@?Dt8|end2PvP+Eo@&_#jecoR5$ks#tv00tl>}?xIO1imEz@u<$;vZxh z?Lr!o$Hebq*eZZ^ArflJt=A|$LuKLq#GTL6B^zNN&|8Ixic}1(c zI@+#6VhoTad#$Qr*WQ|y5t8r7ihxL+8DP}m!t`|0__B(%& zpNo|W1+`hWbQvxhCIvKpVsS!E?U^dz~7DwMHN^1r1z@% z>u)L&jp6JQKCzrZSEk=aXZEP8Jl;HA|HAnvta{PVrz=i8e8SnZ@e}W6s;uz0J#45E z*V}*P)O+m$IyAQNtK|IjRY%|5W?^;mUA9aEBwyT2Y<_<8T4?OW^3Zo!nH0o(;r$QX zi7q&KlLme)+S$D%Dm%dbLZShSF`I!3zY1F6fX0NgvBKfGXAmz)T>IUzSaE+tbd@Vy zs-cfE+Jln!*Y*N|%JJaOFvORi{%qkE8*kZ?P#l}!JDYmb$jEjtr?y5H7gBl6F9FuU zAMHj|KmR4<&%O?xyCjb_m0rN4!F-zSfIDRZnqz9-F_h7JREw98GrjH~wP-GtgqV=$ zuT5USw4~dCoaNMH`yv$g@~+UZ6C~)FT#L9AaiDht0575QO!}$E_BTp;u=lhw6O;Vi z)J~t0f3_q~$)@v*;O}-oy?LKf&KkRv?~cAVutKN}`>dVD9%qv<_W#lL9tGN|;`u)+ zlFYn?@@=W;7NnGz$?N5 zXB47)$s4i4>w)q4_P1xMT0iMmls-uIG)dyIHwgAQ#%PW2Y^! zcohQ=Kc}|a;OxjSfBx*67}vo-N4if48(CrGmnX=?N^20PNn0avhr#vgeG=XX5Q^%4 zyM`3gST*OTk1Z0D;1gJ*351(PxP;(oOp?XoZ}2<`@FxM!9V!75_^UC6)tdq>Md6QR zg)A-8=fiVJkq&KjWunu4wLN*~z_BSPsRZ@I_SH?XbZd?`H{GnQV&0-T-XxG}yCqy5 z%zBPtU8kVbC{G0LjWeugxQHrN8gl?By|hvJei)sxam|ml}W~!#n(t$ zzKtqQbn@4mq#J*-+XHKjs*bW+n>V{M)@BbW%gww_TjOKo-OSXq86jZ;L;okqBvVw1 z;C}q#-Dv#9mTlqm0r|V>DF1x z#^xKbQlXFVC}Pjw=<1F2wb;6O&4+e*#pKQxi;}fx!!X6I4A(i{j;rp(#Xcu!;Oy)A z%NH+(zX}0F`jYs5ni!z=?qrKAidj_*6mRQWn6(V?4j(DB3^oiyahOO>41Z9&Ss%6&wk>9OeN(?X$|K;h%}!O=>43T{zMkqi z@79jh+XQi1cVoIyM(*wPJoqpqv|BgNU|nT_Gsr*ZVr5Wkw8ul4rq0jV_5$OH@|qDTPsAhfbD}5gp<2i)S_0`_reu_N{Dy_jwGi z7o_V>TJ_h&t8-<_^HNV5HR)Ei>XUwd)!$5ia4GukkNlI`bhGoDX`|s=cebXoV(%mh z;@uxyg&yl0{aJOh&5Xq3! zF7zAn-?G(ULuwUbMl0&-Hvi*_OSn7mWI9`$WfxE1rkRX=kp(C*RpUjqYB>pm;&RYh zh%^FzX1IQKzjkx$Nn1JP9|ieH(Lh3~b;!TULGWb0>7$w#UnzPu8QV5-1L< zCdK0hCcy!6hRh~Fz(HTivtw1d-}KmqBMy;bNY;er!4XgWgsIx#bdwgAe|xlj!a|CA z?mD@p{*h_N(q3a{66^}zw7a|o*cECJ)w^jW{`Q1RWKYPBvST4a=gD7H zL{vesUG5E+7fHZFUPG5+fMmBk`$;~&l!RN$jtN@cJ!G3wTY^Nvm~JC^gQ>$V;j-A!p9}s#rQ*HJ`RQ#cuPZBT%Po* zN9o>CQM?|Orl8=1d1w&wYl6I8QAKNMY3uZ3n9zXmM?nqm%uLk*

    9zbrcMvk*t)WK@5@&FQHVoD(l-xT%yit6YN+1N%5b`S)M5^j zd|gTCxtL{kCI=55DtLkR{Z#q2o*Y)-3^S2Ma5~etCj90xj)$*~W=#o8ke$*qy)rrN zv$qTxr>qZTtLaRZy0K9|W8Z|7Dn0emb*o;rvasGwq!z8_xYbb>_6=jH>OGN9;ImRr z&x}Y;T;K7hv;YLnV9ncPov?V-h(O~_?01~cQ`TNvk~4+YCR?<{^~}NA{E53{q3~&~ zbBxnV`cGZI>gDoU?bKdmYks=<=(<#@rj1hzGLEY^2oQeahF#fa&ba&!urczHS!w7L z5QWT(gQP+!icB|?jPMG_Jcj-^$%2J?8-Dg74Ugwl&6f;pWIva>6XOz7cD1pDy8*$Z z7|XThxhb&So*{Bl&}eO+kbL`c9qn`HXyf~^W#K=st{+`W=sIM=Igt=XMPR6A5a!iU7>lQ#<_= zD8}uI&;f$%TpO^w_K-Z zXTi(!BH=ly3DtA*%~@mn??BmH!L<}whbi$eih(w>{tG+jtbIyT5$CeB3PUib?DD1= znXzBBp|mzVBaB}c5pK@s@Y%1F^Qm>TevacibPXaCnPpr#0Pqb>!MUgu$ME|4k(CQ7v6X<;wI%5VH|Yj=x-T2f=4-vCAyt|USU9_LlFNM+=`)kmrbsZt2?}OO27|bY5x3b_LOtdL+KmnEXGSFqHHiF<_ivJX(jT)3a*M z*!{R+jT=}Zr`naspVCbVuziF%$=I*k5Wnz00FZ5^EjYm=a|t3vm($IYQDu(_{vNy> z_wg&O^W|(;2{ZuE$*)|*BBJQ|cM%%5tUBw5h-`x8I=twFUYFKvx{OtX!&6^wATSJd zz0#kY{>)lP%W&ALf#w84kUV)hh&~@Cr$mxe@xr9OIy-3%zH{1+(UfkJWQJY2a1-Iu z^*Fd&fGtgKKC2EAXoTnnKn(brBoGb$2FXfftHK<|shMxQU`jy~tz-Q780@n2spO=glv%~dolDu0LOIZc%;42$UA^%;Dq$z6ic^YrM zlH2DFX?4hhe#NsNH^{Wl-F>7rdba(0%D+aja#R>+e~!okJ!rB*5l;=GO6KJoZR(2+ zKMXxqk34;8`01E>-O5+c?Rf$6+GpnOnK`#{J?G1wy8-xFRLe@{SEz}40DfenQ)QOT z#DJTf{H`DC$YgfsSNd39y7sM~-OJMHn-&T4{hIsaXVl-cnxI#R9IOrSy#6=6I8E?x zt|`BcvA;M=DnlOsCdp1OSbZRRMN}6i zyFDcxLjzF@1?D01KHEp_gz$;Ft<;!GsVt5`4xWOz8$&`NY3=s zv3u!UR-IJrvNdZ8_KNx{^Qg|Pjt^DM72rU~p%ak+rT7xuhmsQC10^AFXC`@E(G|-n zA!gw%MJ5T8jNut7!EEGjY0GRnJR?U6H&(R$TWqr-b#GT=LiE=}Q$5i1Ulo2th%$$bWyzcW{X zw984$Qs6BozORZAbxo3YvZZFjg_WFo=wRz9LTYZxyz(C@6;_hkVMrR3h(hMr732tnnFU{uI07>**Cy;hGu0U z&bVFK-|FvZ)r{M}khOoDi0?1B4yyLm@AU(A<*(9)UOM|4{X(}6k^DL4oF75Kg#oZK zR=h{QNOJ=PgLnmU-C=&lP@KRk zNNxxmhqVn_^L_6m@A7~K}M z?$9vX^$vI@sgaVj!W0T=(^=&fHlpv0yXA`~eH-=L_Anb!6Zf6#*tn7Neu`c1UbXjL zcp6UuX9n|aEgt_A(ewpqtFqTbz}8F1>{SW6Rs(7&@$0`Zq4B=9632}w)z{a;4o*a= zNy;l({!I#+d3l>IlJDJF$S;Q?edZ18s3b@@IeeX*h)PbR?Fy0_{@EF4^(oAcA_g^$ zFkfJQBp+|t^+4H_a$_}fNXo-cX%$aVkDUNxEmYij7W>H-=}uFw5Qm{ke@e(Oe0VgA zr5YytDg-&cs!iPS`s1rFtHRr*dX8*?t{iRKL2|sH)!n~ZGpwEW-h-6vm z3QOFzY*^NG+F{#^Q2V;WBvBdn*dk~K{A{d~3h)B2UR@a}WZ_)xEA!{(D-h$ELx zTZemW7-t-z(rcXqB(2hPPOGtCj(Np-%SZo}aEN`0<0QZSKuylcWzepjy5@hk{=(f+ z?dW(t%9?V`RDXd<)FYP8nKu5&63#(&JcVnJX|DU{aW5iVTOqJN?&lhN`@ezP)+U^< zD-D$I>2S_BRXROJy6Gq(;;4Bi(-TuyY`G98&ar2wxqegii&2Vsi^u9?EgxIBeG0PV zXOGaQ$1|PkUoW3wRh}Z7OR$PimF_D?8m&otw{|ZbgxXSibXWNEr(PUslmVGJ3}r>? zu_^$0>!Kr&nk`MdTsi@Lb_uD5f7BRh{h6tF2%3_HvMJB0&wYDxi!>#;`Zyr;AV z;xTaIhl>>dQFGL6njDBVv=N?~&GGc1irA}VSFPMl@O#B~Aqv`!oEO8W>E1XC3fq@I zT-zhVy&PV+gAxkRTm8jSb&%!LJuF=o&(hs!T`r1mk@72$N z6I|xh26ir15Hz}X19;3VR^)d`wG?rw7Mc6>#BA-V4Q497=vo#g{T#hT!t3@GGg|eA zkqAkJ7X|$@I-7c7dw`+pA;lEB`b*WOIcU{QGh{*CBEz(P=pbuUoxigYl`D#_7YJ9$ zOlcMlWg{om^CE8_HT)D;S-z2dM*N^E#6-6h8tB|leB19mZ;`p?Ojp@a2OSuLvMKjE zEe!iKk2%N_*lvF;7zeYu%`&^sQI@V`2`aWED~Xo@DLR>-SO6!fSW_Cf>O+>0J?Cu< z&~DhRW~K8;J$W{b-c%R34Fu{2c96x9S?nMN(Xh6HxyX2Ky}7=Ht~5WK+u0J4BVRX-~t=Yn=!ZOA;s7^9HFC+~#U6+M+a$N$h# zL1|(oQ$@_vxkNo$fT>4qpCv&zbY^hS5Xq-@O%uz2-Ec!%AC9DlxiBSe2Q@3|o?xw9 zlYQ}vw!AHDTN!6i-yOnJtz1N>^_I<;FKj2LU*cA%?JX^TdSNK-e40o`?yGPVp28SX zy%XNsB^C{sh^blReMjDRKnfF1*=zerg`T*2%})+$Y3U|fO$@MX<56Y9tk^`zV+*Wx zf}2&RTjcK05*N&r)++4exE6Jbo|F)Uv*K$OcZ)(W67X6Wv1EyD9Nd|q5U+8IBa9yq46BQ$$5 z?TY*>Whc1}UYsSJ>cF`|QB80_!#?48m+4WxWf~U_N=La>?zF)C93(fanj22q-iUmG zsSp|#%}zXVC)*Ku%84#^g@Gqi$g-nj`73^~^(+DHy^kE~y5Z$=Uuv8M{~_8fJzt;6 za&zdSM0)YH-(K!XIDcQule{#oEOe@cwKG-I9*WpZtc<#ODYtH`e`7%PJb-KVcDZIQ z#In*y6_=?TF`y9qZ^~CMuo|0OhqdQ*lyhykBF8QDHRSp#y z*xma%eweGJ%f(#W>arUX?FSzb^I=iXcgzZd2niDF3W7TshI={9k7x3ReXWE)B((`^ zWegzZu4mMS`0vHi02T3{39EWBSr-xe7Z%YGoG;sh0!7-n$XkmxAt-ITrS`lkwr6n? zG{!=R;4_wuI2Su|HY?=9WAvI}5H^Lnz%0U~UT_V^mkZppb84^7l%qZv*wpD9@dY5x zSp0{JVKrEbC~?_b7)>thRUU@9eU!hOW)XEX?}57QhhD1(Uo{%#vP{W^R<eqK8u)wbe~3c3`^*XG6_GbF_N6a6STm z2fpQp+#*VEr$Zd~#IEu*BS8iD*N%OncD}_}kOhu6F({LN+0`klNUELKm()lr%PaOz z_uzVd&|})#z69^27ZqgP)Oi2A-Ax59?yd@Yem{&dImOt2*s61*!ZpbInwcI2lk&qk2B3%1zmkxM5p|kah+fe zMg~PdblGJd?fO7>?m2&+y#uXuG$L)FAuREB@fD3*gR+j?1>J z5anY>u6o^=YNlYktIXx#NJEXem&tb@v`??1hS7?Be*@`deUl;xxuN6%UtzJjL~YPA z8$h;sg+@VUX+b6g77Vx!$Om<0GEPTcMsWLUizz7i0`gJ1UYuRqz)j@WxHf?f7h55Z zO_M?hD8-II+lhS{e~1e59~pS?H6}yyz`%|L=!w$)gnsV4`CWA8D_zmx!;d$qI^QA7 z;upyJ6W&>_S`2xeH@ULmoLlRILaPRQ@r?#=eo5;u(}WsQm*vM&t|RJ@pfkU$8obmb ztTG3-q;wrO&AQXiiU4)TT~UhtPiLMgi@NPG%7wGEl30!3AjN&N(ni_yT}J*xRns3e zlTjDLx3%3_V2!t}E2ML#GqJ4K{Ih{9?{1#iMX#TMNy?z9s25M^TDv&$2m!qC&B1x> z&Tq=>Vtj`_zUF^`Sgt8ByVq_6VXuOGc*<}_FAcVz_Lnv6cvan3hatQ3Qn^$_T6yV? z-_DEJKAA3Wwa7cYUX>>KL3@S-B1q><#$J13uGN4e;Nhvy;ImtP8it$&Rj zSex>2IJ1Pi!=CM8X$^Q!mq6$(C}c_gMT&@vjjcxB*)G2EZufqMuq(dys4ovTgN|$} z-XnFOI~4hZUq_wq0z4CnMa{;Zrz7{0)JX;Ci;jDQ=xf5|@iVhh0lbcVLgF4h9#vYU zxow(d0N8$0+?9Q*Eh%eZcTjfwFI)@TV*ILkQR)z0X2M@IpkyV#ta$FC`|h%vUDIVl zzw2=~+~Rr5kb9%f|5S&yjeaIABR4%4SL1Ag`R>#2oC=u_7k9l*hF6$&$I~KHt0%}y z+;YM4zW&PntHD5XRUubWK-w7fyF#nei0V&Zu~3{_dU8=X?t@~N;UyDWdgL2~F{kja zEhxPVvwU6!Y{l6UZhc67ii#wM)>XF!#h!>dQ3S&FF)?ZEpxZKfskvK>5O$6JQt?Ys zccb=(K;@YmVeGophk(={Jw(h4h#lvg`n@Ju-a<+SoE>OO%OkpC_e6OMRPQ()R7pS4 zKdKin$HT0J8ct>Mbv$=7TISY&r&_?{T&1SR%d0ukk%07-E>TQrVB3Cl9iF|)_h!?R z1?L*gvf!?8Yg!!=q=1zhj0LlYp{ zD+cgeXzEu9h48A3uhFOCk#9HH_ z(k2OLe=!|;LR^?B`KfM(VTmaOSXjCBH`=0^`@Z98qVo35>ufE9lrRZYl`kx`NQbBl zF3$vcXgmTxY*Bp`B49YZ$yWKPcp1P!W+Z;B*CN|Dsp*Q2Tt3#2QU^ZfdqyT?P$UW=ou^?KNuVR){mLEZALPhmYCR!vL7X)bPN2choT z;tq{w3+QXrjmx%~>J-87L+3-3;7=Z+3|Pb#cxtyqN~^QOTEvRHE9BSA_0(mD3-R)x zy;xnKXyom^0!%uTuG=e2-N{uh`j)5O801fa`lKl|)ow_>JWWi39Ir!!Kt>^kfg;u< zRtLF6NwN_i*>tKlnB7UW?*p?_iHEmE=oqrJX=hbH^bz8W)BUv?2it9Nic1MbI%ULD zck|Xg(R6;+X-@Qc`%Ga5p3z(tz#RZgMmZ$EXh>@?db}6B**lYYx()f zkpUxmSMy{eW^0Oi!-i|Qh$m0dF*11PZc-BQD^t(&llvXalNP&K#v8G*9a*WahOb() zJ16c}m}ge=vDGNVxL2EV)4aN5tXnNT?B;Oa!`${4DBaIhnl^?lSAKd23|aUNWmoyP znnZnL{qUcZkeAOYvO!+{5AfIT66eRHsNbj{@|i>bINM|BbQu0&;zW%|M%&=E{{d7V z*z%W^AtYq(Mw1Z9*0{<=nNQM}H9Q|h{umD$&%l7&1(^c?m@!}T1n7GaKAIF`)drP$X&Fh0+L}_(pH64kL%Q>X&H4VDD-M+0lrs^m3 zTMiF>wxPW}ZSF$;5@J2OI-9!vk{&48rh_mXMvTw5EX(LM%?Ha&(nD#9Xz~FytCIvd z6>_q?3BIb#ljX46lKiL9WV&13*+@>X&}{^Io!jpXX5U#gxw^B87|-N*TDooV_H>Gicph`_ zZacl$o(qP$mSv4|OZljwT7W>x~w-U{)) z^07fmyIs7B9!A@dZl&am{FWwH)n=MgKbygpJ+H0v>@@~EbSrFnQ>9k{ZqschT3bAT9sPb>gCOav%KW|UY#8!{E zQsR&cRVva2Y%~--4*5{%psHHeIW={kQ1;U%HMbp!6xc}2zf~^mb?#d`T+W^oS*Mm8 z-7HXs(akcezw(Y}^m6K`nK()edJ3p-#8CI+27QmianltT6eA^msE^Tqf&Do~+tnPc|CGMR(18ww$=*Z_3tjdouP%_Ox7IQ%$sByyiV6Og{3$`PLM#>IPyYDE4aQ##0H|>H*e%LZth?A~+TmDtL zWzIHH{!w+yUcc9>*-C9(!{J{apbYmIZ&{yl6SY<682Y_3IQdA8gBK2{>GXt^R{Rgp z?;bQ5axUyt-`o2ujp2Ws>)`?Nub!qhC2fjN81+BUX7xsryv{mSJoTX^hSrprSRKDT z-TutvOWnl4Rr!eUhc0DZ?pZ4)8>qs@9QUy5IYiLC+z)#f%dpk?Rodg-2^|R+zb=x!4uCndC zvB3Yl0TVE~+&cSuwn4_PzVi0=XLE0xDeVstGENw@{@qNgL+bqX3qdbot8A+(sXNeb zZXON}joQ1% zI5y2W=4qph>-5_>eGRrEPSk1}BkD$v5jrRG?zu?cN&Adr^?z89Rj0GRsQ`1Q7$Y-? zX0Ll2OT;Q1q+WHV+y+@y`Vak#x2{Oo0Iy9C>43gt3IT5+uTytogO)9ytC?9mCuKor zJEtY+wOzxyO}1{dk@!`eH#gGFEP$&ZI)8#KM@(IU$K=lN@(srTL?r1!_oHp6--x#6 zlMa_OpL2goOdDk3D{2`W6Uzxeo~C5RjjoY;`F@^wQG!U2aHX{RDjK$Fb#wNvY1uUn(G@;MQ3QOD)7?r72*bG2G?$cltq!~5~tl5jF&|| z+AzAL0+ho8A6Q%y2}crIK=2v9S%UPIUSe#EGzW7YH4=|UknBhS~fhL zg8%_aP{9vJRJ=GFNgYS6vG^_lB>H#0w#KP{~z2fQr~VViyxfP+jX*^g^!eJn|o zjKoaH;iB`^eQvnOH7cq2^k&rid z|7jJb08t+`Ri2=(J$I_Wq*~G>%{KKHYN9K5?vVsH`EfbQzr;(Pr0Xd8y`LI-6KH5v zXQQ!TS?}U2MYZqX9?vT@a*1wrb!>4#F135D=l=bhf7Bvu;@INzu%_Y+s8LULSLmKs zSB2WEd{T?f3n0Z1ZO*&F)+G`ru-~t2El6+G({`rGsfYerJ#~()%^j|xEAGOY<>Nm? z;k4HSH?Ve9xxL@M$*Xt!#7a@>$X^wpAgiy*xE3RgcaQ0N&AI zS6vYwhEwBtTNN{&_M|nreU=Si|B_zK3|#UGnv(3dOTJ%@jy0M2j2HSELOLoT|GnK& zi**V7e35A~EfA?b9%j>#ND)Sfh*|!sf|=qHoq}WnMiqeO^q_G087?c9#oPAo{5^zEx<>7xDuPx8kPGAtjqh)L|4 z9jON0?2!mlhP;rc__O)#~u zbipVamtq}<^lQeCrpJpSzYn?VtUuKYST?RR>JuBghK0oWj8AC+czbvuLj%%)pM3px zLAL(qGvB{iX`SP>0;ZOkvKx9)LuDa-uKYrWn=&3z{Vp7 zI{{xdOkdo)Vf*7782gHbA1W`PD~20|+g8s^{BqZ!e6~sl&gs!AsIj4ga<@+VDz5v& zv^05B_bUcYBUY253aQesC7Xgj9c1izWB(O*emQE3eM*g~d(UbZ*H38M>7;BTf_M!7 z4!E|A(0{PmmMB_Vi@ag!6k07|Rhn$7_ zUzVUM@@yIsG>~g&?KA$XF3O_c138zltdb}`_0Rn!4a!HV#xA;9-K5}^W!qX>S{w~K zag@ni>V>m#AEKE{*UEG2_BSrACLDEN6!(?yO~143u1|cEU3_)_K0#H&LRuz0!wlvk zGwo}HF?0ArF)040&`Jzc5-J*?r|a)3pF4ELLwH13C?SVj1~y zbmp={tvb?lg<)Up*t^E{L~4Z81H^5Q#`5|vwI7Vo&Hby; z;E8%8%B#2{-Y*b&CtB-q8N83cN`N+ea3Gm7nl>c_p|Jl8*ym>v6J1 z$Ub#{V2LrDx;_yicIZLZTaIq(gPIv%)V;M$7q)w+YGT$y5xHh))e(dFsA`BD`5z0X z)`~hOe#v~7S&u=BvQjV-}tLCjfps+d4rf*tY}vfEI@@X>X`okNJG zXpUgRg`CVzj#8)b+s264ljCR)xvh2*S+Ikn9QZg&oGOA%Bx z18`d8*)hz2o&i7pj z;vc>$NcZ-wX_$W9J9V#r7AB6Sd9&9cY}Fiud<(&Av2Rj-q~TxD!kAG1DnLK`@$|o; zkx!hzv%0W5U*_zf<7>{$vz;CUm99-@5&dE ze4eTq(;)zTuRQhcUAd#kPoXO|>OD(Am4+Pe4#=RJrzH{lVJ}0sjqCp0v+ehW?n10y z97C<39Gm!0cqtjxyTO+BEk_XO1tU~aSn~e>ZSa`^*NdBO?_ner-Lc2W;rm!_f8thZ zXmq;{+iQh5;RNVBfdvLQGbGMCP{x-E$18)C4=SaPd#eYuh`xW3 z#{OJxf9qm1{G~M}A_F4oYWbG$koS&l!bn3zHk#85{A7cD=Vpt?lHT-&h#m(v|I6Ag z^xZ*IlA7`q3`SLcY;;CF<}3-2O%S#ze4_5Y?a!}^ERE#DeQ zzdnzEGWPFi@Vrcf+0OQOGe6wXv;zdi0ZfAB;}p_+3Vyq) zGCjBSQRAyJHixN3Na@R&s?kq8pE|AiQbtj_kHaa)OTZX(Vx-=``z{$3Sn zXZ%7pJ^cfE?+oL-wRCMPE~HC@`@79X?_WKPTrwRx-*aM^Bis-tHple zymUFl(zLK?nI4KNgk{Owd8h_nv~}De0Nl@F*LKTwg6G%{O_l$p5EprEB#^b2#HU$6 zNq+1L@}QIBOFMW7(0wsDKp3hEZW~{ZqT*lDWp;nNc&WrSFkb&HeA0K2aRwA;k)TVc zGd&>Sf1(uUIV1?mqXX$sc!dXyrbOA`(h@}os|aJXqE7c^;HTSOjQq<+mWIBp;6Au$ z`J?JZYkaeo zwx%(bYaH>|ulEP75lheS|$ZbRWPpq?< z?wIYW^7bF_;Uhl2_AvSIh!xbrBha^rmEjE;ofh&NUt@(JBdYR^OtcM8UFn01FQP4r zf_zQVKaoYMX8cM^t#ag6BMlyR_vUi^Mcz#cFzFunfjq65MCcZ{Ll^k_s9+eGKoQMv zPu_2`-2ggB&dZPbeYSnz;p?y?iq_mqO>(HT%1C1oWp7j~t)7U);U~h+gbSUodoO1j z8R`h61XcTe`U1-6vU-L>9wPJ3yS$F$_Y&d$A0S(UjaDI~_O;XahDdD0HQ_C-BgG}8Q{p zE*rIMn-++3D}`n2R}N_tBzQYZzsWu-aeI)73}{28(s~!xtIZhw~Mvb65*!WmHXw(iRikt zGe-^2xFFJ7PwN!6;BmLHsjVV9wA6Ni!C=o*RAtBFQ~N$#iNx> z=}#s%Fe(c?Go%KTcZ&z2XC>}Zro)wS3V^DtB%+q}_;Gro_nv#F2toQiLqxb{j|Vh7 zwjY(A>-o>|b0q0BB*BK`d(@!OLy7 zxP6dbU07~}Sn$~p%W(;n2iQG!-4j6{X^D7okgAl8>|pzu&$0?1iE!}zK@lXXT321>#zGt(3mlfX{b&^jH{thl#Fi}qDyvT8^okKR5sg-e?3%G98+Y)= zkcCwVlE#l~-Or6jjY$2r*mTX1J+$sf(@5fUZAx2~5VxRvEe*@j!oZRMZZ`TkLmQX> z;_R_%@IfkM`noZ)*1eQ1x4X(Bl=vj@FtvP(3-$ILaqbcL+R6EIQW_h856-t!J2c}J zX!F-CuTr-Ukwy+Z)DSuCN#NW2I;-Y*NZ&oXBaR!=zTRyk4FFa9*i0L`rv5LNwfim2%$R4(9Glec|M9^27W}u*vBstoCA{_DrtzEnZEd!U zSk?ftt9#R+Sy64~;=~3AU&Ad?AbS>*v23`DcsanflS4_z)whD=h_5dNt4CuL*%fgl zamZ!f)ydN#Eb4mIkxKtocY@Q--)g2DY`}_2Xw?PMY zs-;~q(#Y!2&D>3!QjuoYKL~$>{}G||sOC6x-2e}j)E&pwJPZF5=zA%L?%!VRA@$a0 z)yTnHs4s`;y(K9H{hG$ccC*wp_o&X3@Z#K~fA!%%(|zo&S{b=9iUTMWSYIJbga74B z6SbSMAeNsHMkOQTHrbv?=C+FLWpI(I$pZ0QSW{LjNf-Ma|4OI+!&r=S03$NHHmV59 zWiQO4iQ8*}wLbjDFcz4gK5RCV!8}Pa^UJ$}5;+U|cSpe5Nh0Xm{=S}xGQ*92A8gtYXJReB1)Jm57z zT=f+AA+YJ@Etz>@~ z-4++bi+%e)h;(-?mV%tIvseG}N@RDvv?f9)L71ENjtUUxPGzb^3hK)9A=_f>WQ&3* zabIpd7P)b@ekMrb(JsXCGH=X;OTdC(4JQ$I7ZKwpY9e?mz|dx5b@KwNx-%Q zd!6Mx+5K)7#L*IS!L${ZwqJ?BuDVpAD+CPV76jsomuwu2%g*V&OC5PGU47eiZ*$>V zrk^>`qCAZf_Za_bgX>f>6Wi7*_BDi&xs~Sl%_6U}lD#V?pUbd1>5G`Q!n)Stp4m^WK=^^Dc|0c+Pvj!#5X5nbGwm%rYU+KthvIRPfXTMKx! z_8TTvg&n(_(^x&=09N7Mvgx6zR>mTb(`MOe$n6tRIz1~jHMP!f?K2y;Eomp}u1-M~ zo%@6t{s4!~u=!T0o3k2t1hEzQgXoPsYGiwDy|WM^GOt~YRS}fut7`Fa709&5CA1!? z2=ljhX8OI=>Rgrn&2J$aiT(z^Jj3vU9<)f+JF9PP(^#I=R}ii(CUv!}^&ca1B9Q(h z5fy)HdPc_U+IRIEUrWw}U!Zp+K@u@$wc&%@2Tpn~~8DZA8=lwf5PoiTM@gRp7b{a)PpSYyha+lKN;yGvTd5ChEg z<(XZ^b~y-&G8Nx?3NJ2Y&w`CYe|B;ncF0*6`oZhMsu zA#PXkUf)*WA05dN>{ue#X+i?Jqph!Aj+f3B6?Ij8pS9hColT~zbSI!+P))Pvz|M#;ZXUGs&!mIVF|Bq3>Ac{(A63F z-|mZ}O?0D_jB8j<_YZqfzL}4?&E{e+BoV={8p{me_it_9_<`}yHm7%jN!F#LyAveX9bKyXTlKUXKfsBQlHl|(?)J;0UA(@q;a|=NRW@CW9!_(s zgQW!9Vc}($t$Q0`co=mm*)FoN zj_*G0RpR}QEUkAYGX{C)?b!R&tE^zCG=ZKCu3gV(J=PgXg@hQbjI`dbVu()v;ekz~ zyLUy2bNdNsd=9}&C@aN1+fl*r5VJ&G{E<4(I9XQM?y?jc z+^;=8l9HtbHnCA(Kd97Irq6RW8!U~wD5fOLri2ag z%dZXeMo4PIW9LgImgKW90%S3T8I^FPQMxmiWV_j1 zMv@khXXp>2U;V0D1Ia#mlHMpXNHY+6DT-@X7qp3~`u%Syw*;yG@XgfTEzu-3&_nK3 zu=hM`s~h+XQ17;!LNh0IK)~@9d$pK6njv;AL_9+H%6xX>dvgtHEpTwzCd&c@N5G#J zHp#1zLU`LBofTn=%mF!~nA9;E#0Q#|$+g2+=9;0Uf0nlvKp2S_mE2l6t(|(c-TCVL z>8Pl&4at!66~y-tQz?ZYP;p>20he8{`?sDaC%rwEwMEW=pnkgpx?SrURo?6-I2)!X zz<1I( z=_`NGw;IQ<*a8!v_iCrS(ziZQz60AH#^effpKu_PpsNM6Y=H??E_z*irB{-@a^UN% zdGBFx-Sd(%+yn7nn8_)(!hBnb6z9tX?|8%};Gf=u*kI76n<-S$LUG9YiF6&i3ZecV z_oi9j;+1ljTcR4md+jo-;&xiUk(-#@bOQ4&p)G~sPT%rihSoOMt4J z*RnPwJEgn$=xGEOSE9#E!G<|z^i|jk_GKeDBjAh6s2)N2fkWGj0Q3|{S2f)jk@oT? z*S=kU5q@{)9{%N%^gBHL`ixA8c0g2B3>WXU(htp&&jXF)r=OTmjG^FR;C4Ejqr<;3%N3{32f8wcP4GPn(gw*zTc}s^WBdJN|1XbYJ4xz)t#4m|3Cb zXW+9)$lSFcqDm|K_@{*lj5~2J*fZ)LQHFuPM{2`5@Y;CXg?qk=a^MFc7 z0EQ|dttv61L0=k}BL+H#NIG69me#OpjIqdwT$U;RZN4P0Nce4-2?U%vR@E6y6+PX2 z4yuxZ-zSd{0!{0}*+YWfsp=0zB`N<2zHL`k2N3zbG4Hr+_A*K&zE4NWD6N65>u&jXB&r%6#g@lBc7Y0}T2b@~DfOIKtJm&InU`<2_)W<2;-};3 zv|XDH2|h7bLnMDnrq9yVj>z>)xPP{u$|n1jGbdop$U5kbuV4l~0pAw4#w`Fs*0|%0 zoCThb4qS}d?_J=@1P;TU@Lrl5=mfOx?p}4wHF}s--Nw6dHLl(N0k(_YtEhE@3BFQl znaUq%LhGlmf&Y)9^ZrZn{o62Z9Jp{#TsX?DxH5515Cun$a_64l$cB5QmIKQjD&jUJ zCoQF=WJ7VUw8E6k`dVpa`FVK$0Dj@VU-xxg@9R8|L(MCsB=F&p)@l1;hlvS*3R_~I zMe}P6;(_^odS%P9{31E}Jvvbg5NupwYAact7!0rwv+8j<{ipcI6G{+QJ=sjGo_`na zbL17Lu?fg6m3Z@5!%00%hBd-TLw3GTMOIOv+!JStr2Wc%4oilg0wlM5#%YOLbazdh zInC?+%gg8x!56}rhGv<>zv9+CXCs8~p8@X0Ra@LyJQs$!a-CH|EdlLHa6&7Pt8Q z_ZwEySdQmMcYk9TdSzSFIA}FU?16jBU2hwmO7QEs3Q(s6`B0cR>@iJ zz`D470@GBsf|J$$J=d<~8vU}rq|Q9txS5w)m%XNu_ULpGr~*63nKc*ncny;3p!+L; z03Q~Y2uLobtvgXUG-mYo^Ih1LS+dTaj+IF*IVq@1?-;Frt(}LXc#w+&=$R#2cf=!0 z;G+9G>gZY08#}GSejAomtNcDEqGa}J;6XcF`X#mnofN!M{^6Haj?-Uxx8U+g0 zSU1Zts`mhG%S_*fx$kF;|%6-$J%-6|DavJi9Hnl^we}kCs*3! z_7dB*DxmQjoUbG$U1{h`-uB%2=ausM@^Eb^pV1Te^hM2Qq}xwsc%1XDukCOcvZIIO zV-hS>wnZ0VFJ_5j^GEsKwK>*BWHpM9A%YRDsCiS8^Llv{q)G%YFHn&>{rV-U@W=9F zKF6r_OHshlK}*&Sc^$*r4G;p~9$I)TDm_TkF!!C_?~PbHs4u3*O+)3O7NAmm@{E@0 z{zt#nck5Q@m&V1f7P_NKHcnWz2(lQMKDCb_Bfm^wC_&as2M-n`qpkyVni%W;V!?3ch;0+DED`2TR!3GUK37d+c!sB z3N9QqRkj>k!pHs{(ix#85oew7Od>cALDT)ML0c_Gf&v(8MDE+s{CXMn~=TM0}kFev5G1yt_`bxXc`EmTnLn6>-=P7%Lp1A4ts^Qpn z+c=7C3e4{msKZ)& zQKA2DzbvO*xlX^VljQ_fch`({-gAD;4~!LP7Ztcm;VMt#)7mG{CW($ebppfNvO>uM z?6l}T;e=V%&7B=rO4NJFq{~VczTKqVKV_|FKLO3=jV#v0eQM`-m76J7Pa3Z)9l<7L zPf4O1D-uU&KPcU&C#abL8^sZPQ4iGNig}?58&<5vOta>rONj=`%Y`!)sU0^_+Evfm zPTJeA*)&p+pD9E*_s;!Y<<)MP0kRH&uyuLQBde76+vpbPR&0@WL;TI>Q*SK~k;rFs zX58Lv!z>(T$3a;zHY$?MY?10dv=FMME?BL z^UQC#e#E9`ITaDDWsjw9)?~m>>kKthDC~G*P}%0{w4RB3dbuMlL#HCK9XPMSu_<@n zj23Er`#iWVcBAjTO@GIRPWCtgRGdq$W`QiWv&0m=+54;VYm`E9u^sTI%|7l~)~OCD z;c00opej6289OS`~Ujl0PJbKAMMdFo?BwQSust}-8#0{JfH6u2aGlcR1_FILCk`P9H#5Xn=+ z#~SivK>SIjwt#d;V*)b%D9dYjTm z)u$u^^0p}-?e4litl+dp{6t&kq<$Hu&5-4?>Sz}D54Ud6TAY6&WhyLIm(R86m2|1^ z=SEf5{aqcGPEs?;TNp$E)vkhbTTWvNj&&c=ChiT*Nuy4WY26g{3RWK_ut}d%foNiTo5w=~V{eW6fvLU7-y$)b!j$$g}7T1x1@slWV z^(`6ea}3XIb`wg8+qb&Iw}CN=g6|}&YxceI6Hr$JPZlv1}$3^{vX*0&?E^Y2#kEROG{kdJqvnAM(_wUWJsb#N> zkm|7Qu-_hAAN%G@>xpV7y@bmefCAG|pk3kIF*u>DYE4)05qtTT_eO6HPNwqxC$MNb zX1G_~HEV*Uf`GbR?j#A(I+)CiOK*0w7RGsBC$v;O-GbGBzPO_~!W3C*`DE5c9GuoA z_02=R*;R0#wK;g6m6E%0?0W+bY0W_Hd#1HK~Y> zJs+!P)~7Tel1vnJdbMI8HalygK9+A%+Ah7+A~OpWn>F@c69mVyuy@ z^7hOB0GNRP05$C~T`PZ#WfY%~{sZv#&4xBDmIpewht!1iQz~BD2=at`OboldSQcKy z)-IdPmGF(BApGo|nZ<(^mh@lM1AK|~s&t$loc5~C=nFaWC_m;@6H13217rYyA=Im9 zuXrcf=wJDOGs({mFSZI(m;CnZEjUx0G$mPu<#)$8d6PLQM`(_W04WDVFPYPA9pmUj zevb)V9O2C-_`IUsl1{8lHbtdeqoiMc^rfCl)WIuOl8KZ^86Vo~qG;EPCwsfb!fD0< zZzS>@bk)oQpVRtk9)|_4hRGz!O2+%RMF?~cj-LZ4k=~~dVD2CSb0qimYQ1iV>D9PL zi2^-!^3u&;RvU_PVmsDKHUa#Ij5GYyMsn9FZig-EnHs$GFy(C;Nwl;|m@>+z*D>C?N z5cwI|S)s+o6t0&JPz1HX?4OGt+lXeJIP&?X-pFQF zt7T1p;eCB`7ugdf)O}SXII(Qu8t?xW?52*DT{D&6?wI5Y7C$)EoH7pY^ZxYYKR_gH z-Sw6_E(y!cv{|-`CBpf3QsigKp;s#6r}`wQor9IFz}&y21~HDrHk<-e^JX>JqVr%g zz7q^I)9;0?Qg!UhGsK#orh0x-qE9!=x~TH1P~#*u2JJ3txF31nq=DFfJBZnd&s|jG zF)C1KNHEA*W3~aynAp;ZdW35#AZosdXo`#012E|4LQ&oQQJ`Pt#`)>eC_%fflWr?8^E-RcLvoY?A)~??&dtwexZ_yNH<# ztH1tkriS0H3dh%&VgCk-%6-*%63AKaa;x3Kqa{eu9STFzqcTg6m7I2AR;~)LD??Z z`G>b^6K?lRSnDOLeo1VQS*Q$*9NRo+IVU;jJqsG$II$-@ZEHu37H z77gw-FozsExN#vXqg45#bPVmE^F4xi}?tQ`3zGE2h3|ECtYc>23?93~j8Uqm4y zilCD}{WA_R4?v$Rv`wOM!GbmMt$`I@ELg&Q;`~z=<)(VA06Q=xm}n+ltGQHjk&%kL z0{zKP!@dr*;{B4*XV$*%h2B_{baWSOrDd!zeXn6lCRH^%q>B1C@n4`ho12p($&DSZ z@6XXicQi6?qz1%)pmjdwBF@U_FrchcWo2otA$Hr>v}W8xVndAMXX7Z)lF0K;OwM^L z+O+@7MAVd?B$JyUcp2-IPD}CMwsN!l!kMVfDia9)Mm%gx3dS2KPQ z$s$FubL2?;dm+k8tKF*?;j6icpB?{A{=9SaXm@Y~1!VEQXyatIry{~S#2*cvQh82Y z=KV5O)d1169s)Wnmc;lFM4@yWY(sOAaO$lC~shUp3}FT=Siv5 zUnp~snG?|=`GI_}+7aNaByrRE^njY(t*_)ePSDe6H`#Ul@1gL17LR&`Bb**w>wep) zaPeo7#@LTC10~T}&8kuNp24KBgCpZHQZ$sE;FDQQet;<3VwXK#siS0S`rtW$oN+}v z%FVYGqEd38L%-qSd2Jn z1C)dR15i5x9bB?j-E_*Ke5b%Xd4}((OUUlzUM}eYa$T-b{@r@~_D1Nkz_Trh3JUae zz9Dmeo*TmA@X&vr_X(#xXomEn&I{bS?FZvC(JG9};#$veD7Kqcxeu3xe7aUG=$&M0 z{~v(i;kM#Z&ieb-SoJ+AZWZkID7`3ePwbDAh}M=-d^VV3{H%>jOPC=kRjRRW_Np#N zpA)jB9x{a3o|>^x5i!-+7duww7;* zm6CpBV~O4?)ZcH-V-JbKuCvoOnT4`_t6DC%!!t>9LI2)XW6rTvNAhic%cinV!Zt%&K?=4N0!R=^MFZ9f4*>u^L$k#+AlVs*a+e zJ8Ma9>;`ku*HMZUp&cY7)L47&yCE z0wDzRr^2hkHu_qw%)wU0MQ9DA`!Vg3=0fcpyeBa--z%<8X4h_=<;rM$VEX#t?pnBI zuVsWFocbT2U3GLm@fx1P=___y5**@gK>FX6nZ#Yz9o|{KpYlvIxJiAxTa%A__~@2@ z?k4Cqh*D6hC6BT==X6Ble!Aw{^$bFdD)_6V@cXG&AudHj(!P~U^gU-ZLcfX*4r=DhRx zps}~RT}WGLOWc!nJ(Thlodx*gxlW=>4ZqawVMt9iH2hd2B`0 zOx1C44or*FL~g(5+KPD<&}&t(>t8=l@Lo`ox8K>(da;t+YZO+d@rB+*i#v zYIl>`T9rhdUkrhB+6~W z&d2<$`z!%h8XZNXkv?!_l3xCKXpz}d_9X#_;(p9p&h{-B)&NuXt|Y}-HPcExC(Ni- zy8Yd5!)m1RFZ-L~7ww!7h5(Lj|h z&NTbxFU-Um6_LGM0r}{u{;t<~QhV>8hMNvAn4M#ey}{ZJWHrA&Em>rxQP9sG+{FvV zzvc9uXCh-af>FHj&Mav(^RJ4UoATc)22kC?xtG>9112 zYY+MyZZC1LVZz$5N~=|WOPTeVR3WG8uCawg_A4zE@@+Z z-d{6v?G8mw841x~pB+92#F&3*-!eY7sU&taK>pq(1SrMk={Sw7gNvvVz|H%61X(Ws zKrmwho>T^S4kJ*>s?75QElq7&d@=#gq=n^f`ZxSJ~c;Gko$Dc?lEl zoYv?oGlG$oY?xveDEtLkFzkXbQBXJr&IQP=%5xeyH8gi?RqF}f8z6DwVaR4WHa0-X z{!pIiV;x;~B36E1%btEkMN2GqgyD>hFtsLRwikk}^7YNU%(Xbiyrj#6f;8DPphwk>u>pW~TcjJdBr@5t+*e$s*mGtMW zC1|}%lyYu?6r}*aEm>fo2^mWp#qUO5FJ2Zl#C{rG`FpIQ9T|Mw4inI-XW8i;Cf{h8 zq2usk%f*xi{KA<)M3FS=UG>86*&C8LDFmnS>m2)>N@pck%&k0Y2r|PipyR|H`-#WA z>gb%5gE?Y`o>Jo*p-b%w!O)!_1S zowDq~Xa5Q>Fdd)APg<*ej}|)rKcKlE(ve&+3~vC_NB{|j-fb)+qMDrrw34LVWFS8u z{x5&9G#E}50hW};*9V16}X zm`(B*=20nNIaFU~Z4zVoC&4rdm+X2m?**F>3pB+Ma*dc+;!ONkj-k`jYXa>b+{Zc> z>&R8ZG(;Md4ZoH8i)JUvw%|4vqfwwTa_)I4UgeZ!dm6V@SykQmxE+7-h2iJFNA8w% z{avgGK0=sh82g#t*nLOw+g#+v#a;#DLAkSrDqtvEVt9mH$I27gTWHFfVJ3jqM#A<*R4qCG1RG&qxy)<*6e36xb^BZH&K-| zNffU4s8GQ!ShQZnTv~Ul!7uy^u{`K7vN;G0yq}LmnyrE*M#yGCZ&;NKn{rR_A?L=5Ntyo$oIJ4OAUXfyrwLx zz?l%vn*W2}{YEE$Zk3wI*V^f?ir)%Zr@stEG_xohslpw+^-3VlK=ZR=vBjqF_(qRX z_@RTP)_Z1UwnkmcI_!MlBtDVXV>9&}Snr1B*<)q-e+w#F{syJ8;cl#Le8ka{Cg@ypdSIJVsSe>RlR61M zqYj>3w)opVQK3i!ftF}Hy6hpAPrIPszpew7yZ6DL=H0RT#N(@8)JNc#ZoZwB>t|~e zvCcn8q69#F zS!UM#9}+jj5!|T=bpx>TunFAQ^66JojNbHd*D_>sBJYJBDk2S8mkdL)t8ZD*V&X$4 zEZ^^oP=CC5(6XBYSecqh!G$VcnOB~AaY{=kTQbnO4=b?|$KP?+9HFN-tjxX!p$0L4 z)yb@b)EB##9@r|qckR_Ts+Qqoy#*qa%C5w|Yz3J@h&CGaO`A6@KuE>U};k zFzuxJVMSffeWh?roloEj+BU1#d9E_s6d$l8SZt6lY?xEGkfjD@FUr06U2FRzJIub- ztvtXs$YR|yJ`Qa8%kNSaYVSaa{YjcpVQDH0N>Q4)lk@LI*x^Xq)8h5uzFqkPa5f0U zSqY5`qq%Z~X5~?QMo8|%vg@z z9r}dwjhcU_<#u~2y<8<6NxGNYV7qBsTJVBzEdBY8aW7!Q1gVD4TDA6+RZaNiwH}bB z&xVrYAw{U*{=sQ;buR;CCnWiLOdXzZ;b7Loj@bQjuI971ne4M~qh5QNrvs)aW1qn= zuINg^R$r}Mwhlo!|8(fu%j`RUH78oXflH9DyTi8cyM&EpUB9nhz7r+5xy7McTrUgN zAFKqfF0;!~r;?O!UkmElwq)comB@~f8c}9FGcsifcz)8q6k2NjPr0x9uK`2UZ;VAVk@H)vJmX!Cu4lOAO&rdkG zTs*4$g7zu)E6wI|(zTSICc)TUNq`nkc1{7ppInp?oFv9DEp-1ezL();OS*I^C#i$S zFD&2b)v#Zf@k2DN_X{)f1O7ZDim^d}hy{}D<|Q~Dw7MS;``uJ(_mmO*OR92PCC3b4 zzmwhr@7<+|zP)yU_L~Ah=3|yk_5UHN?&Zd*Chb*lr9pX7+(kvD00_%q$ynD3dD`bc1KLjyIufV&Nb%9lIBGToKuqP z)?=@#r$|%lFsdLEG6_=xD7wa`JG#xDTeHnR0q3#1W6y8R3vleCa|uNpkI*;tI+eVI zG6OwQEChWzob%fWpQL_jfS?IQ$YOx+ey~@_CTOoHMgDA+k_o9Q18>CaxD&QL3qfmG z@%GB6=B?Ng9VxNpLa4FmzL_|>(G9z2BS=H--}YrJB--rvD5iET1K@F!M9~efLbY=aY75XoAP=uHh6IVRIuHfrv#ng(BpKszKT^1g~@uaFi3K% z*ZV*Kr-Dj&wN71WV!dMqt!STwE`9_9Rxf0xIsAk9*zNal7JE5NMtBKShOH;i^l_2A ziyuyrvqI0Lr@~z{8Y=`PmPJ)tk}W#q7dw==MRM4cSEzhwutSkAAcq1Dx% zyO^Qn|>jOdGC$&EAIGV!r|%bNzIJO*&pSW`G? zUs9Mfqjj3^vxJ8w%z8$PojZ2KhgR&7j4Ba})(V%+RFaH3@?w#@!8gCfl0&!Pi>Xo1r zD>WCgtIm@@J44ms`BM%8aJgoE;>Snz)o_mTO6NJVQrVUHrkJH{(PES5Z*yN>410Ub zpPxl2_NP|3_DN!mdO_h&P?*cOXldsUb2bA9>-Vyh-_dO+zKYaAqv~~yv zxykTcP)PT?k(qnmFU8{~IrCL|Hn(2U?nTys=VxUxU3~qC(Q@<{{sOA@L2RQy)Mait z`~Ani{)A@t?{{ThY}n79YkHSwELdN+xv9e!JRjetBf&6|1Y``r6Os#**WYGipVxp? zxBpcnHBK$Vf=UvAs5rGp_)l~07-^+NvLbm_#`m9Jz=arwLq?D zT%9+!G^vzNz%Sf3eqZ#EZY*^mM08I&vLPJaI*#Cjy0-K!xgRVqxFWXT{{g0KRO_|m zGqMuol(kgE{m>hJtjoD$KLGn#$=ij}j}{!*H1WGnZ}T>R?OyO9)_B}rGmM72HXVK= zE%?i-Np$jjtSOoFRaU1}<{pTwJvBPH|6pAY*|rqYAk|oIkUmWqmlGl-r)qBHRalH~ z1wOSiyZ=tZthWrTF9Er{Y+iWH*=)b)M$UV%b7D$Isque+)z7+_X^amwz@s?^Vf5XazwjDT6qmd-1(}p!(>KBjav2%ypz+0{cei5@5*}* zaNo-huKyk;OZdcEHPx+C_%Fs!K42H3wVRc+zM+yk*o0kCp^k3lg||B=VVSX$}F>ejy*?mt1a!zx}|4 zQEpDD_Kz5V9cl2qX$qwY=4Io4!$DobiRUx|VnIE(glz|Yif)R#N(8FpVF zmSmF7z~?%QCgiD>I`ov#&lMRq+t!Du08GE9TVj#lU7fbPY)TQ|!TYY*xn)ySB-ZNz zC!EXt<6r#ST^&TYYB{me#72zgxeAQu8%#sMG)p1R-ZMM1XFHRk0MPA8=r$pTt52i?FHg5f50hU|iPhA$xC!VI zq>NGqmjyJI0Uup6;MefVVbC0B#5Bo^{3a`k}S8&0#Xe(b;LA-N>z{vgPPi6EM_V)NhI%(k8BYx8dgNUb@n@}BPi>V_=$4j zbxaGJ*0^sYe}*(Q*yXNfqAx*V%@^@2g!Qy<0LOLsZy&XDp5tQpg<&_&7da}+6G1$J z)nTq2wW@C5y#}Bp#n`tQANZqOc%VjpPMQz;o0Zg*_TiLf$UUFj$3REssp7ufv|Gr@ zU{0BhgKTBLpagPh(%)8E=ZI()Ra``~7xHnBTwD>(=RERmJnLe$PB&Rt{?|E;+kQ{- z6Ff&cNnY>?l+CUUdm6uZFrttVXgs{mzQ|q@%;zE~Ws8OKv4bykH~_sQ+C`z`@)ehp zBsF`rKd}$`A5I-<_vZ*( zA@wqqWt@Ip7$RL!EzW0luKLlWHk@go$dV&84j@{0(puggcl*moHCQpv&HQfu{9l4i zOcW^UOf|+6O!}zPLF`oMC{>wo>+NOm=Ghp8T%r4Nm1nki#CZh;8{P3VGLk&!P^q>^ z(@7a!L5Fm`AlHS^AHDP_%ZPXUjd{|_szhmne=-)bhUClCpI(|PnD1bV397nDjpF1; z5?MDPu^CZjXqoHIKSp2Pr5rx&QwL_0a?2=#87!dW2oYHV9$c}tuxrJK(lamy~D>)r3Des|URfLHe zB2>w(hhjNSgtnqB8y$|da&5_TXF+o|9can5?mzh>UN83D_~q=nrB@SE8G@}|ZUhmU z@hHlkbV$Sf4-?zB{W}c!?nKfSXaMX7-qicJHx$G@JYt_m&C)6^IVQXfwK!5TdsB~8 zP4p(!+wJneg8R7NuPJZ;&P|=?`Q=xa_wr`{xb={^+Z7)-wLf*{1uf>#O?S$I%*Foz z6+*WHpDx{r|7`2yJZ|XOIV2HtW#Q52KWNEd2^)@`wEC5*JD*OEAarKmIdTZ*Ye05O zJ-^gR33XEI%Q=e~$UAeXAMI;t{qY*EL*~V}xS|vAKI=KRK73f^*f9YH@atlVPVgTD zKUyE!dK}IsHE>HI#@`v#IdD~R6JkTd0uvA?yMK~h8}Jo3ZEMNsJoUVRg}F~ z5}8t?pf6jUq{3iVmEYpAJ3%*3^s$qKoj;Hp|73P3&#M2j|K#m!ABrG=B^+K3TXvQ_ z?sWt?c)v2gXB%wdV6PL$T356b+ZDnJp4l(_)m=@f?#tW{gFft?yGnR5n|kKRkJGVk zW2Vxw3Yb~nX;e%RtBkm4rdh>|4`rAUD-lGu*B;o~a*}f_FPSLm35WixLe|9krBSxV zFGH_iQjVjgj2@E)9{CC{46`?kXJw~EKPBPqCt0tMW)>SO37kh2Fi+qzclj>eF-yIs zs5#Nw!S|3}{BT}xiEJ77u%~Pqy)64c&*=F}SG2NSxXi|L^IP{df8IwUp1c&wM#t|n zZ;NVvoh6F6(TcL85TMlNzVksyPM!N@BynT{h7JiQAZQ|97*BO=aU(U)<10u z1`V`nhIwzpE{#y61&?feqn~f-m(}iziM>DVNPED-7LQIV32{BTp9w8rt&j_5f9EMC z@gzWnA>;fm?6afuO@^q9wkpH?iv2!WSqd#xoKWPFw(; zEH)memw%T}x}H`s9IS|(0MTTX6Ls!p*cea{fDn`y|EnK3SbQG!m%U9wO`(RA<`p$pV&KUILWNL}?2>YXm}IZeUetV-=+ zyCt3Ayt1PT!^<72$t9%ocvM(&Dt(A1?-1)2l7hcW$6Zq^=bhG)(;eSMSVUxxfMUgzO`d7 zN^=L&%Lz5Cmk*X&8b8$WdQI7BJgec$+@n;x*pf#tD>UD9y@m09@(gW(F@&6AvOkiZ zgXM!&TR^JioC(wNO8Kw-G zME04O%dWJgn|i$z!BeZoTsgQq+J=)}Qr&JqiBze;-|N=_?*IDO>r zyLp6^u$+-Z0~K2F%3r*z&obr2oIF1+`gtUQa>syYvX)W2iW;|?EHu4%wH?nPEgZIqXPNL_cE0(7GGTRQy%x@I_lVQU;Nd05xp-GQ^%0_p zG?#fX2+J0fh?db}DPoTy&MD{ROiNuvq^z?jS@Ocr-IX|cVPyu)fh6jLt+l+~_Ozr3 zyr0!c{$%_~%4^itF>0BAw(irSt8FI;8$^Fh$@;`S)gH#%e#!bK4!w0(PQk`dY&6UK zdRJFsABR)p3ApUrM}S0GIW!v4kUt-)`BOA8F;`wTSAsHG>khaT`MaQ7z_*?5+^%zlYk7DxdHb~JsRx&hS#rz%ji#xJWPV*AE(j!7-hg1q2I`sP6n@Hr>O0P?& z#mxZTe^{45ZMr&{$(P4O^ZOR;>*9LwSE%_AN)jZ0kD? z?6`A<^C4_{tFPz{-`FaHgmCCP19A~GXuUL||E5#1kAm;iiO0nrkFS&-Q91SeSh3j` zwt3GAKCGW{v@v$X?`)tyKDkIzEoPG$0tMgr81d5IzV#1iYs_+?dm}O_s;ElJ&%Asg z+F*qs1ztYUlP2^dgSQ>o}mOut2Me&s`X`9`D*eqFc3G?dl7I!CQH!W5PcIP*| zYTb?(#^8%t2Kim^Ag-}DqSNslrIX!QzfYiHjqH5(u&Ha`8r)JSZFi*k;(i}`@t2kN zFF{-{I7>c zyuU?odD^D=l|!!IFXnwe|1Mnu{>F!#;&w!-!fyw@7VgZLidv8U@dGF)J~)BrU#H7g zaD+~7B^a8$;RhsIrT^6?1VZBHt(tM`vC>QcA{H#836ovshk& zo=Y#C&7UiUAXlG(oY64RLg4R%fQ)x`}B+lSnLf@>}sPt}yIVR@hUOm~)p} z8KQq%HJ^eXYtCDdt5gG>?M5XpH_rP5lZ?H4?^kplsB!m^)fwKKV=tJ5I zZR2?BC{7iG`vP@>=EwAvox7(`+e?V;}*fr zw(l`#*^sGI&%ZfxNt*tTq8uD-D*Yxbn4V$yAE3?o-g;a)G2+VGo`826dy=onp+eIv2$Q`wB;PJ| z7uX`uM=7|7eIK0yFeMwCzZXZ!qfBig*vV0~K(8xREF;@DU7FF6W-r%^ycbrT#2e4} z>g!A#GP#_TE7kMSjqR7G_llAtCAet@^&I$3_$tm>RUB%fk#nVw^0-=X_jf&Mj)Qn> z&F`9qNk`EzSnJln>roT0GdU@{hT*y*Mdf$YdnB5;-ZEp{+b|Q#Pi-tsi*i(O2rpLn ziMOB}@urQfagVj-P&iKI1L@)>cV*jmYIM4|JyN=*<9YWBZ^^|*LtX>DCgTVb`k{Nx zR8Fp1nr^0iTesjq%~nPdMijY9kewBnH^Z#x+zk~@Md*sAP-1@EvAZFc8DFyYoGs0K zv7|GjbYPK{ZJV>m+n(34tn;Af8hQ+w0moQWU<#Hp`J`7lzKBC%XUfFHX3V^e7?h^@ z2Vbxhp9Y6z_qzvOta9#r7@vBTy+)9k8^#4$>sl8Pt)tJy)_v75aeB||rmqgnN#hKF zC|6Ct43CoF&>bro!Aj}qk5{)d!%IrHt?;Imb#^mqqep(aZ|CT#MTu9PKWdxKM6}i! z-E<8w^jHQNe1W}gwXS>5YZo(i1E;4G8cGSp4jGL<^a%K}_ZYQl`|�I3{{zI?GIJ zyCrOd6}6kzh6#woY=pDD^+V*3P(YxEte8?_(_78R#wok7pGt9a<0n3W`!mn_299kq zl?hV!LjnL0YEnXp@AynoQTg5 zeyK!xB{EkMXx2XJ6V>6n!UE`pv8Jas$10|_+Inbsj+${VD1p|&pLv8HSq4tyPiM1& z2Y$ROn6q6FsgBH3!s<=ljEZnu-}%`OCZK*>4TJiwI+#rzP{c}uBdR@oCbqkflW(`~ zVa{>KKy*1&e1h{`o}BZ5by=T4a#=IU#OAs%U!XI`S)vh>$NL`imoVDbfq=cwaFVdb zca;{hF4^<^KB;O981fQ>jY=VP%C*xBm;ft6sjd71_?FE~LxfLGog=)aG^j&W&hD46 zDm69vD$O5%DfAAO2~3%4d@m*nL(L++37L(U1J?b|Eb&sjzUH`kzr&;{FOYta>&rgU zr54R&elh{(U=1YealR_1zY$%FVr$hCw8)WlXNPT(f_jCSyjj2-C5u_05(gOs1D0qk z+ZP-iw;YBDv`_T!mnFgQLR3M`XI&+P?dLoH0o++!%KAP`ZX`0831ggJ3piH3MoZMW zp=Lf_Qk`^>CrxXKiWPCvUp5^9T=f`g##m~e+*NIw{#ChClU|~DGCcKq zZZ4a>;>gd$f8e~FLrB7fd;&5D)NvjEV7Ig6p%WQdrC9_nvtPc#l)k({1+d(;-Rmvt zWPyjOnVmp3-dDur)1~VV-|7@SK$L3|uyfIWoN~mc1kXMLu%%>CP6}PEs6Rzw@Z_IVhO~WGu;@2ly?W(xRD;V;sZl;QX(jU)`&pHwNeS2Z2oah1 zGJ1hc$AribxlU6Wcx%#-dS52lBT7A7CsAkcV%U3n#{`P~U&Z}8BzW~*t>^C%T7x)i zR@a@kupz$w%O6_k1Woh#If%$wBhcGxmql&n1=Oc-Tnowcgj+^_FR(wY4K>KCt**HZ zyih+s6~5b+WK}0yH)e?3%gh6cj7+?I4f1*@i?7}}7shrIyHNU}T5PJ;LMP|QHO-3m z2B~wvFL()ei&Rm?&1v)+1?qe)PcKs&nN10kwu{bLbg!BI;^gmj#zsOmr)`)S|5^$G z(thyTBYts7ec(&R4S{B7U9(fYc@*=mm{Gg2d7yGytt^W$HmfwJ@ZlTrHKyEG;Hfr( zg@yZ~sgv=SR5*QJ>6$ZU*tMc$SyrSx0^_V(Sz9SU>mlEn8*#teH5(M$X%aL&?&)Yo ziIF!Ui;XgzVr(@t=U+OFguBL`Hl+QmymV(*^MYjNNwxX`Bd4pv;+)bgIlF%=|rP=S&qhopzWMZc&Qh`fX#7M$3}4_XwA-&Of2C z6{(8Bhck z+m@U=Vi~gMl%D1)UY+VqN%(~@l=zLoaHLXV>g{)H^R6Y|F1K$p0zyAtDwpvt6xWXW zh#(iXmu#`yIb*jRXF2hclTG4bKoCoy(m+%A%H>w)z+9DRL(AT?1R2Q)8v~yNGMJ&V1 zZGrb%6*wp{z2$ko&`~@Gxg|s;l(0@+s;IC@gNzHmd?dY| z=BwreebG7(e>f8p;6GxDBs~fHs(0d%p5>#ZSGQ0e@|iTXl2@EY9XZl`Dn!L9WFUtV z%QRo_b#PGAyh;Pd_U@?l?@YJvoo=hAwL@Qa7Urs~pL>2_bN!)%gGjj`Xht-|)NwDj zY|$m%a;E*!&UM1na!|E)9kGElrQNR`d3Lw0Kj;S2zAD$1`S>Diox#Eu+7sskZ2M|#rSwcRhqAK=P)COSRyg^2&=K#e2orB|;;JUq)n8|? z-fk13?an};S`u(Bkx{#kHH>nl;Qb@v+!y&SgoM2#3y7p^t+d@cH<47|u29SIn0H>b zgn^pWR=WqL5ZFyL%T5bs`ag5L`qIZ;1|&}lrvF$gH>Ax8(9gy(Hs0peHWKa{XcfD& z%k6QIF0rx`>>FT9`p}@ZXqv+hjnf~8DK69F5&S!F*Ly_a0z^8M}i-~N1GyRPm1dfoTq`Ft?V zLQ3pQ zLlTP7F(9U!NvhVH;)V@37>j6#EvVc4Ru)(si53fu@)uj#`H(w}3<1lpUm!)Jl~FdG ze2p*CG)tuZEP78}HmqK(>ye9poIFP6iU8mE2TRDi`#cfE$6uCo9}0c%u-Zo8gC>!3 zIiC;}vNb`PCSw`&tj*&<3JS`OkW%9fNq3O!U2_>A+vGeC;GBAx2M;&Sx5AfOM-_1a zH5)aqa!fL(bM>Z>o^=Y_fOH1Js4k!mUPqE-1pjfp(zLP{mU>?p~ZvE`Y&v< zLJ@0do;98wvvzz03KakS)Jq!r;fC82!7qDl8BgIsk<8i`j*3lMR>AABMJgAdAXh$@ zk=Fc&SCqQ_gHGK-(k!!NwGwu^KIP9`Z{MY5W=|D~H|^+!H5{0^U)$5eqb)PQYKv{Wsw9 z21a4tRz$Z(?q@9o=Ni1(H0>ZocLAc3t~bua4EcWlmn(R8D~&9(V6NtN{``rJ_ z6*peM{brP;8~N(Hp$y!NY8@RT%bo_bJGK|#_$8$QLiX!<_ZFyMuOQOvHT>iAL}mFs zRnd&%&4qhBnRo#Xz(yq2fhQjJe6K{+8L0wHHhBC$KrGcP<^oaa2}#{agATHsc=?6$ zDmIv_N{?gg-~ zm5kR0%o)IA{6!T~Rj3UrO6neLRZo-PSJo3 z8Mdbn8bySfYuX4c@)T*-4qOr@Q_z-=tm$kf3%k4*WDMkp=vu#mQ+OMgRezBHXZB@nvBt64eo5g$ z^Sd#P$!|!e(C`ul68N2ehr<|Cbs1=o5ASO0C=MnE$KrI$`O9G0`6*5I`c4WgHej_B ztFOnFYYc#jRBtYvY4)716?mqqxZo14j8ZLMe`^3$^-BQ6mSd96|KWWkG+fubd)(ME zz_cU*zWl@brFfIr^n!QOU<9)^cQV~`e;N71fN)F<0v@JFu__sb>tCV_gF6QPg69|@ zj<0g-H}B_!q*P{AGq!Xlg*oIbRW%&lBT9Eu;xOS#FBd?)$w_emg~M&cn2ivbtEcpv zyu$i!^-d91GB<^@&~m^&CpW+^-uhzgrluIkD1P2EOZt=E!v;Cbf+pLVwGa#uFIkt5aEM_G}iB=+aS*mpCYr$%{lVtzK~S(p^K+wCtB|ey*Mwwa;D+ zeJ=U3lwfPI@OqbI#gQ2J70a}USC8=jLR9$lP`jAe66`W0{nm$&*Tkk84>Y*{os6b| zg0(Z!IlY86Ipga91ArWV)D~3Sa(@3MDR178o~Cw})!m@F{)ABY)Jl~6o0vxltm4P6 z+_e&;NT*Gmx(^|#{M^-{kp2~A;#%i| ze4-$WL4ZECTzs-&ukkj&(1tX-u+2?w71>9M=t-IPSFhYxGW zi7M+651Yi}N^AVDCltVTf(>$*zBcN{QsKtt+`Ns`SC!mWw&>ZIsdY%|n+?RpyAcCC zB{Cg$3y2FSk6ES^(#+AHj|1b5v!B$Wzw-J5r}rvqck^|YFc0687>uv!L*Jh{`#A91 zsq-+VH-Y53rX=N(Se0uJ<+g4#JY{8ni7@-TcB>Ra?F!cDL34+GfJJkCB(gT>a`B`) zU9_-BDu>sa)M#BjtZ?dg-#>r;Pc?FN`ydR+r0QNv%fM@UTr#V7Y&_sbr>OhicMqR? z{O>~Yy@FbYh9G{E+Iijk2X(FM;i=1-yk9PWegyP1BI(2;|qgf;v8a$~C zJHqBqDXBLKHbXQAWlnJHj&{&$3}muj+lDt~P)%tpFZ)#GoaZVZjsOD(i_^WVp=X*^fumxI_7Z1&^|%p`N;pa$c0 zl-oBzg9hm{@jV71 z+-{cz(Z``p-AlJjB&Pn@Li5R=k7~DQ5`giWT8HHuOi;pyE8=TFPvt?gD$@_Nj(Sd2 znr17HuTCx9n^Zg`>-kMUiM7C2?C$>K^x|R*pGpI0>#Vas%Z}4-ZB#0cK&~vnOB413 z<-Ru85Ozq?)A}oyjx>;)4|3>w)u)o0_b{{HS4@KOxdV;S%N`c2Dqgt8UuR-DMH!+l4CH@~>9QixHy;wqk>nNmVR`;(GA zbdwYh2}r$7<3C~u4>+ysS?yPV$u}5Hzj85&l@yNwW#McITE{o4pZZ*XTiC0dwW_m(#emyN8P6C{|Sx$`JQu9{^MaOrFwD9_@&v~ z_l(c=G2-&Wp#7v#)Oh*Z zv+9wLMIHn%6P6449YL$aa?U-hm}7xP4}-z8XUIa&hz_cD?~Hs3Xm~m@!bnkAFBw$7 zsgp}<+%%9y@Re^v(m#u1)^sd$$ipd7cdkpnd>&Lid>hRIYsjX^)Z+JaiG;6tTQo1VkW^Ap*fr?#@Eq**x!wvM4%5a3a7c*zd; z3+4EdDMsu|yE!H;v)1-X243+C=-B&@>XWz>ZBB!JyH8wgnq9K*EtQ!X?S5ulHOK|& z)rHL*zyuDM*C3~_cl2muf0z{6Q{A9^3bm;kAeMul7a0jBbAkPtK%EG+WTZi2gwEtE;2L8R4Df?*aNjB+3V^G%W0XYl$Ze# z0aXp(ss0s=)pXchH$c(%11&*AMUGaQg4pHRNs+Oo@@fwPOiA|$oCEgvBlfR;PbFAt zrC_Egs!1YlREy4KPM!d?jXFn$yL%vKrsh;BtpVl!<&S%L{57wt*Ir-U&7a#DczyDV zX8e=iX-ij#PxABU8do8W7wx5I!Bzh#ww0p&arF>3R$^N`PN%9j?7(HYKv#j&6)o`d z)+b`)v?3|}v+A|vX;mX!U`Y^H65{<}{@=!k^Xrkb&8HU9io1MAj7#C!b6zeicdA1Z zsUFJROf&lSVhJfmn3&)2(yID5%jCVv<@nrC({dPuS7jbzbz~50NEZ$tL-}a!O}yx`!J2nD4c6-q8g>x_v1v&qeA~ z$!H`tM&J#l>$g2`kST5|9vtH`GH<(@sXQ4am~5zw5XX;O_E%dHq9T(7jtig{Fv@`8 zf@;)rFU=8qC$O+hLOSsyT@)BIj(Dqii4Ic`#c20GoG~3CIB$Q8BK+G99 zb5r|m?50jn(_b(i?0!)2+ddz>=`J|V{z=#wct25law3MK`TB3vxu73Sad70!Ig5}e zXs*okgJcY5aiTQ8eNvWft$QQ{!b9A&3Z@*ahrv(h1wN%G zt}m8?H9G^-o_d^rtGp~2_#MDxV6BJ#mydQR<(;9uVc)SK)sYenXRjP)#!13zdm18L zp19PT_!O=1M2ES)Y1$pggHU6Mf0b3kXPy@{y-TsKU2Ta3TS<^ z=}~OnJ(8WAW$-_|&PdfS%oGL-hTYlUcyvVa z%A{H*M={c)7Rh%~xre(o+m(r6<z0gW@S1BpUQl#fpCn#j%&g$gAST zi(Xk>;GI2_cDKYe$2EZq{HKkok&?q_(#-8VCg)6Jr2>>2|2|z4KXK1ENR`j0d%sf*D0_(=_AJc*Vc*AO)LNQ@S(a2_>Y9f*n^?Ji~Oti|CCHfq8MF z3w-Z@2Gs`#_?y!)eWf=i@siijf3h{V4c#C3B!%8B-S?6nb#w!QKZFE_EYbKeUflPJ z(A{LY`B*nci23;r0~j2NzkK1N7JJCw4E}tH6#ZyY;Ce&ij4i}DZ3SOr? zOLsx=ir-#By=}zC+9lcEZDh)q_Ta;A?j!kh_ZqePXprvl0+G;$8E{*b3dcm?S6RT| z2rdA=D~u*H0k%c==~c4}T<5(07i=E>55Q~)cbWn4Nau_f=)U$>d0ZR&B7o=EBIgk< zkZG8zCzPZ?b*lXn-dDWId9k+b_)^{0F<<4b^Qf?XACnG8=iwU8UhAJsZMFMB^F?Av zn_}g##HCK1*2!bn2NF>bQ+{8LhQfaOC^C#V(0}Z}rQ07@&6pG!?FeM=v6i(PG@D2t z$3BUyL_U2h=uIeYc_@m6=y2hZ^H#{z&EQQ&+oc)`VPN3h{{Wr`Z=C0m zH!L1x^gdSoE6W%{N$-ooDh@;4V3BsyKG*QS^XZ;;?hw9kjXAj&d9^CZ{!xEwDA(Zi+t#$FIr__xQH2kj)nBOwR> z#vX#Xb|&T7Q#^zIXu`7GMY5#BeN6@k6|EOW3@_4((h6sv$)vSJ{JkZ_bFn1Ac_*{; z*!xz;%l_18_GcZd0Usp)z+}3z>5}o2-N8taImL!cQ_ojj z4cy{0VN%C0C!fXbq*m*Yxnm8Ys4JaW$vrZ-3vp!wevWICY?pm+l`TE zRgsCWVEqmx{<+=70H;{Qr!mD%7S4~v@Mf)JhdVRZ?l#eXgaAb#%^{}UnQ~BR*;ekO zZnwzd)^NqY*e0YQS-ya7R3%WtY1M7;MzH)L{+ABrAfqJSxh<|rO*1^jE2w;zNYQ0Q zu*p0EP3Xn(G%*p(HhGq%kDX50OAW3eI2n-;dxlSX#0(80P8GPbO}aP>T@ZOf`uHZb z{xB(TLHmIzV*(9q_5QU0Sro@|?D907tqr{6b5TU;BT%5;%T#yFp4clct!r*D{5F;`lVl^AQ9o37-7SLq8IdbO7! zm83lU0BLTjOq8+BB*{yChR#UMbGM`{7{c@(bq~;A>D*VEpl2~&nowmSsByAH;0!a4 zBZ0R>p31^*cJ&fnoQY)dP|)T`oqh1}s3v}H^elqeCaTB|b<^6MGj3EtMEnbgQTMD- z&pa&q0CVgndl{>eujv$LEyuga8#tT@KM3B994b1t^M_)89N=k;Ad_M4@S;ICb49q* z)*jZ{{t<wfpyD#lkdZTa~6wSa{UR zn4Zm>L(HvuVr0v3f&AxGO3v=6>&66rQ8mDtXXBvQTb}!{Xf=$jHBt_zW={3|`Ez9c z`d^sqP@C8>T)K`lsrZL+byH_I&)Nwu5+(||{;exye@mbB*2Vl+u!Bk;?~ z;zAj{q1h|3KZP<*%voHw@20-FtJpPrQ?GcaW>&3>^ZiEJUM)@s5N-F2@$z|f&a?7X z1D`?@dSu~l8~B291%>`0q~y@RqE%|FeK+B=magh3IWJI=?dMRlYgSWY1Zxj!{kvB> zTe^eMG=DtBgXO6s<0VQjKARF6aagi7$<6;Fb#D3E4J1)bUX%90})PJQf?wjy=H(%UaP-a^rw+q$Tr4*NR`EzKBLm61o^orDu z$>5R0*e*@K{(6U|+MNZB14PG=F54_}_)pJ`ypgnTt|JrCvfPh;U^rshAVYK2%retV zShAWgP4X%z&(nj*t@>(gS$cta-mrT}P@z|xPTL*mxei5F+_}j>&!O!;jxiY!c=+fiAQbYxA>8v~^;a*Me;_qg1A*0==65I+D+aw_x#8_xB$IZJX zwsv!2L%A$t>{hgSrlj^wZ9`aBJ6W`x>}VuA>W^Dg=v`LTlIZ~6siQFN*G zd8m}T)i)=S1C5!w1W~b6$e-)V1^Z0{_1$xQlC5_!q6SG5YC7z;f%@i`o91{+19&~c zE(q`MVIK~dlwR1Y3CNFZ)azfloBjsP>O3{YSmoaO_$a3c?R71#hCAxf?A{q+YT7jE z*WKdUsV$QlwWwyK-KV-e+E!$zr!lLs7Uo??rJkd5hmU*UR}O*o0cvhgnE1`g7?MOI zpJ4T!0*bvG9!wY$adJ{JZlWA!uzULqL!ydZIRMjxP*GOYcF(LBJRG1FGY}y9lt25~ zrqx@~Yq6Yhmw;Haw6PFa!`rqedR|v@_^rW#nwg5h_&HItmXjOu_$v*(N4&D@yU%@* zfbsswqA8`jyTB7LP1arRzPYRkPgKSN+>!0M+~3QgHpIftc<# z5ZB4Ar6TXA*CL<)Z6g7c4Bok|5i>gM6{`6?5Z~*S-qvpdfWq`bG*A&}wKqnI=X0pT zbq<68_L7KFAdo(deUsvOISOa;Zw_a+mu07hqv=~#ed&Q%m6>aPa=obMmO-WytOi80 z6b1tZW>0qb+uss6OD~w5O1oKdIv+dnMFYQgO?z2A3+00t~g{fy@Vg3 zsB1GjU}$arWE1VGNr!AN*;2z{MPs_mOwtQ_olW*HhO%oi-Cq0cbpEYD3RAsfp`#3U ztX|#)b2QE0Qt`U>EqVZaS=1Z|C66<0R&P z>RB#`x890E^JZkaKK2+H;Fy@1RKqgQMTvW%?A8ovWO>)?{`PcL80cA_bC_$g@EXM4 zyfX8J52u$Nh^qfWMpPHJai1u_Fhn~w)nhy{+gB4y6no4gGjL*dG)>v7O3X*YF``!H zck2HKuz7I@ct>1XFo^{46g4Y=ghygsq0g6C3~CUTnj0(QtzT67W@Vfy^><^;3k``; z;th&NNkU5Rgs&^CBm;Dn?i-|(KDU47_l=gXk?Q{iC1J~!Cpv`m=_cnNU^`o+vDXuy zu&(diSMv!QXBx>bYnYmP0B??!ko6RmdS83gF-HnXg~z7}<(EbzEXmFb^-lOyy5M=K zXp+PhKz_*>m!;G&^<7Sr1+s07zbsFO&DqM9U^S2*Mxf8=?+-j zCq13M4dR@SS_5AgX5CI;`zr{hCNB^aqs%gjwthop{H4^ml%E<2pZKrWJ8FRW4co-0 zgvxJ}%e5ID60N#jLk~=`dsxOq3RCx^yYj{7gTXuL4*89|v(vbF=hZMH!ed#G3SDaH z=i2~4u;H{jclDJkIezQDzfx)d!f?2oGDQ~6%=}Zq#0hR$1ApC=wH7eNZCpJ~6(#qN z^ObpZ1tCuGn#6`^3Zm&BgnZ}ceN?Jl<#(iIdXu- z^u*h{GPZ=Gk7(-ei?^cUAQs9hNNI+TcT>`U+GLon_b%3coQLzF!ohEOOX}r*$NMIm)*Qd*#cN(87PF9&Z>p1 zc=1dNIg~E2CsAFP{BiC(7>>*9g1(amk*sw~ZGApqEdQ(%cj&uF*MkN2=4Ymh0@XXSlBN@QNzhrX;^CFsIf_QpDo}@8iHwY&A3CsLGZoqxaE; zX&1Q|u~#NSoNRx{fSDX>z$$(JmzS@yQtS|I98mgb&20N=xsDtcaFEf-f2`5jAkqG5 zXpPJ5Y6PKEZzO+^=W?U8aOYEbQ+v+)SFQ6wo!lky`3<3xQwnB!PZq`;{{l$kUtv>jD;mn^;MT{oBY`Q$puESOl3nX*RP%L*(|$+Y~dlR%GmrMfe}n zt@H7Bea;I>51WvoeEVl!+K})38K%4GtR5tm9zKn|GHjQgQQRiGEw;V0#B)8+>I`g9 zDZvR%%#2UJ&K0Dy0GHx#1|QpDizXY-f6w8 z7aCgKK|vvzhKEgYgiqz~n{6uH5&!afD6DacMohPVF8hicZ-6=_SnbeFX(|tNVO?x#YeJf0!YKL#>L%S#S72+3WW`6U$w3t2U2kL7SDB{> zto@b7a|jg=M9@1Lp_?l$v`Spm@*^EK3t$-$wj)QUj@B-1TG?Q>X8~59%es&$aA!$C<^%Lq;}(#je?;1a-sSST70=MvM87nWygjdA-|H4@+4vu1j4^ zVe;j(Kce>sCAmn5`FFVIPEoD8IeW{2KeQK`-syQJbG4)xy?bVp2@>kgJ5jpYvQj!S zq{^z&*4+z;aeZJNy0P49(+53bV!ne`RjQ@6lfcR0RbPXRUXJqr#B5s*hI(3_?+h1S z=#{9JB0ZmOLnp?6S)?FuoOqRlQ!S=fLz`$lFJ!%d`Ec+EnT-+e#E`V8V6z zZXLM=XD^8bBjXQ<0Ucht@QcwV>a%zNJ8iK3uAeJo>}{W>0B_sH@h{569hUzA4hjT% z?&Unf9QpLHubmx~qQ=8xPFys)F5XSCm03+zPeFDHytPU6+XR(Aa^?8gVMd@(ik``}i>yqvhUh_^At0y~X8~7p*eNVjGOIuy;>+hq)S?Fy zW?5-OMq0#}ePmL_rgibj?QTDdK0=ujt!TS0ehOvf=dqVlT85C{9tnFKQ8?jh& zr`BNi3AYB)PS(~~PDRYt1M{#RxNYTX$5MnFY*_qwy`)|;|G|S+wo0i=e~neNs4MtA z3)jRow%WcE<)n;F<21kD4sZW?)NJ)W^$Ia1-W&Msj$ymVKKyJ>*jWrp(C>YtUbOTt zZD(a?207sldxCbWN`bMZ)#q~?HTj=O+sPE4bT)Yq+S@RZQDXV1k;HwxV8@d^n_H^7q&za29kE(&KZhaTP`RuO{yrktQ_f)*BF8p4)SlyFZ$7OFx_#In(}#cejZ}mav$fHy zAO+n8t}(uv(+n%EK+Wt?xge~C?12J8U2VA)7sN+!oC*Ayu`b$KvMcyv;uUZdRe!*F zEn)mag+_Ips)^jDNrQ)ZBoj0;=L=4!=t1Ni;v;7@e|PKLWr0V;%Y4dF^>d`45Im3l z=y`6DT0;zxQ6)c8;{;yJ0I`ZYto_MPuOEcG`*47dSsBj>mqDh`$Ps@DiIR!cKV(=R zVHol6{wpA?`wjfLZ*J?8%i`2Kb}qmqcHBNPXJ5&25GQA&mcTO5v^YOw!vEF|slQD` zJ8!#K$sx9Z><>Z0EJvi$LE{hOb6i6gq;+{Cvx}}?nh0;n6Fzl~$}I5obbqnobDbe?}^a zOwbmKT1FH_bIJ16bZwayOL+EIa;2`7G@O60qlJ)SxN!vc`oLcUV!2U5YO4SRzG< zh26t%uX-mD8^dnX9-Uey#BQ8V3o_WRn|y)P1h|*`%1mcqdFrV4Jt5a;DOdiHwAXW2 zch4}}JfHrI-V45v_NuTn6>{;YNiI}{tNISTR`iW(s|FzHo~s1Cm&pbxv7&m|#OrpV z{Dk#=WNc(&ND?>8C|hbym@Mb4VJU&p_7P!+_0NG%oKk%PJ4%=@I8*$|(9W!HtnKh^ zwnRPW_XSmiZ6|`bYimICZ?g{+WEiH@axPW51@(~>pjJnDw8?=6Nq-y)eMp~|hTqjv z`h{j6t(80R+~Y#}_vddx=OML=h^AU;LV6#Sw>y3kVxtK)x>- zjab?Uu>QG77c1&+Y8>crj8y5-^a_h*8GYaf_{QeV!bF-UP4*L&iPf zNo)+$AhDF|nhgU&s6vO?(9JOT9o!E-2CaKU8n0i|Y+W~NG+8it-}+<2odp<&_Y7yz z86oiqZ4r@@zn5a0N~qhKgN}t;Cl-l`ZN$I&gfALeXd_BcLKJTwH z*9TD|qtZ!v-w%rxu}SLc%TH5oU14GXnBT zm}hKow+l6FYS|HTz{^FNkR@i=A{2W-5N4aN-JIzrY#XR|6BP%=4S-W-GbA@y<0w)7 zBl)0)t&J9xHcVwTJCh>gO{EWNpDsm~?Ae)4;&_yk*m}g{6d_*n7e>kV(p~+3(lIrd zI_0l2T9%`SuhjDpnl>D#s&y7=@f!E(&8!2EOM7rH#dGW85SL7=KgOeB(-QvVFEQBw zaR@Jw&(uPpC2NCsS#~nNB=SUO`X&u&&%h#?uI~!GQ35d0+s%@4FTY^mcfI{vuqE}T z61MMu0H8wk(gJFt+H>AAd#ZmAVs2U>?xiuzYci%ksqg~S*I+HK$QxI5lk|qI?a3yT z2ZjY0TER8VBFJjO7eQ=^tUZZIWI3D(k9u6?yyY#nDbrh)^)Oc8%nE<)*gM`t2##aD zO1Y{{3tIzZF1Y0cJh=rU1c;^EmOEJuuc~rhQ9N}>`_L7r21B2S;36UtOSky8 zc+5KM8Rqz+#g(-VbNu*!p|51P;AQOV3~HDhm4`SgaaZosHF*wo$`c`_UNPOFci|Ro zHm)l8aQM5IhNd5tKOkesEb*D{cDZL6Pe|C_w{fDbuH0I#-R=gpv)@+H;dRxl4(8D} zuOKada0%-!JhLRRY*Gp$f4TZs`Y%~rAH{W@uYuq{{v7-{$ax7E{$=@kzW@e`Iq_c zojNpI8zk>$e6CzwV=_n(!>JTXGP5`#b#ifq$4XjGl zt>+&fGATqqavLDrZl(}Jvgxl~i8|}3HG8jHE+a#`P=heU2J9$Xm9d$sb0TSxo0$xu z!z{Kfu)4|S+Ir(B0hTX%Vbtrz(iyyYRA=RfU)U3B4O&tfX(w!*Ivpggpml!J{0y?f zOAsHR*i>2cgA5BY6T+yVGh`(jC$nG?k*X?xff$;J<(iVeR;Q=4GG-vZCotg|CwEH~ zQX~1Ph{9b~%k6><6yS331u$32{8K_{-*iRKQXnk<;ajzuMC}5|gtQ4>p*4oaTN)xp zg17z$Xz_+POIX-p0k9uzfE;`#IV4O2VXbYMZf_nzHPUn0%6#e2Oi`6+=?i-a3SQ*w zX)tr0rBlvzn_VF6P{?gBzo)4?Z|wy3jkT=0LjMHx8e(kCWD74AnVVe}PLjLiN=mUM z#~UFsHg!lVccz8;D^e*9tHFxF-4d#UFNnoZ)^szvk4m%?+?xkuX6-FuPR+0nb$K`5 zWv%okN5p=IP6Iy63M`fLx89Unb)5DN>MjoP~5hD~{3@qL-u((IjW zgtrSqtK%Hmv5--cu}=fnSledGsWF!pQj>Ey#Gp1O|R^ne~vTDwmkx- z8`jW>CM7{e0(NDoPe=sj5%YHn!Ys+678<@+F;kqq$L8{pr5@tAZBD-d%+W3Q6(J3V z;I1iapw?cO*%K4XGUkwuy}9r{Tj;EWDr%G#q|;jTD>sbs5o+6oy9$NvJixmZsnz~h zv8DxY=$$CyDLLGFwIBb6M4Odzf{3tNMbJe{%kBdE-`Vr!G#fHbG{Lm`9)EHX3Ouv^ zmq9tFw(HqN)HTX)_nbB{5s#OArSrP)XX5?u^T70&pX<}P39xy$fWdXOdxf`po}fZ_ zch>mqRY#BNCjVkodZ++>=-brR0PkGi*p^=sYqwq{7jiuRA3(ub-!()yANZsK^l&8K z>uQm{W!={7=*M)}t4-5O_eO@=>LrdX)9?$zytafG2SJTVh7Do-CB0+25dW9D2QAlr zq`JE7SKxeGTV0|_aS}iHWan|pL6unO7ThetOy~_LvnxO9i}Id4)125+@1dYp*?!)4xnZyekRK) z9FAS3zsMx%HSU0lH|KxF8zqm;FEhzWCVGUIj&Vo(-FriIp-`b+rWw7i0gM_P?+FyN zz~?)uvuqPBzlN(Y!Mh@Q9tAOZIL?Jfz4$eDrNGNf|%k9 zrmrN9gfL%q!fojf=S6oos%Z|fsx)0debjj_yCG~B%@CQJ!Q(&+Uw{obSSKBppAw^? zytpHAJCC4DvlfZc8bhn47Sm&|aDiji0{JM=QwsZSg6!~_4BbAIE^3c_?Fw_(bgh0G zz}k0HTIy4?9z;-1tu=lB3ux@c2`V%PZ~W5DS?eM1y@n(AQX6g}}Z zKEIJJhhNOmO&fDm_0IyV`9PdQzmuZ9#)K4juC%-6{ z`|@!5*da3Cr+gsD*vaorRGH-@o{Y}6FdCARx&NRoH()^f7_)9~-p(yl!*!o8-XqRj zHiGzXr^o(4s4w$}PVoKMX5zENw{yD6Bzx8H(}P-_|4;_>3wzVlf4f@zp-Sl~d$7i_ zj5nm{@~u#&fAh~B8s#uYAmumKv?ps2yHvi@&MQk%UH)uy`V?X=#*?ZG8y}xJIlDNVA;85S;M5Py4NhX6Y$`Rgi?KMA7^LY3i-Ox0@&3vNLYglA~Sp=YA3iP$lTf`(B_&YHNj@%0p& z5{CqS%*!0XvA>r*y_+Q|gTSY&M@mVgPt!jJqrHToHMK%#u`U*3wzZ#0Uxu2w`LK>|4I**`8;4oss|mYJv*#8Tc6tEm zWw6HNRJ`h3x_(`C!sYUZ>B+uym<*KP;KPQ_>qU7OPaze0%AKejlE>vvYFuhen|5{Xenay)jtTiRoC#x5}L#-LD=o_ z`Z;S_WKl&TWzoV+y%Sus!-Yn2S$mWW@;cjI!5={Qu%)XTQ3bW5$k`(*v8iRG$GtU% z-Vn4^LIiLf+lO>wJ(UY>`Bg=r#;sU0MfBah_tB)kimXT@i7as6)S-BI)sSv~-WTOL zw)h%m?Vh=W@z=Q#D3kIh+q z!p3;o@DefVkF_Mlt6|C;0NgjkePVDzYS5|39G*mT*?ab+;nK*CetOWU$o;`+gV;}M z1ZL>ONE^5zpITErCGx5GDfDh9TJ&0>-Pa!Fin@?rC?&K!>g7`;eO)rsp#OZhL|hvD zqV;3%oted0Dxc!rj3xALOf<$*h8VaqeiW9+x^OX71O$^fVOT1#!@@<}Yj0RqUsGT& zbzh2C0bHS=f14$ml!KeQfmUMR&X zS50S6OaCIDiEN@OF!;G(|BL=e=zM~JNnEe zd1CHiyScQF`yYTZN9W5Kge+`YedkPk2k4JyocdulM1ncZ{?)T*EeWfODjjAUFt~pl zzN+DOe^S6E#BW5u&6s`@n2bQ%;t{{~$uZvt|AGgS0=D62**xFUIsOWK{}Voo-|U9{ zz38y>a)5)Lde%-qFe%qP=MkY-BQKam=@0&f$E<-$T=Yb{*Q@{zvh)=JolF3gwF_ny zfXT37wMO9fne4WRucDBvuvxGAHI!Ys07+5Qp0Qz#rI-%Q8Y-qw?qUy1RK6F0V=Q8| zOY?ZLz>`B^vm7h%vC^2Enqm0o8eNZ+3y>C3dIRicvUgCc-*44!_P5=Aem9-~Y?D_~ z?QOS!>4lNFkeN5o)!h79K$n9QX^Bet^oyG!YF+t*GDDJ5<6phE60V~cJse5;HC_2@ zHNfgJ#mHug1fz^D4B`=qklm0UnM!_?s;cpnu+JMpuQ=4>5IRUK_Trc2x8IE7$=X_G zb{k6$Z%Oe`{?ywGb$?F!xeqe5uf0Hk2YztH>tQ#Y)!gU+!3~t@FGbBJXnzizCP!9% zVc)kYv`Z2}26YxIudNc-uMlU`D%2P{C7;z)G#K@0=eo0v_PSj?2FPh@I>p-bF9<#P zbEgNj?aGoTE2d%Z-wyc2twUWW=3zjESda^t>?HD-v_#mM?h zt+ZMtnQqbSe*mwTVxV*Hovf0(LE_O$#;var4K7caqW?I#R+@SzD#l?e;n%lW!4zc>%d(aZx^ zXGlpRP#UM1`le~TK4xuJ!XnY!DghRXH#M6Fj`>Sm8bnVGdsczzf%;{pO>G9zO}nnu z{m5q9hT+(I zx3&smwq}V{8kuHb0k3d41qB)G(ELxM;MI)JIp=mha<(R z!1)my17y{g#d_~}{t~+YNBB`n=E55qMz4?uwt*>71_wXAX1_?eu-zwXB_}T6Ye%CE zJ*=6cy}5X=$a_5OVgKR*t*a(SH1DjD&W|q2F+??x`QC2|M^TR^IBCK~_bBmWzor3f zFGTOnu3^9UVENi)y0V&bgNiJC!i%<(P~rX`paau6T64$0QuHRb-XbUG%9H)HA(#>v z#un{#O7J=@LL*D8XDSSkUpSf5FEEZ z_x0489Fq2(dP06G=w}v8YDTExnMJ`{azyRbfcM>Fy6T=Ld7os`5QSEE(`YZ`Xfd=` zdCHYq;pxx3yxHA?4YFqD7}eYC*9ZlnTB%VkJmBGa7|K6;Jr^J@+i`~H(0P<9bJXUC zB4!8bNow{1W}x#t`CIoZ9(2oAC*>1RCEuANN9fT{WcsTqf3b2PSSRDU)Vj6qCLu@nc05N~4r6@@^+j*raM&rDqF#`;qd`KK#tA4C@PSt8f_!pU zHPl%9=0Jfo^Y<6|GLtUd;Cyuo9U{l2Vm-&%HvEYdXUb1LE6nmcL@DP}n~aq94fCjL zd9`tWRA*d*V#<3hUV z9%;6cAee03?R32hXWlls9@(CXV(siqKMw?YxhVqH+71Kud}iEH!$>S?R%~5JiyYL2 z+@$Y!U&zP*yf%9Z1AR4-T{3w3x6DkyPw9zDZ6)~2M(Dg}5cBJR(p-*zaGb5X`SY4m z4EQiV#V$B`x55z$XbOqS^oNzNM8!TYBPqiuju)e=NRQ2TwDXMXExSUatt#_m z!qg#vs(O5vdE$LNr~EDgd-Z-)p_Pi$&BJadO83mrTXmSTA^aXCmwZQhynfOP^Y6l( zNP33!1yN-}O@Xbf+dk)1r*EeXNDtQdg-@KecYI36O%=QFo#@bY0((6!rLF(ZGuGgg zxD0)tc;G15ZDnXsfX>NFSy*pmMZ3R{wrZN7 zwT=GOSFxn-lrH%_@7tO;86Ax&N4o|yLdd*G zT3p_pzA5AnmG@n{`4{cSS^*S9g9Co*E&bx=z#G8XA2`R38zgFhj#CPZb4kiJZ~rxi z>PP=e>l^Rw9r2o+Ju6J>dhhn#J@^1+Zqd|50&&XCu}-+*s$mxq{FaY&)V$dR}zisV&Em_k473w+;2Yme3X`T)!Shb#X3X5o5ZA!fd6f7!(PAGOZ}(T;y6oZV1}`Z_tFTKWk- zA`;j}aqQJLCJ8th#U9fB-HmIqs5x6>4s8lgN$v}-6&Im!`vzfEL${$vmwLR-S(tSe z9nf`Wb_6<1KqyMC1YPCHQ?xXQ?GCbBMWq}YjeBv$)-QrNu)9ePU3z)Z3UOtW>!{X& zv}@6Kxu6VUmPoc*X|-LPqqwAUzK47fRe*cX5owBA&_7Z?GVJjX?OBvW>&l?72tI%*G72Smmn1TX>ug1KCzSkrU7@4TidZ`&`h9bFK=OAuQjDn_)q{xP)G zWLD=9uzVa=!dKV#b--?77x5|#J8`4mOr)v?%IgqlmCcsn_UF-OdB0n!0inMTt)ChS zbC8=80q_Wxp^p}Luh4$8mxZ$rd6pC~3U#{J6XV(xVR`laRq1UfdA1;tmrtHRXxx!E zs6N_b;<#boHn`oDmo|3@BE{p^)jC!}x5KaW6{8Y~JbErPOdqt^eXEwZ=-MbZ|Ay8b zm7;!XR);^xwFa_J+Hxb$F<_FUPH*rEMv#9w_povZW@{RNpYm(vr|eHrNUF)RWw+=Z zpO8@9b~bsf5K3}E&@B0rrheh``*u489x5-e8wE!RYo=l{vq|62o_&4sEHZ zlyk|nab7Ie!5kgNpd2s(oA%A4Hm^SvI550l{$-!Qt|QLAoh0y5yf?yP!l(72NzRIu zW_GGr=2@;`cjqI^)~t|6flPiWeF?Q%@pwPiyEv(Uv|Y*oy8oYv^* zEm}N*D!haQ9OnPa!!%#wNTv4hDcmPrVo`c1wU|4Qx+)tQ{Bco(=j)Yp zv6~Sb>6_vOn)husX!ts~hjr-GFOqW=7Yj{KtK>ce7E-q?3qKS1#1lUXA9&N57CYX|POBvXG7n!F2{`|>(iZV82ht`ZSu3#XOdeV=2-Mp z-^=;82w!*T^&0FWgN7 zSmy>OeN^}g%kixt@r695n@Fb%c*^J( zei@wnZY}82QhZ>i9m`uI`V0hm@#8M2@rwZ;kJ zjQK}V7u3Z<1O2|?G=cAx71rb(!-nLLC@`w}@GBTWAT z%)7jL8ZY`ZCfO#f{PrD8F?fgfNQegKwbNjWaYZ^#ZsHuxfbNbp{Biz2%YNtlx;fG^ zst&L7J1jPL8Pg+DLuiW#*y+tJK+fu(ZVlsV_nFZ*A9wm1wNGBX@NMJZ);l<(wdwr^ z%)eEe+|VRXE9!9CEHSWv^R#fkq}J=T0-F7NL9LyjAs6exRO}mJf`8_1{*vn!;OJm9 zl1#&!7BBKBh?$lOC#A)hJGNgR4!^`Zf&^}Nz*X~!O|XjEkcaCB6=wb?kk^INY1#(bkHykSUZe=@hfX~_9(}AO z$l@sc%r4s;NAKQnuMp#hid%VIy4j1?hMz+e@&s*1T%yo**-odb4OJ{jhB4JJW3I3{SyV&^(({rUEN+7ZxIZRlu34q-;4 zn{|g7yIuPs6{1(2t`R@)bY^f}G%$YNmB;&N5=H3h`I7ZKu}XO}NwCWBa?CTeB+9tk z@QYc+#!^zK{T7b`f0cdwjV%ZpRi~y5-`%og^;5l!sz)^*3Vqj1JN}kmE0&-i2@n1A zp6WUT)E9rstA1{J@q)-;HB2J?<9DA4?=qhehIVdvq+L#kU9R6xOubsZeOb!|W+jc${NO-<=p_VN}OXflfU*mYlR>JE$Q91rEg1&y$$!T)>Gq43zz-_#iI08|4rCUq(gQFmTvfCjk*kak{OkDCcawcS zRLr3SgnEn*<}|FjzUm7VZ!l@se?3gQ{>3CjmSCDqEa|TEY|xVSyF!?{Yu7>J<^(A{ zXHc&BdA>tmJ&%rqv>@xtM$;3U^93)tJn#+SJ<{7=Hv)A8%Y@an8wX+<=I=w7j8%sP z`f-9uR-;j)Lc+4ck#r$!XO=8=gVtj~x&GO1DC>1ETvu+x3-{FtE7k7I^0_~VY(%kWy>Z5ilJIT)as#6v#bgoVnzJ7U|+}u8Nm=a|R_t0Q=JPVW1mVeSFxMu7q zZmZxTh?7$@cYhSWMaBLUm3qjVQHb<72`kQ)W@_@|pzAEn0jiW_Ig5eKH}{233~?(m zz6yvB;({#Afe|dj%HYp)j6n?h=*5<|y_q+f)))pfENVxc^D@UxuWW(e-J{x}sK!1P zJ?IHb8c)v67_#-(LL(#PcHP_>gG;BV4S)>I-!gBZ=%TMvI^sdQ#i|{Ss-k$Tx|duA zZ5e<^_j0E8?TVy%c_)i&YSDJLelI0!B#EPk7&^|n>$~;0ez%%)v5!`G!GbUqe58Va z#)w1kV$JrtKiQSI}7d{0gNg!k-7EQb!qFYOwQ zuoqkj_shGf-3Ock1a@MUto%smQwHbYLQ^M)tP?xlGfISu^mc7-H(Li>|`y@ zfhB+9ffUWzEqxge`5DU2W6y|ouhB3b?2uPs)0^vhY@YlIWdg^w)U;bbwX3rNa_AxLhn!-|WIS|GE0?1lQ@k4; z60qA`Y4w_^_|HRUkbX!jqqso33cm0k=`3GDXq$u8dF)gmmRTs!1P4NYfAqnDO06K= z_zQhU+&3_b*(T5;7=6PXY~RCi)pXJX&cJ}b{|7jI5w9k^{dAO^9?g1~{~P1DinOpG zG%T*%RM0S*5DJP9MGHCz5ktZR$L0z?O%$!w1Tsnu4Ed?J@>2He!o9A7s|=Dljb0#r zFUaIV0k0V6?-~m3rPN(`OS7TU3yz7DF!ky5+8Km^xWDx1jv&`j1_B|}2<&yF(TW4_JjHT*8 z;1q$v{-3M(t=)kmjvLRO?~s)8M|8`tfHj(X$bBjpejOJG%M! zqvh$ZX*^InW=djv$%a}Jj0X>%cLT&D1`LfnEtI_|^~H(X6Lh(LJ=BucyHugb?N>Pu zWr}h(Raob!x0j}KX6|t1r<^QV{$$?}v@9=f3& zlJ$E7|{<(kZGE0Qd`=rIzWYi#6nVQxvE07;HK(lPaydljsvyOWws-q$#1>;LD0_wn=*ooQ7(h zhUaL9+4|l;f9wG2JL=4ntz{qcS%w1XM#z2xDk<#Efp=c846#2ce1J7}knuK|K$5vW zyVfp5?WdB{fb(XbM!b%rG6kdabf%Bu7+cedh?A3wKU<$HFG?HT^34nY<2aR5{n4xe zcw2fQ2Vdncl{)UbM}DK`&~;x)G+XL*zdR0$-jk~B1<07bt%$eMR=bt ziSAil56xc*RU~DHfj0!rq0#WDOn~T)-UwyfIEOg1WUME1T6)y@lzpF2V~l$Wd6@!Q zIjj?H#|oO%8O}k|b)ICrp_0h?Mq;q7-}V9#G6zBF*#?NaUTP$}RjIZlu2}b9r!coA zbr&ycdh#gEWhEo$!D4W7Z~ zL=G**6OwcO%2|^8=ODAw-`7R2UQlsbw;c(lC_>!sY*p{I%y~mEv=cMTXUt5pQV((sZqqlU!Za24J z7ALm%(X%~L1K_+Z7p9)&nuiMz1FQ1&3E_aV-pT;)y>sF(5iAB5U3->-EY104^*ppR6d@Edj~UWM7%8)Y_6V>i3BQ z;PQ<*!Dl@vN*@Co4SQ3M$x#X{G_I_B=@36{q^NN16&@?pLrlF#xYThLv1HnP0t+dY zScpaIvB!ZE(mPf;;w2u3&w)287ztI+s(zGD1>nW_^op+6T787Ty+TWVu+}hmYdPar zSsL#1*{%KSWoyA5-Pq*^Zh27NJ=B$@*g|5{E6Li|84qb$oog)g?^N!W-MfYHb?@iI zs@X`mlc(xP$r~CrY@`1HSdc}r&zszTHj^Qu)@lKuzPjqUz)Z5-Do4($a|`F~(0(or z?MI$2Nm&>XBK=qe9{j?@bu}-lCNo>q( zj#k%%es+97!E&ZXi<=x%C#*!iB8VNlsF_NT;kz};D<)L*f8UbUaP3PsbqG{Qij%br zN#w9uN!HJqrZ~y&7^aVW-5LDjpY60y0azD3YxyOb18G0AX;%r}o-;ivlE1HAJ3V`| zBOCDjzPar2VG)unu3JtV_fyqDXI=7rW*HgxlA^VF&|_kq7aXio8u3Py_|!F`w`sSP zG5W{pvzVaNz4(&})1@%-e*l=16+R@z=Qv3DGWIf2bLkfQj@JErS$|Kql46inQHb|(A7!~1GW+1k?+r`yW|nhD>g0sf~im|CVV-}h@lE;ip6&L89a z1pS_4Te?q;)5TZE=?^f^zi6Ly(=zVdslnr;_snzXSt6y|9+58J>^x-5V;YL-V4Og; z#P?2F=`Llb-vW^YoQ%U5aPr(K>=&30;|Xk>sB9zdI$r>M^{y!^Er(RE>)4nAQ|qpU9S#QB&uf?OF0$iBA&wi zz7xd#r6t><8)YS9U9>ehAu!co^m=JtKt43v&; zL$y?faM?dSM?3UY_N*q;AnO zoj{s<*@F?sgV*Rg+%A`9E|FnUFO%^8G=}!0zdMl5l%b~ZP-5bsET)#1!cGo7hB{KY zqQ7$-i(QMxFHBM~Xv^D`ywvll3uG(EHOoJNm*?8@a@i_UL$(>S&*`v&axtR z2yKoGZb2uuWcp$!^YuCkWV0dGQ(b0?`oeQyUc~QZA^l#2wO8A654Z~g40BXf$Qv(= z*2%6%fyElUCmAr_s91}emLI+OHoEQ;gOcdKoUYmY_Sq6HyYX=AV?jHWHi(accBGAU ziW=oJuf{YK4omd}YQX3!oP}z19mA-EREj9-;U8&$k8MePPO6{k=8Y`Dgxj@NKEneG zYyPmC7O*C^0)@;e<1}qdn=MbM;Ww<5wV`TuFv9+ULQ1#Pb@?~m^xV$2D@%9Ha=igx zcU6jfx40;elReY03L-3HqEP+OM@#dZj;6m1DaO1SX`)3thq3*cKZnxh_L z2Y{Pwp^yYuyFX{-E4@2_h_;_))Rgd#oIahN2xNYRW$M{u9(=NZBop!7ZcK>FxI6S3 z3QV#1i8b7AX0f5dJg~T(Lzty_LX}{9Oy%9y$r&U`t?yYQb?xt|WC-Kd>gcnUYpRF zq2rdV$}}GQ_FF8=ygZ_V*4WBdRs%PAi>k`-77AtW`O*{|jVuCjQ?&sEaC#fG&{*f6IkETt5e*-bVfeuZ*)OurR@+0(Zi?bAKg6t?XA-Jyamx6ubGHZ$f%j+Mz0zjS$HHnbF2^9bgJcU2TYoF6F46?pd>WHXoRV z00k$&JS^9v@dF`mHQ*m&jtVL1D5wW+tz=D4o_!}(8k_~pmR+aPX?}53WMJwy?nmy# zg}78&Y7rU{aVZMQ(bSyV8vG_hpq73Bp}DIvRETnuD`S!CQA%wUoEeck&}M`vmri{S z6*zZ2Bun+A6QykvX&lGFIMDRE%rc)%3p(fEt&6&v1ohx%9U8gx2HK*fnOk2|Yx(5F z$+aR1v_R{h3c)N7*(UnDgng(#~q>p3AJo) zD6%h=y4F*_3}sI<}2K;Zrzx_{oE`%E( z40Ki%b{e`|3H=_WFWx5>=bC~7yvPNI#6cFDY7Vs<>--WnQFgR(E>8)E{{aFAidstV zv7cCO?uGadQ1L_;snbS^I|=EZduXA!iySapt~?LSvj1XzqHuMXC*20AGU6+t=MPcb z>>F(N98E%ntcoi*(R#6H2Y|qS^)P!%`iGkXYc^X^My90%c~FV(^-@|V1Bfyk6y*7O zTQ-)__shj!;JOCIg;3_N16Z_>X(QPC55TNf%j#v`dsX`-nDNg*2f|L0kahlM2Y++VlAh9&gdOQ1rqQ7K ziD6^2{pKQxTtn;CUppmt@h&d^^u}}u-SZL~2LT-zg#OkERdq`h|Mqw*yfrDJ;R|80 zH%HG4(?$oj(!7{|mgc(s$~2o7|GQvPY=lyI8yQF4o+1HGZAI>^3%v@PSiAahV{8$= zZMzNTPI7SLnkg< z1wiQ_Bm>(_2=QM4l#g-;$qDW?;5~BzpD&g~>{G)mm|8lJ`5!a5;&|?qZ7PvFzx*5Q z@1kwJ*_XbH7@%5i$jT(uw2`ahB4@)5qt)pB=}(aEcHVX6uIo&1d2DsYwHj%eg@<^5 z%XC1a%i@)w!F!pQ;+)PZ(R0uDOT1dNnIWMwS>B1i31gGK8aikoe&o)st(O0jjI2PK zg9wYU%bSnPTN$dmk-8d?9SV2ckeq7hU&1P)p?xpKTGB?icHUAeB350-^%kdqbrP=h zKLC4wsN}=vHXGpEVbX}|iY!nKTbqRkhs2~WwfY-E4R%d6>oQBr@9D&LKKqiX#)n|M zqjWq|T|HYlEB%+<>tqJuGV{mRomAS@U-U`kX(@OZaWCvg^HQJf#~=M0n22zLRYG1a zu1V*}hP9%S8=b2LDh}((f-ExdOE@%_#T)Mx1@}BX?jXZdbXGv!x7>LD==`an)cSocyHkT`9c~% z=#gB#!NvRZGt;{yhtDU*e({^lX4IUKRoTiZkM`Pchq-C)*ELUhMf5c(?_Qrm)Hbj2 zF&OBraYL@y#=M4!Q*_ZcidPpgG_jh@y>-<vHq3@~plX$r}S7%Xt122YWJLeJvf zMrB?XT(Mbq_YO)e!gwoLE7YQz3-Ih}G|`=+?q<#x6(RvSJB4heJIFlR_O&~XPFhI0 z%4Ek4Gj1LSEIBA~pv_8M!K7+mQ}2yBJ$d9w(MVs+9OuBY7&40x$fvj23Cj8=yGQax zO%3SvS-JR@2mEDjkk%=NQJXP;yLO&;H1C@n0^J;7+6x`g?{D)bWr@bKlnwXA8>zNv z!FuMr;aQ!>W?s$TJv84v8$Enw@do4mTG*^e9=S||^3qOtD=haarWu)`)fAL@Y7XI| zvYAm$S<@X2>3YMiKI>ZxD$uc}nlq$WJLXf(TIAQfc|hICUr6zjn*@1cA|Icb=n^WL z>~EUCvZTtDOfjP3r!pnvM1yHv261i&RzbYYH=%e|TO1wkkyYUhuE-!yS6ZpIt(?1( z8ZDy4*X~$%YtV)+&D=Jv4Lpc|%JiSh^1}PI|M2hPMm}Y(u~1Of$^L#Sz{!Q0QGFx$ z3^HQYJwn%!0wu8l!@5p`BcfI$+yj_KL!aoqsbiKVGaE7r&jCc{z)iMm_fdb#*%?#{ zCglLdc`NtWyHlLtJ1dN4#@$S|k4uRv^@;xyB9^TA2ai5}=o$wsNcq0q;?>ap%&g^I z5O`uZ@+e+hct#{+Xf(35=qtGt1{_uuGk8^7`LA~6qyu(TVIf{b#|~*QV|yVl^+^sO zb&fuWfO~!_bBlxc>eM`ir+}FnL*-Iu;X*+RIC)?J@BmHAnpSr-)I`qWHNuB%boQ~d zcK$JZZ#pXoY6sn5_S?{|zA_^PdF4&T7U?)(bery9Wre|M=xK8tS1%2w{d}dait+K( zNJT%AFV(D6(z~*u;+Wk8i&YOcJ5)#EAW8_L7dyLVGj6%^N6zdN7rwKSH@>YZm)JpT#9`%BIU`CBSbE1-WSh(bs5D(82G9K90L z?Bxceq>9Roi?rEahe#ycs>ZMs@^GO_#e4=86Qh=*Po|M^=rt}QwULs_GT@Pc}A&j zHXbR$r-yNYVv_IXK%_cp#S|ofViELK0LaJv=t+5>7|N7S1oPn1E>N~SJ$C2C67ITy zywbMn(%t_6qbPCYHgHc&sozX0!b*czE-#i|`2fvQ93im!y1qbt^~6{r`zc%+nwas$ zyJK!QxwC>z&t|8$hl4Tc_U3b*6%qZxVV}5Cp*Z4eGL{%C6x;gHls&8S1ljmn$d=n| zZx?+)sv@)VhBV}iT?zzM^-71ZU_CmUS7k5-(x3cG9@xsS#*@JYKfUH9RWD^!dQ|V& z`0+uthJEs7t75RdFK{;yPO)2=p5x6pZFIjoi@yY(YORr^P!}{O_{xHih}Rip$~eB0 zKpr;U)@cNq((4W|LM3LGDH~e4T&3Ch!EBWLM`IouS;5!;zQ;vnxAN~X_*mZ*O{kfm zX_b)5<`b^z(7x_>P=s`U=+EQRTg9@Mi#>1!rIQ+Lr7@Gqgutr4izf4`3#p>0JTpAI z_>3O9Q5rf!y%q1Mc*a!SghD6$>)NWwyXs$2q^AwsvNtAX18ttds&;e@_?;(IN{}Au z0Y3<@-IxU*Z7eQqu-52|Ui&ox-_A7kI5DUaF5z*i@(V9~6n~OU@<5n7aR#%K8{Q`0 z?l9KSmKK45Nsm1i9;lkp=4HiE1nE!?vQms6C`P@_>hfcjwn7abk83dAbYQ^Yh=%%B zfg=5-P3GZ`tT&P z^XsHQ7Tmmz`I8D%>HgpNC|?(OdhK%aCLBGD9t|F+IVZ42Yc8MJsF|R7m!*ajK@=z~ z(@K5^2fZ|LKgCy=Ei*5sXK65v1V%pD;Iw#TR=_AQE3YwGlsB(K5-W3N8GyGRy$$3s zg_9(EweJPBMAk3>es=R4bw6MHkijKg9@{|Cto3Cl@uZkk__15njD2e~Z;vgRF;anV zDV0s#Wg&(mUVK74QaZ3w@G+zaDd( z0gygg=#E(DH^#PtKJ4J~KymH@JI_hqY7{* zk-FQt=Z{RgZP`kP%3a3U*{^-~BHEZ(tOa+A$Aey((X-0K%oHNu7OQ^_bsr|p0XA<3 z2Q3*SvxYwBIvjT2nllS!g{BDsduWBf$!!XeWGgp#mm1+1Cj1KSomc)QPYUlSS67-; zmgI*l_xW@&ZgW_+6IZaNlm492y}X^)^x6@3${`}->3Pq;NA;lnp!2u1W#3P zR*lpqXOIUUT|!liyY=0uiu_&pvAPQn7_0k%4f-$0Yh^sV#tO+CpA`4&(ryYYiXFt& zWyjAECSKFLYuoOc{+NSg+fQ|MK7mCBh%$p=cR$eH37@0FtlWOMG0mWE$+tW7XwTo0 z6vqRWQr9n^UddTeYOAYVbg^N`)43ccQGIEb%Jd|c6IeM-aBl3CH+FhU#YWDfa!{Rz zz87XW({~L&)$kQk#t4t=*k0k;QIFAbns61**;3Zs1my@TuocL?va6rzM{4)(bwE}r zF$~%^qt~WcJk{+_LHKk@U4N3bNhN{*fhBtBZbz^FT4S#Iu>m%l{UProAKA(w~ zL#lXb{O!9G*i*i+TSVtyjOwe!&z~8i!@NQkC;2yzGVlg9cbV1^wj<$}IQcV+DbJF8 z^`W=2aN0Z715CgwC5RCcU_x$IFS z3(VGEg*>KX`wUJu7J;AynB>5uxdjOjAUne5E3jWQM&ks3C7aw_H0a z&xg89%3(=0pH0m$XNKu^s%(@;zq&U`p8FYQQHsw}bc#6+@aC?t4d^W6+^s#Ea20RFf4hOtppmXp znjfXqC(6FM$rP}){HQejfkZs6XR54i6Rt~u4A%woFuljQSWYwONln88vL`|~4boNL!QO5=qGi{j_Rn@#7!gnW~r_Fpc_^r^;Z8?z;u z(F}83DphwXm6te`o$=-sa)z|OQ~~0|4)%$T500A1cw;zX-P8!_aTx+QAxcyGoq^5weP*T*okM}*wqK% zXNAqtaONk;e*Jv=Eki|~4XKY^djllOfpYwG`4nSJioE1!(H82K64nOI}UyR68# z!*Wj^KyodjFN`i+q;lr+*F9qz)`}N(KTQ{hS^sJ_BKfcwDm0nVcr?=VOjOqdyT4e4 zv#E;gr?prc!SL6dY2po7ikvb5DOWtbPJ{jU@I3l@ZwZeX%xX{&zag7;XaNIdP5yP# z-1}4=aUN3#OxI@O`+QJzyE7j_`=de0cZ`X6J>2$L2aaka@>!TT%~!)pKt#6ie$4X9 z5>F%Y1$o@x8-|)IN17l^2dn}Sjv};?r%a>uq6aO>T@3}evX)Py3-0qrD^6k=*nZqg zN8o;eWNYH&HsBQIA{Y>%m3Dj})H;)ME~}ck9uUS6vb-axJDBltAl2mgbrCk7oDIaJF$b;C zml5ns?2U!^RT{AH+q=VFH00CK;O0{M%H{ z#i&E*MS2E}a4hmRvu^n71Nq6K8>lv#Lg0Zd=sljK9VRZkUz;uSedZwG0cK0mTnU?+ zn$J|;gSoq*0`N(C3JX9_7`+wK6VcttTxaU0-hlFPQgaE{a57HH2m0Eu#j?Ggu?624 zdz`bLDV>+YUKzrvpd40TVS0CJbl{G=n+wZr@P#ZWv4r5Ca_m8^ghN?*!P$-=g%*FM zKTU?2F%}gP(cU{%#E?UD072PB%xcoCZTt+*X*SE5IMf8CAsx&0_|T+1Ylydp)}Xki z_+TKmlX#ZJoidiJW=7S=+Q98k(>Z?h+oMN^X}qfy6XRT0>vNDdVIfRwBl2`3GVkRb z0QnDBS+nx*D@cegan8dB+kt;8&44|kxyKAp3UkBFea3A5g_z)%?p!aLpnoYC*Y+V+bU=EU*!hnfZ$)(Sc-taq=2>CH2W{x5lzkZ!3DW5 za>-f84>c?;!eUNkM>t8(x06gYolKHpB-+Gobs3Zn0UehxuergiHJXiQe=-9V^z|`t zpp9iXtZtGR8@DnR_-r%BQarg}0k1IuGmV>mu9WB#qqiE$UJ zm@CVm`eC&%G2F~3P*2FfQH#;cYb2-*N>z%VQYcmt!3Zf98V^}2(Hqhdw(i&RS9h{l z*A=i+X+P+nl6In*j!w^KN}e(hefW-6RhRVUF~ z1u~?+6!h<#ltscY?(nZa2wA9_Ii1FTL@yq@f8U}h>QvIK+)?Mk1C2q%5D2dR4}?>@ z8(vewQ`GAw;bHg<>Ml{Yq|o6Xn8F5{q!=rpN3Za=Dq~w7{^Y;A&r7G#nJ$R8_(8+I z8{@`!g;np6p!kk~+7xai4f;?SH!08l&;5_m4)ro^Q_|4{287W?jW(jT8-AJBF)5Zv z`)o=ppO2}fENTZ$C8*ak+FSoE4(i;Nrq2#X*gqw+6lH%x$%UdY9 zg(=T`syJ|4f2bKsL3a?7*AhK{^+ol09UlKFPn(x=6J~gq;Ss|Qa+i2Zu27Wljg$Zy z9t~Zd#eWxEi(IXloH>IA7AEDZuW^5U)9W%urJkPYS?@!ZfJT#dO8&+sMBi{1Aiqne z9DOI5GqJ!#?euER{zsM_+=o(2CRfy?NneA$4|6J|w;r9xth=va^i&*!5sTBB@%c(G zjy^kooV1u2)*|8ok`2eAxQN4}AvIRjW1%(9B_Kgi>2Z*{q?RMgqaETDD$O3EfyDcY zmu-Vq@YjyI6`pu#LrZTZP5Oh9PvBLW4a$x;uD#*4XX+fuVx{GGk)ehk++`Z|kRP2W z@7j0-8*``Gt$Nl(cq3%O0*8b=+^5lmAGY+qvI&cPs|VQAKsJo~sHL*~pfBKBVyw;! zHPt1zhISab;r)h6y7#tluM6zCsWzC4=jcgOq09NpzA+(2%7n?k5De{+Ktzc z{b=#i2VEpLJV&@?{!K%TuHHH=edjD{a(VF>R0|E|u?j0DR4->`NS{HUPJot1#R2_z z+6AkxLbew`M>*+wmAMOya+1|6v9b={OP#z*aZ^wl(2ecRy&5r3Y{@`jW9IHXVlw{2 zD=`KDDE~sn%Cxzt4(fUKfO)T@85y{@ShfKc^tT-!KzKnIX*Se%d@(&LCL8_|pF^b# ztUfCxz~Y3z|Oe@tgNH6Uo z7Y-8RKZUJ2FxRxeK3Dl898bgOPt@(yb7sh=4)Pq4#(fa-H+ z>8ATuGm37jj9*@kPfjYlFp{rg5F!2t_@R9-5pru#JHDTWgG=dY&X!thOY;YzS9m{p zMG;#KJ#9Md4M#u)kNq4wjy z>h5s5vuc(wAw!$}22WU!IqK*cJ}lEn+Rvzrkq*GesqYbnieJ0evt4Ej(@FL<*17&G zOW`weKc!-bhz0|E3z+&^=x15krsLEMLa_WIG~}H5RtSod>T{?QE>#-V3t1xU(8Ld? z!mn9VPhKBLg;*R-@iBDe!o&#svDy-`y)=O>MN7i1>Ae|IIU?_a^J$8&wXlTSL|8pb z?`IsE7b0tF*Ok%EFY(=es_*FHK=L2x&dZDr43?6dNfO>It$83H=>KIF?q_)JCc)G< z54al{4i_w~NsfNj_k#l5G~XJE^!}w%(i_oDi~=jmPAc7hbW90gGG1*^o*FmpJ1Mdp zIAJAci$5G!VGE0ke11LXKHi!)2?}lb%ol3B~FXc_tm) z>d@lAa;y+RSvcD91EFmLcc3k8r@V$*EKylL|6}OfADRCDD88Y&ZGBS6WB#~?JaX0t5U$(hla$OWsScq*d!-R5~TT)S}zTf=`+Yj&O^YuLEJWeQf zij1cI9)|Hgd&vcJpXz@H{l1kam5J;QWhZ6m>2)K%SGE2{RxE^J*e98#XcQIj%kXXn z)^mB=w?kl#IE>EL$D$CqlV2|3f9GmXT07HdKa$p2kw5k}x4Xzq_-|*u|FhcE_Yd0F zSL^6M|IL4Bl4`Ah%g?Kj2xl7Xe`xX3{uwkY#x$UjLx8ao86wkyVBT6ZP9i$eU^P8c zY7yy=DjnRMM};C9hBQe`RXbYl2wp1HpspT?=OEOM>9*P_R!sDg#r^G1!^t;5UhkU?{U~e<*4jYjHI&}E=SPs%*9bi{Tj+H{w#!r; zE@|Syv)X9(;28%i3p9Ib`t;kyl9IZm*w8M4%7u#Gu7*e;e3%FV4roE*))s#Usi^zM z=84bd| zqapz|#wu1~Ol2@AMH3bM4gb6+DHb0*%W#VFIKH0+o_3YS(a`leRI`zXY(=3`Wjtd3 z59GdQ6)L1kZ<-mSPD}4@It_M-Gb{Hr3so1Xe8KLLZxT@wgErO z+T<^T#J#o4OPF*Z#CF;%EzbMdRLvW0-uM7#*Xq8?NTAxZGXsb~4$@^^cBlowMW=Fg7J zt}`I<3a`W?GneP^FO<1>cc8M^9`3m2RT7>_`49Mu!zG@5d~loSdwWZMFNT-VJ1p#F z`&v764Ybdeooy4~BL>7Xg!xD12owI&4At2DtLQhZr(Dl=Z9%Oss;8)9XZdHo94OCUUUDaJ*n6H*}xpZo582?_)VRsBI8&R4PK@vUjrJf z;fPhR+b;L7*|9C#w%KC4Fm`&YWH8?!b|w9NvE;VqDQg|Iv7dyVOZmPh?Vr}Jk5-`* zO1GoCdnOQ>xXzOpc#3m`D^{YNDxpJRWj1NsZGSK>b6~FOpY~vAla=(>KQcBL0k*UR z@zvE|PHNUu=Xc%8dfQ8>*}CC{b0)znPgR_KKXdpCTTbCBLb6?k z8~Y-TE51c0(JmT~6LV%DDGc)B8rC=X#GRY4RyohcPHR_c=l@(N-AvNbGo}$Y?mfA# zF$wTUU80uskfil$tilV#H{zf3WVh$6=Bqs=xA2|b`Z-SYzp5cZfd_b4+SumafYur zty$;s{d%0oFU=8G6NR&(H&QRDe3qtnqEE~=XN*T0!`r`cvHZ=K zWN{DSy)XGLBBil`^C?s3ytkxmir@KwX@QN2zN)9z;?PS?^Zf8yhuO(4GCeOF--f`8#f-?Gf>Q@ff*;Yrhbv#sNC8;@)f_sz@@I zyQkh>TA|_+N!M`!nKsLhF_Hdex|<8kc`FH=)EX_v|5Sjpd%OjTLT8R48fv>j}v(GCwaVc%GBmO66u0JaCLJ8<~pd|gt5ju=smP6N%-8^2_>_s$VF%4 z*-32GE*Fya)`7T|R?cu*T-vgSZ*gaNfoM26UI3i0obHVWny_8 zl>~AogKxWUjQmWF=&8oesQ-TUJKgYL`r3GMiY7n79IBj&p_7)7V(vY==>QDK-DA;| zeladhRh?CeL;~AXU>8^hedOCV#D;~G6|394ORauHul}bw|L^Wckj6s(KjI{M+zWnI>l1f% zB*l3}*+KmHp~**_CY?o}$?nQjTjM84TbQ&zA=4`%ECXaDQz&R$h3#ewtMz+aPQLAv zG;9npdAyc@WS0%)gi0aaf2gV8h_=Zhs&*!(@SCwiEDcV2*3U@946&2)k)^pkkTA%$ zMt>sFgL!MREs-Y>M&D`-;U4N7dgms-A?3V1c*iL^{oJBPo{TARG5>xwaNssZrr*Mjtgh-nQI9okACA}RfkmQ_fB zpF#XaUJrO#(iDF)0=n1GUl1`KBSsF=cA$Y}UpD-a zL0+2R-At%$_n{GG8Aviz=QnJwtIXNS(Xv^EBg6%L(K~rNIxCnP$=Btw(Ir-TLgIYX zNN=s<9?v7)-mn7=i)jh>IZq~_wtYI(@fW^Q4ffD{pN=*`<*>YeSnH^-_yA7;P zdnfD%>kk=0Z!1Ju`mVMVxW2ja_U7;SB3s>LRyDvsmzLEac^xEdw{y{#;{+EZhWR@I z@Vf*g009BF3S2$ezjB%qK<;w{$Efr%!Q-$O%7EER^Jw2kcI=T2PGQhqjdE7{`rhO^ zqYxcuvC~Y~(?usg=B|3zB&_y_ZoeJ~TjM}3%yWtQ-2DF9F{Wu+zmGXpWzsIc)J@DtJAP|;e5g$e;M-?800Hy9RaMI`s^B? z+0k8}XVASF+;Om)3Wt{#5>x{%a7r{j`D@sxM7>$XDg_>{fkC_4{{fnm;B*t8{?q|T z>B4mz8zyw#x4^z{q6tfZgXnXSVWO_!?%QoP<6U@p#Uj!sDl}gbQr`BSwOx6Aa$U%~ z<$Yv^5Qfuc1@>FcjE00AD6x3|LY5fNAz!N;8P%bj$H>{#p0s%3^oThryX9Z)e>p%1 zLLZI{KGAD_?$~rAr)zIhM9&0FW2zWP##q0WYnAW_W2w8TOkuQgw764+AHES0;>T6E z)LnL|i>sTUd873}D<-i#N%-qI7oKru6=%A(K*|j8=7b=!%F`8l%+& zDKpd#+7|AO%Wh-BOSYuK-rp*7BFg4xHjzD@vSn}$LjGb*Jrs@gkhIsV7^_+9Cc!&U zbD?d`0zs8bGh_BZkvjvX9V!+#6W%-H8h5PI3$|NbN4K?S1rtf}*Xje^I~J9fjQWBS zDtwUL#BU-y4l<&1J(&V-A;d@j8XG-IqsyNjK@fRFHUam?*^(i;=Qw~WDPO{1lg|Gr zf2`i*UA1xKPlxwyYL^9rx*|(cJ=m%^a3Yq{WT=W@s3fW#C2_V73f62tE45>L^v%un z-PGGx{ta1|FVN$?`4hN^=LR*Qerk>a3|HRaAeK>M5s|G$J32t@B2g+c`3|(**d5qL z^ve$UaXD#h_}(h6moDUg0G#q7X<%-}$+Gl3%=C>25HJPwu z=jXIt1%#^FXZx!fd6=;n{TP_b2A43u9d=cj*ja=7TDatFlNrZZF)@smO$_=sm90Xt zLzYOBHeYuykQOz5s2P&#uXRK)Fl(%t`YaF#>{s>FJDJ-LLOde>B29vGn6Cm$i!O2k zM+W-OEw>cC$C~PVWBMlho;HLs&tQxBzS>If6Q1-l@oTHa=Xui=*c%Z4Y80qF93w@E zh<|a`t+USm1CADs770gRG`0I~^Dgiq<7xyM;_Cjgxj<%F8r#AY>E4dD*lNBDS5K9j zJi^r?9gulfLLBIwV!f*z>c1m|dOwsc>-g}}5zNQXG79}TX(AsUtQ+5Gb#kF7vUX6% zmR74($AG$|_i?<(Zrk1FO-FBj*#(nXvpdJ%sSywPHwn-tZu9s;FHR95Of*yKC!eo) z8FjIubKBn)f=L5vL>#|;pA4=8!)P25XD?~^yGl9{)-GK_U2 zYDmhIMh$Ko&nUia+KnSAn1|rNNIZsmQ2j6zUB!<%4y5qzsEVWK{z`Ppiq^8Dv;bY3 z>ornIJ;O`-6x`Lm5a~S&Nx0$XBH)hzrFH6p1}P+-Ry`+LCkW!g!NG&C<=yXkrQV$| zKG4`rd=?3t6P6e8Wr>)idx%%;49R(42k6&VkPu^doKGMv0NfU}-IMy~0Bc=AKz303 z+u+Xm9j6(LLK|-UcBIQ>x0z_Tnp-Y@;WUWYP?3`W#n!xNiTaN2{;$V^QN^C3?VS2d z>jjlI?JnsTBOm{C5VQfC*XUvkCvM-J+nJ?lySm4LjK4j)xr|^y_BCnbgQooutMOxO zaOPKmCFCRoAb5`S>G z-L_eab|0_&$0Fscctwij1bJaZ&?#CQbSEyOa~@xKWxRX?uS?M;Dv-nSpU*EjN4V##9l zEmlsgh|(#T~PX^=K^-MGa#9Wat%c3zwRZSfx)2~`CvG;YsfU1pWb-#)gJ$qHGy9a zAENju>bC*|le*`xcf98b$*^aWFiS~grm-=kbE%L=e(0}Zrr+4xt4rP8AU7#MX_)lD z;DYG0A1g}7t)Q=AQ?Fdwi>lttH)%8wQ5v-@9%wvAd^T+566JJ(Y_O!4Hi2ag{R_$RW|u>W$xHbz7>m(XsC+9 zA8AHVk1%u9zJ$g|!)~;v`!~7K!Xe&4@&+c)doDd&9?HW$Z=n1Ix9aUImqo4-vX)m+UcWOX<$x^RG;5`j@63BLrc zVCBObcr&jj3cELqbt^Y-?YFqt`jHdzkD{9Xk`uR-7*$HC=tIo>UrF42C9s(Z7ESFm zEBPPbiqm4ba?lO&pyf(QF3AU3>}5ihR|;go&FO&|)!)7RIg(QqfC|jR+UKSiOD&Iw zUJI|BKVxZBKkK1d_I=sQhUUshZlAm50aHCJGzu3a<<^WPpH|DZ&LV4lEy^IV(MOTBf1{l^ZZWiIJ#u-wfNQ@dz|gYp zwBL935g{YX{|R9vyN`O&*!?>mMj|Ju4CFA@TMfmycVZWE3oNu9Oezbr21< z6s`)-zPI><7i=?7GwvVTdsu_$;b+6rDCLzp1iJPe&Jw8f40oI)!TSp%jG`C>*!WAx7d{Qv~&WO2|FLvhK9@Cf;$yNeN-8YEvl9cfCt%Ov6uQ`9dY<%^J zG#6Z?xMiKyHtuP3-IgdKDULyNO}=?Ze%w{`Rvc@GgAnG%h?cy5+v6GCwhkpdH{OI# zWa;Z7#Bvx*Dn`UA$@WRz-=qHSj_+Rx{Bf+X824n?5m2!eCOTeKV{k%8F4-5*66WX= zDlr?$qg}Y0NB;qGAt8uw!mhpDWTsv&6f%cT~_yF}g~)r0s=- z_f2)quS4G%He8#uV>qqTV^}0YRt}OgGXe#=x;X=as>FE~oRPQzT25e2D(ul+FJm`r zJ_TBt0y75k<%2)<^&?x&&y^*1APGlR9|c}KFyH<|QW<_Gzu_Uo=%36`z0fvjyl3W) zdv>V!IJ3u5;>%P;@-K&OE^;%N)FEZ-Lnlmcn^kYl8Urn}n28Vwb~JJl!>1>ahE-47 zEmY~8wb#&j7W5SB%DmxmH0Ovp?|m{I0oWaR{a+rCMcZ`Un>v+MxVY?Iuj2fnk4M;@ zVV2tQzA1Zlsy&`EpTXp0dlmXdUNeO67!*EKX95B_an32Fnt8EFz2nr4R|HoSIR5}d z&T5%Yc%v$?BFKy#wg~a@`>>6G1j;;caWWMYE_ThPu1Vp4fS|;PN8+mE`tSjs zDVhNA$_z20T7*Vy?s#x}*SIqH!&9^?+Y_VKF0%V%xuyO+ggS)lH3tO_RA6`?!$NMc zMg6Nzdum*uy&3d!x~nGr?eM%eUHvpIF|xxu|H6Hl#+aXxztcjznAtK)vzeUg?CE z3TTvuQpffqIL;u%N78TK$>7>no&n<}@CBEWAYt`Q(Yb^e2WI0}bWy$R-j|f4Fo%ub z*8}ztE46scr9N~>oQ&A-YK#)Xng%ExJBVmzqI){ly8kQe5xttY zYR6K+lQ&$;G9}NR5zcxWbi%(J_X+{}bU#=PMWnN{NtLSVW4Rogmt`Hc&R;u@*zm{b zUrk=M`(^&FWut%~TP8{aA=`G>$vv6ACr28`MUL)7VJ1Z3a1Bi@A^+=8?B#F)>Coz%2VG<>00QFDJm zI-s_DFKyG4zd+8J;5>`5rdpg1!6IxW`GMO`TGuMo6lJ0rZ9`b#G8)HaCMkBOVU4(A z?o-xuVX%jHRCgpHP|B;HW_xzHy%cECeiC5MG>A^x$E*1adxT&w(u7aOHf%MLaU?-hdbJwOn1EAlOGYo^umsg5)>f=?FH@3H~xXMl6?_rb}?>Ri|hct^;=q zN9@9%7H;r(ZUlbE=85&)v9X@;^XHTJo9~wjjH5&A{Hxj<5O(gAE#|q!IWLtoRlTiS zOiJ^5&7Aw+?=)8d`-N}%Gdf~c21O2n8*!I7<$k&Q94jT;_zf`W6K|1Pdn^?7A2iNh z8`hZ4@sA#ypmD*fFzmGjZ2!SitW}!8gJahDBg|Q+N7`m*=Sp$uzaWx>4(h{sk!8<) ztUj)#eJ{n4qCTTCjW^@#PF{6#&~gV`uiRRYjlQ>?~&)ZW>! zDikx2G<7vD;NXtcGv{^V>8C}_ObdPtJ=XljB)J2=i#qqqrg0W4HGjlm5X|bE0pldH zx%8ioh*Cf@M|Y>WMQg?zXfvXSb>I71&yR=Zk1M#AYs`~`Yp?o1t>}oym$v z73UsMwtQUKLO4eWPt@2}4eMN2Snboy8?a|)}d~N*FZELCx%m@0| zTpLk#ZG`>4Z_npg*yLoHwwIJM`0OCU49Zd+ZnsR^@M=!+~U_1ioWLFPJ2=rC!$ zoT4*czNcVUuw@)=HI$?(`P0~DeN^=-J6A#;i2#4H21K5Ffs6BOndF^3`B?u4*m0>s zJ`Ej|Hd%Mf^OEZX|0z7vb6}1@3b_RtnY;G>ZExnIHDxwG+zcAgEw{TrjI?fk!0<=8 ziLH;2F>bY7x7V2RB^Awdvu}%-mG-`F*x6yxF0= zUTPRK`6;xh5_K8#EKieF-td0_JSn(*$0WzRLs6f!WnCoo{ZFm1NJr6*CTWQ{2Fxz- zW|AFzk*B?>#w@MevqhiR@Qu|TX^53c*LH3R&% zC{19nm;yw)y#PRxZdvVQt4w6@=9>Z`Ba z5i+=*uu4E!VsOW!KOLL8QiV5Y?6pF{0~BP|!RTMUI_}zAp_)ZQPcZ8Og0*x@5qejN z;TDUuT1aC%Z0D^8kZ$VKzc^FWc>=aynY)QF|L1=Lt!Bgq#e-B7oiv^K|0I*7dJ?x`e_nY)%e~``) zQd+zF3R98P5z~#)F?HC9F}ubzYMb$<;pA?rPb=UqGmfowX3}@?u|VSb z;iK6&qKzG!8bZR}*0KzB-Qf=)Acy zss4jQ7nfGU$lf-x37=bn3(#I(h<`4~Rp{OOmzusT#6Ql?(y%zfx8;T;8oxmAX(n}B ze}s*Fp;G&CRZ^GK9*t=$p^h7Pt6jczYZS~&ou>*(t%?;M8EEOvgl4P$HQ(e=YxW@s z8uR}$IS$R?S>F{bUAzpLvLN7x9~RlTVG^<|BPw=&m|GB^Y@81`ERJ|jpH`Ujp5Oew zG9EpcBQazaUyK49n)ym-lhxf`zvOvwZ=d-s#jg0AtS8==g$EXV{zM?y826-pGBbYn zZ~u4Ec{~c^?nP@BMV~*Mj-^;;8J`Uf4UesaTUmj^-rspsz> zDXo-<@NT8+KL2g-^8bVOGJ$|$Q=`AVB$)TrQ_s3R9<3->Y9AFf&jb|rcKLzVog+TB z6!Z#NsMg>jS&b3_JNpozTg6g5-_ zd}!T87XDSTTqpY1>_A4r!Reg)Vm7R{opY~@`IBQ>tBO75l3+!U>clUTA1bI1wne}1 zfT+0U%FBrX*6!S9)r<^cXal4bc<2U_KDm5MfQ~5%$mklRk(j78BnNg{ru$RfT@WQK z4jxS^uDZBlQyvsZ&lAZ!p48L2>Tk0HJamHZHV=0(^{yxC+17p1IxAdB{Zn_dcFdAJ zevX|-RV~I|_i+g0{wLq^3C)6Ffe5Xi?moo}0kMO&VMO`MON3N}aBitZ7FHuaN3H!h zW~jYM*$Bj2rb^01ZTENF0(ST&38uAOTjL(0$QT6(dYWcW@n13~KAHW5SR8(JZn8Z` zmDq{9KRu)3dzTEz*xZF z$Ioq3{@%M2ZMNn?@MM_TzOz5doCDVJ^Y+mgNSv-)M41>A{%``^pfGy+9cK7%R4tmy z^K~cE;O4lxmATAIWTe-89Buzi)Gy3M12&WNN^y-OAGKTPcZkimAH7k%NVEcP$$xxybCMWWYfk zZ8-s76eP*WJ}rdtmVTCFdmardJSms;z!c|( zIcr)qDh$uhzr?r@s}56s5XO9FFO_YTrzAp@wu;cRLDmU1-&Cndk9bI602>dO$<2;* zvMkYwv)+@dCYby)Sjb>!5X$}|DDrIm}-bMap2vSC%6Rr`H27S!>RC8^=#|#sA^jr0H7S&JKGHf>S&v z#5~tq+zio6ZEOaOA|~w7o+D#$5oL2nMO6dGG2jQ4%UM^q`v3q4vX&x!V0$As$^)wM z<#1>q>46N{IjcrQdkot}@X-}u;Gu9!`bMbrl;dqgg|ri5?4ooJzvgS-LA^Vu?Pw{& zZk&24Nn3O#t(d#r7N&OMyf07O84Im|jGkG{N^^Q^c=7hEt_jO<$lk`MGZ3g^g`XUG zY$>tjh0}-|OY4Qg8adSqWtK80=~1*+na<-10=3i#5e?)F#Ygxk@l9H~YHdFtm=h&` z*%O1S+?V+zTE-p)J{sT~$J{8-QOq?5`qA#@&xeoW(E$^x^-;a%2E zzogx1T3o923YtaRzM)RsA@)qqN1~;!I;4yGB&^nKEt9;#8}-|8P)>W@k?GMaXLP03 zaBDB>GLhJZyfij{jxUWI87mN8<6|BIvh>f{YJT|dnX!Fjgd~w7;$z*XOysu@UeoWg zoYi*lpy4Z~`_i_1PnM;gi1OH%EG6)W%spjsmy!hFw%POM!<@Mp z8_Iq`B6MUm*E+hdwR;b{h0A6>bYafY{Uzr37>#x<{$V%+vHw@l6JgZM-GeVvVO!&ueFYh?z8;?5c zjC^0i7WwmRejG9L+a24PME&E^PlT@W7uplg++^u)GA~PTM1wzz6sZp$C-o#hWrFRV zq?CJ!NFp9t#>vnfUC7I^BjF8K(KfzsUelsVOc7X`Ol5bg@ZcoHiVb^F@4U*&Yd1tz zZNSA7ZQqv(U56Bhi;yZ`N=lFg+`40I`46+DwV04sD0i81oOioRg!`N{m~Lo0^G{hi zsAX|CN71liVnvJ!(W?FviFTV>^`UtUX^^ouwN6jdOsM^;xY$uK`8xUk0nQwWY7?HP zxrOFELBeH;cMd=`$|QDxF<0u@aNz;eZY01lo5r$zS)j&?g2YCUKM<9H22C=O@Th%8X2p>Vc__{)0ePMaqsp>d!K}w zEp~^zbeL=ZRh;rf3y$3f6lYyr*LY)-n$b6xVp&q+tdD9QhVU2_E-mZ3v8h{^V>CTZ za`I!2iiduW{txh8HW;xlp7e`n6^rQk z8xVHXusl~y#aFzI`|rR6VfV$O7Y4hrH!Mq=-ZhOa5I6!O#6K?dBjAA#D|e2Y-@42P z3M>4Cv0kEMMjh5&gMz=LJq1?Im0g3Q276mU8Vp;HDdP7)#i>;9;NLMU$5g|M>q?{a z+z!(}!wu=KE(~2x66Fgigku59eTCQY91;(lN=L^TzzO6_ucey3Dr#I;L}GD(M5}$r zfRpU0PJVgtt*G?i2M!TxbSk#6jy15>C2<#W1AEc6i;-?H*cham`ssgw%?r+lPNWL2 z2OdwZTzht-)Q)Q!8=Dk1Rbv=44)*=3@OP3o>uFIE7i{HH1xQZXUn4~cAvw*kMZeET zF^NWB$RNg)4BVW$vU1zz;tJ1sHEY~IAIB-JDf5-gc?>-dYXUEvTYR=WK-+k?++~bb zkL7Oub`ABt@Sfw(bO;qN@fKO_7&<(6l{f1+J=tPHwx>6#`?_>kE7}!k&lVAgRAEGH zTD1h{|B*IoZizZXc?}yCDT((TKNyD4zow|xSW6a~-7_gq^+EI=gOjiGDv2{{^|{@n zkx)@XuP+*%CA^KVaf+A$^=>Ifk^+Z~ezCBo%QSU5Uz__Ru@TaQX})aj4q3PyYuF;X z+Wf|9bU?pO$ck8A`M^m{2EA-?D!Jcz*PBR6kMPO=J0GgKUm|d39@P7}x7R%nTEX1# z<)<@IZKboWi7#}UFEfqf`v-Y9)jpPIy=}zKA*DcuK>ZhL-GKAF4CTn-R?|QujN;-x zQi4kwD_xp@JjnEt-*J2WzTxCE9~)X%?2^VC{*e1xCU0IjJ#MdHbL8dJu}l}@Huhda z_tWl@&~meiD7i07*V$rhT<`N!;ER--YQCQttMPuQh9gFUR7)cG%zZt0T?)iAPwR0Y z5IIPG<%sWZ4?+a0R%!7MX{&EqV)lpw(xohwFU)O$Eo4LCPk<1*Vy~)g$nE=B-ZT3c zsOQeeK_)FZ?>#cTDt|0ZZEu!A6UxtT6mgHOqi*69>nz zzPC;@nWbG)n039U)I7b6@Yunk==(j&4DZ{>jQhMAKHawU0GeV(hXJBvXqK&}oZ`*y zM4o-gSlbdUYblc+f7NnHn_Ky4V(HK&O;;6;q6LkrE2C-Kof#-;u7-~)&z{r8t+Gyo z@9~^Ls){XYMx4q5BGP|9^S(gyIQts0V7foEdWQ8Pgvt(Lg+8CV4~Io^Z$7B}f=Y;k zk|5U=26N16^L%`Ealc7I1)b6pj2=j@)t6rBCR4sD%vkyLSefc^YLa6Jjb4{ZbQXv2 zyG>(L!~u#*KW*bOzO#YKH2Lq}MukZIgEmk9*G9g*mLgzJ z@?#zBmmS2tUJ#KrXitjpP6@^s2lA{U{%YZh5mO%@RQ$Cp09%akL1?Ll^npw)k*jXk zI!Q=k!bJVj<8&c6C)SK#bX?#!k7+GF8kft~ou^b_-&d$F(7QpZh97hVrJiBC?d zNv>0Yvg(#XO4ktuIDjt(nOHn7kXW4DSK|HksqYdUvZ1(?yttPcmH zZw##DR+F7f&`n$M9x#tSJHH!3+DlJs_=GVIhI!K2{+%Zf5^9&8CqDJbst#^* zVY_KC!-4kptvacg+uBJ_itWGM_Dtx|5k>a+X{mRTT_bDh1Sw4adbO)4<$8vr+6xve zGJX!U57~-v%$ESWUSRw`6ubZs{}R_jt4~l?fC_Pvo;1#B;O=}h{Yf)h^?-wq)s5~x z=NgK)%qxZwvaifA5@lz+8j%TpZa)@$&i~UXabqJE0y4>&{9b*WYYV%wGaZ&9Iww-5 zR&iums&>B{R0L(_k*gU~Q8cd)KOA<*ysn*D6QeCG{wQn`MeHqkN}s4$lVRc(erx6G zg=F#uUH<-sg5-a#iBgB?`%IB*PvkSADDnWRDjAfMcT;v!4d=aV_ujGXGCSRAC_dU$ zEcBCtt0=~=s2S)FoQ?T}TUr3WzK!m5ER`K)`16o<4<8e?ea8DHJlcZo8Q*Pk3h!~b z4kX112-j;t^!SkxB`$)1LD0ENEFm5dy84d~wLSRZl?kLoYvcoVlec&FS>E#gKc4jK zh?18E_Xp*_cw0eyBTMB)q;^UX9XjYqzwsyIr}ikE1hrgq$5byK^p!JIl2z z{sNyBrpaL(E>q*4?Y9b&(!04zz2+@Oz0C45A8~!#6#WOB{b~CsJ3lmY7dP4*&cyhG z0baFlJjkH1TIQ5h2)fxl^Wmr0wf5Kk)!Qb8N@G$J(KcR#*@Xb<&{S9VxR$D`mRxG9 z$>3y8br-sqGN>yX_K1z0QFHC!hbDFPsX}3n#OO$gE4}U2V|ulm341J-4>IdYJYtP= z{b24hYRdTO4=}LFzC!agfncj+!#j7PA4$_%l?{;*b;tqt)<=FHTx=s!vo{6fPty6A z2XVok$2#WJ+vMbJ;904g_?#p?OMrF3Yb{x}eD*(h!T#p;uc@L&2P9%A8IdP*@$X9U zkEBjW1T|uI)9s!2mV=;anDGaN*@fV8f`F}wK8&n-q-KvcywU?;;MjyEtvgZmx%MyG z>`9fzg7A8|R#M{(`n=Fnio?1(%^6BVMa>Ugt;bFn97Roa4si*X*)_Xb;FxI<&6!IZ zOj_J29MIHlHfwf6YTJT0J2f-E%S`VpKDcxjQ~)D$HJ^<%PKTL~e!glGD~5+Z(d;5S zhrX;Cm3p99JL15H4MC+%UR!q}JG&FQ@=;D%Q$ z>%Dlo6(8*p?lez&)c$CX(rqPzij^LC^M8Qb4Aa${^q8hOTjNW?H&z<7=YFD0Y5f4AC%b9^ z3?_1gy7zp4cBQaXUZ=zdEQxf<*Gd~JjghX5bBQT99%*Pe_gabv1@gsu8_b4njhP0< zUssNP9Lmk_+i_Sm*;ZBi{ji}5WgcS}I;q~p^6a@z*_0|GkfHk5-Kw&%@hd{v?yxxy z?n?A1W}n)?U3t$=ZV+;vQwj0`!Ay5Qho^S@Wwa9)9dsW6M+!)$VP%2i+WSHnCk-sk zbFF16Y@PWL*VwM;=f!njp&(#e-JZRxmlPW(vYWcw?>wz$!lftKKoEhG%}h|Y7^tJk zi@N(G7B|JN1$L2eHG6~n%!P^T?SJYtDi)KMxZor5PjMoe{5*&y?FIO1G>=S6xc?Om zjq$rI4ImBI_?xL9Oo^7ct)QLvi`a4BJ3_LJTFS^2})^!C=aq{o}{=_j9tybojp%_&4ii}6l?AU zk2Tlz^cNYOk%!gT>xy&}&n?f*oXTxHa+3VAFuiREC#&RmBt;ypS7TOTyQu=$(Qbv+ zAr3NanJ5B2*l1VwJvK{PcyZp)Yny0DZ7k1}f}3r2td`|8oqf(^b-tgrvfZv$ z8iXH0*F^P3SqgsX)p=Zv&*xn3n?u)h4Q|LVvzyE`V4MC!GT7ex$J@|DSh+-ryO0;Q zQlU)Xd@i*S(C3mY!XR(y#CzT-tY1^#i==LPTa%W8Sj+ODDkvmf%d*b$%7c_{o54)^ zFzIW2RFlCxb2NNkZ7K32^GJDC6=%Z=)Fk8CS2@!60qyFa?oJN-HDxWAZoDv?kyhM( z_!6TNnR3#;N&yWV-Ussg1Pt$whf)Ijgg$Fhyl!jLK=J<}_)k5z0@lZ6sJ#m-6vzj% z17Sx4X^2#3z1xYGtZQlZ2&usb$w2~*^TchZjVG95eA`c>JBS_JDMbtE4I2CVa7Nm4fMQi)LGriO73iif~*ZKI9*6z%n4 zs|Ly0RQ+5Wtma2A3(s%d!D0G#fjZN;AClPLGq3Wjd-F(3g6_p_eUb|A6Y7PU8H*>M zulQY{OK|@K*xqEVd-uKBRiZxR1ZrVPL;R%(Gc0Z`@dP%qqpT(VU4T|uIRG>3m-bTfx-w;q;`WFIH05W}cw0&v@6LB}K;goHsBPwJ!LS9wNw zy=0Wu>Ztop(?Szuoig(U7)0+@=;Oq)L?**M(1IgSLqIJ~?qxDxSI*Q(_+iZrn7PzUxU%M;V_{ki+` zW5a8=l1&&gGQsZGLG?dP+q8aV8{D&U@4^a$vZkU(WW7F2b-ch>y6pgNFel2a&x>XD&{B@v4Fc=Fs-*&$fdz=|9oKTi`_5B2E{gu>r zj2?@ZNUqG}+cxPcwqp@5>bLg9UE!haL8g<7^E{dYRlMS!|FvsD0)I#kuEsSbG{JKV znUte*Mtt;jo7?zA_XpEBpR$0iJUerAoR3Y&Fi>s)u3x?K4wdsb)%d*d%wQV)+hd`p z(8GwV?vA}4xyEH1zC5C)d+x=`a$x>-LP7AbhZTk;nh%!kWEvQWqt>ycGXP>pB z#V@Ut_EtA}*O(2dKRT1Xf1xC7Mms_h!~Wti4zB-oom8ATd@|e@;xtGeRA@+ikR(+q zozHwCV;cukk}zY?BxfVBx(OcO9gBZd;sd3XlZ>*y_wPmYERwxJG0QStt;J4kCG)

    wt zbcwuJ+!KPv`}9xIjZMuX3PkA-K0=cC!$%yegQKjt?v$=DeS2T*x!) z=zRr6VVasiVOz1x<2Fo6Nniinicdgtmj48ETE=05;Chg^g*?)(Oj0t%J)C2J^ach| z^B$5AVB4VmDrk-Dblv&p{D7$$_JEJ&*^i)aq|lg2Ea@l@R}VNl*QS7K&+S%CcWVd>i9syFdDt^s-wOtkb470KYN&%_>h~ z{y59Cw$pqZ6_Qx+lqrbdsXQO-BWRHvl5+cURJJc&aAFKzyh9w*&8d8uf+*NhtP=Ky zV8}NLown>19McxG6RN0f49-^Lc%sI<(XYd_+gsTcUO(<_tKSuTZ}i~MO&jX`w!q8_ zr1;w58=#W~7e9>uYgzgzvg3B&Naz%+SCx-0J7);HGk6v|aBxJ1k4sp zlijfzlCzi8=e+u8cwu!^o}#3jbw(rNM*CQUr)WhWetK9CU7uC6wcYC;Szx~zidBwB zVXf{+t24;;)e)VrQkhd<)s5Q()HaTxJXE;uNHrX{&J#o@`9~H+H=qL)K1{9Nj;6m| z(qo<+mJ(y9{-^Hp+1)++=<_=Y^0uaWKkt$6alTZ*>yu5Joh}I}O!$NL@hk}4m@(ev zRJ*S??AS<=-uv$Hl1G7N4d1F-E{rt%oGpD4dr6Ba7QWHC=beR zPxTjs$=ZoIH`+OqK0orpexJ$Ov_Qi9Og}^~RI}DgArHH2eL}^k|EK6I+?sCNFg&^h zDd`v;G8#rm*N}}a2?Yrmjcgzy(j_4&F*-I{oa6+h8$>pmDWD(&L`4Jz;r;gg13QlG z*nYd8=RU9Vn$5{SOm^h4WNCVwNmO=a(HK-g_HfxajukvIl=n~oEqOM3!=_&Jv5MNH z(jGO^OCnbLDEyj-M@YCgCAo19hx$X;}4HUABUwkEokmnJn=^nPi%UA^y2k6!b zd~iFyvE#~wJ|Z$@@E~p@$vZ$1B=5~d0V!@fuJ|*ks6oeZI?a%HEW+4r$AHMIHx>4( zebqLUJ}hH<;@R-YDJfLLSe4@~8#PhO`PS>Bx)nfrX(k}B9MeD_;A#<`i&O@z->hP* zJWR@Zscz41blvScuhj!om5w~?!AgWN$zMN>>&%i;{QSNleDTx#>M6Mf;B~2cIHmFJ zy#T8%eK_WkAu2Jnbm8l7V`HWyA(=hAN)bN@><`CtZgzJ6#oZ8ux$1hby|E79caREA zEoaNgaQVZ~x-hSWaQtcjM-&SfM#Xs3cKexLryss+x1nE085&_PXxbx`13WJGvpxK7 zHB~qFPjvr5prQOB*hZYUP=uTV9^rH|5C3vVE25PrUbCKcTvZCm5Ey${Yh~-TqglVG zPAB4!>$51ukGbw08)9=_xPN2@p-Qui=*;?*uU;^AwTrF}fpCvLgZp^dEgZyYT5{a& z=?;jqL-LB*{-SB#ayztl2n%2%Dm(uNXt{$SI#8EF#e648?Kd4U zs-1P-L~}sLKgom`o3}f(W&diVvus_XDN6`UDqfGU*HUcMzyw%=d^c~hNPm)~_I2I) zMCzXJJ`LXt*Jyx`^ZDeB*M|0}B2H!?U#gvEYo+5nCh6#HiBp}LZ>`ysA7r3&gjFuZ zgDZt`^UJewD#3e5E~2)TO}SGV8DrG5?E0H01J^9i^>(BR63;-7;P0%~j0UI?h3j)e zw-jB85ONGs2LJCEH%ZMgxy2Q~^I?3|xa-S!kCh+weFaK8(|UySryaGU%hyFFr!IxP zZGI%(rnXWVWNx(n4q|_=oYncR`;zaD1yr%vrSv}ahCv9EeU=MupVfE1_8T`Y{5Rrc z%*_iMU>@Z0Ew zfiz*;q>G{JpOhX8Rh>u!Q<$iPe&W;I@X8^E(f2JZ&045C$}$vNaYnv7I->;5xsIQV zzMgA7h;3Hoqsm6 z9=-HH19G`#a9J(FX1aqMX%Zg<1|_Y&h7R3|uA1yM8F6Q{0BqZxY}GCt{sX!Qi`1PP zq5Mn+{sRPDPY!XMc0m^f6iW#fXhCuq!)H=Tz+IC=*9N!zm1g%pT1TVBQR@sX&&nvk z-1c~u_;*NW61eQf;}ytT#wy&b%Bvkgk!wMs!8-T~S3n%|SEQmRS58NHV`EG3UV_2% zac_Omg@Z{KU$Y{Bnd5O*NGRe54DWyKnqXit?f7>bA1r1DAWA*;u!~9>Yn( z;?tdH zlWt$skXHTe&oM~hT>00G|FH4Q5HPhra!Svp%^t7Y*gTU3_%J@3GtYo{c#hmP zLq4LP0-k%+sx#`MlBsTqG#z{MY6reLYHbX7v3`U(ECYN$a~IoT^`>4;&Zth~HQA)P zbhMwL-(g*feGCVl zknU*qVS}~2MtnyYgo@bG?C6sBsfBh~!BlBnCUf5??gJ=zuT|P4$-5bx+Ae)v4bW-B zn0)82c~1sO2Hg13QQgH^IZ6{>DPP8nb$(uNTI*8 zYQ5!rxT)k*Mys*qXh^i@db9Dv_z!a88Z&2DQi9c(9a>Zy1J}%(*R3h@?yyMyK18d@ zYtRpLuC4p*zZPRi(LEKUz~@`Uf_^WdVrP@z=(G^=PqtL*kxg^MejrN}^l~hZ$zAwi zX)sJYSh;L_O%U?Y@p=b~!{fl7&i?$&&X>$mj|#RPXh3~6_1`kp)$p6Bvxw&o5hHLC ztvFQx|64mVJfpLrNPmuPnBbxZz^?RKpJZNOhS|TG-4vY3VHT(es^w9`<91g@tfrQ_ z$Iu`Cgq7&~2k>K=_zs*kPv8_>@Fds!-P#m(eJb&&I_pd*N-K z+~szZg7@S6y!C}Y;QvYGEC`46KU@5j@ozvsZYe&^svNjm{IcodCfvrW-f2QQ1-pV= z+-EfaCt_P$Q%b#0Fr-_8ntg~jA>JzPI{ottF+t#W29Yo`q&z7=(DTXQ4m`ViAF#03 zocC`3>T_Cld;$kb%DS{hYPseNG#=y+!?`*51TAgde0x;ugotTsDDF^_Gc1yil~>uqrfnxnT%|r!&8whS1JxAkZK$ul&$Mst@pq)HQjS6OJSA zCPH^2SpKf6vY)>7{W*lM&##-moY03wtvb~*hd4OfGy1>$V_3|~Z`d@(=Jye7l`@^? zhZv5uQc^(ThbxyORXfO0$}AAoN+HBU9v2Fyl?&=GxPOLCHx#*^G$<-xN^Kg#SVZgt z>1KaPgXO@wxH22nHDu>h$ul|!oO(s@nXq}PS$}TaIDNj#JM1-n)IicTuCCj73Y>31 zhAGD&=_Ef2yBLt>Avn20vuuiPh8-^rp|>pFTOm&Odz5Q;c_?)hIr_S$BlO#+Gg*rR zXVgcGW!g38^3vz^^kxaH_9?oNg9Gie3bXxvXzdReH<-_$zkUu zA;t#12^jbGoI9@}{dVPld2SbqD<_xt_MCLwZkSnFDcmC>6h8?9NJRqszd^`#AJRS zwcxAs;zdsw0iS!KOKyB&3DYh%yEFI>?82ADXHxw=@6dx>fz>+xn=?MT%c?WqAmn>{ zk$RAFr)mj$x!Y3S#RAKPMzK^0pBdy-Ksw9B1*qwkC`p}vEH|g(>3_W3s-$Cz^_gRP zUKneg>@YXMWNGgt-z%b?XSU!7y@$(x*v9eP1;Bd>i;J`rX*ZrNvS1l$)?S5pQ?u;x zXnTm|$hSnfFKhnjOaOwDr^IJsr&3mvT1|)7KKqy}d*iOAp8wnNHt7~oriLEIIT(zH zQYCgzq3%+$AGL@rd&t$u#p@;ov0Kgs^rtq9 zSfwGbJ2?u8h;WR=e(FxD#>rGo*gA!NRLaG7!q&qdv-eea1#g;yLf&y99~*I?#;Y* zSaphI1t`f9tD!{KT@GI|67so&#@pf*w)36L#(E+DWZCtdTeK(XM`hdJiJk%-l7ssy z-zX@eR8}=-RC|7q(*O~{^ORlsrWE4ruxj-xC0;>7qS*#Y@>7-2s8GcYxK6V!@v`tI zJ*BLu<-}iKVYa`Ab+8?af$%u-uS5&Dd= z<|s0%q+j3r*LFpyjcwkGpE^%D8vSZ;dnBjn@@Yy1%B=XCxp-P!BoyC-ivfhQ;k-V= zb#!d}T*P4YBg-meLzq%|os>ZOO;S}Bu3>2k>rT>k-j zDczqqAJ=tOc<1&KpPubgb^3<|_ys(z*zsR_OqBP_HUn1Ijiqvr#F5kJg?`p%rYvGWLY42!vwYjU@^(*@~wx)p;tJ<@)uQJUTUW0N(nWE7Y2g+Gt zx{2izxT!OLs=!%&=PUO>RndJMnpMR}SQ*+}OP`w5q<_=eEN*9JnmN`y6R}gP8qh4$I zNLIk;OZK`nm+l*S2f)^4u(0>86`d@)=TJFboNIs%u??7=X)?dnuozJ;49jH(h7<;= zIG=7=lub6tv51qgZHby_4tgZx#I7Dz%r;BQwbrk!ccNoG9lIz_N$S{mSYh4_!Cr4# zc1hLTvZwY9Os~^!pa|apnss?9n>SpZ8jP#cJ-Hov9aY+*kK>rk5HPm_2n7Yo_%KuE z6q7kwW)uY-L5g28S4CUjG3m#=EZd5^ZmV?Yvo;7ybn4taZyr|gbu%<4YP?;i z>{^)>(rn>+P!VZEaM5Bg0UzpP6Fs=$4!CYDki>UWa%wZd0XUIMgadZ>saQDUXVA21 zZ~)U$`hEa?;0Sq39BIkIg*vPsVWVZeYCW<;yw|bc(r(G1C&CdgwD2;7Zq5-?4*l1y zfA9LfKKZxwy1Fgkl)S^weY?|R@*M745?*#N`XZzBj1K4W8Q2<%C;#}e(i+t)8bh9A&8n`=qh zxTm$(zr*)!>4Q;+*C$+J8=?oof}459sp6t#H|Q}itbr7Exp90-{)T=$xuq>7=Rbhb z&|VSv^xz9+V;EjW?A)}>j;wC4Yx|L8|0G-+~F67E%?KsAAK$&Jlze4Z% zJd$ZcS9?d8%H)1jFO2RPB5N>;e5AvUYVbaq8jeg@L~hsQijpq7;I~aVhva8{=9l{eozP!hI(2$?vpZ|sE)uDC1_bjMk1*$cN;1LL7+y5Kbr_;tN3;6cNwi0c z>e{cYIq0X2aSVX}NllPMIVrro^(TmIz*+62&(>-2e_*-F23x*nF7389@aJ;>e%Lg~ zkWUYV90^_R+KoubHZ$Y`#LihfUTS>Kbi+JmLe z$T(_b?k6UcE%o$h>9<=QZ+PVD69l5BWF(q2t2z%n4uVYP%IwN+435?!^?z6#E8c%2Gy-Rhhfu| zboaDMf+nB;H3Ez<9F}7;B8}{H>!I1EPR5zxt5F5%If3Q%XrdTx2@ZMa1uR3KaQ_Fe zZd8r^9isy?^b1bWnL_F~rVA}JDBN)3;O9K;u&7@jo4U)h5Q5N3GSn1c`BA#LO&oe8 zY9M%O8C(f_&o6okC90hpJP+*AY9Z@U8y($bJLvSu@!o8dK3wYRv&@_a^%iZnEsJ4z z`1do1Vk_^2zZ@PYS3zab&)!Q`h-(w>j;jz+kDLa)&W#}1u;V7W%p2y5%t2a>6?oSP()Wmi^9H1MAc<1t}|IVK~KtZ zm1svFyV`elr@F9a#jc|TXP+aMKQ_`+G%iVrt@@8BeR$|qFrm}qz|KUUv<#7IQZ$Ul zQOISlOLn`?aFep@kUYY$Z78oW!ks|2#)lyE0_*_LOmb#+uKR`X2dj&=(2kC;P1N0l z$Splb#BlK}=rKg3P#D|R0&@z%*1dIoVn&b1Keq9$rWsrd4!FPGdbg=@99OCQ!Td+g z+R=3$`*=!-hK)_1ouXbN+ez)Sz{stqS6MpN^0?6ll2b2wz+#>FGGYS7gtNIG!+=G= zK}13^5W5JjX-wHzzn07<6v*}C^!ky^{b=yN{w{B`C` zKYB?R*uv&N)H%J^!_*?9B2Y76R(x>S?1z+`%c!lpXHeJU%kiYz?z>Ri9>Lo!U9l4> zk;&2E>V+loA=&lGq4T}jXCh1b40VYP5@f?@PuHV_T8Dw17Zs!y6>iN44s)`#;-e{3 z%wiyrjSgw#V$t+14`U73BXL~a(%O?79qzJWU572k!hk{QvUisiktXOVA%=k9xH@(T z__J|us(2|1yDMh&Gi#J0v#)2P>uB`n~xy3Ts%+*QS;T^J`$qAnj zZU6*>_JgC2=0JAinq_@;lW)2AnJ)`skRq4Ts;UVFEE3$tzZhC0ssRk@`XDm3+@ZXI zUba>jU2rl8)qZL%a;an&#+8e!uX?rtLF)h`&DwH3lp|%1IG3%g8t$?-^uEqm)slUb zK8}@Fkf`BVt2?g$YMrP*K{-(48t^+L=|dlNNZIYg7B}Uklpz+r))${*6@w4)D^kDha;J1{qQU}*3trUZF&sK=e0wnfandpD!PL`4&+aSE zHdWuj#PmMO-D8g05aZmZPJQG4wSfU`nHK%xc9>@v+SJp96)**#U>K83pn1imuQYpp zuN&J`^x9cS1a1ug>$qdnn`(_P0ad5_{@kfWF+gL#K5$OEwvW5)%DKp%(r|xB}e<~%xP>|c`MB@pKVpOc@e5L(K{+x~NUEuX%gLZM_AexjQ_3lYa6?vbRO{zd|vz*Z_X@wjK$aPu&J$ zioyFUPj>GZTgd`Aqi^OiUgA+Fd7S0-cL0xIedYL2i6ZTbk4vOgX)J-YWzXfN%`-aJu2#HoSpUzMrk zgxD<%Q+_Vauc8t5&c0>f%=&AHbs8*4@gO;T3MiRV=1~-bfBe2=vHi-r2Z*|>{3@s& z8~@ibg+ft}zGGkWoujA5Xpu#tv6k-zrl+)PDvp1W7?Hl}{G;lkv8;wkk$OllM&#qV zbjsg+{^pD=s_-rj^jro;!&Z$R@Jkj!yu!=2z!m{#0#XU|yq1X;)N{mqM90x}+qe*H z$~5d<5}#E6eDI3Q;9L_BCB+@vecTuhtBryUa*G|Jn`kfy%W1M?gOjamqUl3Thf`%wrN!aTg6BL9Dgb`BSgzYP)lCr^!j@ zT5#tt$~_%i!E3Y}%kgM!;w+bV6HovI(_bjBJkdE7 z{@$X&lXVyOi(b)P>2qV(p{G)H6+LUJl!oip-TmgFs`OmxCxJCGT!tt$w5H5J@50g- z?Rr6wdo)3aOzI{Q>D2ji?%(#RQx{n6y_#%AI1XqGiC0uJe3kt2;6DJ^@+p1rf*~t? zMTw+_gW76wVaqp-u<*z3WRN`4#e*I(Y}`Rl4hK~quZC4Q6qt(_>*Vvfoj$kOkLw^s z!zIHcG*Z%Uu+8W*%)!O4JIwvWhBz6e$@l#n0a+hgxB%dOltYZ5fFK3Rh2piA@5+y# zEe2=TGYdI`sO>bt5?uXB@vyDkA2BbQSu(X-i8UY~nsQt9x#Jf?6T84Ql>J?-t*tqM$M^UwReubzn zcjNAFOR{U_QhD5{-S;@X;T!^X$qsf=NGPN7GFTf}SN-?iTih0wJQOZRqTZhPYt{Ob zYDwL=-KdFF2GaCvyXcN`3D*7^Jc-WbDj0716LFy9e!GYv($V*ZRh*Fv-va%|2-|IU zAMSNr11u{iUuc4B;o5zAYlD366)=`A`X*1{WJ3Axipk?2I@;*(+)hpAO4PLpa!$7u zn&Z#xVRjj!AM8q5s&pg-9Zz~YW1)jaR_6WUB^j|YovLYRJhs8)b6o*`4fd8Te<&dQ z%E6#&WM*9Yx8t6Cc0TswK2yrX1Tup1+sfEp!Y)@1KAZ6tAzJEj2$Aljzjel7hyZ{x znG|fTA6KHc>Rd>Yi;^UJ?>sBziXZ<0)>XU3MdyzagUGVL@QzCB*U60=^3@$3`iyQ~ zfZsr*++N_P!*s4P1{=wo${Zg8B1?b6A z`gWiH`IJZFdTuaBjKdQU7_a=93ny`SjSE%a(dGH3{EEZ%%F^K?v|>Ue{^zrHj@5>< zu4`$T6sP*VDCT(#L+v6?Fd}6jo48aW>D9EkOf(h{B zbf^<~>TsX?<7L zzYX+@)^<73%{P0xzU> zDIc)~@BIfbSvtNyj|zp@b*w@V=ru~7e>GqO=FjSPzDjd&5H&k2B?HR|aAyZjDQuI@ zVuH38Qp0_TunHxbor7d8Xxcmt3h|1Zur25K0=kP9SvoG`BK`G4oNsWaAjWsLo(}IN z?a8ag`jL|o>Iq@hb-0irR2YJ&gE3s>CPs)5sh8)+QR)R_HVsl2^S!>Q!V6za}n zkgt7+(!QLR9>?W>@?pN|%_JJk*uWpx8cDs>&F)Lg3Hf8ED9Lgo+vAC3kGbMjl6m~9 z#-#>*DpDkRlrZ&nqI(9Xqdn}&zrM=XFLJxj+6*U}-LlK|>z0VQSRufje+sCH(%N;f z#QoX1?_$zxPz(F*v;1~~{!@}TPu~u$d4pDLeN4~=XP{A=-P+?a-5UuKc<0mq0G-^9 zZo#hzVuhmnd2~MJ2zIYRkA3EuPxPq_n#PMPeJ)W3&pEt?2pNKBPJx-l*n#KXa6#JE zEjHy9s-PWpk8g^vs)@}1{-(GDc73vigVapg8=~EFdF4LvDFbeWgGCSV10olXjm}6^-MDu$1lI~ulnnz2teQ5v?o zjVEs$KdWv>w;JvR=hR9ZMGzB$t6y+5JdTcjDIXstA34H+i)ij!*elmo<$)iS-IW!z z@t}+?=@7`zsUV6O(RdV}O4FI+do=ik>|(9)3kTX@ayLrzMV?PntD?o@$v8Tn2)g!d z$VdeAx@z~;C+}|{@SEut?F>$0@lmzn!z9~p$E?eTE4#nkuk2%cnJ2-2 zV9_T;C%Z7|Hz3Lj;FkG2_KdqmJX7g1 ztWc{|>?o%?m)fAqtf$XfhXWe}!5M!HlCv7G@ry*Ln&iR{g6J|(s z?J+XKzovIz$#V#<2*UrA-q<*`Vl{n$_}plsyt%D=nsoqJ|BC$XImHIsg0QI$&h^XG)I8dltr0v8ImcHo}D25rVHg~*nb}RO;wp6#3n zc8SJ2~sjG&}6oqOM~H`w{$k_&d}$ag2@JFm~Y z$uC<F9Q!c3>F_PgPseVJSNr>k0`O** zxZHnIWAuPmX7G!x%u3Z)Q~o?t*3|y&*cXDomF3>qDXJdCePvf?HX~ewYD+22!54tp z4t4?<3VBv;Mm(3JHBCYAbH-15xQWA>iE5l5spRb_&g~VUJsW3s zPW84(Ln&NB4|So3jt%(CvBcz+-e1|6KDofEn_oo9OCathTFPXiJF9&{cj0lJC-f0p za)pDG<_|xB@$cJAiX8X1JW2{6y_WLY)YLSTZOk9UlEtZ>y_`DKe#9Snw}J8Y=;0F) zcF`v^7gAI-7J=5`u;WZc2x;(c15uMG@ufmrgey#*D|=!Jn7is;~W;@CbnQ)voBXxrxFjg&_2TU<->C?J1B#FW1)pd!$}^L5%a9`NR3L6LuyV`%6$x~z zPw!Ys>8h-fw7vULzSG@p!ARbcD@dT_M)cO5X>Un9Vw292O&pS7GwM3UZ!W^2eDI>- zZ0eO1Yx=476z_#7L>|nOA$pe%CvY33R+n5%Z8`ylb)w3MW>MQ)@DJ!n1r;Q9!+GSt z8j61k8+10$wdpf17u8rUs_nDJcVKR{qWb((N+_w4$SY1C*NX;`_d#8@-__<+UOP4j zy@ZW4vV`h9i$8jsk_&X#JeCxwc>HlURfWTQ8g^#sbqR|o`RY^mAK>ZR_fT!~p z6D$j<<)NM^7NBsYuwQJ6z%-LMUO~gvm?{SGbJ0mm7TL|N!b=~y(kVv&jt%6M$TwzF z`!*}!dmdx12;&5Ox+JZjhjJa?9m!^%HY1n_)gqjyOmbhvW-dLf$FMCPxA-U&HAV1b z=F2|Q8$$f<{vsQx^Bo(?#j_$J*;MKlXvrZ+Sb&TYuFc4kIbRwFNyid+v@mG$Fplp0 zDd}k6Ly?~+qu?B|-k<;@VV_p!ET{WOH3UT~NQ&9K=77weNl#K=+(3U?^v7NAaJfjR z*s4<<2m(bGhpQxgp8v31%%Ak3H)71#Z1w4GhV%C|FWlsen4LwI{}igoBow9g>{~g( z;&^bsD8gQSDI|X&FbA47>%KI{%vNn8dcM+WoG^6J{itY%>|Gx4~k61 zk{7K(rosyKgjCHBij|G%@va5V?AnKNlq|1hs7s`1CuakmFR)JJgT`@Q_Z|UIwY$Y9 z9qBv0jpq8Om$ga>B12>Czkw-C{|XfAx0h z&YT0YLTH1jn9O?uWh@yp?vZ8Aa>|yV^DsC{ljmmFx@gkPMI}?d&)BHYfQGyMSfH_q zp2e4GLC);s;ZpKD4AkAi1uU-IXZk6_y4JxlS5xjyF4{xX{)~<4;BhRx&mi-fNb$*; zNm~&jO};#*y1VNE<13mu(IA=9ER6ZLUoz^NjjRkJsuu!MO7Zm{mp*OL#$Rig}dPZMFK{<~2Ce<-=%uzwLO6`5`ughS3wr;+);1n_iLUo*0mtC4E zc3ju#VumUHIo>_R0lKO@a34S3pj?gz zy8QWLN|ABIQFbRp-+|Q?tfOCzxm<)PKa@-K*EXinLaXFcn1c)EH4f7Q0loVLE4ge# zi{Wc5nQ0U8KYc6$MGLlNsW#%19q&HnFcBFt=$!AI4-F|@O@NiTR(h+hd|KUEM`z7Ww=uY%O5@L=-3PS4>r3bZm^C& zdZ7a;(|AVxrE&+Zk+{oB-0FVEaBfAOP!%EM?J3jUSdy*p=n_pphuXNww~*_s*5WA% z5XHcBA?l7BG(M3(9x4^Aum-mz3E4Q=W}4fI80fA`PZ*s$8bh48V2Qm2h|{D2pJ%g5 zN139eeM-tg3UlS_-ZX>nlI(%JyvBjAd{8x+pN03j(+Yk5NjuVKYH^@f-8zH|U^d=g zy)AaNT^XO@lQ#dKS|?L!+?n~=~_ z^Ga`bnz7eBRyl5)k3D(8Z*L6#lYi|=uQpFDG&TRQ>~2g;CVv(55Da6909@lbvQZrr z%)P~i?TBSGf+(8F3Oa)gLqY&9IzQ5g53SjFEP)i-wWLa1ueQ{!JITYjSU)8uU>}pq zbYnLvW}d$xENj5@r9-u`DcEq_=Xtc4rpe%ktPh}9V>U-^pVtN<>ZfOpV5yZV1)9e} z*qEw2+S4baIey?(MuXA^6dEbGcAXR_^C#=98HoYN9>$tYdpnFD*1i|g`A zm)($-6M0+0H!)vj6Fnuklmmkb=zG>>+D>5!x|8pujrRS*#11pxyyYl9h(BtB(1Mk# z^x2|Ipe!?84q6f&V1i|E>9&fuXIrsKlySb~V_g3tZ7QtWU_;6xj`>2mxpFAg_%5we z!?nuWXf23RXq5Gt_8~{QXcbEg;hASFW0o>4%@$wjwv~Ima;mj%OJmeb)}l*3nD)yj zX}YInBs+Vq{qSSd$^LVtR668E+s8pppCcXHVj}7_m2M26NjEqhA zKkfcaWm{e6yb!y#Y1e5Y|KvHQ{jiBIi*V_>v6U_mEv3^P@c5Dy7)SW#)bYl7IvxGM zd)GvvM2~C7AHME*AJJvK0q&XOLaIEiZa9`1?oM-YDQYc=8?D<_rx7pi?H*bP zxFdd#+7qr(o{Cr|XWTFrP3%^yaOYM!RD95n4v0DwXkpNo?9g!L0z0T59e(KeibZun z3UON5aD9h1M?g+q!=SbqDHojI`8b$5l6+iMjH81DT7Jb9k)CeWZv(Fhkw*GI>W3(d z3^l$F9_$n@Ky0Y`MOt|GeO}*EW*Xj_d(y))(Vhw?xmR`EyZ>$<$}p^XW<^cH;29Cn*8>&0d|b#N;vLBDI_{c)MzKUYf?##9FCkF-B-FE04~QmwV!7OWiNljJ*Z zm3n0gM5){UD=X1yPz+^G@)1mygs$t7$7O0lm$$Ra*_k4s@JE3!G^i@5>`@ZGHAs_xBWw zFIw0^V9P}~$iolM@~VAmkA?j&*`WYTQ2Jvq!na}iE$BnuGF%h8N?mJlWM#i=|LwT? zJyN%2Y;OQXmJ^t{{~g)`MNdi$SyLm{qi+W)|GfGz6fMBq*R3t4Sj;pKMo~A~_Q)za zcu$pWl{c*?=+E62RE^7cm+^W+qE4Hq>0f=#oUlC9{is!y2Cle|kv15m#fm{n?Jt~5 zef=$zvs{N|GNPisd%BT-pnI>fAHlddsDHH3@3_5rSPRWNbd!RY8|cW_6L&eO1VG9< z_hO#ao13KgfX^5rhwubE2o$<6oPp6?j7quB5eR1o>&raZz2OMJnAnKgm0i&CMIw3P-$8*tNzviAEOi386&dCNLiefi^~52EJgf{J2ISqGF07TsLx)g zn=YZiCj%_9IZDTJC|F{|Ehh{8m6C?3VsFB7KF4NF;dOlGnnR0uRid!KM6Tk)av&Cu zTMAv^qn>a zS30=ohmakAqbf>mUSm~95tB*QQV$WVGSGV{K6w>d$^Jau^z4U&Dw#*OfE*AAQ=od&|o^=wW)-stNzi z+NmTwYhd~HeD#io#J1yznQA+5U%9(r77trT`d-}@8NI%q zYRkNAm)H_P#{cUF?1BiX4jXqg&K)rj=02iQJH;x+e}HYe=)gC@xS5H#s$`lww=T6s zcQzuNGYs=2SnXP=-)}KKUUAcF>?wd;3!^-C{~ZkkaHr)(+T(j~K8~UHj;A3BUff5yg|h<875*Q>@5jgiz$sO2c!5rYx0N!8Bd z%1&vfhnW463*eabNAKGTRq-D0>fCPl7e(R3rp2GRd9A8Ic=qL|D9Hy}{;vWx&2^(&af}n{cALn?3{W&;bpC)_K=kv&+i~{rXXeJ~HOK8nixh9-S1s$E z5{K5xYV=dhXQVkd$Q5Lral3gQU#93B@Djbb$%J3J6OTUya~0(1cxn}8k&L%3zP_>fCUG32XZ{}`xw-i~D>&7Bk(<9U@Tw}|G6j_eh`d)f{~_>cnR=_0 zJ1|Fs=>%8n@(#aoLfg%OyHsy}g4yfwgFoXlW!Q>6=`yOdL8EgFF4FGR6 z?DGC2X`)QM()gZq_Ll@F%(N}05Ii?s|38q`YzwL8x6J;f1s`iF?{28yY-iyejicoWl^8{E3a2l-eZ9I zP~9m5(XnMBxca3M2CO?dFJxx-S|_JYD`%;5;hrWo_~jAFA9vJXs+56Yhl%UP-gGDp z-t#JxMd0+4j+ZOtjIzpmOskyvRh}O;F#}gMXO+d;O ztZhRn$r#LfX;w8)Sh$?oRc`2wT(OLrWvn1|Sg}DC62wk}%BZ0T%dURJP})zXZC#dL z@Rxt4iE8_G?-6%Jguz>%%zUXs)clz$`}IL4s0njC4?R28W8*_q(;j!4Q4O_{ar>%=7Xr*YE zK}GJO0LDF5nX*V11c?gmty?YphokKLeM1E+v)qS{w>4%mSx>72L__#hzteYS^^fgt zsTS$Yh>?k%V8*yTP|LaONQHGwWX~&@UqDG2U&@YZM`8os$DDoU`VL~#c`y;_WaoB8 zOhCW0x67K;Pl#@+bGEc2f0AyaDLd-d?^2KBUJ&$-+2qOhsi|@F0zgIWLY`Na)O<;t z#^2+;{z4amYBY(Dv!FG9I7D5>A9-e%TUlcVSNU(kNXMw9^dVORUc zN6fj`7iKq-T~ZUNR>AxDiW}An)SnPc{7|J%XXX#hJmg*vnZt$TT=HW3nlVrpPsLj6X#xiGVo)!dAzK&zi3nzpo;es z1soPH?ZYgiGbh)vEb@MSAZ|6opg9y^XFaE1yh9@~X2(yfVd40Pe#j2|fZ(ka=@nHTjGF+ z={#7Dj3hJ13;E`pQe-JpMv81i);=GMB>u}PxdlevorU2%gDO;qvXNYJv&IP z5E?txbitfR2W?RSkjRQHWOqV?`)^jR-^VGwkC6tmfZ^I7wgT0F8#v5`N21@rXfJqt*G z0;Iy{>2o84%!LIuH0(?T7uL8q+!gTpj}_|mljKHusZ2u+cVJE;AI{_-%(4?stV>trI7#(0n}jEid`b`Eyp$0li0X{mCw$ zW+d_@dilE2n4pq6jlW_CS5(qUhZhl^cA36JYrJB3sVUzgsN#7HDl@GH!enVPSDTT1Y|9s!!pn`8=X%ufs-Sd*oth@x#&* zA-JL~|5B@R!GA}1p&Rjv(&; zajqlV?mvLT`KKlB1BV@0SE{7{)$?iA&CkGZ-bD8Q0RI8fR_U_$usr_(LT%vW64>-g zb9x7mpd}brr8c;f@1(~lEE>&KT!7?pxhk@S@Fsyv6CZ+I3bTRwL@M;1oe5Ea2jD)@ zG1A}1?jRqrXvzMqp+>n-ljlo5!lyl2VI}ryQ=llSbFZVuTJxhQx~p=z*;}oU1-uqT z@|+kCfLsLbI(yFn;=h;j+MX?}q}@`22sFv$gbmk887Be)6><8&bZ~_RL;dXKf)*T5 z)@u5~dc9~MMA|^t)y2I3R&{W`Al|5!>K_I#W!qXK%BkOUaUmng#x}_->e$TZa%tML zX=Im}Rz3LYuy`yL$pIUboakV8F-S*qxQ8A~L_PFk_c*hK`z7+6ZE0u=%QDfUEF-1< zNu#sP4}DkzKTQFdHZo)rM`nUq0F4XCX;5=gQbT|(`Jm7>ya-VXk;(nF2_OjZ2- zoV3dRJttZIr0ee~k@ke7g?7=*FRNLYcL~W;EL+%DnKU@R4q6OA0!mg+p?LY|_fYeU zk8DU);rr$Hny+j^U|*39vvkf5jdo#iSr&IKOPTa>9W!IKLf=oT-$!F6C}z;|kriy> z%5d?YBK8zPZHL>e@HaTUDL>JMa9@|27nD?P2T#yFj%tyj;GBvlgI6DKAT(P$MV-p- z9$);AqVo=C>u=+5h`m*7kJzfBYL8NTRASUo$lmfV(T4CWThUNwziASCvgP% zm*t6{sh?5Zei`1fkoEv$wBe`Dk1+c>1ojEmZ2k`bOLaK&I^c(6lNuQOxh@a-@>2+A z6Y-zUH)ufD`eiMy{alxPlV(!r#bk-N_R7m0zjukhTXJbrO)8i29F*c%^-?`aWRzx- zGA(aP2Cvh%V=;Ts{Fp>VesFs{g!;puNBFndNO*9(Duq_cTqts8d~$SKW>EwXj>8Gv zOLtb=x6KdogyrwI_@-JhXuZA{mn3jwmD*_Z#Dp{O)P95eSF%9gKBvE7ds3Obikl?f1c4U8?8Z4|~wN(~QNF#pEMQgcRU@_yDkf zymP7{>Yudsz}uhuRbB(Pk{#=nD#j@hnR#EU5ni#)b)k7meQn_Q>%ff=OpNIJFm$jA zn9NA!aqzG09|bmXx~Da46?#NUk*^#BUvh>v{s-98beI&ceEOtWF(o2^1DfmIS=~aA z03Ko!*qZNjQMwn;)&cJ=_L<$w2R8Sr`se7Dc=l%<4R%fi?%d;NB~VrN4Odg-Ulx(y zieW0sq{oZa&Gp;r?@d0jA=R{RSmbQaIX(p8hQEyyj-NFoK<_~({sXknMYp87Mfd@$ zA$<7xX~MKNRGusc%O`a#DgXMFVMAC%g;oeWo|v)-EN7YVpMQXO&jtj6sp(SoJZfuN zD~biLodGB?$q6aC6`)wequSc0$9sHcyZL)KFMcG99(9i6t{yGt818+$yomg?pvu)q zcimOE{&L)8Jd*#}gyM!~rhn8H#c})};I{~=gTgsp2w1HZxxATQPat^b#3b1?+1pFckxGmorjw*J`_8QazuY#eQ6?Ih*ACwzQFs>AZvE!rgtkJ7uu$E z^KjALBhaEHKU<0Fl=@KBICY!;fi_y&BI(|l3iTC~hi}Y&@|SclcKQ~AaCCk+Y9XJT<}ttep8*0|cqa`G-1X=^)!vRtL(?r8GyS$Igb9sAijA4iDg?^mxwFcycT zqhF8_ojtv4s|7d@jKDKdk8L74 zGaoePu>fd^*0@!O0?%TVS5#6n7)Ru@`)e4$(;=${ju>YT91;AxbeQfV-_K%AJ_DrJ zbVz&s5R1CG@zFKqIJF^{v5yCEL%r4wWew~?Zb;khR}wrqhNEZ-3dt81d%VuC>rNyE z=E#YDXn5(L^p$+?q}(jxx$6TACk@-FMfB?;0mVV`0wAHnr|2{NC6DdcBnN-e;J;%O z>Gu1YwQ&91ZPv}hG(*7L7u?0$v_6U@8C_f=%DvlhKx{yQh{a`S{(81p37~tD#)*t$c?@Gx5!f`BznteCxRFePj@q#|QTt%6` z3A7ROL*>DQBCoK;11CaVz%CyVL+;3QQ4)9s+sUnGo!a?dUcLDz zyQCQuU&dE&g-B6m=tXzdTH1Wh9b$+n>M%F z0i>aZZ}PH5m!RIiM$*)u+jXfKT*0dC{uAf*aKX)|EW|dG@{1gi#oNp_(WBkT+>-Y9`G7f?g%wGSKj_gLPo>(g!#J6`!r6Ajk%^1{z)&-9hQ`rUR(Lzt*rkW%_S zRmok){l2#y|F4dn41%{k!c;k)!R;wBfa4B1;3+oBsqXijx6YnPK)w_0-<;UY6v>Cw zEZ1CH?^}NWpfCTs5w?8Vu9j1NX2-OK}`ciWA=_0q+D0z3l zn$F!in=UU>CY27~&`^fII?MwxYu^o0mpQbqS@6aW=9q-OPg)UEsC(YSqo+FS^kXm_ z0!LW6ULgqa%1EDV}8m$n7jA@;18X7;jDrMFgMHVOJ#dfeMCbGGE@(H1fNxj zME%gv{UJ^Dw2`XnCKaq>P)NLB`S)FAjdPazB4%p&iW8OCSUQmOJ^rA+L^lyMeiE?8 zL2WnhQZ7yB<*Pgp&ypeYOJP*W>s+*Er?IU-Z0B~p-E9?$qo*m@r)R)o&p$m3+VJI{ z)N}8F{_|0&93TcC`2hAzy3##B0_5OVK$`7$8wn6T-Q*@^rbhe+Z z7V5a-gvqfu24FCn<+}_O&8JEzYl;2~yB|nbAA}{rwa^ke@Vz}smt;C#E5H$KdvRZ| zIlnt=Y$+>^JLssoL7~F(KIf@z{vQYEBu4(W)H??dK`x5FK>G#{4(#CE6RE7FaEzsl<>; zul&v6tGPb>x63q93+x=T#NSnu9(L*==f`jSiY-918zoz9AHU=rvPX6Zpk40-D`-HP zI$Hy%HBRk%Z^Qb*A0MP&4*|zd`8>iXZJXrt0TJt`Sgh?FFkrxf0N-faMw~)ZY^r9X@aIP1QGby^iNeskaE;p?D_%22Kt>$AMo0Anq)R|Hdu*+EJd z2`5rZB+Xyknj?kV_U4LsompNMtg2q3e(`I{6-R!E*z$ezn?L+`NrEc)>wkdR=&}gI zd#ifek;9|p5})0P;}XoY%R)9<(pSsITvxTaK^xqca(&`L`0ZBT&EO}2Lj|X1oC1jt z7L_P>pV@u`fi>g>_2V5sQ7*_(u_0abqJJ8fPvN|r)+~c!0(v{1ES)RU*4dCA`+e`w zzBuTB%(UWQ-X(KZtp+7{H?BO@l{lAzrNmjeQP1#M5HIuyk;%Zi&$xJ*6FWsh;}Pnci13&;5Xkmqp>u zQm7Re*1*6)6ZjfVU|nvzVcnD>;L1j|sMr@q?_7g8h~|Eq_4@v7q~iwjm30d_Yk28; zkQ$BYjZ;}sQU;6E-e?2RG6b)gpUMU*%1iFvW2K~`+qt*CGmw2+bu4WXszIT}$bRW6Aa;#uPR9k6#Okpkr^rNG zGlZr~xlJv8{L+2r`Q|_Ah>Se*a2Piox9^ zcQ%a`s)lbbQBpq;L>t-e(e(zWJN|Dy7)ujX`s6p%^J|07mrFK8TITv00OrwLs5aJx zg0R6>P>$PTd(KTz;;HQ%Zu{6uv|W8zl8y+8S8Tw8qx?N$R)lZ~o73P=hY6)e^KbuHEyBgN$C@RVsl3qM+hMV# z4v0@FLIeMvRnA+SC(3VbXCo+RKiSkC-=JEQf5PG8^}#g%2Z;*^WzK7l zm0zh`wg}f(aP@2$k9DJVy;6GYTuhb`vUYRQ-7@IlcY31z!dZILruYj_4ceB#E1`Lu zz^nIcW$osoIVx10L31jQmFPa~nP*d~9e69QFs#DL6;zQISa#iKb6o9;KAZ|oQ=*M0 z%?f8b?%vtDRi(lXIpivxhB(F{d_$sBt6^IPRip|oEFa-9=IgOdvlS2UC`mUCNAxcN z4ecOF&fD}{v8t5oPcbc~Fi%}P$AcDa8mA?6#jFxUJoz1BY6&eYk35Cv9gf|s=CY;7 zfhv3wm3lPPOdRH63xzMN%c|*=+KJ*q-n8b{YaUpaF}H8*Q-dTAv*NHXp3^&wXL@2> zkBOAjWO})On*41%+;SulEJL?Gn^}|^iIWP`5(kGrHKfG5EM6EF10cy15!VBe-EGTD zFBs?6URz~6VZxXKp_?ZUkb=-T^80U#p+80RF#Tu!=$Jq?hs+Qn%U*-%+-P9~ z(GX~sz--%#g`vWXGOsb{s+utYXo5B&G zESak<^9UBZ43aASNInfgX=>4n+jT-F{5A5OJc_T>3(U=(<{9z?yLrA8+Bynum?{m% zX{E@Isvl~%l+q}1<*bub2r_Pm>1J|kA5Sn?wNso|p}P|gA%2#)wHH-3gXW)fm8rJtn& zYDYdCQ0X^#y{OES@$RSfLSXtgKZ~)ccm-;16&D?wOlkpvejNN^Sb`Gs$>6fPe<}V4uL@?~R)LG(R!ZqVJrce)o0`r~DSq zr_`Q^d7vu|iR}`fKhGa7WP-cU*2ytkVkK5MyQn5<&ZgEuTL3Y=ht^kP`-7gixDn(p zwEp##}$1`?q?f4KS^@F>vU8QKmBHF)$bB6kMVHM@kZC?XIJ^>T9CiYg`f4s@<36OENh(Bpp^Z`gqwPM z>@*0^>Pb!hTHsar_Ieg&Xf>ChrXj1g9|jFhc_tTQv1wHdq_9U733P!a0J@JwC}usl zlgBHIA)#h=xPs1RdOEaMLVO&<7kk0MdGg1jzu<}_NPfOHkJLVSZXB+_mO8}~*z<;0cMg9QR#!Yeqz(+gPA#vyirpJ)@;JA3sr@`2ydQp=`n zdn~hY=;uQWJkB##dW+RKb7+uaU+Fx#b&!K?0!teX^D3mh2IbA`zJm8QRGTX(ma#?0K*NF z#P41(TDGi3ryNe&_8$e)c2n&FaNNy3f#9S7a%)ea%7d+#4zslP3=o&QZ#_l+7_2lg z>VN6a565dsA1PMeEzZ$9>Y-0`FMkeTcHna5WvEb!@tl1Akbf+8(YGFEiVoa!> zBA9`+{50h#HNj`ic-&NFDl9+!W0QZW;DEK_9BwZ0o2~bG739)Zf!Pr&YM$`}SZK|u zwsCLjxOX(*LQA}kC_W1qgoj(rs9)l{l!vIppc1CVkQ#wU1v~WIYt)$=Oet14SZXZY zUAn>Ri*!-bejn=FT|LGmZ~?eRV#0;JVNZrPBjAOb8ua_Ih_+{%!&2!1>B-gfp0Q!x zIRw4N_9=z}Mt*xw)5*?qIl(x_RbRTMi&O*aY#I(WBvWDU%9xKmxWdC zhs8JUTc4r%VF8^wqw{d?S_9LtM%?M9J!*nluT^-%*U%Op%Iqxl#NksV&ZPLuqjNA8 z-HN~t(692SvQwzEVW0Rbi=x-%lWa@90 zhSs&#`S*+W+4L;f)tc+r9A2G4!xH}C%azYyRxFy@haJifYuMh;s_Er9J@ry;7Vom< ziP_%WqyP1WPl|oeCx2Evy^y?zhsno%7gcOkarX6|zfV%HZ_}!a6D1g-t>k81Q_NxF zp2{WWdK>re@2BN>eV~w7=bm0-u+>+1p@&Zn(;v9aLbq-7ToGK1q|X!_6%i3pg;xD~ z71%*mn6p7SFJvQ|j@K&k^ZYYD2)QY#T5``)p#$h;4EUXG7kSj2-`RF~Tpp12=;L?2 zvgRqFq&dGcX#D_<^xyRq068IcE zroE|mZxqdJ+BYka{#mADkc&#QpcM1mY~aL@^7jpcSm{OEMK%!4)69E+UI^v(uGe#- zXZ*{Fo=jduPu@W%ArJ0rF-#hF@ByGVb}#W#U$fJtIx%NGR*TsPL1tH@)WXVV3*Qv@ zEe4dJwM*IB;EpExLE=y4vM(X34T_~;&b+H?YM)n`e$%?-5QWL;^!{LpD~ZY{T?m86 zLbDb;%SUJd9MW}tU06GJ2p`Nq&jqzr^bC+)xjMI}mBzQFdbIv+Aw3De@W&WvsH^LA zRJL8ztD0Q{;vL?|6k$9|-b$V!fTT51oC5x-{YG72(Y68y*B)%@OV9aElPXP1nYJ#( zu$dqx&e>v{>uFO!K2vGAqUybyo?va;40PNb?E=#F=t03)ZG5Ez(OR&MUCwE6R>dB0 z4KX4#Q?q9p>!Bgz>oX_a;PCi*GtKNfCYTuO?4gwZ^A=EKBH`y8hY&>RFd>BjwuWM< zVnolQKrnjgzxD$Ffd+CLgGyC|o$_6k2N=J^um1HU7$@zERVyVAuM0JSh6&LRH6BPe zj`W71wn6XlX!1mh82b%-^hB-~I53>a;4A}G{~^L8EvnQ`uB1X)0*k4dr~y#2@+>`* z6>I!T@JqDAsv1L9CHz$8Hnb`8X$#fVO{8_VGZ;+F@0QJ*u?SMcu}%#(0ZngBS|>1c z%q!}@Y>)<0;t2z-TFyMM#bskrje~BP6j;fv`}jzQn*h0Mz5TN9 zD*%o6cvy(m`J!4ClFDsGw9YC|ccdMW^0!|(qti1?CP5XR-mBsjtfA!^s<7+H%K>(d3 z3~4)+Q~HCz@Hf@rY1a%Xo=BQ70Ir2w_@zcS3eR^FMGm&ZAwKfQ11Qd1!ByB^x388x z%Lj+K(Z3M5CzeySjd4P8o|-}RtWM*%Tb zLkg@tiIg`jTQN3JIRID-zhM@e|FhkztbbQyzkHU@r`VXP;|Js9Z{f-`-jBI^*g?9v zoUVA9e`4fzIZmq+CbnoUqzh3VwqnB(DYuZ@PM^*hY<0G9PkuYgchiWG0yFH=o&i|FgaRS@!5L%IB{Uxr~|-5TK0p(r7JPhonR zD$54Kc~pMk2_Wa7V@0&@DcmWv%}%d(1A?mN%~N#-RB({In-c7&SLYver;b9IHt7eC zF_L|HJ2e>(?N6^v`P?JkZ!@ASSG7W4MFa$*e$%#?;K~^HQMII#l+-tpNZ2pJ%oAp7 z+~fLfuO$l7Z)@hlfc<;6d=B&b&pdSYN%C&9R}|>(`t=U-Zoj2#8Mn03bov|c19;f@ zO}<=#%Y__PFuJy`-vX6lovTRTpCaPA>}<7Eo!P*B-!F)QkOyp`WoG&Ixx`jfeAX)x zx+E+2R!{+WyZGkSzBfvXe#$VlPnAmExg5>W@~Cq|EXQXP3ce4v$K{w*3X7vvzeN4! zg6wuPUpOuo%tzRV-v>tTl9Qv1BlOPn(VgZspO0{>C^ev$X<#L(6P;Vy5!V!5H>EHL=dYKpxhaELXf;Zq0v^;Xz1VTn>Gz1#yMJXk}y^<*f^uqH51=u zjUGC`I<+)V7lUj(OIFbM6o)y`bqse0;UgE(MnT{!2KwIRo?Q>73A-M8`NycQw>Gl< zgxV&IthbONWZPTK{>7~YF5a4z{dCjmV?h-3RN`i9nkKm9mK|kTiuju_s^t z-IUL_jSp{s!e0)}_~P~0qFQgYScdhWjR!Axlk`KjE`9X!8!4W?@$gEs9y#K3yu+LgxJ={rWTuKd> zJD9w@#ao{1=kyq(y6naCTq505)>Vld<}1^it*ApyRqCD~NC}3uE~Nf7`q-jEO~a{b z*gJML_739*vkqm|5rG7JeG8C{;WoCPe04)*`fiZzI~r3ZKba<+>`tKs*AUz2eQvTp zb&|vtnnl%0N@ja+Nb?K9!cKZNS~20*FId!XHl509htj=t4%%Fbv1RV1DP6#-fuP5N zw7UA9V%~QfZ=0uXYq_p*;AWC7hMdb)u!8?a9@*lJGC69LM;w(%wie&{Qx)~JHF}hj z=4qVmI+BwHfp&By@nEn-k}^ROE@J8h_~86HAtB{o=|S9PmFD zHK#+bCCjZK1GTpE>}E;kf*ahFqb4gJai!eUXJ`j8yJwX>HSq1WJ4x4`V z=FWXDL+BLnhV%EgFcCs1SH+Fm5!m9x_8^8Sn>0I>k9C_AZgp`f+;f{wCmFT+#tY6` zKE(_vsm;uIq*w=?cs1c~^ehim2OVq7y}W8_m-FM-mv-=y9yE?9Ky&8#J#LZp7O^g_ zo%BRVabxM*DRSA2tYEg&)jnR`0C?{?@N;dfMRcHRIhc!4*gv<2A?tM){uM4DB5&^F zz2cp2x_Izu;v6=5TFia^j^{%w@7f$fgRrU2Ys7F*-!+n(0-27RhiZ7GQKewPH5fZt zU8XBl+`Y&epxX2LG5QPL_^+dRjn|P5x_S0xIrH3)33BBR`ixy)7<0enCGQdaR~Q&_ z11z7SL_B}k1=hs5%Zo-=VbGhPx5$Rs8Wz6a zFjgoxs#F&Nwdb%ObhCzTT2FGv}_olw!Iv>igKQ^Nn4$Im9btB8%wnKB7ePRCLq?J z6UW;9tV-U(DmZicJrsq5lgVVDA0-(v)-GD*Xrkl=(N$ZK_KcH2Tk(n4@|0`w>T;*$ zeBm+FvSPz<#q5_0dv?U)q|_`li%QV`X~}0UVQMzl6s3l45O^QM6*0%d2hS?j_tPR# zmY;D*P>%T8uCBVshB}>=M|RRVD8tqxuYp+8x!%|tm8+S-oy)CNN54lsZOK4oHuEIp zJJ{sjR^%w3&E3wK8jD{|ys z?r6k1Ib{tf=&+?Lc_7)v zY2pL)3qtSU88D-RdA*HsB$iW9gAK=2N1nqTY?Wfa62>GLAK3DL`tMG+fTU!W#BBaYCPebB^B| zf@+q1AI`!pj&MsE$D-S2HRWSPxGh|z7A{MyCCFtD3J9)m>us`Fb5(I+c&vquW|1-*sa!c)JuKh)?a5pw#ZCUJsrMTELVeo?$^2Q_SDgw7#{>3o zzVCMaK&dhtDi2#wM$(MQ(hm#Oov0+jZrJKY((0bYEf>Dp?in%Eh$}*TS*N(h{&xFl z!b?`3p^Nrd6^TUPs&&0f&n+l5OWc0x>J80}g)JT~Gk`Ju4SaH1#Mz&B3JD1xDh(;| zcn0#VH$=?o+rDnd%+F7`j&6mF;@{he{24lqv3_FGHK%_p-Wb11>86%0ljczN`K4fZ zb9Qff3By-I6@viX{*Wq=UaclxI~SYW6Ztze5<1QtBco+}T^pJW({GUZ5Y2g^ibf>} zn6G-M6(H%Vg_;B$oh>~d)z!jCeulX)apXhHnCSeX`ucLTjf<;tGIIbzw0+N_I2piY zpqF_`PI^tR+tq+xV_yN8JNag2tRggs=`Hp2hY3}yvwBCt3E;dhd0Y*yoaIO9PRHlm z#ahhhOO$bnpS|Gn#Oh*1f^}1mYlGNP+~XMh)wL!hwWtWVs*%~SspIQ_we8Ni)Bp_v zCHwo|d_F-eJ`C#0_RTM0jp5^4%I^`GVk0-W5>Smj$zuUb|$%&LyU}%pu3*H(rcr2$WaT4N3fBc8e><%M_OKw5Zy#i8)F|_t2i@5kjX*z{^hFa z9&POlTC*OEZ%99e01bZW zl9CbAoaGuOufcWYHITzvG$wn>*cG|IbzchMTsBg!(eepMQ#SEhouU=Ski?UY!iDW? z#=?V+Fr$>(JSiOwJJ{bsdaa@py9kr<;9w;wQI+4(f8hgfnceT;X@K@)B(vYnH~V31yTkIoI%VA_kZ6>-!}S-2p`jIX2@P`{JFYJ=&gQEYzfjNW@^nK2-Y3;# z5Z_s9t{lu-gakLd&TupH33ogNw>lv6XVW9mU%OK`1ac6~IMRSlz*p4fm_Xs=?= z7!xLl%9FJc3Edj^=76^pi;>Xi@_7TVSGBeP@hz8dOHiKnOt6@{zFfc>8zC)4NPp&X z;SS#8e2|8q#Dt-JcWNI*bz6C0m_zbCG*;aGC7l3ReZ!>8C+~zVcSy>Yo}RaJv5@C@ z2|}}&7fp-L-+y9XJ}oX|L#t}CT*xOtkwAtY2-iKRu=@A89s5(%$z}9ukU*lt4ppRM z+Qw6LY92&T_rWT|odW^+zj~h`|EDwH9K{q=A9aO#v=)re8-!Ujx5gYvz0htMQ`Md? zAuDlf=^0quc>e^-ieNuXZb3N#lZNfWQ~<$Y(6D@c6$7D;tTAG-`mJtV1;ja3yX4@Y zR3th%xd@AV3p~rGX-C^pa(C!rk5Z+Fx5FUvq-$)sefbr%hQgw3MLp=PiEJ;bc|c^{ zn*Zup*J5<3qt&-XL_RM*Ds(?ed{xtg=$EL6DDgLpK?pl;p^AAdNb;pk=Rc0h4BovY zL*6{FjM!4o16d0h?zAfe6)>)|=u;6x8#DukdJs_xQVe*^eDnsQvshxk+AfQ`PEH-- zL8H{-`!JlZkD{qf{>mC5^P|SK=yzaE!K6L6(#XC=79`TEXEZ)0$>(4#8j%Rh$-_*A{2Q%q!y-{R25s-rQ z=RLl)s>;ewdFZp+caq!5q)es48Pf6gOH*~mX1|pn8eK6*q;Wp_5MHO?P;$-TmA3KE z8|HTXLiEWm%x38r1f@Rz*vCR&R?c&b033-?b%ix@6X44DK>M5&^M>kx=bD<9PvE~- zRy8wXIC^JnT=Q;<3?kH&o_T^Fz$8igAu{9Sr0mm>h1&VJ?jf+N7rG z+4l=qpv|v;4hsD0Br`>ifQrAz7xfe{m3lMc#Gbys$ej(7QoBApP^W5K|N@2_SCLp#4 zJ%}6pcC$fnJH4f$p+%|3;q?_(iK$ogxLC;{G@<`f%A;)0FPJAdO{8H>4y#1$4mOPel+~aZ;N)7agbJ5l;J5-_Wfw7>d zpY{;Sqk8YGdTKR#zq$xb z?}M%8)H}$tx`?J@G35jlPme>})*B0vR;_}w0fL8TNAl00J#M{$$w*5d1*|f5(Iqaq z6BZ`v`yb%PFP9@ksdM;<?ms+gS-@Ve3%6pn|g#Go^ZhLC}$)AGF{{Uwek+0v^gf^Qa z8o*Iml4{mXo+Vo81Yq`nGpsX=T z&jIck4E9VR5oEf@_!P@AhU&$E+FkekbR6;Us>bAsxWQa+GFBO~F>LMQ1Ja71qp1h` zd0%2Iyj;7L+kf0fQ`He1%_L`f(X97HE|p&D)o4Xh?%S6Sb^M%bq$SvWG#~)NVkS{j zPgXXBtCyutCudcW@d^!Pq`5u_Q{t7K%={|N(=qGBAebm`&`N!CI=u8ObHyn%E;>zf zpfuk#*wxKowO*V^n>qIKD3hjR<6zv-pc+S`csgFdWyVn*eVkD2yj8SktCtT-jJfa( z?zlm7Co(_O-gi&*UH&Q)KW*Zu_#fSlfeEsV{roiR?a8r8l{_7zSxeCaG&Hq05f&$H z+l@G&Jo5NAon5(neJ~b-fobvV4Lj{m2pCn?@Do`O_p)r46W4oBp_-9C>`P>g;27cO z>!~fn`3p;vLJbb{{%Hqy7X}FQch{75F~6ffAt1-=>QZCmYD}sH*vEKw<=6|>wA&z;Q zBvm|l7yiK1|Ex+M#sc4jW#hmz1dq}SGdia@wD%(J`3L11Jqeb7vI~MI+$UO6%yjwV zUG1zK^n*w!4O0M4g5TX(73vS96|M^TSEunw{2pp_{lwYW^|PPoBeZr>A(CNtQfiMD z!Y08?<;i-*H8!AQI>i#7j1z?H*Um`r8t4AQNKC33wmX52O|Jh3IIWt{kpC`ms$Z8` zP{JvGrEE13(D8I-LgB%PL0y4!j#65Ipj-g1#sY8jj>}ICbSTNHYx=OS`7d;M4etV? zlBTlBkh)DT^t`r(+I{m+fszuNZ+atTNN4P(xb5bA($ehcUgdBwDByI8)8I zal1Ssg4PSIgAM=!gAz`RDF5^hw^kXRTWt?0DLso2ACiQ!=6t)({fk?nPy6!qgffz> zS%Y~|yf^GVuCOT~cr8%P&%AdH9teO|DtKny{xv2arovRhm#$kkNGf)!7@WXBol>;P-O!?Hp? z*r$7d@QOPG$A_r8ryr*lHA;2qs60-k$Td2bm6+SvIBL&z&!Y<28pAE)4kv8nqK)#WV`xT$sRG6{K6VE<SpyVSp$H6JYe`p#o4+0?&9pVj&6UWDtF?lee?3SzKazL7L^?P;6tdos?Dy$vkZ@@*UB0`xmi% z!&c&ULTbkVH$T>D)qoixPp?Ntox-=lUkl? z90jfOVa%lZSo6G@A?h*a$6)e=f>r0mVDNX@_CriY4^YuFNM>$0J-B!-{wMAyufx2; zlz_hE#AW$h;VnqM&ge7&_>dbU_CP@7{&9+p19O$8oALyN?$|-UT;Jik2tk3ny85Jy zQ7SLkrrA!6cK9oL53zZZivg%L6lztY`96v6g+i`im3Mh!8lGJfrM<~^3Jq9w&$Nat z-FHD{RxWs{IxZTF9E|R?yK#b!C9Q27i!oy>)%oZ}Fwa@hyXLDsbK_2F9vMy?SA{DQ zu1u@SzEq6`$ag7}&iyTlo)vEtn}ir*?_ zbUechdEUB`=>BBBvYlaJ(dT} z)oqst*6XM!(cbH4pAT-mvB4!)lu0YA)-gefSJ~IkTHT76<8J*{;2Z)lFNNsT1MlnHU%1cA#s-~?zigX2+{>Q zxy334pfxVE`L$^Jn>Kt2#%!t@e}k=y2kNo1R71mzZZo?aJ34w~9t3;d^!`uGh4im8 z3sSZc^mT$yr{u#`V>WgXWX-BCV(C<~d`b5u;$*nGc)Mrf-G)vY_*x)!@Ej|DAQ-Gf z3$y=h`~CVHmUc+@YqN7ebh)$IZr?opuHds~Fgvk(MqxnkpH#WxNxNnUv!Rd%`>EpG zn{}zTR&iNjvXuhckGhR;SuCoOQ}WU=iJv83OZa{oTh!A14Kp!S^10q7k8oU;@oB3v z^ZDzLK^miL)M~R+-?KeU+k+>ob30qA0LA#Ga3sHHRRN%qEB4itx~C|ij8^X1*a$_6 z_M4Fsljt}#&b6;>%3=IS8DQ}{TQ)uxAgDcHAqER%ux+0gHcaN7Y6da_9!3QEf0Tgy z=>KbA-R|Pxn*(&$5|u=EnZ`noYGB&$@@!tM*+_J1Kd_8cd1x9~y}So3P_Ex=ko(fk z9{^w32@oo9wpnUw#(VJd8I%N+kPdhJBIk%XKieVv3 zc{NXUb49g27hEsF`Tf>CtN%x%-nuyoqc>Ypq#zYPoP<_fk_Xy9+fo=`=jrP12iv!FCn2Lr=z;kLDs^T{3qGAH0Jb`Kk*i>#S9ePX zu_TcpR7lmFLgkbb7)^dncy6>pkoYmEqHAJri8&M-#YWhD5~%Q^HwUhK_HQZT50X&T zxHltnSh_y#uSGG+%=sU*3V(xS-e;A6MfLqZz?DP?YgSSS9lz3*42>_=T_8@itg%c* z_5r3B8ZDA@sDu4Xf25T`<~eADi<<-xp23YUILtEsD%1BXepo~?EIjo34!K)hhX5o_ z|Cn)KR4mzok^cjjuXwC7ISB=?Czy*bi26c~6JWQi14om2c{c3Kz1fDs_37<~_kuTI z<+)su<-?=NelAaB`B_#9F)I8J?(cpD3={Y+%xg8fDGg2zbtwPSfq9UrIFkAe8oW#6 zB;~~6849{fq6=#j*-)6BMK}`#^=L%eF(l=WCk!e}nM;HJ>TJciLd)esB3SldK0PwZ z_eMOp3VcY!Ga-*>(j3zNDo0qqGaZH?UcP#JoxXv!$yWcGv%1}Rl*HODr$(aL$5We$ zoO<+YWd3nF(5tSVj^huvR)Yn4V?j^|pN*lGFSmPTkeZQxjtPvmZZLTD?&Jn-R*5Bg z?vI5xIyUUBtDw3;+7j1G+w8m46Y@FS7#fTDf+Kza_EBLy!63hp20N4_MLl~p?l>~I z61N?~Y`toff3xxyI(qk>dF1k_%I_9**sHra7TMs~&-XUnQ& zACWz>$-Fx=WS$g~P28RBh%)X%zAB3N{qFzIzn}N#{dv8f&&Oj8`wHbwe9y|krG{pn ztQ0(a=H;TTR4vgUQ}xG2?c8$i{UpG=lqL9P&%9hwoJ)Nyb8bO}ZeUutMD^xS>oPn! zv+h0W*Zg$Njv>=^{_96g6L+j;!VU}W>Y)LAR$VX;Og9&)qrN_!1slc=Ye~OjhVRo4 zLLVnaX_F$)V)wD322ldS@2m1Tqua}recc4r4Fz`3ZSDkk~hhhx_- z-}0K4ZbUBfaG^umGAnuc8Snnq{`yD%BWYkWp^2m!foYK!VNsy>omEFYcRwpQR+vcF z2)0~Uig;vX*zS!t!6d%9&p;5xU^Lm_%NX<51H+IGvHU*h2&*{R*W64|UU4}2zD7+# zBlD(8_ta(hQFg^>TF=<9#5R479FRv-t`s;=yzsB~F))$~95}L8oDSwqGb#d&aHQo< zM;Oxjo!W(iMj-ioNHFX6_AP;E@1id&8h5{Qu#oo>dIZ&WRCyt(M=2FA37VwmH$=pc zPwU=tRHlly&6h=ixT^k0olj-v&X2F8J)Q$D`u?p0m9a zCPx;A&8uFXq(a&vK9X5vZhg1;j|muUfBnGNq2{T#SIvTVCq6*2XJ9@&9{L5@Q$ysf zRI(%@%UD`im=wE2(~s&f+I{zIJ?QWjwI0DfiF9St9w^S)^efZ`&14=y@0-=EupCM7 zrRjFdPz`TP)tp)xSImfqJUCt=Y0>Ud{DbdTeCoH1FyQvCw)W&BQ>eU*b#mNjdVX7u zcKVBGD^QD!p-a_znLj^B#Khd>y)Z0UA_V9J>qF62hmsCMt-Nic=LtpgHN5(q?+G2Q z%c~nZ{uIO8?ySLhShOYpx3h7)#yQ0m)*_u#J9!Th@hIPse9*G^w?{bVC zz{fV;DP*Mzro&Zvc`oXGQe#351v=L3a;lu{85aH`WxE_h!BkMz$F20XE_@}oEF`tB ztyDk{)agfshxOmp&9GtUb)_sR`~wM5cJx3VyWa^{lK+4!tAb;Xd!$6_z6RAu9B6W+ z3C36eyd6zrX$?>8LtbiR&u^f3H4iL+rXL6xIScR9!ioznl=aIUc! z$>Bj7&KuEt0fUX@#gZu5HYqOE!PD-2sqU{u7jqVgni3`K+V?AR>d)DP+V7US>V>hUO-T2s)E%I4%Q#%@7ZN538xgs7P%cwmK|N#GF|-b) z(V>?{qpf^Pi|zN>G@WhJ179N+oK@+65OcG4?3ydEXIc*O2ph_4R9cK;Xo~O7= z2vdj!PM7OU)AR6sjucLvzkR~$!};#BS(jY6@Is7Y7XT2Fawmw*z^F{{aNH!E3nDKN zykCV?ayL@Ti#W~5@=Ldhs9e9~-N}!@`@fxwbI<%N%-OkLaoiPQV2NB}J!uUMhcBnN zZaP!7@nC&I{4WKlo(l`U=?V)e)j_>`oMM_K^81rb1%_EmSer0=eI9tb?Ss1JK00wtC*iuG^8qe z<=+{z|B;>b8#rdG4$OLHXr|oxb!kOh_GhQD7q#E3$HnQUQupX2Li&{2h$SicK?$#H z#0q{y0puq2%0&YOUa5cz6;JDZ1f41%e;@dXv+=Jef%nuAbg9Z~;JHUQI`Uh4qxd}W zntsdaT$>yl>U_dxolrhsy;GpE5<0WN&V{X#T=?e()RfpS$}ff0JWcVE6Fn=ZE0UP) z1Ix6jB||>#m=&`r7M&OOYsgn&n-!Fv+Wo4+g+{!FFKA>OpJcHSpa~D6#7w3MzkB9O zujFgETNrGqzvRQckaW}^idM))yuL_uaIT0W&YCNp*Bzwj1HHI@f9~kC=VIVweQyIu zc=)e5T~@(XMMPhWPpZt2LFaWABGEJ~TwU0s1|#S)*5Xo8d*S8;HtsMbAh9W$5*1~I ztdZi|{aIf4qoE*K!Iwy=>o!C*aGC;9)&B@5TOp~j7~ph;`{! zGdVlW7}gE3r&-8e%RquX*&suMZD|jOo0B? zyb_^Tp_97iaeg&IK}Ly>EOkbAMRAWr+&;ZsTsFYv975ClZsah+2J|U?FHpUW{Nswm z6XOubQI*SaK4n)RnzEf5TZLKi*sNyH7^aOthvA*Kng+Dw3=wR?D|Dp&U@NPD^q3v~ zEuLkk{Kt{K6*iFck!VxvF9Q~Oa_TpvzyE$d!dvz5Av6>n^%2hIkg|EM(7}~5tnsK?7K3%=a-&eA>+!3G>>{5A)*V{rC6vO@jHDuL9>V;HW{&|f zn2P#nBf$@(TnixdYcVjrM#oq8Fa4YXj*u^>tk%N@gy?ev(^`Uz(pZ_A3J)8YeVX~P z`c$Zj?V^ixCZ+VjA!WdYVV>4De8<(dRI0OWKs4;JL#sxnF`woI$tc9h5v18XoTu5I zr!2^c#Ls*qbjMnTCu$3=ACZ=Ih zsC))q425e5{nj)+ur!@cZQVAowWIF=gM1!K zB_dgq?gzDMox~w{CT-&!BG!XgObzpNJsTuV=__tnlWX=K?b~Gry@UrRM4emP{cB}Y za|nJz7giV7S{E#Fw~Fn@D?gXO+mAb9-1H!GFAHE%7d8)nLuzW4)fzroR$CV+ibn2E zrfX9DL?WW3QJ+o!M;371oWxWT5q^re17rJ6H<2s$5}sA#nnk|*WZAEFJQ~5&8Q8$N)9<+hdckYBRsNynpNO*} z&I80eEoooytP&Wj65lv7rjYbIx%$#sPG3UpBm-GvcDUjF{5R@UJPX^(?}Tb<32YGeCg&? zAviP1iw-8UdzR%Es5S5(DR9mOnXzzosQ+O7XQ0L%+Gg^u``tUfPnRAiP1*OqeYWP0 zez=UmdAGWVvP=DSx2gbET?w0)n`X?9$JY3K!uX29zY@}K4h=F0DU?}2UIzR-)5()c zbDC&zMRXdXzbajU_Jj2BuUyg~>9&pEZw*(oQGeWE_FS)_dhLcN5;13-_?Xe}sG0~# zncAj5S<F@U&i4=j^+Izv}hHl+a!|uRrsXM7*lW>vLc0fteV`MV*0DE} zPohgE>{X+ge}?dfNP+yb?k!i#^ye3;D)HEyg(rrzQ8eTD!u6<}3iG}X|K8vd3=C-1 zttdJc_XYZ$+m-itQ{DuH&r^|iV~qqyrIP0E3W9h*Mj`?&-wO3p>U3FU(Sldy253jjYQm>Lsy#` z+f55UYgbe45lzOXtFbX%k*N&t8^k@@cuU~*di5e_w~aa2Z&zsCfEah z`D;VRCN`oZmSnlBvMdihDL>GQKzzGG8kCR(t zxSs+9Y27pGKEBxnX;6Smpo1M`HG1rwf)fsvl^03 zeb0ZTtl4e4W*Ff#DXJR|V^e}QpCeUeBS}#suE$}SV)hqO1*iP_BI1-DifcS+CtO$1 zvn-sMBs#0?%jv-DD1#6KV>3-A+bHox;Unst%2cwyI(iWyr%y~Ae&i-LSAAPQ%p;b% zFzrN+S)?adOJ%cBg`~jWIx`Vr$$-;_fkksKZEPvT&@em|Vl5Is3Hwfy!bILsnA0jP zPvq0|Jq)--5DbTO^?)YU$JY|L$oDvh?RM-f@@VgVSZ>c&Nc( z5@;u<-icE-BK_Psw|LhCeC`A-QB``}{mo7a%fcwKt@4rUENLp|8tWegzp-Wt)YrXp zA?weTDb-<-q6*35{Z5K6ugnLDHCNf1SZ>znYmSOpogwLTM5`9PMG8F7ZlueADUCC^ zGTxmuxQ>z+Na_ND_;}QJoF|vGE}X5}e@w1{!IPE|-4ha1pHh1~NPHtyHG4g479u%F zSo!=b?kh`TsC7>LNjGs;pfF0_jwSw(W!2pUa&vB#a<#-3RFy`yv8AVc!(J^&-Z(xg zPoFwr*^&jPR|l=)pQcIO9_sk|TU6mLl~q@StI?xgd3=C9<&&cIs;lssdr!h=oR9j@ z(WUY;;e2?7h3RT4$CSwZwB$9u$5o4pq6&#Ohhsle*A!1F$KZI?MDvVIQWl(TCE3EK zd&HXeg3>}TOI8U`Baoq2-0i!sUFgnD90`Gm&mkM*LyL(*&@HrB_cim_)kjZ(5x;F`Zl9bn=;w zdUmF0*7EAN|3?ld!;lkWbPZau>AM4& z&HGv^nloKJa;rzH^Y-ezCuMSyc>kX+BK7>Czvfwa%n8_%dW^*njsdK%a%~Zj52Qoy z%)~y!e|Ty)fMA^8#s_HB@Yq`6@Gmu9jn|vgB|7`z*+3%^o94YY|Jv@+#SGJ>aaO<= z&I|r~PWj6BJ-PVCJp0zQ6UKj%fA49PoY2G5%yGFV`iPH#bpjhcIEQC7t?Yk{Rt)K` z>Y<@gaVs0wf<(HDkP{QOAjqT9U6QB#IH&O{~gIK7Ia%t~N1#JdL~Hbbo$6?%v8 zGH1f46TExKGhh*0O81MWNT79MM0k@yrU%rxz<>29QqTYrX=#FHiX}^%OEtB9B2te- zmtXD-D596*i)$7W^j~H5IuI`)X#JDdC;*;NT)lEx+IwZ-jm z_7%|_me?NW3(W=0h-$$Lh+&?PEoF9+%q*xR$gR`z4779d&A!tz?Co5FwW{;0?mTS= zNx{Q~bG8*)VmUeV>mNJC8g_*9_iXHBi_O_C)XY#F_qk)>q=$j0sbO~=PyIIWgE`8w zg0x`sD-O5WdRWq6Kwkg--SpB6t&W~zvJ~_(t|?o9ISBkil*hn!F5)*#&@uR?IsR3Q z;wVyUvH;P199NahKGxUJZN>o#E^_7PU5vPZV4rw@E;pK4*X1(o|837iI7!LeQZbke zZLnAay?xNfvOFc^)BZ#8Q+B@qWcbJ-KQO2EqpxOrFHyj`GV)UusZ?<0YPk9DJ}t_N zy~k9=gSpGwb^j1I{8f&a=TO1ia)nGwr)1g5L+@Yky}Hcydfr0g%cu6&Skl(|Y3jsj z^&*f`WU2C>a0_GX2&MwFZgE;SqH(P4KTSMSM)j1UH2bB)~#20~}ISz33+ruJ#? z15;?sj7Qg|_r?mN+;lJESuzVWIx0~7%N3!gNpV-3bt^7swi++Wyook6VJYj&s+3zy z#yd|FkboG{JUYx>&H48Rq&BU9-%a8#eJ80;EE02qK(S5mXi0#o^Z8`C)Q3w$17)?d zlJEkgUwgyUMv>lTb zxNlNS<>{|9mb5A>(Nhk=z9kouF>moF#F*jPEQKjlMnZq(?Rq{S7rN!H9imR5V9yjd z8H2?BKquHDt|X=^1|L^6aj4Jv3xrkkpN+rtt8G99W_~{5duM;g30&;bo|8wFFPCs&}3Fj@cX9p;got5MD$Yb>d6eO%3r<74pUuL{Jt6Vm4 z7BAt`gRCrhMx`nQzrtz@AAPJm?CT}A3CQ|s#eV^1d{}b`GPLn(UikjRyEB1w_aLUt znkqGgNn$^Y`Xe0~N`uC*p9)J?Um)kgw0+acFd>6FtO&8?#R$|(wDRSSQZJ3XH;6sAx{aGqjTY7* z3rr8TXPuRbG@83E++1-gVd<{c8Zvp-b}QRAZ=y=WW1LK&P`|v5ARe0 z4EEl`$C;(3L%o|;=&8D1=X$tzkc^)H1m3(yMM31JC%Ho)O~Ahk%fL>oE7R8^{X9Lk z*!rtNvl4SZ;edj>>$);^lEMZumzn86Aj8;}#3BFWt(Sh!rKAq%*klT#HEZZqp5H#i zz4SF?vVYn+E#|Z#tOS6Y(y>xrzFds1q){LAq4aU$5o5R~2PNoO$+0E*+3XtM20TI) zVpt*==`?>tesR^#T~qR{`4OC_1f@ZA7fwL=0bNugs}0|pr&7A84ENamOD*I9VOl#6 zly&JcrzM^1Su7{%^Om;>&dxP2i7#^d(QTL?!+3RUGX0)*uW%dxy;cedR=)H82P;u! za0o<;%#PFrwtRh=y1T4=$xHvl1I#Lk5uw z3_<7~UApy3AE8v?mEP~#y|!-O_+0OWihR12X|yRSu;@K!Nfq>8DpKQaPzjHA6NHKX zzFZ3nANp=!N@AuPEZ;y{pt!h&1X9ghl}Pb@eL*=L276NAHKI~C0JvCl%G-a(&xusQ z@d$)Zjmn`w^l+jlqCm~KDLPvEP2goGJX9k8uRTq-S3J>qcLl@fNaY49hlmhrD)DcN zggXByWTB~k#?}exCRgM`bu14lSK3!Ilx#&PM?$5G2CMok`~$0hr0sEjHb~5MpK5z+ z^p%KCuwl=X)tij6*_JNajDx^sFi$lBsZrO$1ST818;mlfLdF}RL?Ofg#CmK3w35h5ug)dYxbZ)Eu^lMd4uvp z$P(x$ACko6QI?3YT47Q+4~FDU1LEw>!YGg6?y%-7Zu`uXy}9zEi73Pz!13(g=yc>p zM`1zrWr#;mrB5djk^(V7cNb&bndOL!-`jxXB&$cbj%vXN0!cj{6I zNfrB?J*xEFv{YRWvXI!2`z@$)CBRUDMYD|lGM5}TuyK_6n~W5EgZ@zcBilP-eNmEm zM80F+*7=4BWy?H+rXla2sgwR41K!WVp;`bJ=A-AYgYl~4rUH>CPhU3meyBd+Llw@vn;`4PYvLB|IQsj_? zyl(tn@^^daJ{kXi&yBs&-mw&1eKL@8fTW>%>VoKz4{?21R3j96>WK6D5Q7cEbqe{U22hM2Aq z7epqN2gs_xM^2Oq1a*5Vep~pyH=Hp2lS-Er4G7f!0X+cQiWMgItRAa9)yRrf6v3oa zl3`Qq`hQ6AaEb~+XJ}x>1sZR>@meagzbQnq3Fsj8cIcqj`1EFE~qYDkzeGA3O_ETFTyrVMs~`T3cJlr$sF7 z74Sc+GOsw`Gh2v`i!hd`))ie_T}+-r1C14JYB+e&Tb09jPJc~Pc2mFRxbKVMU=F4N zgQLc$K9xkI(_+;j*Kf`EeB!^tIBz)Xe`~-78Oup-u{t-~r0pnT=`kJ4f9$h&dW-;l zHp0tmb_ZleX%3}qQ5`_$xCQJ&(2IiAl4tFiZN0>@^edS+pH@z275eGMXMRVSR}OzB zOz98bMj1e7EhcziqIM5%CLM9%T* zow!Hp(mTJ@bIN^Z(PAQw9T&lcH8&P@el&iV?3e|MBSQH5UsHwQOL zUcMXrtlI5i+SY3{Q~2$VcqEN2UgRLd^664YThl6o(6vldRA7`i+AHq^;fn^<1XO&U zQv$~4ro+G7T}Wz+z{;zkyc$iB>!Pu3kCf6LxIm(Q9#tPQzYC>LBoKR!BJ|TKNPipA znN5ZJwty4eRMX%`NPkbL`pB}}31@HVQw$43YCQf`RA&uNS(U-o=(5$XrSXLFJz?P| z0uYn?s^R>pE|TEwx?Z_>cJIYZt8||EElSo;F?AM8 zK7p#dq5?&AmtR|t22rMyU1QCmt6$LwhV(z5&LK@VHH6Cn6Pq0G%5e&;^1r5^Zg$x^ z1tAhN_aa~;c_{`x(oG-9B+-?SpTqP&RbmU|s$5njjQ;cL5pasGD5Y7WJ*qsX$qMCx zFL#h5&ZryLq_Y#uG9RN=^dhf-3>K!D%#m6n*1x$aeMFZ;*I1b<3^~uLXi?+<#4Q4d z_#&jSYp>$VntBJU;-Bk&Y&k*9EaC_e>*91vJ95-CK!^=dSW}H%+%K4LfDjWX_yu;uB;0=O-v02Kkq+78;*8|YDzi$ zX7LMNj2E#<_YZb)BKt~7V9CrN^iQiIzln^~<^w3T zJy*{Vw&EEe^(&V>m>msD>$9n`$P4kLw5QOEJ}k^cBr@8A1y(8<5<5Oa1%7c@r z4k>*)9+W9P9zQkbJtUVAE_+hS6iV|^I+;)Po-F8y$?lk~f_?M@*5Ct?>VWH!Cuvo> z_W42ENm%KZGIV2kooMt`o3;e(gX8=8cV@b&4X59AUe@+Nr>W;df7K%?5?k1W;7eoR5*TEDBQp%uV$IWW)qe;BT7JSevwpo$ppE61t)W;eO|&Wm%dr1 z2XdqNMgpD7KnpxsKAK1$s|D|VpN;S(Go}ym2FhA{9;Yq(`F(?Jzib$;RS zU95jke)!A6?jL2hJVDUcU97Lq2Ay2Dq0Yx@hWgX%`X?-us-v8ebXy8dk(sS{$P#Yq zl~2?yVod6qormL3IE;GzRhj19wB8LMC2TD6+%ncxgzW%={{(Q<|JLc z4FAq4C#vc1OHyiMx8@5UZ=@oY6`V)aN6-ADp82GpSbY7UyVIpu=5R)8#cXn{O9(?d z`>eelU*t|CQMi>9 zlmqW~ay;#K*x&N~x}ErqHnqTjq4`kRP~372zw7gLuUfettRp>_zhJ&s_d2~u{)P28 zxDTh{mx_={`-Uh9wh4(V^ftGB=-?kj*M(8hS30mmQ@Tft-aSjlNeD#5C6{QuNc;{J zQSm}_WKA?64IJs(+Lbl0q|!Kl^Rteky7~rECs6r7(Plx%BU5&`fs0%o^%WxFayk3Q zQ;S94?9l6vDs-QYx`~7aaCYB4UX{qBzf|`K5l1edMJ{J?(n!xbEc9Au+701?qW;2- ze@HBOS2@fsJw}`_6T(LQ`uI}Tn~GwY?azgUTFcj4Z^6l#k#m5TKh^2yJf2|FGe|g9 zK7_MDT@B%+Njwp3e{NYYuJy38*0Rd_k;{u|Y|x5QO4EnF>BwTv@^ZOs^jW;Ej0dbj zPYe0>w@-U{Ijx~%n=vghpnu|55XKttPJ*2a{?sr@#D>6eFHEE~>co1dXSKf7#Safghh_%TgHr2mN}x zzxc(k5*{m&6POlt3m`H{OVS|mof^thft>Nr9Z0>=do$v1&duj-p0$VxP(rU2rlHC* zwgyNW6;$csa>$~5V>`=vN&O;{2BmREYu#991>H|{Boy=UPb-~7M45wx+DfC0`h;%o zSFy5*t&Ol@4J{@dSa^{}mp!T(i~!yLkv%-OCk`z`{cqN8nm&`o1nA=qKzx z%xXja7#&B5<=s=n>|-|7SW?Pb0Q?G;QwLLlgvQDk`)o-NecQ;y^`Hm=C$hiNgBa)h zVA_=Bua>WRZn>1VpD}Pdg+<+lFwz;mW0ZuBv2TsB*Ur*)`1_&j`x4Uh>t0i0P?3}XgU+Ma1LeYb*qv{ton6`VZ&0d;9Yxrt@* zK*Ez$#`BsFV2sYf|@foc7N;aN3?StNR=wXI99QSF#3V&XV}D^YGQ zRzEzeNaU_OfQ;vLo>U)yU?}W=dKqNeBr5kL-m@4Cog`xsnjmZWmiN*O619N zr!II7gEJ}NQ2h&|)^J8i@3TsvCY4Nfms~S$&`78GeRKc0y^&Xqx}H9jH=n4!1X!W+ zqAa0{zYeeDxR$#IHa*Kk(!$N*3?WrDi=m+O&$Of?LhyjJOiJ@UZI&g|%iS?BobVB~ zD8|rl|J5J&87+g+(xO@Dp6I@E5_lQSXt)X&oguc}Bm)Yc8JCG#0xR+0tpzm;k>=JX z`a4IcY&AL{@}2s%cqhgHF`_-j#lmRVrbh;39gRpn`&c`FA(2q@AI*ZT`$`wEKrDNB zW6yYXc+KRhxaScP^}xr%LHt|Yar1!ymm&$7ydY1x!F@OmP5J1=aHxurCTa57la*gX`b zwxMAd`wtD@r>i2QZvzAi8D`5JCC&D_h`*VF@!Vt;R5As_J0l9Zw?ys&j^UIp@X-{i zpclVGa#79hUk<381u(9fZk!enNtoeVo8Iq1%ugQzU6T@`!kE+^hIqskIf{5$szTI4 zZj;Hz);@uVZTst0ig9VLv>MG~Wn3j?2SD^O}^zo6sLe zSELidMl6-WBqK*w`bX}?Nf?7kZwqMqsQ$hqbc<}y@uk@@8tc>DLwj85`drvv`G!^e zV`5h-Z*_BKd}T|re)cphj6HT@R8CbTR6CC*l&`FBO{EcIp8&xB`FoHlKTtG+nS-gar19-2(N?JyTkx}W3`IK%c z#G7z@TIxD6rjF2uODk+JpS06OO<*`g)s4FrK6}A0hY&kpw&VJf+>*U?l5g73rSH}w zR~Las7X1j|Ln=S;BUGSDWa$^C1%-HfyQ#CSAEVT@smIM-DrE0(gE=#=fv;K;@Q1pl z-(>IqN))pHGCuu6Wk@0ZFbZsthDFZwC>67}je{Ih5Ga6zJ6zy2-opo1Fyfv}ty>?-S z^O5Dzk0Ebu9vdfIO3yL|i$3N*ynIz*Yxw(N&}Vd@%Vji9+K=RtF>wFe9e43ZQ5@JW@{)#iJR-J zTv5uK_v7^E{3|uNrRxN#d+oc6CuX{mf?k;7!GC>5-n+cEdK!RhJZ0$q9_*Uv@XDtx zsYBA=Qn+yuxXwY-XP%*YROu>Dn$yQv{_K&#sSwuw$z?y-m5D)KH|MQSypvb9do5er zijm`mv+SCk^n9@9zc$%U2+niU^f6{ezih~dT9(OztuDRk7g4M4Om}FA>RqFwpUZeG z0wHq1qv$RW%#K(kV7BPxG96a|nVSf!ln(PR{3lAWa?us^xpeDek?X{V%ji-|xh;yO z@n!A{i`&oYyBMRJkf`-3MBbdoU%p^IH*L(g>On-;wef(zcc~ywx0+Yz5T?jmjL8Z_DPRu8d)XALao3CEjPBF+=&wM3+q-NN$FoY;e1GPDw24VJhV-LG+g4*)0b(h24@ z43zgIGMkVbeCEx~1_9eXX~o=i0X*k=1j^e5s}X5u<=j8(Ai=T}Pk5%GL!*0J?FU8c z2bXVe?Gsj$%fFl|Qh4lXso73Nak1J|grt1wGiw#DYbUHH5*Jgbly4rE^AiP-;J~v= z^QSDH>a}wX{Yi4P=s<{`-l_yZ$?A6~d|R%#x;z_acn0qVEHe3L2-yj8=y)*dOv0`s zu-VCNWAv!FLMuxXs6iZ50qpWRQft%&p*>E&NAg=xNZi6O#*zX_&?}&z_y5SmHO<-q zOKD)X|B?NHWUxYxPhPw-uVB+whQmOv|05fi*6`ZC55jHR89>)LbM4qN{G;Q}vqO%RuZ`$Kz0NpQe^-EBqHjlJE6j<4)Q zzjB^`lBg-E!*4Y4tBE;!g48YT%#S!~@_rVZT&aPe-=W3bc@a&bfC_(9tJYz6k%+@K z=J?r4=lwX!5W|Mpa3olAcI&altZ`v>g@@;gHgcwmU-H4m?IkX{m_z=qMWY z4*jI~Yl&f2(}W+yUbrz8nhnD-qtnk~H&J0K2C&qBaabd@t(C6I6I*9;`fnPpWTlE| z{>&SDvImPKHo=K7I!%u!NIFPpRF$f1*g5BSAvfBej7%ijf$+x!@x(3H9G4{i2Km1vLbi?SpFRE!*@5p+)!|3_9K9gf=Y;80RjMOVmJ%!BWZ@rh7RG<~=0 z-N|<#TcdfwInbs%Ek0COcXMv}L?Ncg$SR3a>(VSUm~+l zF_Qm$S)*3(t=X55Y=Dl_`>~pp&bDRm1I4atTQ`LbhBXIgU&6eKbBtbbe@fv|_g>Ko zK}j+yu>S$+Pt@9tN?^d5x&4iTqv4vlp)#FC+Hc?-kP%1!x@i2C>@v7$g(k%VplXC$ zxFDn@%YH!1D#!t?@V1clTT{UCJ*HM3QtDP1_9SEm(nkJb`yW!1+n$U4*pt)QgQ>oV z``P2i(U2&r&t|Ln|0JAGf^YJ5BhSB=@arW>&)-!s0{?Y;CL2-7hdR?CL0VqZNCNv3Tx>Pr#@)62f=U*qYxf*+QkfBr%SXpeoT z`Nhr}!S}o^n(R@{u|{fRASY({fM{q8^IvJ>2FWl*qeopz7U|LWZTGZUi+itcrr3+&?- zxIKE+yD<5;wn;m`?SBZl%eghKy!nz!H?M0JZPQllQan z$#tOJ5mJNH{bnTfx2mp+U{yoQWdbxzR3e!D{X;Mlo(%%W=Os_dPfBvKW+Hr$jr-&E1X)h$*1!}H zFJ60F$!Z#li!co%u~KbM{fv?rPe#cCLiB0(SeD5f_LJ$U$Ms1*n?Kl4 zmm$ZZuS1RgC4Eyc|3K-&S5yOUfao!aUe{d#)>kfNS2gy8;Qjjz4?dBNVi_Sfpz5u`SlFs*2lE#JI$!qf!D)z=_WSKi{z{yXy^I)w4nxmCyOy zGwhztu-87S-BoyMSEZ!;4{;oM7)z6f^0~rP{%31q-81Ha>Sdh#hE$o$^Ux~b3-bY( zuz&xsovBhIGiLqn!xXM)#%)RcTjOMS zUe&oRHq<*sz8j#th5#E0`f zcC;41EQ(-E@s+${?vK&R!Ps)br0nM0a(g`#cI4aQ88FoP(-GXIw698DwPFn->2vsS zhZSsMNOLdLO@5i4Mfa@J1H7Uuaw@R2qGJ2PMB_I3?a!zY=*OP2=mb$j6hYn=AZ{89JBs!BauS0TaUj@(EA~5T}NT_ zdPitr_fho$h$gwh%7yQ)k|cC=pSS&c?iuo-g`W7aShZ-8N_V#9?-I}%{J~;Z<0U|V zF|cu=x!9R9gK6u!&<(|7#)v?&+)a#=>j#ba-`I9n4VPNXSftuaY_%{YQU>5Ebu&s$3>6To#O@TT+F_SoS<~B zm3}I@62$GX(@X7I6G91bL$8|U#7?cnz^2>Mt!(=^oxcw3?8EnVxA8EVMTqPwm7bc6 zOA56D*!^xYUv2ofj~`39$Vz70Uwg)lCzjx_zSEpB%frlqN&zhnul0NtN4-7g`(m)N z7qkT)7TL*If9Bi$udR1zlPK3zI@Q0vGJ_>4dgzqd>s8&@(gowpsJ4BY_X*54x){YZ zO~d$70cFs~+^2d~$SBnf=<*WwgXxY&!GBLC@oZG{U|YruVbxn<>54qBD$etIC}}EO z*L647GAu)jo!*XUFGS3Ns|riG&6DywU&-RgHvZl5>|DLEfs%k@2Rz%*eND)Fr@K>- zk+7m^jg+_fQ5X-TQVvqB!bhtEOB3YBis$1TYVY~NL5XLl;d=h6db+)=YXB)u_lrw| zL@AwIqEHF~Ocj$NFtx zLr(39L(3!TcG7i}(+xrQ4hdG9!zkMk3L<2Nlw^QY@4wr$2Rgg%sNK}B${MR(Jaaws z`~Zf8_iO)!u#5{Occ_oPQB;PTXIwskwCj{kMAgZ7QfZ#P;9PUvK1%T*kH2koVdJIm zY}n zOMU8pgp4Q?anmd!SIZZI3%dP}EWt#|G@3AyLr8YhT7#u^DVhDDvq!8LU3@{&6!=-V zKeepLJk8|)PA5Vb@B3Rbd3CP@&=$EMq$|Z*bzNvymZ_g=IG9DE%Xajy--qT&uEpbA z#JRXMU-{AWLwZS7Seb|>E}9XiJ|Ji#VGowaydD%oH{>wArC7zOefjWr`c_n&gAi2qAdL4g;whQKv+a3-YHSjXy3kgru_stJ?3k zhKqbsDK2=}JRDZJ-pQ9^hwLvKMaJF{)D(8o1n#4K$0w^kk4B~qHE0esa-)8g5+O*l zq;VPXu^5AyMHq{|SWc`QiSAZ2!+G9&`+;1AE7`ZhU0x_}qS~kVVZ- zVQ7$Vnl}fe-A{xIx-K?ox#v+Q{WW-z~mJ zlfBcZC4jOT-y@&ql@f^PkJI|uf3ZcMH&v!WO0^dQLDl3L1p+X-NR7QW)u(7Z#IOfK zt3O*+r6`kW3OP$m=cM?4A%JnQva-j{=xJDng(-SVoPvbM2`{ zYg<*2=Be#W+#?&(K-J9DDB;HDfeJ?Gc;Ulvpuil%f@T1XWeBirS-A z?2#CyXsnbX)f%NGi7hQ{saW;ZmhRu1_aDe{a&kP+bKjrOb@}syUd5Cf61*ZXVC&Z^ zaJMb*;xcY|D>m*G zkTwPC9!8?4st#P@M<-ZWFo_MP8H{~BiC&#Of0&?VorNORUxIn6xQegp>MgFI40h>* zZn`O5eH;_LsWCvOj5@Su=yNMG!L}99<_w|+C^dO~CFpdxMxNYdE&0e}kvA|$a&{Tg&Ifcspt z{FEso4^ynv8<|Oc{!0Cxw1k0VUJH5Kf-A>?>oO^9%`7?lcvy?q2s#2q_72-iGwd6M zN2G_ukjETq{<#4Ke_fAk|K==q4vje&n5@6v)YDX!#P+>98dG8iA;jDfky=o}=_Fl1 zJOH!EPV-MuOi2*!p(`0l$4I){$3;-u)%K)GW1~;VK{m2R#oEt!uK6(6K^vUD}1yzV*km+V%RTA%(UF zLELkwM9{LurN27DNR!)K6*lCnZ-Qt0yOW5(Bmk{pjsr#t8nu)Xhe z9C)5~CTNj~{8YM%Qd@?jTeE4Yd$pQ=Q4nJ=EdLQBL+)m|nE&m}S9oYA&G_>!FK;*0 zz!B!y(OTqw?#8>JrlHZ+=XxEu%fBw99^A|OvHz@g@!0NtSAU99?}O@>v+yy;GYJ-x zU+|Vr#j#`dW(T8^xFT08n+c2EP57y;qkb^HU|3L;-YG&FApWTPibdaG=AL@ne{`=H z9M3vKFWdB&MrD53AA0+zMWO*u5XJO*jp*%g*|d#$UoN{ce-4$X7~mz`?-)C(`zwS0 z5ZkWvzCRxS_J^B+;4VFlqWrQr;#3!kS-MBa=4JT_)dbNabZ)x_tV;&Bf3S?6Q8Rc1 z;40xY-iNgECQu*(_?Kz;m@1SGv}sar=Q-?dD@6PO^_A8>fcvszjKM&+fu$i3#H)k5 z$;&^q9@PvBaU+K7k6;R9dzf$Lnbo6LZN^4uNA$FvjOIn0l&*l)=uAHO} z7F9?=jxWW4f6Fl-3i-yK)-CnL^!DPsLWh^z_xhSB%CpfI4qL2{Z^Xd#X1J&gI1B52 z{k;X`hb~O4&y@&wOd4Pag5D@FBKb9%U8nGQd#eYA~cM^ zgs4@G6xpClKEFq~(a`}{LX_e#d*W%QdBX2%szMp}1dwa%IY3xg_w{W$`}^)RwosjR zIR%%iDNd?i$rBL5%$9G2{wqId)72^#xJHf-Xn7qmR%Tl}2r}(2zTkY>WS9#&ba+7i z4EEcAeBMDcbj8)fHrslbtAK+>l?UF9dktg+>t&nphTlOab!{4Zdeu=TioPA{yufHH zR~+%+c6snGHh%^)tqCBEd$3GbEdPoatOi^24A^PGi=zopul%!ds@ zx|*+-+pSn9VX*;sZ`TWSw2oF}6kgW$UQ4gzI;Oj3$<5{v*c)@KgU;%}PWOq3tPGS8=c~<0wU3Sqh`=YinFrLcZ*-DWuqbp3cl3)A-X` zGKl!$=GT{H3dxzgnhuc}H@InD_CKj}A=x7@7iCSQ#C@%b)Dq0nm8=RyJz;Sj;=&~;rpZaVkffhhN>om-snpp98AvUd%uo!L$=i{4LTOFdl(!n4 zk+sH*vqx2y_QwC^$(a@=P*{kwlE>?s?RMe*j~EH+ zquZ*OG<|VBeFy8|^sBaGF(xKgSzG@s$${_p85)9n=MS3A<|z78KF1Y25zQOJ7>b%H zd~(*&S-kTW`9(j?{zc%uqsGvR2Qx{GI^`ngu!q*8C;0CoJJOmbdA3p%LjgkAR6hT$ z%Z`x?@66)DE>)l>g?_r($L1AcZ6oCz z#>LOL`ifsEJHBn*8AhsZMqj%38bK{a-aAH_NfD$#^x;+go@P7S@5$Xd2jm6tw|P$5 z;I^rSEAL(-vry0_ULa<^L_JulU%9^p`l=zgtE&h3ZR@DSRH9}6RA5GC{_LG3Y>RRD zoWsNV+b3~EV#ix)jRCliLwhGWu_5?ZWr5Iv&P9zpqI31%>+dGmulI)ZrqJ%;MkUn0 z7FAXE3f0Cvei;e6VHV8!mSTVE878ZesZZ^R)M!9|&A5SFm{r-hlNKO@t`ToVtdTg2 z4;OI6;_nVw@S83q}|)xT&HoL3Z0ET18>()3RZ&ql;?NZm3s&#{~D0U*S8%~cSD&S z9^0xEz6d(i%IV~2Y?QWrzGHNZbxQjS2+(`Qrv4L3DwY*^Zu=Ws`HNGh-0)SgeK$zg z+V0P+T-XIfZP@3OFJ8JzUEO5o$`8j?VoYRpn0Mu+!-=+|(#`*9?a}2#S~jrsV;Kj` z>BjX^)Djika(TaK$XXn-WYs`UL<9$Ep*$FkGHp#Csy7qj1|7mB#=F~|oT!bX% z#SZ7d!jLuy!RU3{;>iu()_-eX>4rF*bnkBq9Tum>L0-B78{@8&)j3sharWMT2#)$!4uUe-_+Jh`m(qGv!K5&t!X zu!5lL-dAL3tliO@r>lQ{{r2NuxfF-{)KvhzOgw^14a~Y?3+Ce>Cqc7q3B)+dh$>)u zMCO6d8ts)l(L9IJqd-BWs$h9~(NRT+8k#%l+owXoCe@B%u;CoA77%4HC-lt!PFSeWt%n?9F{u{Vx~y2caZpN0)fUeIag}h7zd#tE+OQcY0Uv zOl?5quGZwZ`=WqN3$@y4vC?X0^!ASZxkufr%g`WQdba8veua&%gvtj_H*4F=ZPkd7 zS+^7ySYxgO@QIDGkV8J>{#2&^NPlCf4MVf#j-94jrWcE)E`@il$HP<$eM6x&Djchq zUI*EPcbE`RJNPG#ShodfCAFQC0%)ADU3N;znn1Kah0A(4V|fJrnC|UCttu$U_J&(o z1Ec63(^7s5-LvDM9cN7%iq+_u8B;NhC5UK;PrCA)e95|Py#KlQ?@s|?lEhgdF!*&9Fc|-LdHEaq}KfWdlcFZ4bq6L)AHPS1h@D|qJ4ix-xb9Hod%Z+hOwjT5O)kVQpX=nIimhAJMMT__Hv(HX1yD@g zDq~-+m_89ibM7RSzQ=6iBDrT)TUaols`MiA-65y&sQxb7<+K&5^g8@5;HTWmq_Y&_ zRhiGKuHFh3a5jEm?qlxA;r7|7LT~<7I6gkme(VXAb4%}jtMB?cGxY?V65r($3ganZ2y{bnR)R1v~ORzzOT6Ebe*~u-dS<>+N?l7%Vo># z@d%~0^K}2h71cpX;cUlLLi|C3_2?Xf@J@-AxkMk6RxqSqz&3=2m?Om7eJS>JQ6`4 zJ03hA!jdrDtkAZ2Tk1wsY&9g%`x1V-+VYV|xObQw@|gM1c7Btx;a0eM#(Xu#=fD{&un*Z)rQAc8BmyGwd=4tA!Pv1Xqa>Uj( z|CP({B`-^jWZZEF{z=U|J(~~(#K@gw*~hIRYnN2e$R;f2n0Iejz=)s{eUR~|@u23` zs9A4o$;M?a{UCI)^NM9g>nUaam29KOU1TYv$6f)@@+31|d;~UO8qBu>JF;ms%1-OxIh&wO;K#Qs2YzvEEf z35r_>B;&7n7G}r245&riL1(7^IM$Eiy8p-QcGZQQpV->l)@G!y^W}LLrYEIU>mUDs z@FeCHCWZ?9meOgb3IJuprPeqsVBk#$SiR4Kk3-fcyfY(4=K1->opz9TFhOf;o9pU} z7a_P|sOr{vR>!Z18>AvNqm7#TgMJ2PJpAyRBA591bNku(YHtLagiCwd{FWz+;PE^v zmEII_uf~m>qi*IJG=&5MQ z(^jlAm6n_=H{S~Vr76z^^uk<(GS?g_yqQC;gJpE)xSGSpzZJS4)AOddoNapMH@~iL zuDwTGxGk7&3Au4pySSDgj?aG?BfOK8U&U*~XxY<*`yk+>x*z`S;@UhZ&bQNo*FTD_ zTO^=c<4Ep`U?xWs{0L^egBQ%1KbolTIPdwwZ zNqzGD{lCFWLU>X@_m?oGyG8#Z(IlucU!qnj>p+IRc?%28a>DQv9{j*->W9YCtB&_KUfv%G9c;t?2`nC;mS&+`yD;12&d2S-XT!Xx^G2&>*Apk zYoC?1FXrFuP}6onj^$|etRco@@ypMCkgu(hVErLg$FPalH=mqAv8pws3%w5X3@kUl zvGf4>8w-$R`WtPv+wmU%S-VkaUI!?nT(+idiz}S!DPwNY$XyHKyXICORegEIHlKS2 zJ&6?{wB`!sMbZ>rqwLWQU2X2QBRGhxX}Nc+$P#%Y&u*MDMBoaHmymNFY(RbxQ78xW zK6RLVi?IRR$Q0YF!IrORp;Bi5UH&Ur&|epsJGN_jB=`*;iwY`w%Z5XFlln(t0i%kX zZ|1~&onL9x{K{|0SDfHVfO$J7H@Ywx#K) zH(FshQ(?_vHSd{Fg}2=Y$GL1>^fQD!I|rVQ$~9A3Yl+&0i>a22Y?}gJ{`Azq9Xxb= z{?h66B*Fn^BVEji8MX93N0f^q`uQbd+5L?!*xCjLUq$C-;Ijdd16H>);T(C-`M4NP z@>t9L!gwBJ05~7%v6F0#9;7Nl_Ob8(U1~H+Py6?`9zQ(f*vbc}#iT)_#la`e zqqFpUWX5V1dN*Z}B%^*eHH2L692Eer*oD(Uwi{P+L4qx>2Mfx0$|d%NpWY5)u+T}y zdKE}~EoTDqj4fV9LI*8y5e68$Yin>Fs}p}s_R8R15fC$V_z_82jq70qKy8gx0104> zL9Q5(h76l#<{r~~N9SJ&4SUVxq$@v+qpf0sDBv2~Qd><59ZAPsl8{Elcb0tTBlsIR z06tQ95h}l%r^~#a6Ca>E!zt!AJlssrq_>piz9$V8RvbC;eZ#}P`$Vl8-8ii}T@|&a z@akjI@gh`iMjIJMML$uaW&NO+g!eL8Tp;>R-njgVvUDAIc*=dxvS3<*hXf6bZrk~_ zXv?=`GHII6wM0~NT;F;h`TBc)+~|Z=mm@5eB^rj$;r=lJk9gLpES!(&y^pnXwB1H2 z{gy;pmBjVz6f5DqoYcgxZb}Hb2Px)U;ZJI_!?@sYs(Koep+C(OI z)Qp+U2vSQAzh`S}=dU6Ty2lmg5BP8T&e-dtzMDhav?_+zGHz@u8=nW*q!LLdGCDCnt0^~sp1|N0Cdv$m#sY+Y+ zWx?t{tAQVrat&qF1x3X=Us&FVr$=90`07h#>i;!UslCDXe1!rKUelxH{Y&yFcJK>P zH^#`C8=lch{sfH|IL|XaQjnp|m;Q>^^?M~xEq1x&v{&!dO)F-HhT?1DBxc**<_HhY zRT!1)bY-tiw7h z$jZZm#_hC%`O9~;oASE1aKe_JW?xub0bK03*!+=;w`Iw(Jo#3_?(e{>;aw7VQlpqr z+Z6vlfRk&KMiP`OS!AtD_aE<9wni5kQPOpdRU5O%8^#DyfAwSt9~PDrUO&6BQ7}?9 zIR!jcESMNR$y@K>+Y<>j)CwQ%H#g$e(0ZfRZkX+p9>kt5a#tx#)ZDHXcqAvU_CTz8 z);NOE|H860bo|f+X~8mBhP&bQ4Xi6Hh)O4gof59L-rKsq% z&cDv*E&vcoXxQ@P*(CD)4nxDQ$na%wdKCy2&k7E+_eOWy3MpTy%fB{M86fmQQ!?0H z8ZztjpN}V8-~qO3wdles5{EC$tJQCsTr0&jkf&K9Zsra&t5V>EG?{h1qJ(NAi0%0` zS~3H-#u%)JdNy`aLsiRe%N}w13+n$+>XZEBVmS8;$7`vDXmXHE?hqdMiSMV$DXI_Y z#)>eP)SBm4{o&`1!4#+riucn12FvZjZf^Q1{u7zV6U(J|<>vKriGx2IR9xQ7jUfif zOqL4WlMWrxP2}Q5D2rXb&Jh~h9#-Ns>B6D&Zd1|oL<43Ug1CG)RLY!65gp=<&8J0l zO}i-;JARE+e#ls{M0I+>&gWKm6Mp|7_lhVZB0Mj_+PNm6@xceKhf<$3FZ)rastIwg ztE4yQHgsTK;lmaZFT+aOS03K@rd!SON7?4FMHw0F#+>bW;$~&KC(y16WH4-AaRngj z7I~$&Ks67f)E*lC$Ro|Qz03?`p}6>xZRC#905Mt2z0qC4p0(Fen?(c5cpDaZP|h$$ z3jA`+Z$|gc3%N|~P^D59f)XyWKgu63vNJabx-bNxE_8)iPHLj&0EKq;$&6OD;0ryo z+IL_=piSiU15n3Nmm*=6qCZ&Iq_fa$>6|IM1>Y6QU*nJ>{r?3_i4lY2bQ`@SPFqkJ zHHyCZyHcB>xhD1YU-W>mrzd0Wo6FKV4iWCfVEcL=R(87vA-?$*WiAqc$3p`h*>c~# zI&!c>O$&jtHoRt2{kF{ppp|wYo7ZS}_X#_k?N^jyb7Tq$wU(Y1e$h`;GR@ZMZGtXm zJ0XWBCMtBFn28~LjK*F+v#KJvezA?b@WHYFQmHpDde8gQ+zu^) zIB_dgfUWIR{K4!`vu2Cg=S~q(YRbTgxlDkd5lT~V3JUd&J(UP zo0$QFuC;j`x1t5n{-gX!_A=xIg2r8*JFZ_PA650ryS;3Js1P(``$KU64?AyBnmVHz zcjF|_^ex2@fg5RX&VfI|`&JOG`(&>n$AhMkm5iJZ8w(zy^qkZ4W4m=^}U=H0*l9KJv?XOU$Cm6oJ zHaMcgDMr6s+ofHLo%nSkGh5gjMd*cH!_i6_7tHakkpwdZ9_|AFePOJHMwvJwD z^1FjZAAJi*B<;}oYeGVjZfo2*YJ}-z!8$LC2DQgT=tb`53=_0aH_q)R!>ix2mW7?O zy-AUpimet&bsGDOZ_Q>cz)*`cv0n|FcW!^wWUcBe%k*5;8lYIyD4Cnq_c}Un?F#YF zjNj+gFS}o{nT1{Q(mFRQ-B)-2TMpIdCNuh(;E54ZZBK2aIe%wbK4=5h28aHNBfpmClQV%oV$f`Hq0>hnWTnh07uL8`J-j>5n1CEy(IPp-kqmUOPBJ!HkGps2n_A zRH_jLgS3lkIa0LW!~BB<2Sv7Dm0g{dID8WrrnX|22@ehpb@|wMx&aiJCWO`e!?Ha1 z_DTs?e=O4@$)kZ7`01L?jD@<#s(1O;zQt1X8nw^^M8HIG8lqH$;g(~NH|CXxvbIj7bfORSn0W*{TAkN%~Iw--MM=FeRCXitk6s+95(Kkhoe zC3a1JFna@#DBi@-yeRoQ)#8E{G+aoe9%{YrkS$s$+xVbwFP!jFh$H7>P(XsMJ;BYt z{_&gH9x~S6^2<4dSAupw(TZoOzS?t0Tm5Uz0v+uCN$GW;ZT-cLmWwyFPKRY{Q<%Dq z9F!@DQE`}pU#V#-xE#z2?3(?4Ap4RVow)hF!;3F+@5LIot6Y9)8HTYhjn zadHE~x0-d5ndyZ7!FVbbe5EXt=F8tgA?nQf%CwxkA-_+;goYHq(#ifL&9iFczSd>T zJpoQsz+ituudW+B*<=JS(BjS^T!EG#$GLd8B?=l#-HOJo!{9_uN=^>VCLu@)dFE;P z?*J`iQ!YH02GE66y#06m0sVqR$3Z@3q#3Tr1^*Q=FmBmcb$CpOe5U2=+02pQfBj%s z@Ld4nd8sH_M?U@4RJp{zKIvAiSRdEdS}1i0=`AG*+(nn{t`9T+D^Y+)^h83M=W>gI+oj{5Ei_vi<;G-e~&`vP7zwN>nNT)EEVM5n!CmD>I3Ae+4FK{GQW zOv2K%N_7-IhWr&E7fIj)gARL6gibo8x>HW9duc52@#_jvKE;Xbzs4@n=Bf-cAod4O zJ6jalcv|}SxrmyhRCaglE)qVE{yLN5Ur?mOfP|WXZMs3|P z&tVLiexmbv2~Z+gvcm?KA^_`#9<$Pi*_2On?+T(dlE&_TM7Ug{tm)0PEpT) z80a$6S58qjLp;BKP;BSNc-D>nLdT%tZ%J}=nwApi$CSO}=_hwAh?s58HuO&_OHh`U zRn$OTnFn{nljicC880>#6Ymlje?}Vd1TbQW{{txZGU%HZ1|p{mXT5fnNXG&ukP{ONg^MoB6F&p^S@z?chrH9J!SDSML4 z*%+9jH{Z&~aFf>cU_Xc{yK`=)W<=(r-%rkJeZfS2E6@BeoUSriT7ozMkh=oq{j7Vv zjqp>g8vOp}jbqu$cscxo;fge;%mXnx1_9Q^LqDt;t%8`cbRP}(4JazNtHVUEFc|Mi zrP`qI(iJ!I6v+DAfs|Hn55x}h1Dsupfs^6Jz&*Becvb<4#?#%nsn1H6 zR4R@ouF*C=INU~jz!aE{OQ(FV*i)(?MrxXD3eg?aZsoQ7!Y_~$d~0xv-r&}3<{|ID zTtwi_ucKJk4~H@ToUvV1?M%O8e5xt@O1_%G?LWV=(F72WI&|qLz3j3IAr5s?fC|>$ z+zY>8^S_G^I?5h1f!nTVtg~PsW8?i}&pn`R<~3COrK!C+tVT2=Pga-=@njY9jQ0|} zY@J1yr$m0Bk2fQ%FtTRxgY;|rUb~b>s^hj?j0FUV7a>s2u_upITluKWf0r1G*L5x@ z%N=M<#88JXtUg#aPcnR&YPPdcGXrpJ&3DTKbtN3H+4s6>%CQ7v)fnFhRIM7oT(*vn zo;+S1d|7QMfR<)mxRT2PmR0es} zj)3%BDP8!+RCq?OQf_rN5- z_q*^u(k1TxW$xwh`R!BQvrYT&`ike!F!&PvPxw=jh>mH=AI>3wK{lNur@wk<+s~Y; z!{TJQVKi@xgL!E7WDLmi92(dm%+^{i2ARu~hAuCZ2n({8V&%=E6h?m(3f!^Z0SD{+ zGNYr1H`X`i=1K8^F;1#R?sdgDT-w&>qxO)F4?GkvvYUu>Ai_Y#Mkz!E$md+NqvKiO zqt+j^*YV8t;Jfzrko_=xO6RI};qqB6Bsr*HS42^^BHiMP{2n^7U%$jO48Hw715Yl2 z^=#VoA11$r`PPgpWd3{}p8^D*OVM-L100wL+}}o)`e1i+G#(RIY}XQ9R{p&|;iU02 zR~+&fYCx+8`P~{SKjU}aOy!xSmUO<-u?ZEYo${Xaa~mrk0R(cG$OXbJ#!aqnNn;;% z861rjJ*&Oie^K?cVSHV8Y?;c83fD7-7HWpTk2@xCIpR&;9( z!x?&b`=Vvk>7`))O5M{z7U~nQIKFX3=10gkJxUR*V~=G#9InNiCj*U(qlO`Oz2hX= zp7bz9Fb@3JXWDEYhZ3UBWRFt6t$HOwM>02VbxwcR)^G1)+-Rl9y>3|U`{0Do_w%RD zvQ`R}-lVz8MOl~^bCjbcO5({E2fU&B~yY6~&SW zd=m0OKTYFBh)L=|`C6IcTeaW2ipfbjwdUuyF9!tLW%`t6y2|n&_B3u}BiHVuy>epQ zkDPmGwRoTQu+}d~v*c>Q+Cu7Q-jv18o2PA)X3KKk?Iio$-hQ&Sq*`IMGV@D=`LQ3d zOg(*l)vg)Au5?T=9YTpFzG^t%*A+4tM@Zw5nhp&|Ww3-4RMayt&T1z&iXBaDaH?P} z>+Bs_abkJ$Wm4X~zH>r=^27Jdz(S`x8C{p~_Q5W6>9>XOs<|PZNgj+gMg4)O?xz6K zNdb_@i(e!;7^12oOZ&af?P+t3u)Wz}SLFt<)vYwf4F7=MhJCME!qM_$M#p?7DJ8A7 zQ?F*ki_Y>thKVn4+!vtZtDE-kLh3cmw~()kNZcx3O)CkMAfo;b>21}k)Sg1r09l^& zr(8w-SLfTR=0ETF*jS(r0=p;GSXeGMVQd4%LN)wPdc4~YyuSS|hl?lqYW~~o@n{kt=R;A7+T64Y~QG6?cNegyUrreK5{oHYx z5ifG{Dk5!Am0g%YYi1{DKy*Sfw*K?t6@S?|GSiaH1;4;ug?P8S9Rq(KO*?1VB&j@& z3{+SU>FEhYrr$4LjCKo*JHqYswFZs8na#vGvCbOGGy0@w849o8Fp<|A3);-!xV~NA z4yEaappdeJsZ8-xA=(myFtck3luL085wmjBnZ{QP!jIPS6__2=*qJeT-U@HR{|B({ z8ivN1zhamE8hL5QF2*I*76ZC)P`6`hEi(Xa&$2>*S6rf>?P@wOSrQ}fKqFhMzYB*V z(Kv2Xbmu!UQR0%suHcY6w4vghZKJJMRxG?mIC!i$M{ZrOF)3W&ob8|gK?c&Rl6>G_1EJ~Gs!GuKJMtSzV%Rv>iO@f!L*(DtPD%$O19n`6VPN* zkN?tPkrz%&S=@bCupSfeS!~BiMEJHqFT8c&UqI^R4LZvOl9AfVRv|3SD3Yt7*NKKf zGi%D%$4elj$!Ny&K0+EfVNQ*Rd=2#5AJt;N`Ezh4ePd#(I1AFqDKF7Qd&#{Dy4O>I zid88BL$c}0@G0;h3G3D!KXWriWA7x#0~z5$1L>dPcR20lE2s9ZJK2DXGVbRy3ZVd+6`q5U!Woxf47xJ$t5Q^7@r7_tu&-!gzS{9LjzT_pZs_wYWBv6MT3n7EF%{^ zC08q&2p{9-39H?7*`b=AaMS+}KyKcANlI=180+l+`Z^h7js|*3Dr`iNab-v2K?6Pe z9TX`cQ8wqh3B4!TRj<-T){PYDCc#C)-1qV$JHN$yi<3nE2Y{Yg*qCD%!hAj4GA&JZ z5e5#Phn07&%;FsFJx^rsyIp;gqk;2flJ(8I-?gmk9wKfuqmNl0?S3n^!8`_jFl8FAj&Ye*{x_6cFQr4}>Oj+_`jY``qUHeO^E{}6r;c)w%(51(#p=qlD`P~8S-ruHe z1$!^x_0eZyGTJtGGE+9QrRB;o7I2f**BO_9v zxNnAV_s2RI`zv(3k}x|727A702Sjx^=Vs*L!qOdy%DjD$l^2jD+vY3{m4%L|9A zb!k6bu5@^GOo4LpntzC%I|hE|HW`lx*jdF2oltYBF-1Wv$)7Rs*Q}Xv^JD{QlxRXv0_+KJ|V6uUQ`*Z@BcpllN&An^ME!x6`wn3 zTF9AC-CZd|q5=b+qTadTa4$1`=2O{TX57JH$Ff=&DUhePKFne)*3evpvk$KX{JsA) zMYbf*Wt42L>%Zv=R~g&hb&o2+U#d4qbnRvCeHIewQ8js!^#A93o-cQOb!>>Sui8yp zOMFDwz}0uOY-Mee?8~3_-!n8W>#=SmSoWm6)EcDxgz&R5@}gruSOnt3YNWt6+{IiU zS^GhE^F6O)oqEwIu4kCEln1lD+w+CgW^{60Wi?c-isUMitKlG(6iJFOJ&Cg|*$kT1 zcP;skCTk*XybPDa_TfZqOe?W$A>+5W?89wQ@J!&pjUYOE6nV4sHF27i@f;icf~La>QP> zGq=WraaiNYBx@27{~;=6)f`tZD_{~X-F7zfI;OTv{M%eOZVCDoP=O=FG6!gu! zn#J=;R?Jv;u_6TlHVIG42S=-G)~cj~fZmVNswk1U+y`|N&iP)DM~mL;FlP!&A0|+m zz2j|I9cdIu(unwLh$*qJ*2DRN|2hYplhU z!fr;kg5S&QlUPwJCHHxc^5EtJHJ@)WM?PqauiqDz+MLtT+6ad!s%u0&y2`rsyUDy2 z04+i?n9I>=bg=K!hx7VtiqfO1UsyEG@s#`KUacy5Px{By-}}uiB6vNu3?t?oj=X?msS=3pY0_{^&d!yN=|-RbLAVRR*yTg`OXIIC`cyK~|mAba_-TE9V z*|r?FwH~SUb~R(w7*}>atP*5ir)uEIl5CZdjT57O#TDwM;SLdkFNkZNBHl)2Yzr0xz{V| zW$^lKhe)9;KsgVHd{KDoz#@$w*5c%%G;8p;~*KgazJ&nCJY5bp?%qN*u zPwDqTw?tOzYoEn)`Ktuwm0}qLO^}qr{X$lgx&64j7ykE3A43<)>R1G=9{LA;#us%7 zX5MI}Q?o5iG-rXHh-I)2IgPx4vIcr}NVfR6W!;$5v|g!G zy=s@9PDx-6pFD|*sGrn1ua%mY>q;%oX!uR;d)jdD8#GX)il7K)zx&DZTS~dLR!@@j z)<&eQ68XME{Kjesg48}K_3zy~>NtiJlAPUmdDp8)b3|0CIllg)SGz|@j%wJ=Yu?qJ zo#4ZB2hIhc&1kX&0w^JemH+;evG-fe!kUZ6uIBq^?TrEgN|JQS*UNtX8`qlavXzYKTiDF% zxX~`&m$?X(EcZJ{WXO=^`nluo{T0`_Ux&+p)_Lg6g>fvnB*cDk!7Sy9oHS9BLRDu8jtQZn>2?m-&k=W;01Jv$f=qF-9;?J z{Oob`+*8u~+Tda!*hHZ*U7!lNAk*IPiaJpoh+~8@ATkf^>I(2}EcyWOTSAXC(?Z?N z`}+puwSQd%q7pECJN4z#O6r03hCT-#@JVTWAtx_Ev8pRDX$LX+jED{VZfPPTSHDa#19ANFX4Q=qDdDw@Go>p(Z3si zSrm67r-K~oF%65vRmX(RT3-)_HSDnOw!ZV(!L4<~Nk@u*gxo#Vo5ZW8ai;40QF@lm zA??vUCskz`97aCPaFU19tXn1cOf%|iKxFL!NhiN6TWkyVmweZXom8oP($SxTk+zb_ z`g=F}I7gx9p6*6EM}obQHuyh4o)@AzB06OdGHlm9{7H3lKfQK)TbkN*tPV_9_%h$2 z%`%m^_~m~;d&}>pVVL#IM!3N-FH;@OM~v~PcD^wRqWlv6Jl#Yz;q)kDlt^UdtG~F^tW7!nL)56 ztu%j@6F5=&1>@cVk#l+p=4Qj{M@c{5>~r)h${Vg`G0EU z&$@2*5OHaN0-u{(*B<23Ujo1FB<2@OES~82I%LzcuudGROQLE_^~B3;QHSS_YxK7n zdz$4s=;zVHL!aahDh7z#aNA*eSix+L5c=afq`g}2hqdiw$xV=}qg-+OM_tSA-Vxt; zKkrhs=fI=8F|NF(zpwcU#JZwRsBI(`Ndk)kCXge^Z46}$q7>nkXU435I&gB!kk{S$ z1+1D{1dHpxU?u5t@2*8*0=z z%PaZ_WA1Cq@*XMj4)ZBjmQ+Ah0#H7vo`BCuMa zaahx)H$=$d^}nM95zgGSyiynYJ7ZY1i3-{~tx+)A)r8r9kIcD!nn+_0@cxW`8zkzbA26lU zDyR3teIfT!!>%#baG2Mcz!m%p%V9(6ZeQH*ZMVOEYnV(XF9$>U?KU;p7Rb`EvO09S ztmsRdu})iE!H_;Dcz{JIn&pB~5%WW_%{FG!|DNt0!%P{nqefqV_>wy4to0h2`vv|` zb}*Q2#>3G!r8c%O^fd|?|P=cE0K+@<;;urfMqRez5H}N zxs~o`Ry(kmsCx~?A|QHa_Il8aE3#oTFTs># zJ2bfe&XZM|t4TZie1j3ka|epRB>yGB*Vczb%g-x0ZaI87c-N-QX_HA8XR9eEocXG- zTT%RddcI%P7Vsu&JiNb;cqb3za+^slx2sM2K@L?mUWV4ZC()Zn>16aPBVnXKIk2M% zNsqSYg?GCL2BDAz=J%9oq1Ps6#D6M4Gy8=3Je7BR8|mKOuDM zXSHt<4dtI#?l&$Onu$1qHT#RaHx|tA+QN||PiVaZQN`Lr_QbM3K+(3;*cyAGzogJ~ zlK4XqoBEYPf!oeIpWu^vd#0Cg5XoHxF`N^}_HQRt@Y{mHhKEzK?>*k=v}Dn_=5|tY zt;Jj7qTX)O{{TH<`$}yk7Q4+K{tq=l%D#J|&(pXVp}nrO823nV>H36wA+B_pq|Yx) zP>PrtFyXz|=^DXUb4!HO%X)cD)YlIfj*+@`4)WaAK0&G^(h$=vdmQ@7>Ltd0$mwb| z+D&n$TqVal;eMw@+An^So2Yt;^Chkk!u?|%HwD)Q4J%#Ws?gGb1l_xsPXf6O831)k z)qAVc)zWheRM*(SUR*QIfah9_TWL&d+R?5rfDY?Qp1n$<)qlBZktbKNDXt_^W0j%= zSA4FU*lA*sUmIkLp<8_FiX% z=GPoe)qNz|XY7WquA}4!I6-$Xi(OM_Y2T$d>DrU9E8D54zRTljeD3o}e!yg`^xwe9gSh zTm3MJt*mW}K@XeH$^qAHA~F-TG>ePC*drhYf|$mQBJy57Q=Zbm_YE_50by=dqqT!- zXw#?Fyr&H}x##}U3_(r9Jp(19e_*5$5))>8lGw1H<#8p^_)WzEJNH44c`o!m#NZ*- zSGa}cLy4WO-Ds9SROxCHwJxV9=uIv$$+BqzTrOZioFj5qQG-7FC+Zk9fD^AU1ns&b z$#~T!8urp1kAy&(vZGF?z8Y~WO~uU)1}?P>%iGIA7yGK5=azu($?S2c?ILps>Uom$ zH3~~YI*l&xn1l_io*U`56N_BeH!hMVg|!jAvR7FrC-R^mL&RGc%IWWf+cfToE|!dD zcSH&G6T$~3pLA&f?m4*#>N?Z^0HxN_53x?Z_LLmxEdX*#dtas+`dLX7)BV>?Q0th# z3hs$K&SeL-j80_`Vr~cF0j$xeC5Ld1nDT>xVE+K|F)Cr+U?S7Pm~d}X35(dHQ1bBO9ZJ=HKOB*&=_Br>vf2jnd{6ap=Ri|#S$PIXuPxq02Cdg^AtZV{J z+;$1Nj`J<3*NSX1MpNX=S{wAhx_po%oyiiMMgA2a^?XJ?P={K=%Qjk$(|)IxEOs|2 zmsMDZ;Sy(MOPXVKsK5n@T8*YQLte5PA|x5gi4g>+VkNLal<1kYUX*@Ow29}1s7_(0 zWLhtd%Kbr4m(x%Vlp199Uj;PC$=IkcTHk(s)*~~3nj8roLm@Th20=|Evc9asdl+wd zvNVtgp6UHA{7iue8N7B(-w1lDxwqL`Z7V&K^sF@jB+eyB1p2o{t(M3GGjw$E1=$Yw zPG*n{buJ?0Zh?Z)6SoS+K`YeybLzhRkYNI=&Q*kz85gkSFq6`Y9@-rflr-FQ{{Z;{ z_ql>oTunS|kFip5z7dE91YRtd(_6A_6J>VG;l9bvdw|E&C~c_}@~d-0oEtm{Lr$n9 z-3cT43G>))s?!7-r*NFc&;A5%2oOc(OSHgYhYakDgBP1EN$Q5(YH5=WCC2{A;KPQ= z!JrGLfz(4l&g7u*ECrzft2u8Nf}5rsOWfkC%O#C3)rLz)IF3#kkq{7sohWbW#0aW;SxmsFamw?8#qL`bw zlsxTL)#g)r$be_v2N!1-gHBWO*IKJp*;dC*Kss7>Pt?qgWeP3}Zfu*UK`;T|5h^Qg zhz^J^wgIrYLAq_4Ns}R`5QC{`(vF*%l+rY^O;Ei>Q&1vm$7oFlaCiAswm7%`N_%Q8 z{YI5h@ol}+wKC!HWd}jpjU~TWZ*{Hufc8?&I0Oe}-HBTIEt>Sppk8ErC+R(`fBs5g zGQm$OX^|_WqW2=|Zw&}bC~Ow-7Z$=b#$%G6AT&t+6prX|_e^PPFDLA^9j4u1ES66eL8rdxxt%#oVe}U|Ggc4+ zFG<^l9-AOs(MPltB-zd4N{X5$$K?nipc%m-Thg@LTwOTW!U3_K16; zmji;D=fQPOO)eb`pZv;f8yoPNT?MhXx`r4cpuVO6Ksf~ncJA3d%!hP184+|~%n163 zveYg!E^CLbQ0OJ!8>Tv<=4X`MM$iY-)Iv0Ai0f%2A8@Fk)G7ex`OZ)*Yrw&l&t>N6 zw^i+BCTWhz+NJa|@nUWKrs>cCfnY7Z>r>0u)MQZ5+d9S4yx_{*>4*as2oYxs@01|a z_7fOwu#0?#={ya{D8#h19^ndloh~^s9||9;TQrrkLFwFEOR1(WV2w>swPz{{X1fZ8GhR z{!@INQZ8|Oul}OPhTBt4nP#vOTEAA-06$OqjE|s6AK`wl^pDYDZ7r9Wc@BoHM>-v* zA5)|`qLXiBTYpilLDQreo(?j!g9TcyE_3K(hB0|Wz0_(qgK_qPv}n?+P&6AC93O;q z-KfCe_D`v|X-yxfbN>MNnv7+rbH1jnFDa}P$$YeffO44UGt0ZFEqRCr_PW!80dAXO z)bg~ooOLwxm`0PK@!c2xpuL6c<%C)jHNQ{`$?}1c&7|(X__{7SVmOrT57afktOT-Q z?$a0{H(x}VE)EiE{{WN;F&0xQOvhzo)oNu-9hRYewFR7J$k^uM>v)m=7M%`#0lilu z?))Y;gG_AVUHk}D>luBEfN_uTo*G7zSrdAdT@_oLiBANXE_G598KJDo-gOUCON{!8 zb2R{aV^NNacTS*_q#K;vpc(%FNL9Vp>Ka?6r>N~6ey6&NiUMOAzynwsNhEhiRnT=` z3^N0AgMq2e1Pf9p?<@5Z*6M)Z*4fcbiVkzen{UL~7q9@~y-|fzUeei{NXly(*1VDh zh4(8}pt!u2%uW+u=9aq_fHw>E0<%{JB5CZk%yig#sjvWhD$QZ74j2T;{3f)w%rt}Q zrtq9}^=jz_{{XhoF6OM7P!la{rxrnt{Xjmj*=XGM3ZQ^KnT!R8J)*Plsi&i=__&3o zsMyqdhVae(({$z9O??M5fR3Se?SU4uV361mI81FXa4vVy#=0-xl;YgoG#7`Hc36&6 zscCybkh4f=J;eV2Da{WL>62m?m7?H4CC(QjVOR~P_)c@5X@OF0EpTs98dw3C#KMV?JzFg{eJ_*2vIIm&P8Nv-IjsW)$i7@%*n0)i&>P-UK^ld| z=j~*BwnpfKrXO(fLnE+Ffa$A(wm(3W@G+I$6Hh_uck&f|mCp*;_zK(4@SgV(t4vb@ zocyXmi^0NswBE>(m`rRjt{lXs>gkbt+oY#5g54Ljvj7t~-pVHDDv~6EHw6K!qZ*X7 z`kLI4{{RYy2-$VWg7SYI(^}`ZI~~&*C(53K4wx#NY(1*ak{J=&*ciV-2j$ytmgj!Q?A{lB3R(HSjoel=oCjv*O$(zb#`&&BGB$)%cn)h zPpzWlFV0lWwz{Ryyo0h0ckK#AQv(PA6z};_YyH%0wRI^jK7kETWDBaLpxPHlN$MCw z2K>stp?0XFzwm%$5*+SRrsh$#Ik$8Uq&UEwC$h~Ef5I+)o|XE((zN@V^TL2Bw+Il? z+bA@MB`|T5S~vJEtuh}gjeJh}%_`zU)&M$!lh zlQj(4G?&ac^M$8XCBQh~CtQfK)GX=_CJFBe#kCd|f_;kBpHy1$gyYorxzDB~UzWtg4A#&tdoEf+B%v++;D(tnFcHqp6a%w4$781#m~r0mVnbw@_VOHdI|h5 z=|2S%QTS5Zrif(D@KvVJ6OAUj8~i6};_{pWTw8SZf8GB8Y}qU zo2JXJXu1KNhUiTVA@h(MWv1b%;iuPWaJqn4Ab(LSnOuQQrjgeyjzz7HQlK=&yR+UdAhhi*Lx+=028IH;XgSsK) z7Rbp(jt*+CtO+4iOR4_q>91^uwmYT}TU%^yn^OffMqxC0ogFdh2nhklHk&Fg!h5wa z*{`H;Jg3zT{y9Lj)JZ+nJt4UKr&NXhLsT1@FPCj5n^r&Ht(nQJ0yAl(X` zYn+?_jYC!?hX!U)eJ#gO?fkDT=cvfYR;#ttok!ncamH0GA+B)D@QBh9={&*?hLZso z4KgfetJyisiW?-0xj_to3rVDe;ge{wTI~&UAu_E!L8N@&s(9fw?{EQtI?(%pD7ba$ zmg-vlhY9R^TIRqlknNNkq9PTL=?=nhxsQZpgP!PAQQs2L_A&5hH@6TU8l2gJdPa<35tWeojMWMr2aBw0;76Ux2)E1(v(2$)WD zbqAMX%O2_)1fVVrfo65Sl?2&vXC)HQnUO zh`+h)nE;x}o$!FeZ!}=D>};)*N7*EncBEh{U2GllDVT zNM7#T^TGp2hv~fP^$g%A4JOW<2V6>dEerxTP-YB%6Mb0S5?J^!K@EYJRZl~R8{sw2 zEiep70}`6%IBFXKgyQCtFwxT{Ra#GBcX-&sCBh0FLH}Z^tOKQ3Jh{w3xUM z*iC!jy5QwK@4I5BboWK{2L}x^^-p!wY-#&hThr9F9NnAro9>yW;sjR~cEQIhSIu+t z`$n_8Cg3y^JXtU`rR{7Rk-{48d)NcybuwV~Ow#`V>ADY~L#Yk;-A-_{e^D~qEf+Kv zY5Gmta6*l`knmF2a*SL(G4SO)=N@V{LJ z?EO<*+WjP6H|k&gYxJ6KrLKGA(e(;F*Z%-m{Ug}Xpn6AD9+xox0CoQW)W1ife`&g! zdWHdbCBxlng4X#GOx>bAJaV+$4Gb|oXZynaI~+hFt~X zbaeEZ<9oZ^Jh9Yibdxg@ze+z#{{ZI?1I#Am;+|7~QToQZxzO`rgWq>{Pd}$=C(?P! z%ehCCXA-GthKW}p#wNQrdapKy!VeSw0EIcB%?=o&Nyx$Qs(_7REkN(hdU$oS^%iBTpvF1XO4qnJ51M zX~pj}mNETJ(?3e|kmBbML4(3)>OcNtQNvGmG{w^!rflN|MfOFch`d=4H09FSL~NpO zaxG~=?-6;z0nBWWLzCJZ(kg#HDi2e=(_f~?#Vv7kQg}?NK>1E_rP6+@7_eGLOI5CO zpq7yqf;*-(k_S_=d!lJCYan#V^-jyA8+LT%1{Sr#YxKZP5H&Q&KTRk$H-)BItE)-r za2K+7Qz#A&Eh5*lB(ys(!$wm;oxSg5Z7wmituw0KGV6d`Eo&`9tF0{+Sc^pbrsq~TGbQ^Lblpg40%wATxtmzFf;4thb7&UDs`|c2-+!;a{mHnzd5r?`bC%Ba~nwZacw$=C!z z&-^AqZDMm0gRqOKhbfzcWSxe{yBR33j*>?r>p;^Eb4ifr9)3|1*IbWWWmINyl}uYV zKIpl}gf6<8ZUS>^C59)bx-_V7C4H8iT|y`Bo2N*C+guJ&1LaxzgcEoPj%fh8ut%`> zOxbGvE&y%rfP+0zbVi{7*;L^Gd|3rED2EVCNWv}DG`a9`1wGo0CSns_#=e&gL$TR) z8jSFR-9*H2q|=6j_f@(gz%4d^)YT1d^&BAWH$8+?v?|-vlZ7m%Bo22@=G~Lp{T`m3 zM^St1i-UTKC#EC14{4pE>I{faEwMyBt}#yPUh>Cul*;kcFywUD8pi(sRQ#%7)p$*C zb$Q55^6D(ps+e>r zgE%R`@p4gUf9_R&6?dix#3#f*J6SqgH@4d;1Nm2DkH6Uf?EI8TcX1M;)k-cdBVjVV zifAXKV(^IhUS&a#0+R$nqPCm=08Yr#NfFpxObNN+GAtt!G>F`tC@!`Z7v z_?s1}8b(H7r|jrE$hW$wx@NHMy14r$my2~9_Fh}+V@D}T) zIZwSY-gi!$TihnbMev<)+|GDT1oDmW9CAXtgvhdWZWjBZ_LdizGx00S(`b$*^ICS)oER2eCxJ)3c*foxlWN`_#8cep(?JupgvnO9_Y_vhfMvHy2GXrJ38nF zf^x7SxUtBFQ!h}jP< zfz7;`D7$EZ9|`~vgtz$F03OgYw{?4gWa3*R+)oRr6VTdC+j1BCt;(cY3Utidg`(Y1 zbxVN3f|@3J?PMFM-kUb@lkAJlWq^FD9Tdnd7YCF;Ee^Keh!wdbOA>Y=q}fY6AEwNG1E+BK;a% z*mYlkgPIJ8h)`w|wHhgpauF}NoKDn|Yh6rdG9LfR0ZU$2u=Mk$>bPHKf1vncoH%u_UO|E+)V)kBd z>RF`CHn>E%4jMDvc@6zL1%nzs&Iz3$y`p(5OnT4C(tAm+`$CY|H#;W1@>~A^w8uy! z6{WLU4`rZ3r%vZLU#6fA1*FQ-EohqqG{u&X)o!6cjJikiTF0W&p;^s=1hezhC;Azx>W< zJrd{!%k{di8gKn0o9cCWf!q5b`d`v$F@VJl^^T=T^pos~*%sjen-x?tEDm{10CY-o z>gf2}-B!s40l&O#hO17H)~$l_+ec;kjU6T(9SZ*d?l3N#L990B!e`|c&A$0sm!tqY zALSPjxsP-MZ?t{Nb_~e5E;5O#Mi1RK8sj1k!b)N4(sO0akvkkGLj&6{j=0>c7RfH! zA`mYe;DDIUy+MymWmMN!H38Bvsp%QqcTFOzk`dGC6D7Np;J_RMT}8;{G&@hMfZaHb zNlt5rmML(-rpjtA)V@!`svH|3IxjChlTMqd29o(L*qBb!IzWP2;{fnubXwQ679nw&q{pGQWh zZ0DFLfaVenMn}L;YlbT37O2%1Y#FSd?1QzL(9m?i_8~mSR%|0BYE9&k&QN1oLrEV| z7mtL^U<(gSSbuaFL>u$U)oUFmuTxRPk|x0Nt#P@JVw~dGrv9&Fe3!MMqNc==KQ~Se zg5XEgf3j;qXu!3wvxxUi$TBxhxESFVH&x-5V4rdm?k^B7P@Y1lx^22~0i^x6PcAS7 z0b@KOF77Ocn%y@8i}nZ|d$_&2ZRqS4tKx^ikv5W?&>g7SVCAmaF~+7ucXXjsxrn4F z0LIgF-Bz^qRrpjFNVdt(50*GO#lOsm*os4yUbnOe+Y*Ye#X0;_x@tSW54y3*CGJ%rxn5MJ&rDXnv5q49g5Lx>S5 z*c8#!D*G=i7PLt&s&G@CfFxcVU`naPz#M^vO;B>20JWD%0yA`EA{c3$^$+lz+c?Z% z`=;rOPGrY*ObLm^C)g5PMnCW-xy=rf{^&-3g^6}lGBOSm;lMs5`>k7vY~*aL3!4OF zWmX*az!dcj&kDHz0ON{G0oD0Ip1nfjeV{2MwR&3PoQx`n;vqJ=9Ace?n$Cg0D zY!PdoU^u<72X1}QaA&5XV1(v*LX!>|T4coaZFI)44=y>~0$f5ia2wqS4aYy_WG4Rr zj{#)R;_6MVssxKqhoa3rSN2gDRE(#3#=+^Vi0SvMmP zQ>Gjsr%`W7a{ac_G51YyeT`Ni?7dKZuANhDDW=Ln1|mLFXDOxD%G0Y%8SC^wkz^VK znG&P7!mZP=!aY?)a1*PJY_D!nix@kqTbWn%!B#W!xTo>8kkh5}>vUN(7;)(q2NIfG4D`ko zql9TazUxuMi(i{A{a=JByPG^F$OYG&1PQZjEGLA~H@x8x<8gDv)^XkfmXFCn{{Y!( zhc-aUi4wc6oL+AVTG3ADDUB{6p{JE5?udyBBs9--(Ak_q9%BhL0A z380&Lh0qa+*;VeCOF(6SvN8()0H|H2=m#eM0BB8kjzq_0KBGM`WJQDs+9g1w6JZx$ zruA!wyNKmgqBSY$JJXMK(&H1jY9mmGK5QM{>G6V2(5Ykf9eqKL!D{(0f0uA^?uh{F zK&xcH-Q0JcI9g2_Oe_N6Hg9l_vD7E4R(|~17di(vcoYoIbC(L6cyrGr}dpeiXIVyl0cb>MXR3r$x&^(^64;R|pzw^+a}p3PgLo=T zwk8C%!uCOqfu=9UR8>$SaVkr(1VIYck_O($SBU=rZY6cnG~%iFLExILvLTOmm>nhs zuASwWj?QS0;c96P=D;1~BP2gnt!Y7`t76fBi`^g#ZO?T2Xd1MNW!6Notr0*oVYyB? z%D9j#lXT~Prd_Doa3em_p4O334Q<8pob52@ve}HM93GR%Ph-FW+nBN5g|)>FT_muTTrF9Lh^VYc!1y6&DImWg6g#9ggT# zsx<^L&Xxgfzsj!Su95)-#RkVz0^@LtOFpMK#ju$7GfoGppH=G2Sq8~HKIoB0(;>nK z2-hXmN!%}_GS`?*K3r8}R{}>Vo`JH0~3GZV8m;jYxvv>t67K zpQQOXKE_dYm@mmB6w>Pp%J?yPO?(<}$__3EC87rDw>W}}!!I2trYxLJ1u@JOEe(q{ z(3%M~QqhH^()~NtHIM|S{@cOmb7lvrv)NG~83!b%Il>%VBN2pXH~O~hhngJSPM0>o zi(7OUBGp}{@t6bRxL9c0OF0hVo+hp(EhC$njTEC}moAn&py)SSa?1z`X=S1cG&$hdW;T^ni6WlX`=_FMqKr=4j*+!vYIN0SF**m$Xb)n|q*Rh)*L#3g>i^_c7 zlf*WY3C&@1UDb%>6j~cp;yuc9W`Zj%A_$zP2e@2P*)8L_RYS|2=%`=c*8$z3l`|z6A8XF9YH5yyW7YzKM z!sf&nzq)Hp#exrODW)Mm`h#zF{{VH6Pxw#(C+h=4M^F$CPI+A|W3Bx$ zaEy~bRg^eOfcNa1=Fl2kKdNKi$iz=dd=sWxV8IHedQblVl&dOS&@NM+&>yk1C@u{W zTz~Qvogzvk!GYXxm}8_H*;dCiW-T0`_?F;86rQL}rYc4pV+sW{bw($Z1hm_AQ|C97 z*Wg=%gGchF6d*;As%nG60JeGAHLh!hcv9yz-6sV7GOf`0?xE3{{HHXWf3+9V+54?l zw7A7GadTwg`HLx|f8kO&9k@oike;izbkI6?KM25djP9JzE?P@m=rNopNfjGhgiN9; z;$ad!A9UBa7dW;!!VYr|z{vMa({l+f&Qof{-(<{G1CGer@)J$M6^RENEbP3-w0}s8 zAr{IcPUsTJAV9j4n?m%1oaF}p0CWM*!l||)qY0uoum~46GPLS-4QmO3o%cgTIgF42 zm%#Nxbq#Zy4RELkf(Lb_Svj^iTAFumo|~8)X=|S!fU3PLYi7Zu+wQA5lBC^sO|S%i zx=|a5*+WG_I8JDag~v%x0pXAK?c6Og)-}TiKl)9n#Ez2C2=-cE(mld#l3lf|yvD|v z>K8uAwHsX$ZxOm{TUCs5tZnRr+F_9L>3;1^Y&Dmif^Fmak#GR>BXvtnrWUNQvsKd= zJE^F-jj7(d$`r`B-ASRh<}GV$=TsG z;IL38lml&!$|B_lP7@Arm_;xtB{8(p2#tp6j&q^rCe>^|;RiXVf~1o-OsMr>Y%;Yv zNrBAX5I8>Rw6>$YmX4d+!>R`z*Ou*4&`8tjn96Yh(ho45QFU%HYCyNSD^%3d(iz*j zH*20p2Y5k`b?@cVTZPnBTLA#Y@Q4N)FpVzjb3|NhP}XEv&MkBr!(&jlWJ#%%2kafP z1*P*Y*kb+D-B+8da_i#Vf;!J_1*|?@E+*3*)`q8^*6KOBgEJ&8L8}nZew(7lUn2hi z2$1Vl>?Ke43JhIfmtzo((?cTtE(oMUl4SRaSI*qnAR5tFse=4{jfP0P?4*Mu@ ze1dK+QE)cD3slr{;M>Ve0xwqn=v461X}9GDV0+HUxv%^P&t&=-B*p;Y2DP9!{^VuH z)OX$?7l)SV*}M*UMcFq67wSFk=O8Jo@SCMe-eURNvJQL}lY5l?POwN57($qP9OLg3 zR>`2i`b0u=G>7TSgdJLixmFSN7#tMiQw#5;{{T!Tzd{~vT+n}}IB~)Fp_5m5_D=Gf`=Tqb-cHJP_$jj1fMm!a9X(xPU+ss$i`Xa*4%a%DnZfo( zh8Dau11EcMfP3AhC=oL%AL{itALVLmG(SU6%oNF+E{tBH08XX|`lfkO8YF=;_D+z{ z?Ct&0sMBVHGu;4mbbRMMrLakC3e#F$R;UnRx&HvkP#w~Gy+fE+ns!nPV%vkd>D7j9 z^o!X#tkcu>?2BtYSg5kd5L@eYA(u{II5!8m9C z016Cdty(^0X@29knYjTl>YYGMP|!VqvKnzFCtxh0&ag9VVN@F?f3iAG=*;Yx*4E7s z*G!X{DaC}D4>QsYm5h)zJKRcX3_hVb!fRhcNf0C=;^`eD2X$;hJw)acoen0V21@EO zC8vU@)EBlurpl)EU*S+?oj;JC#}TMHh3)u4mN?q1RbwbVNz^28oX}Ixmp3BrGMTBS z=2L7KPh+YMztt#!$#nh`D!X&WT5hGyhe-}HM+wltTIO~a}Lxm=J@52^N)S0O?Z3fFd0xNAR{8l*a2kV2;V!hmIi`1!)((xO-rc z?wle20CXz~u}p5RIKl)Q!D)iJ!<^?j4T3ZZII@x|5oIp0B*JKIkGl16GOA^fi>gf3 zb;6)v8`!D9TSDbbUv&XmTe@&{fI>`!F)5fOL&Jlj^UaYZ@Zg|CN4g$sUH1sNrX3Ty z%($JDwGng#THCjj(-llBxRC>8)k}Rr5a(*W&NGR?MXuC5;U1IaPfgD#Nod4fIg&=h z07~@@GjS6tH9y-;`IOd%^$hNfR*3qC_eLq;rnrZ=Ut|r7U`e=I?9-UZ--%kqk83#t z5S}S9WM21x!_&UX{HT`-q>GSKopJFfQ&|%`Y?|Rn)N4KWQceE=rp@%iiRzR2Qgs|( z6oB7(PJx(of@LwDhkS!5ml39Vok;AO*AQa3-?o0q{--tUw6qwx8{1^>r!nf;Qs)A5 z{g>^#z6vB;0?^c2OJ)r?_eHu;#+tAP_eGj-rLt-C?NEFTTWD?Z+$b_M+6c8xx@)y{JksckM=9M~aJF$393Ejbii?x8 zwkKrre{SyEg{@Jg;x|J4f$?ezurP^>@EL=H3j5TbS1kbW> zmH{y7!s@D`8v?;J(-CENxuP$({HIIFxTYICr{37^pvS7LA*H72Jp%K*rx|<0fj1Mv zsjO+lebK7YLVSxDi^|kvUf~|yg8gW(p@Xq2P(9@RMsr~au-o-G$M;TP)PYw5E*7)h z>ahaJ(i?(~rkR~q>UQ7e6#;^ojy6s&A+)a61AE6P()anfF%xo~)I780gVIjuk0=o_kCp!b$#Y!5 zTIQH@gLBADYhKZ&(&oBHNR^@}()GpR-BPqz90rLWQGhe-6E$`G*J_x4n{XoOoisbD z?PXKcR;UbX+yFkegD`jq>4v*a+OGxl;0X5|Ep0aIYRGdmy;0S3h5F}6fJ{gYy8T0# zNNINhB1m7Y(QBIh2L9mOimbJOlce}rkn3*?CYLBX%A13_0tpvRZxOtp36|`bV21?R z7chk^a6HPn;GlfHfK@mO!+9zLnji17adTQ3XjhW&bTBwX(AgrK5RHO7Zm!$kB@V8^ zFu8z}Pa`W)tTj$-Hu*%;+X#~4eidF(kkL>R!n{|#j|e<|Yf&Q&%CKXtU>L!CFW z=h;Kza%_E*wH{9yD8DYBe#=itYeu6z9_COD5aMj0x2AdJNNJD0P>)yHHKrW%C9*7o zZyY9o(>vWg<)lw*sMHecwBRYeYE-z0ip8fWFj?8kA>_2+>=Uf7rU<0RP~fJTB%2(} ztkmJ!I7Bs!W9^WA{nn%0+O=O(Thdx>Dk-c|<8Q^2bwi)6>Mm^~v|VYrw7XO?4TSKc zP5xb<&nU>Mp%`pf{HpZz8IOGXr!~4=Rt<+4%F=Tf+{WKYQb>RX4Cfe5(n)u+RBmXC$K;h$(agD)1! zZ<$L)Hrh>UnRct~!K>?3&j#zGOJ3 z5Z73lGK+U3=2bxd014sEq=0!NZWgQMoenJm4Km-DL9U^?aLm|Qt4@MT9tQHB;pM*d zV*3>g)}vL;)sR~8c#g=scR21&R;0tp>Lw0A?2B~F>Q|{zh{SA!J)#A95os$@mo?XI zT`vB}fu#1j?ezEm00=&zT@P@HiCTi0(y61@8!QI205%HLy^+|bFda%dH$KU))6#V> z{l?4?EP!hO0vXc-7s{(pGz=;XpYp13bEC2q;_`!Ml;ZtfjNBcrfgM*(V1~d0WM0P- zh@iubG1wIb*p$JlUs;2Ifs>~u48+3K)Fc;Ktq~akLr8;|Qf)9ovG%&i?`@H6n*e|E zQ3p^K*{uiehy?L<{{ZURJAz>hZk*{OAbppY>S41ap$=%(l38Q!pHO_-2;pk#ym@xb zK<{O!TQ7LUK-v>NUGOV#CzP22`h#MKH-d>M=>s0N<HdF&)AKhwFUqBz-8+XB4x^)uPRovR??-2tTP$-Nix6KWAshQX-zXn8mJO?=Q#O_Q`kL#umcCow6^cBfGz zEfA&xuD)|4Hd=!2a1*i;)4K0&6DVMeUg|~+XRmTxigd))`vSAZlD-y9F)fbV*4O(H(X^yk4hsk{I6Fq zofO*rm;MCTV!=mX3TZdWX!YSRHLVjuXd(@`DS+LpjZ-B0I0#D`00f&UhZ6*G!UImC z@wVulGYswo=j@vDVSut51m;xwO#H&sq0MYH(Ch3KQw(z|cN>N0HXWrcq=2t>xa_A$ zkYsGpneQu9K9K56HNeE@WamXg7*7sqX}Pp5M?ry@GNIPDV1aoK7e`HnCen zAE~K^VyXNm1{O36<;$&&kEY4cV}I%FzDms6;wLD9F1e}M7zkGc3TFPv#6*(bKe}lR z$lrwX1L>(%BB-(lnAtbXVIl|?D6xb7?_|*-+^r*Q!S)HIz{Cy$nEwE|X^mYl=>jCW zEoG`{m_0_#3#bQMrY=^a7~;nPi6l*v-gL&9WjHXL=QjNyb$?|ufIyKwm2&Uuxr<3p zf3OGM$N<`I5i(Y*bsFIA<}S5sv#Jwz#pAJ0dAnYHl}urEU-tWVRX}cwn$Td*>t2a0 z5mX3TdX4K9cAH8rg5w>KqyXtrZIGHsCyvR0%n&DZ9TF@MWned?rb{WY4!63KaAihp zo93EFq;GL_+RL3tbZjABBjpH?ETzyJGu<~zpZ5c8#2{Kkf=ZT_oC~g-KJj?TRWuHN zy7FJp#?w7bj&hq`tY}(#d4*I`@mJD4li#W3HPaa<(g;HpOfTAS05tweYe6t8G>MKB z(N0=&pDn8~x|(V|HSv3<)&Brn%>c~A53*ymG>l75O8q!^C4jIy?zFV1@}o)S)0O%_ z!1Jons!v&Ut38+LT~mgO3>!VdOmMX7X>kVDrt#YAPf}wf*{e;ugI3c;7xb++vCkTj z(_+^2)wWmZKWdF9-J)*>M+>C8PNuW{*PoOq9WJPYa%4$QV~_87j;7Xu`CqPh6#kgf zOczCh{Zk^H2fiCoE!ZtafFCkJBHJximbB@?q#LSWxWuLm8*-`_Dbeb*dnUPt*Eahm zn}cY|h!%~|nFH|w5==%BdrUZAl{ASlxLS^IFk|qy>YsZrR7}E&C`*Kc3b-ZL{!xA9 z1`3G1T)|Ey#1nFhsUg9=%5AGAMk5L|-B-eknUzrV{{Z_dP7RC}@R>{rX(n#3Z_ZKC z4ikIeAT->3w->wci=Hl;#5ts(DgKE^Qzj3#|eJt26bIpA9W-vOA`|`epiZcnR8%ndv`I zveKwVqp^b!7NFit!2bZkpQlS}Bu}Pir$pN52RpKTZ|Wp* zuu&Xrr)r^o?x|?IjFIq&Z9FFs^RNn{-jh=uEeB*YT;b%wb>QvpwEVHuTuZ*vo)1y= z55P|U0OXR#H_M{I+q-l+!^5Z`R7LN?YX<-Y)C~j!b*LSuK&rWE-V938qI9lj4jBu| zYnZ@#-b>`&K8I>KphbWqC2N;A&Z(M_ZjlgpDYY~P!x|8%{^29)5o@E<)dMN4VRN-b z{{XB06thT6!`vznfgGUcHsrb6a+);WAEz?F!hgc2=myE5;+Ux4?7YXh7`tWR|oIx~5ZJIvy-HLCtU?R-50UT+vorXzq)AzPjmjz&0|Us_@3?#-^WD zE)f>W^4jZdWJzhmXu=|~1i;SML_u-%`b664uX|owJpTZd1+NldQ`+iD%=_VLvF-Jj zjfu1^L2xi61Pfg`V?p=2a}Vhq>a4x4{{USYLV8WD1OJQCG)PXyyJ@!+PSF&)dNNb&jktGrxH<1#$H^MIHyq*)Z=xAsD1novTB;qH9 zL1A--Gc1Pg?(QlO&I+V+V6~49HW>6D( z8HF?w^AnAyx_jLHN{6rzaE|hbnJ`Gg4goa0_0byiTlB#N3XgOw8@Zy)6}>r&hSc<1{dWSbP{F!e#eLFOFXA_I&-Q0aD6Tiseq zgz%l#aDbeXo>sKk(%8F=mtEd^oCKYasnX{QS_F@EtoEAX!USbLdj1o%t2vQ^x?&^U zT;ARk37Za8S7|V+h|`%;Mb>v#ZMH?iVNS@k^AjO9f8YEd(rsy#qy_A7)bsQ zkO?5!HP$Yc@q`^i7FH@41gL++Vq8)Y}ly}2Ii|xSGN=bKGcK4lu;)C8M_RYl{}AQq=m z&@^C5)^3?(k6gyb-8{QZ^ai$04VO-+sUg4A zq3(S{q}X64)sZeX5eUfX1~)<5TXp(O%${A$4>PD zpH=d|OQ;QcWt+%9QQU&G8dwWoRQ)#vd2j2}EqBt{kOAFlx1rj`s`eWds9mnszoCr~ z>wx3KXDeNetiD?`gSA{Q*M|UF2m<$7&9C+>on=&$|NF;B_b3Sor9&9fqeEJ@ft0i$ zIXX53k&>2>?v9NXkencmfPk=#ZV4R%q9O>0-~WE+{P$=NcFxYWbANVU*Y&<$uad9R z&MG_(XQ@s%+4YPdKK+NW{Nn~;ut4%09HpPRL+=#a*Szm;{F9tH;jtn3cq#ep9pHF~ z&1-~qKy1hgd%~b5wY-}{M_G1O$!DXCFpH0_h3t@9ouhw)N`@A)!>i@ezb0@M@rrze z;GbCI%buHS15AS3gGURZeYqQKhd)z12-B|VVcxJ%AnT+IZYRab?@>H1m{a+eRio0Z zudv#j8c?us!cruT%LHdRsOp7cZaU25!X<&k8;Bt~J$ zDnz?;Ju4FJrsA}AGv+08#Zc%+vspI5LD{yXV7UI^E1YFL-rPmNSGDT+fgvmT`5$w0 zcL0BLZ1}zgAZW>3zP!Fc&YdAYTljlkzO~AQgt28h78K6E$5_USAkf!E^DX3}!G+2) z?Q4&2IYfoQRg3sy2;N2fv&xy>r5Ced>d!_H=|TZbcJqI4zBL1 zlO~MCo?#lTk+oPPu5fq9)*W{g^DLjL@#uZQE(RZvIB_Vtqwul_61airW0DHPkdBx= z9po<0g{HJkDI6NVuB=jwHm9(QqR9raY)duHE2)1FhI@9%85uVdIsVxUHvKK!YqvT@X2qc98YLS;nof7q;F<3|`kH_1ERF#sqJQsL z&C<;H&Jd4RdeC{H)=0@>Rt!}RKuA=YbUhvCCg<>Fhq41Zr4zbiGuz0}p8WoSceETh zX>&q4KcIo>(EX7wQDvpP|N9lCZK>To(x?2Yd8ZE&nYc_May72gr|zM1#(~kU>yyQQ z>od-GVlHd>r{i zyj67}hquQ`N0jLjg9kGXDE|4kqqLwA=1Z^$63y^vF>g{-NNn^ngLu1{^ zVP-)Y79KCfe3HN>KuRRx+S<#BNG9Vvw0$4H^gxgRlBgA{7$IZE?+pIHJfq9LoBPi0 z%Udd@N9|D2c*?9B{H$Tp>OYMMkt~v5_oyRdIM+L7_D2wr&?Rp6oJ3HPU_|CGKyVO< zEFOY5*RDzX`KDxtX*D?}@u9aCaC5L~e0duWp31;>#xUYMB`NocrwXNYT{DV91G_AA zT?-{15!Z)FxW}~8a1{vy{f=t_L~5jg5#~jpWvybYoDu~UndH#t9d=@1@UyYgwJ0t6 zBboP;lbCnBrpuK=<`Hx3nwK;)hgT@ey{(*ka8l|GDlaBSbvaiu1^U;}Zdd?&>pH(N zK(Lo4`c;BVxQLepnJ%}RIdO_^M?EAy+KJ!L(5`uwv#aW8-Y(6$Q4M0kx_-Za0Xk@t zTjRE$lGEFOP5)K41O)HtTE}h3Bz+D_;hZBcG)Y+1J^qU>A_++Q_c$Mou9*~sXo>zs ze?3%h|G4J@Ph6wFetjPe2heO- z2>2)8vtMQ{9}XlBVq0@uw+0E^>&|m<$*mC&FLm-Kl^if>tVP$?HuL@DYZkj*woC_6 z9_aCqZ&+7Z{rEi&*2X4Rx;|R(7f|PBHdld|FsH2+N1~yZkI#RQZByU13hXOEdP~ zKAK@-upRHK3rQi7eHe+V3`FvQSxO>8x1%JWuW^-?UAAv3IQa|6gAy9ozmW2P5WF}J z)PWFia+Oc9%046nuzepNhIxZusLzR>XEx;F`{@;g3v4CaY0gPhI!x3ETmg`k9;Uqt z1G%g-R9&>$LjB4LK>WQlMd&y63raeHJS(1;K;q%w);#{uyKLi$GA36~SN1@&rlIpL zYDrEEQ@2d|!&I$pdw3?|(@DH!JYWu%muy&7UW+LPxKo2Go23#;Bp06ftn=N4ylH0$yfqRt94ZZ;<=5c? zv8hZ&I|#qix_T$D>qN}S1RZF+NbC2NR z;8dy^;`~_ZTOg?BJBAFB7g?1Ft$663*tFh()K&m-8Ou-K7NNkUaNDr^t)aalkE4ur zMcR4zEDT>Z(ffAd8lA3OO8AZB;&{F}TOAFiybzVgu~8p<&D$cZ<=SUZU!0U6{cfsC z^K^9VB5-AzJMs!`?y>O-tnYkMDHRlII~5@hJP4l%MT;f6PpEq`SkW9XkHQ$TeX7_{ zY0Pg2!dIu5KEH-0Xhs0eCwVIgniNOEN1gr<81<;b1u>Lpp==^*_h@MBwTH>STN@r; zp7|MEi<@<|BWn_~Tz)UO>{u3wJ5%s9kbY;AGoj|!hAHTFpQx${3`xc{%@wjOF#JhE zuZDv%^9HnUYP$rNsS;vWaSzk0;1r59=SDZd-l9gCi+%bQEo(hUtb-G`Gb3A+0pIQTfIuIK*AyH>8xJvN8DPpQ7nV5l^8K_m1~ahu71^H4TPIx@OxKS%r}7)e83nd;xjPca&RIqF zxPww-nvrUK_{+h!$6ImdicFx$@+(JR&h7XNYi%F5~<#!P*_N@-6J^pmhuXtbjM^g z>3e_ipN?PaY+(-r;aK2*fcmGYjznGuhPYDC%%V{OeCQWI^<#tSLf5=~ilQhp%qx@i zG?g$y;;A;IZxpT{*zWt(dQK4{v^Q&-(9!KFhjCSiJ7D6+$IxaP$=YSJL+e%vEe(PL zm^e|vMob0s!)~n{Nd*~IU$I2Z=6>GqJm@1Qk!};4IYyZNM~7JGW|g|@zPJYf~ykv$*@B7OnlNu;MZM9Aa>=B)pM2WUc9=(?3fohG&{_ z!xvtosfkW;Ivd#aFNmw?hOpfFx(oQ%0{%{xC^TZ8iMWDf%4Jad@zE9ei|AZ1)&+S2NrjR$;%@V>ZEhbYz!z@_q0JDU>|(AboeahMq52Tuj^rkj5( zjLbWF5J1%}wt1`ly+5tqu32=e@pPWb|U4jm>lUZ#O|qS%#cNaC9kTM==J@-D{!RQsR>Q{ zFzo!KAUrn16qPOGhMEDHJClaLS+8vYlDv()7R(#5ch*RG-&pwIPSj}yK&XHcb`F)^ z-u1;>Xo`WQqLqi8GoP*|TIU*as2d2N@U^D zn?T>V`w&6I5}hdc@k6v>%fAWf+ukWDvoa4eL8FZt`ASv-Yl4QUl-n(3Z*0y^*&8b; z-=4BJA0J~X;E56XREVz`>R?MZ>fhS!0dSH*7I2SAD4WLFSO!B9DX9WL_v99%)?UsO zqc+i8fDvpMsjGWi@q@kHy9QdxC^J+u?_bP+;CZ-(c3WISLP+SQ!|fn>9m$tgY!2$5 z5C}zqYqW+5G)%y!erW+0lGEEJ-1zXI2eDmU=c;D)OyEKA9f_KPt8lbp1;?RhKojSf z@5CS5m}$vfB04IkP$_ybwb_iXhiGkYi=AEwsZ99*i^!|+hnQ)6vw%mb`)CjIvj_dg1FVn!XV0=tg;;MF^ukOwT@RF;k< z-YXmodBXdf_R&W8kA~4v!!BFSDKQ+jzQMwoFF=ZYui`CUl6rgcFDV}-FR(Ux)s8KR zCz?aw0tzS;{+T;2_BPAT`M8SeaLp|T#!hj$T1+A`1mPR1O6nP0x%psrYCRwS6P{z|??<=fWAV_BsYV87d}Poy3CrWx z(rvkAD%>vXzlL!=;iodSLv0q1K3uGAiQrT5A$QjN zIREuGE6oik`1z-rpd^)-?ujMKQ*h_lAo^zTP*~H!!u(SaITlmi{YPtaKijbO5O7pUapz1JRam- zEFdg+LyV#@^sf2&87eMOZp}^hAK=QBOF|`U?Se*C%dk7RAdKc=j1)mIW<|xsG}qzr zhE{E>3&litL$rOT0*#bw`ou&4n@MQ)F8~r^6vL<1G96W5$Qx>kcGI%i9)x6PYmh@4_l4H zL0*>j$Cg+m-HXK$aO^$wv^^O$d+~ZtM7J&R{^RsxfgT#Y&nSag3MM400-3`Lbu%Ih zEa6m%#x{7c_D`=wddmVBeLaTKA-NqNkWAXOz?-m1m{t*;c9y|&(FmT@HicBcL$@EK z-TTc&XA}oAO7%(c@cyCAVE3%^D_zJUU`_LYUfu%Q78;c*B1`+LR2i8F0%NY=7#G`NAvt&Xzt=bl!w zYE`gryx8Jg(W&HaoyL+0NT$Ko{YPJTqsP9z(r6W+hvQOzgAXNh1(W}6AG_wpcBn9H z*!3S^ia+)2;)(S~-Eq?T4XKVN8?+AQF=TOMjh-MrM{o`{;*MSa&*YA51YMd9XXmQ| zilOeV72AY{suj|&Jzd7;$^LnVhRH#AN&Fj&_h=R;Gpde&a;svJXp;u>`kQ|J!Y%Q4 zDrg_3S zouPT0i@{kgiU-LTmM}P4LC&#-ShBDiP10*YRWm^yZnC3&q9MoLLACE_#PRUVx@~RY zL6XQNgTSseet_AnOkmdzPu`T6&v2d^0ircVeERQ;rfOnNZ|cV$?&C@Ge;cQA^wvh`-%Ei&8x64i*O~ zm`hRW1p;vefugMw*R?#P_sOSiaeFv28ZIZWMFBR9H6@j{LcR5D+Q1>E+L-wChg40K zb2&k6bWfl~P!-=wV&m#gJu!+pAKF8$B;UiO7gtxH_jfq2N1YO^0^n?Pth3`h1pvG@I!AN|v)j${!%HpY|;Y{{coYBK7;E->wAyeU-VG zWKU-;&;g4c#oADbcjWcJekO}H==J07jbHN%Nfc^qPNY2a6{!CWzCCpu++LCFBzO&C zT5-`y`K~0`&emCx>dO5RrDquClNhxgr=-A@UOo>kVZg2Pw>vp29YQ0d1v~R9f5j@? zp>zIK9Ka#W$t0?zOWB?!81V|{NxoE?!_33~b;B&AUF7V3lq+`IA?0qnUrmOA+x(O8 zVO37}!AHKlPb<=WOu|Do1{g{A=V)Xm(cGBi;w6;9O8r{yuz=_})H`}axuFw(wp#yJ zqOat^AMKeP%0nuZY5#hgx#wXQ23O2D(x`?*-G<1<*n5Lp;5a?m`(nnwRsS(fusgho z(+0pxBJzZ6H1tLTsd;Rfi+2DfQM9OK)g3c<6Dz5fBBpknNA0~4romvn%A-m!VhJ+s zsM0Jx6G&#pn^g+@H!(Dm*N7;A3Xzov3<5MV!2NT<6jGJg^coICVc~|?Y^BsY-7aOl z1ACLdXyx)=a6m#VACr$MysnV&?j;f;uveflx$3;(JYL5nS>AfhI2BUtxS@afx?v2Z znS1L{Rg|#X&X_;e$!kZl9MI`-V8-_oZDN#3Qjl6V0gV{?qop{LT{c8pz_kJdTe0kp zIm@K^=PdP}a0LIMzBKjmpj_1ZbjB5q&PzgGaCVE2i{8zxvE@XJlmHo8(g2hg@{@uq zhNNvVB}Tf@)71;lcP^e1NxQo z3Z1z@21-o-`gTw2Bcejh?x99@6u%^FL=xCv`Rt}_izOIl+^4gw={RhqXQoB{uP54l zYeTcSa_%Otm+_`P@9JOS4rN%8-&{D)q5SGi@`_i2SK`LYgpTYm?bldQ{92r%&%+4e zmH;XtpV&^abu9T=J9#9lrq!3rriy8fw_pfXD zVgr7yE6AC$lg$4GQE31e8f*HOG?_~G$|cTP!nj8l4S4SVv(S4WJ5Bbp)1l!2tQ(`7 zh$la{Z7Q1KOG+-^rL%nFtstDPMEcF#*p_pA!N3%Jsh52XRB20fL0J1qUVoN;owcfK z>HM>=AqJmF$BYrqQ#Tg~-LVJ|MWPLnIx-sypDXmxrx-b|n9Xzp*^*<9rxcG%9^Qw` zMo4q7`-^wvCvIVFqjK(Ad~;*k$5<|XFwOKU;HAW)qmb&(7W<&mn{d7h zy+>-v?2iWpJ;Iv|p$zO<`&6KhAFQX(NB>PwH+j}dP*db9qXEpEaI$!uX^k>X3ubU5_ zl!v*#OUm9xUFr0XTNMOHXK^jN64%=A-1X3LddkFA1Y;w`h03tR@*4AZb}n(3?ZD5v zDj4FAZb6&aGomcciVdSv?7zU1-$b&P?#~@jvlIccJaV=_j3ghCG7@P;`=ctnVH|O` zAJmUSg+AJ``bjo4)^sVRR9tX?A)Q}{3?nWc|Fw9?cFI3fzOG{J4{Fu*sMBANwje?|?wL4JGs299o^n zVX}<6E`2D%OZOp#X@BDwf$dY%;PpdeL&AF}fn7~%v$fCjCaNeX8x=jvx_I!w3weDd zm&U0Hw@C&IIeF3oUa_&J#BIAIa!w+IcE-)J4! zAUz+MR%+(rqq<#=B89(lQVp`Criagd*{~9|dY*dG9{w6lrMaSxf~VgXBXrs8*=GuT zd#v5T95YM$1eHz zh()h48J8^2f?;@R=@d?~bbkSim2*2GU{%TDtx+|%mhLCWJLWc;Wl4bk zlnL7DO`UOr(D!x^;|X#y0#OY=Crn3uVfxKkx`2NHcq6Qcw*BDd|KIx>03^qEf5_m!>Dq;EF zrNK$KyJn`#M7OL(i_BKch}$?;ItVgMeUv z3_*&ba_fBJn(qQh=w=7eCWQito-T_+I{lw+=9YV(R;=tzaK8|djUtp83@@>G9D{en ztZa>HeMKtiOAuf!{ys<)XZ*^0RfzE?>L)E?+s4H7x?ZZY(w^RtvE4V~w%#mx2Pye2 z;SHNnH#`40p<>t}@n;RAPK~(1b)4-^laoASJni&6Ui)V*-x3MtaJ0Lt<1WREQNak; zwg!c1c|AVR#F11}BcgFYk-aJk1_Y@t`nKzK%C*3++UNf5sx1{RC$%-~$>t1qGT7~- zavuc{DGQlS=a~H32+I24?MFvK;jW zIcYH3sorIux$nOTdKem5ca=K%IF`D{n9~8th$?$YUOEH?s#|I2mLCq9x;6jiht@v( z50DF?^-JBQ8O?rlXL3+_LM?6rsk0*M{Dz!f1Ova@?F~}oHIR;GhO@CNJw>fhT2c!B zELlqNKV0F}ru^(Cja+)hZ@n03+Yz8@Q=Lu(99R8 zp5B=Sp?tke5aF=KzNAX9c1F;Z6A%KVd`Lmex9U<$wcmn|RWutYZ+aM(|CLziY+{A; z1f+=)1~ydoBJz*>`p#1Oqwr~}^yP?yd{WRSer8J5xC0$M`_oPdXEk12nNc_|_eqg} z4~zubK)t5^$C`ftQYP79%!&9-hPuqEuoDZYJewhOx4&AKzlf12$bUeOGprk#7sSx>; zvqJpx`F8{Om5bg8eO!}UpjmCfN#TQSWNkqR1rqOB4b3>1qYuBTx!&NN2A@#zRU$#W zQ&c$Ysx12}?|ol9p+liG*n7}qJ|u@G-x0Dy`EH4ghlp(Lf%hmXaJp-r4?O(B{Y6%z zX5NVKJ9^vh>>T2Wlw$5QiYtNvABNrNRXLh63`#_ed zb{~70tsNeN#XL#bjO73r4jc;jBP_Ek5$BOmcR?bQO8 zZt0^VlB~{@Cn`Xo2QI1Xn}s|TI}>+s`;vR9ZF~ps#oZm7>7SGGh9^bmh<3x}ls94v zN*w2qz+jBt3F{goZmu59o{=(JbKYq@tv8y>*Id(R$s4pDjbX@`m0U@BrVBPly`+!W{X`{|$&S$p{M z2jj}&r*o=&Dg>aaiP$^ng1^9IvtK~;_;m$kbz??*RD1&oMXRCuQAd#$y&Q`#O{}M7j6lJ6LZ%og*)nF(Z4B+!6e|BFx8!E*8>LY-Y_5%SB%>J!omF5V*H_B z8a<1DYQddDhP`mIo9vEq?3d4BIxNm7`JZT3p|zNd`Bs>S{bA`uSD0;@6gHoB4Nvi& z8P4$1pP;MMInxnEceWjcR|xth(l^L~#?#xF38)LQbRZfd^y!J&91h>oQXc_7s=ty{ ztfg;gIyj3{l0RT@$kmA5d+(IHIboiegmwB#^L^Z_qT@^{hCt4#mr-u3&q!Twe|J3y zYYVU!SQEg~i3}ghusqXy{Ytyeidr)V#>*bVLyS%@ML9gL@B5r4E#d)T zStnVFBq63fijJ(^D zGE#&5Iz4@TC55}W(W=HqLvto>MieudO0baf$EprtuC2YZsZ&4!H>D&hye7>6(r$IO z@yD9$xsn$Nf4tH=Bb*^sOJ&zY6h75K-{I+JgQ<5#J4SbNv?+!BkCI3}b@Y?WXP3u` z+-s91T8or6;+cTH)vEB}67GQ5bu1-V*Vz8)x0;TFpo;Xu1E#?H#2%FW<`f2L?2lhV5nI@9sHL@d zkl?v>{PW1oRiEi+II)URc2IVN z3nsN!Q?o*tx7_|Vn{F>E5i7<=ES*vxRJ3};jKj2)H}D(@*ziM2dhP*Js(M1Qaovqp|@5_rSY4brLbH>&tV*EFuDD7!yVxY zh2@~+0?n|;a8~ivH+U?)^t^&ksgZjKzj49S5!Z{C+?-oB zy~Shi-djb3VhPM3pmsLkmDY-){OsN?VjjB_X4Zh{u3c80*nt9CUn6!5U5wH3by$)N z0rh!2ISti{dMWHMCWgpz8QjGz{#?CL97CMFlP(0yPreODOTVq1g(HPhNlWbNb`2R= z@jiaZA^^{{>?*bK9=17>{U~FfC|a9a))9{1pcQizBBV~-+$*+!Poj_21XfGHq^4mc z7yjJ$h{8%8yAC(5=wkhe?hW^kb@;8*7zRO3^STo;<`Wbj_s`T<#T2U3PRZnBnX+)( zZtvEEm6-{si@gm_#57obeU1yZCSVAf3LZzB((mTj4h?nGm5xv1p9H2$m5_^?Ehcb* zRr#B1_cn^sqZ&PFmpZqv8RqMYQp1x_{L2^G+a1+>3bj``DYN0CwmzZI;Mb3E{{gxY zh_TVhUYc};o+qpl&^YZ4gWC6BleH*|_bK}ZDdnbq$r{>1UAP4TbL<_+9~`RZ!W3gd zDNI#Ryw04u-4zxgJa0e?UY)ZEJ1uc{?ANvQDW2?jG5!Z&p@eJoopIYj=YwtCZ;Of- zeJAqFB>fmqJ7S;9k4S0x=+&Cq2oeF|6tr>C5jX@QMgD{F{R^vDq3l4}zNu~F>Z5p9 zx&n-#V5peFci(BB`?Nw01rwcPTIbrU>GDIW0q7bs>5g?TpMSWPkc?E?WIn&}ssm;8 zqh@kJlvYDX?)9KD){cO*Z}|Jx!p!4G+|$*ZzYp=@Wn4b1HG=6qCin;e>(KCOH8kXLMkX;-jaHv00Qk1(Q zw+{2^lDg^iW`sMVFwGe*ri(A66PZFVOV4gZq`Q7krV2JTINsJTjDn0U1ONoPE>MPknt z#(kEea%|N3?0opk?`$P!iC_;uel%M z5)T#9CCL>If-h{N(C?7C@4jv`x*ADMmQP9XfAVbXTrBVi*tvuekNSTWs|}@VmoNsx z?7k@t3$-f6fr-^chXcpkN6lEqO|aP5sx~*9%#bW*eY`|lZbSL?l}ortc{NQx$d5OW zx+8C}cPcZ7CT(AT3C3#5@0pl+z>W*{R;KE0iPgO}G_c&+>6ah7)UMh7d+&Sq3RQ`{ z534;OEZwPMcuTUZ{P3joKn1))*?)R~SCp_)ciDcxH<|effvfm@S*J1driMlts?xp< z!4iSJ5?1ooSRDSd(L~ORpJYjQo)`}n`43=H7_AhWw8HFR5Y4~7S zbFrYSP2IO_nf5mRDw7?rM2jN>XoeKusIOYZHd#wh#5I5D{p_c<<_49Ujaz8TWkucXwXIkm0jq)qU?`R4h^Xkka?Qs>B_8ovR5f>iu`cm5n0^h@aP8(_ zel|s{0R~IZrTPO8)1+QOfXsE~XeXhv!+RTTLASE8ja-?EGRXQ;E}ipYMhu?V3Ra*& zS_OXg>qJtMK3S%xXhf;hv_IF4JuOAi+q6utG7A=Pyf^outZpDSY`nZm58>}8F5})_ zh3Lk}1co=<{ffMxfGUf;N0(BdFJDb;`L3NT8Dlcvz;LnB@dmQKKewaD|6 z-|AJ?^$+1WgSFrL4ZL{yMRw^w0KYK<8_3WHDlz4qQX*b@T9K%i5_q$qeO<5-*7^yw zaHKC8YiHP#eIfp~PIrNw*hFhEGzf)>{^>wVlYXl}%6MiwNGyYJ21y7E=c5t2{cu(W3Q%@{Y& ziW)l)B zT8RdWbwkGzvRUr+;~MUsS6!&Rmn@Q`=`GZUy!#J8I&&1;@f#^oyJbksOlv~uR;6mQ z&yFR`63>dPkFL>&uZUwK2@A}eeb9yd+R7uZ{++N{tj`BJaE6bt*(r}o!eM}AD0e-4 z)4cO8!wLWwsyaJa)7D9Yc4m3!0Ax)((=|X5I(sZuq763*U3QSPT#K@RSbNT0?!gV+ zD1<*L?B7Qi|7tKX4zUFZp?w>f$ulJx9953QBK{~5zXPt3!nWjGD!hrsR6>f$-zw&? z&K_a)^uUk`P;tO}%E_^`e4jELvtoNB+Cga|x877Tg5?`=eLG$|hJ>8%!sO%NWD+KV zkv~^!@WM7=4XKq?veY>bUNI=t2B{Gd1z1q8zR`V|)(3m_xi*1G>4ZTNC2kbp^A~Hn zl!jvTI|FkM4y#x0K`|5slti=?P33IJ7fou?sQ{5p!p^Ez23ecfaxo1QkkAng=~cP2 z0S2$HqYHq)AN1=Ds7k%bZG1k#cQYT%v(~ws+jIes-i+q8L1jb|DvZmywKlj6OJXSy zGI0eB_RnlbjEIs94z{RaWLcP{`kOHkmt4nPj-v)#7}8X!PrS%s(AR3*T!%_nF&nM# z=NBy`Q|>zVfmQfC4pyBq^!9j6;zBHh=nGU0Ncoq|_le@J6b5Qt=LUv^`4zslGJ?4w z7_Iv_B{l=Xtau&2?-1Se;6keWWT@QcGNiKXes5{h%+OE_;jn*%3Q=Q{%= z-kO{@)ka07dIv7&jY?D3F%kk6tT&6aVahTX4lZDQ0K&*4r%|<4<^ak)x(7HoLl(qH zkZ_cqp4aG5_e8|bY6w==HfBk5pXv?c(0JXLdcs8ihlLLM+mW^lnZ`5&1p z>+E8x5}Bz8D_;H0*k7DD)3Dng5DtyC*UfF;+`z99i}0kO4VzzC|H|8ADNv7f9NZ@X zpAa`7#f9v1?Rjm1YQP6q+YL=Ih15GOg>uu)wjHbVi{C5(ieTF`Py`#J5&84*s#R-A z#YV9YbpYn{$f}>feC~+v$Dybedw4oEj_U8$%+C)YDPaf@C)YS;#ND z<&R<5G^Bn`_J*#xje$rZB)2q0u{H+7&&@sTu0^sLapA=n_Y`s8!HDfw3PvZk|%f z^W|^$jP1REq8G)-9Of7+Rz(IOD6bi7O8Wcvjc`I7*)v_RmU^a6E>BR6uOQz^kV(!Yz#0{Pv&Paj!IyhJ>R(Dmx4ISC(6lAc-D9p{e=nfe~ixB&f{3^g{Z=r zs)e@lZI-f)2M`wUgQ9NaP!PWQVOK}*_gG*wsW+!qUe^UA+{NTKJ&?}~SB|t>K?Ccy z3B%6Ms_far=AJ`r!0?;MPdBv3wQH5oZ?7-ibs-(CGZiU$f>#VOn zNl*>ldG1nQePqhUH0AnNj7pQ#6h@%Ow=z32*w1Bv}?k_6#;U zz0~~IYN}DXZ~WYjlITbr{_3Lh@i}TR3TZqM?Aqgi?N;^gocl&bEz{(ledjNpXuuQy z+>U6qr4osXXXNWT)^C3B#M0fjozxMkbn9BAM`XU6hvzka z(bd}$dLPcROgCLW*1FR=j7yTzgqye#gnVgcNp)39$hmagzkQUpNh;5o7o9r%L>$_% zq8RvyhKb=ifL^UC*C~l9nx+axfk^<`6H6{#e=PFHs|J z9NpbcW}OeA{A-*GW%k_hA`@8Sv%XiHoz)oniVbs)d!)Ze=}WqN+P#4)BGZ%a&S91J)kgg6#@xN%=RXo7>8dmlf9aRu_vb zuoNo>vQeuELd$LHm&R(5reBowY(DJ;%-1q=pTFiQdi|7UR@5c+_*zK zArm$wLRjigXZDU`{`VcZxY#-O)aj%&JG{bG)qkHolUYrb>Zo35qHKIC2fICYQbhxm z3ij%m$%VN|aX0I?bB80rLLaC{6S4(%qr6cXXc-(iQJ$wn#r5XJg>DDHrC@{JJsibC z#Vv5sE?)YsQgnlHCWCy6)l?dGSnvOrZ$&)=U_0*yYGck~g)0{G)5glUncIeld@X%2 zR892XXb#_n4(=lz${`PZUozwj4)L!{NujHi?`5gP(UUVo%qr<%3Fo?0891vMMdp)u zv*7kat)8USuOF|-{6pm=f|%sI`8Iy#kmxl>AMM8XT8e@H=tgK=3pluRG33^xe8UEQ zme^7&6K}w+JG%cjJnGWz_|OU?HaC3;{j=mmP(p_GUxC5%m#r6vV^xBPMMbVrCyP1N zeRABsaccgC{j29;b^x~N-rJup9Z}{TqDGpRZfyg?vbJ9opYCquEJ0g>%==nb25l>% zH;hlgu!zY&LzYb3epW3N!O`f3En>a#8-kh0j)SIJDnSWStOZ&O4mt~iu(pkvR7L77+$P zfC!#PZz83U8VxREb*P({HLjzTdc3QiLTll8S4;Py6~*qktEd(BYjma#jSx|UvuzgO1baYi@bbznDC*4; zVT+zIMy6|{Kg$ldxC7RPT@(uK%C@l@Zn7jVzxv8DOkvB~8iX?l$lbV&+b(o{Z7DMu z9YlA`+rL#41 zHORYhSI^01m9~~euR4jPWjBdzmQ6h{xyB8yoEAvlQB?8_(xf`W5R3itOv_6HX=BVA ze0L+vFTgv7nJ?!U-1E*<30iSqR#G6stYlC>+j!bx1cSxN1GHApwXk-1TZ)h@F1d*m zR6E(`oC|`iaB(%QP=rJr)8Ztez)P8z-HohA8I-I5kxk|Gs2#nLNb|lANbW*UROPQE}bl9~hf^>xKs#5+f zp6YjaHHh?LfTll1#MJbLD}l?+MUCp!onMJ@4l&OWy9u7j<||a`E|^`);yVLsyEF!(|D1Cg z_h^FOmh(SnQu7Wo)P1aDaw7P0PQQSBD@XS8&=TNEGr+P&;g2j^I6cBDU1Qx#Jzaf= zAJzt8pvNyzEn1tj@+|>t-I!!AS-El-cF`0fTiTUFGeVX|U(ON$abYom+CToLYYEV3 zJY1=dscl$aJ_NikQVPhcdu#2l)76>*+~O@B7r2$y|2cXW-8b60b*FlzcsS1KUTg)G+I8LN)Q>+0wM{^R@Z*B(3 zd=U1gk7%Da! ztW_eJ+3e-<-nUnkEE+(P=(P{4PsK84>0U8=lz7!8d|vd1iZNYCqyXHEq$hX2+!Ku@ zO$GZrkyRqa!F*`TsKWv*1fNx>IXNAZ=<@6VvtJYpU&iNE#|f}$*00?*!3}9*}{IE*mIoRU#j( zSbcKY1bnR#P<$(CwXm6C8gXAck6=hx`3ID7h&D>K4saO2kH5+|*u?TLoIM--$h1GO zMR`vc;Pg%~K>uVda(gd9Qj6d2@b9SBlcoM1H)@T@?a4hQmqlshk07mEex;9lZt2$+ zd~B@e36Y^m*!>SsFq69URp+syV7rIlFc8XJ-^9n49y`D=N}7~-SGkit@rAO$i~5`` zq8KPUe=AVrw)wfeQyFC|^Ra7iE1TLkTn>@7TEGw6H9h!00FOX$zauR(vk@BtVkK_# zAfG7SA~%6b>2e3rGjNTy;}xRNU)Sy!5>j9z8a(!60?^i6P$JACJku#KV`@)Cf4B4QfbPzXld-qR5oU_rbmt90zDiM1B}K=bxfg+EgV8L zRLv*}42ASuiw3*(;{o;j!s;mgcPg}lPfl5UAV(G`U(bEV8@_ySX)k5_sfGlDlKCzn zB1X^V3BA?LOpD2uNF9~@$*G}lCH1V8zBh_Uf4P2`B&HCK5G54;48`CGPdKDzn9MYM zvvUZp$SRl+W}}lH8lSe{UENw`5u|5cU@h8${{VN6mtar%41y`Ta>YBzidbuN!07n( z>v#bTC(SqvP?FuUwhs8kpg{Ko_J|Z2*zxbtg$THXRGjrL0ln43SW`T}N z!v_`)BDo^D0)AmC1GwcM45lk)D`DJe4FcsQ7RThdKwGW_)j-qt9&fx9vSB2fLh9wy zB9}C3Mq{U2-U$ByoBNXo=I~P3$#TuBi594 z^kfxnxe=me7e=tp0jKT+dp+TjU`z&FSqzE=RJTMjv9e{#gqtYW}w>o#lR$ow-O3Zc!(FK_%-J#=Eeu)t@uBfj#(OB zQJJu>?jcM8)zgr=T2Izyhk6U;eYlAt@1#pd0KTCa{lKH|{{V7eRyPBv_Fz+8&H&$q zF=~g7W{#mulyugkHOLZwm{t5-rPtcIx@(3V2}1>~ec&<>fG{N@#f+pKHEa8GZ%WJ* z2ao;8^7Z_{5nRL|+OTS_ZV(&)0CIrH-x$H)=LyWM+3@u^VVz4@9}9s56Z&EWL9P@; zseG`37(BB=7A7)P!W+b)KmEl3qEZ(VWVU_vvCBSGpRmcrBL(z+|lYwcc@y6n}NI3d}Q-yhx(lcivr6=Y^YClBaTmdWy z%jYSex~OAPr~C{ZDgY92z^x&FmSq6CZ=Aq)QkVq5I`G2O`VoyZEJ7_D|rP0`;!A9h=?|W?+OVKY{e;k7zfhbfF-_60aaS3{h3I$NsDP9 z+3huQa?y4O+f3Xh+p@U8iv}Nk^Qt&6h0Wez(~s^e)R#`z8(r+&3)*BbY*a{T;-2vx zE$%~*n<7uUWfr2_vOKcoeW=<;Wzz6*6@@AZG=g^La6T=-U}J-BTHq-TCADko5p_&F zY%spJzNuS)4mdswgGUM@2nWdXo(sYTYOZ|<+=lX9OAYQCTC344#b>3!kXu!E35S@H z(ro#>4AKBz;+7$Gm!-&1qD$-7<_X)Vpi=e3lNvOSNG=HCOD7W;(2H-cf38XmQLRqG z`eu>npKp240D#xygmmafV!hTaxF3o*ZiyI%DPQ^nzILG(FLd}?aq$I^SGO=2{wuN_lPR-XO|Qa z2cJAa!wo7x`;7~_b?m`_X?kmw7@I9Lc^sr@Fyl{H5lE?gvou_ca-i2!7vQx_lOO>B zW@uIc=|19Uk?=OdPVj64Xy&}20DQ(E7yjHBK3vT!)r*7WdVhzb3#0EB8M&m$h{{jn z5Q$QrC$Pwf0RI4SVhvj{s$CkJtVm@IZHDD5r?^JIH%1uPNB%Bih|PP;J`vNJELs>m zE<2BGnInBVcNACf!&U7Qgw09X88#cPpGL4B2pATyNxX(k2afTR|L8 z2-}p{T)OCq9~U>YT+)Ga{{ZMc<)Q4?tQBQijOOh?b|HtgJ2jHqTeG5jxl*?nb0{J| z(H!hFBKj?+7A=EAN$JW1Qjdl;L)Vq&G}t#zuqN;zm78GTfIzZ6{%a0|a-MN^8)LAF zFZ{(w1fzjHU9OJyW6`?R!!VE^LgA?e5z4h_SKY(`w%~R}A3py8m>~ZE+Ytp{QyM1O zfLe6G8#X>Z;q7eE%5Q$LN=?MLTWQ4B2yU5|;<4@}hK@xD!xXb(mpvvFI2pmcM`j8SkN zxP}4Qa6~i>GE+tbiW4jaSs999@bfPrUpO`xLt9MT0k3kcW znH3r?Fb_uuVvU_;FPkO!;#GQQhFj0B2_GTIaHYgk)9{7`paIj)0NV848XQtr#5$|d zG3p%;uX#MWGL$B}`GJtO8Qi^P>JWCs7)sVsSjkG@X^c-fM*XuO(RYy#A?6Axwauc2 zD$j6);rXdYhLAP%YU2%3jZvlp<65+$~#7wFr)x%@#%5W##B`WBNkk$c7 zJs+5lfNnw!C4XF4_u{obVs~FV#*DjMwoO4-vd7*3~j+jzPLJ(V86J5;f669 z2wAJ>!i_=*vJ(g@J8=LzTr|AbAOV!G<|3J5`^w;QoJZS%M*z~rdSDwRAFEDe%iF2z6-|(p zX`==W8A`_m-lhVCtISZZnmCl2Q6HReS{n0-A?JCu;otrFJ>?# zHUVkx*!#$}SHx&=6{-aEVR9PLPhB{mVlV_`gZCttiVO2DyTm$Ib%}EBuSOy<2(TzJL&^=Ue}RbcHI;^Cl#CM-@EK0Ps7qTM1Bj%gnRh?pI1BiMbp z08ByGK4MBlZ?a-1of3MN8rb>(lTespN=w8d>i+sgtr!# zHPf*y1KuoW(G0F3sJ$MXvt6wBiEdpnt{lOYT}{p5N)#m?;X+{4@5uw(hyyB1f=aHI zf9%WM7ViqQ;ujoMRIF=sz;}U{LBtU)f%^N$&frw{d|>FJKM!Vl)xBLx77@!qnW^oxUj-490z z=Aeu51y=_&&K?peW)l?RWjgLuJC12(uZac;lJ?KK5n3&!ohp z?P|HQueShXC3;|;as-6;iZts8^^#)#iH2dC8vE7AiD0$HP=jDUu54Pc!ol}f_tqRl zG2p$!(I}tuAScSMH1)~_d#Q{dvlW}};}_jA`_@{32hWk~4BCocm=@uAh||f#2K}4J z(3%Fmvv3MlxJ`fe#!QJv-UOEI?#BTX{%5JVlhJnm!vI6-ez{^n{{X=7;+m}|=OK_N zrq1Iqij$t4-T}1BS3o#Z08wwgLj<4W7`Fo)HJ~h*0m)4tyUSD?FjCY109;4`w=fK9 zI&+H@^u94s{{Y5JYF*+%Civ-^FP*?!rvvw)hPI%Pp1tFO6|Hg}EnHAeFPv7L312#5 z*{luXL3X>%5ww{)1faigpoo!0(q;UF!7!oR%1oVz^VTw<(Ak790sjD9VAxI4{%Pl2RkW8s zni#|?`HS<$Nflt@8yc?v09mmrG!W(tH*T_S3vMV;g8=Y zcSoGing#d>2j(>D&oShMb_P+8HV6(yLD_m-#k69JzI9wKyKNgu=@Go;u?emThw7S`r(O)z7 z6!xe2is|wb5iG2i5JHFZ5I!*7{OR33@Is7%s4#Eb4vJsX{{V7rCwyQI7tTK_%uPzb z?mIVEh7}dkOmR--#E&?4G%rz^rkBRDfm&6#PC#q=;wd^(Wq`7urz4RNpg(AS;-lhZ z=#dXNod(l+$Y3@0!9+utojIOLWdc3OB2?HAGJ^;m=51e94-AwX6PzkD$$`T)8H>MA z-&_zGJztJ&lmkw|mR8$$vluG;;)K&TW>N;xAL-)>07pz{yJv8rG=~9ScP^lhp#J~^ z8dx=u$yhy{p#cl*^MDTp2by3bQcYrBdND&n`0$hMf* zxgnFB5Y{{kLZo;uGkCClfOWafN$eo(h90>-0zW;t4Heg80z|;#099U;a)tPTHq)c` zE9$8Re=-cHZ}sE$u6#xa8cVZn_4eNy3Djiur znRwi7Q&>9U2nO&C8X~~ah}riYXlmx0aC9&yF)RIS;fz9^zPaH1#17Z{w;);ye@FaW zWDiG3vl5Ip~Bh0&+9&CybYg~d~*I+_@nu*UY7 z6&OVZ)bzwK6z}oIA>q!`EQ36zH1KO)SMDRHOWVJD#(D{?Yiz`gRSxd-kLET=q9TCUN2-|Jmv6qTue>zlI^k9|v+%&E8moMsj6&%IJui2RV_>U{$Km9_Bzini zd|~J`E&UmrF{`&f@DTQ7U?l3Db6~kXVkN3n>ufQZEGtKbN}Hs)4%2of`K(wq>5>*8 zb2kR4qMUWCM`xvuBXJAp>f+2cQ@TA|gsL8;a#0$k#>hGm`;f?wytG0`mo_Cdlk!}L z&aMhSp@F|a4I(ZmH&y1i&0<-OzeWfjB%gzF6g|f|BNYbu<4mh4UOAB3RglB*7Cziz z+D`Z|N=4CNQRU%+1W1&laGVFgWR{;X8c`0p;}PQi;%!ebDfk|68jI!zgaHAJ8b>D3 z!r{bb@)feKtFWFpXG(~JZOFgegaMKlw+LYXE`qoWtH^UhX>G>oNPhzny{dvAO5cz<)_ucHRIMyhYS7pf^ezHq>`B@4h{oj zJfzEhAiCYm5`2yTXcY(BpJ7$t)x;jf{{V6V<#>4Mf9#)_cOVj(OTqY3YFKZ#bB0 zFbzb6pYmJ{gD{W0(zSuZk6bphfOOlQF#iB?Er@kYrUyc%dn`tG`@bd$1$2+U9J^Fr zX2VNxe760@y#Ac_J>#l{PGW3lAkUc)yntMWPzF;5RtRTxh#^g5^mSXh9*iB4$0-j1 zfu`FyB^}1R*?H0qK#Id9Jm{QxK zuav{{qENxZhZF^~-1CCp(qIb;M-MIx!xWeVIca9U*@_aJVS*w*b4m2pC=GAsBX?^D ze(pk%vi|^bF)a*`h5-@CciaWRRG6KTt_hscNoEMO4%Y()#9Wx#TniXp{lCZV3ETpB zV%H&=^fPWo!N&>n0}ae4`nUuyLlQBRz(ZaPWDM6>p>FOg;_)gTK}*vbHF?(KAY5)G zu_+h(i@??|HMe&Z>Dc2)MYj<-3;vF{iBQIarnJpSS0@LCF=%!~dBPji>-^7v+}bI+ z--&}rz5bUF0)i7&$jzj#0l)^=EW~u5v$8-r;a$r?VXeqq7wy)&Bt5gzflwi4EyfA`sjC zt`HuFj6k0|o0iOANSWZ4#nz0WocWS1qBxp0vHoU=B?0|#(E^b!$Fa}cul@Iwfu|D? zofXiy0183$WLRAg;7l!dF|5%#V+frKiyAUE`_?FqZ*v1>ec<4DCCwNW{{RMTGzGr* zkoEz;%#`gE$OZy49Rc4njrSvAzLN~eC>9L3%Dn#ohOR{?mN5{zPJ402x`sF_0ybqK zF5xgwyD~~a{{W1VO9K2!lH3!d?yf?E4$sYDN!^M0o1_@UC>j$Q*yg7AOzLKertt;* zY0pH%Ye5kG&ja&!2-H~MZ8m8fyfKifyK9lr>E13i5hk!j8|r`;xT3gXxj}qG8Lqqx zC`J7HmLk=@u6RL4IF0SYkAX4$MvX}^0Ai8`s?3eu6dSjBwnfB|$|MPOxEXiu&fkS#S(cBdTkF<~+*A_y8utXHD0%2@W8 z5mXJ|o|%YjIyfgu`-A`);zs@Sdce?B*&)}Rn3>gs(N}i}HA@_)Iz}39K$)KtWH16T zQv<+bxq?IyrUa%?ms>r4;@#LjLBe1fb;F3`Q~-#bS4v`nH+sjwHXcCa2nJKz;ao@_ zzz5R%a0N*q+z$S)Z~~ADV2UKCRd_g1@D-%WEwHH_Nq`m7jhZ|LDmy6XQppK9@u@Vw;S|6HA|r6q;wvhk7}$CL0AFc< z@Ht!qhoko;+~=o%E-LJb#gUl9TELrul{zC|%vhWvVp}81xxAq|)*2PZYwP5~54v46WxO&91*EvZmiL_`3|Lr3 zNu#4(m;gHrZk!=Y0Q@cm4|8>;aA45bPWvu0d>c3Jp@lIidm|g+#|jW@63PPZ9AhxD z$~|OoEy^iDw+l1C(oy8N3<~tfGI5xEQ>e!Z1N8hcc5NTSV^1haK?jzy?UKy+Q00>Ew*i%H$82a&%1rsT^pSf-LVhD6Y^WJGU;KD`njlzN*f9Zko zAN~tzx&?h%f4Ry#^N|#%HEi>f?k_|0Hg5!GG?#|HxPT(D#A-$w1GtHbbWwetyyKMw zF;GlZ1)?#xZApE((WGFC7seq~yKw&i+l-L3a4_+?vXixcU%3fN)xwN4x6_b)_nh68 zktze$J-1`en3vv6+<6Jd6lDid-!T9MA9tZXYXNed-CzwHm}xYP7!_Wsd*&h#g>c>p z>jKzwBmJ<(0_d&^mqw3S3cw=viR(H*fBNAoSw#fw2Xh)WrOvjHUIfzPL$gnS?PCPWz&Dmuz17?VQ=3F03iVq`PLsZi+Km-ef+M3bIU5N~8 z29p&IxEO#J%ZVTuvEazAnsf)L`VnutRxClH4L53ZVK>_w0syK_W(aH6($c*Cuc z!;RlKHjN>g{lok+`w(%l4x3eHA&6c?F-p%L_XuX_^#?(mqk|wd-*^GhU4G=8r)S-A zJIcl+?(<>!Fj+?Mf)TG2D4&O{Jz0>`TpA$Pi~x?FJY|_({{WBXDF?F5W(S%FtaivG zeMclwg;SW&i&7W{5mgY|Mq-H*_xq9ICbMV()fI3JL{)kCXO#m_%s?VuYzHAvuG_O2 zbTs#Z2aCoe2iW`kFdh;I7p$2J z6ao(^n@}_XrNP`K5ft7mvOs#Loni|RLDbN=4-siVFh0ah8vLxo0upvd*^@ycc`hqk zQxz)$B<=wg$Z>H1i!z9IWp3~^fJaSOn1E|gaQEzTD7~aSUa`u-gi`2f9JOGZt)>KO zC8dw7{Ib2}55Nd-)N^qKD1@#cfJ+n%sR|jONDreR&BCnqxSA-1C(j9hTZ$WM`Ej7^ z2!}T{g&$%cOhFJQ*CuKq%^BCBns$+95(*#g=4qA)bD{f)3(L=@$3Bfw3~ESrx*yEc zz*)qlE9-D)FkdNl| zF%}6KCa%VVWAMcSq>Rfpwt`_1HP`Mh+2ih5FL{VzJAJQ~WpJav%n^R&7KLV#-nb(J zQwkpej$A-dZLq<4;s=Io31g6g#2OoVWg!Qqe>aL+>Q{v^WNk+&M?C)kHM0jqd@tv% z;64%)6-fCXj!!&>Is(%DE0DNFDaTpSZ;Vm8@uv_KL7e>ggg;y?r%66IPgifGa)J(T zA~S-s)x(GZs$l8>uN*%I9nYDrZMH*r16>5!uht2RC8^vbhm1Pt6_7+wD~rhCQwANx zP`x;Y3&A4k!WFCKiU1ZJ%Wudlrmw_C)O5uggygKuc;oF)PV50~Ey|_?pm|_Ni={MXmA54i2u{niGP9byu#UCdDZ z^@%VRKH?=Y_Qbvn8y<{TEQm@gi0Q?r`azf_OX7btcolJvw)?#rozA1F1(RX_{L+-*|4XGr7EtzZFB zcw~Zb06yNhb3(B(Xw&m}!5ae)E8^k-vN(3xo@fdpOgmr+KbTCJTl<25v<-hXF$)T> zpNC8C3WlOcc<~o3nTcT(BV924(H22gl9C z*ws#}-Es{LLkNwFQ~~*v2=Xk^q{=!F8^U8+N4Ig!L6f8T;qth(K7s!L$lORkRW$No zN@xRbUdI;`$+EbGa4}Hm#>IfVViPQ9p3f1Xv!wxT6^daux~>{{Z|` z9S6xgJ2$)wTcm%O1wxWQopKG^I7LV>rlX|51pol`4B?4-p-kRI7l+DxxnP76HNl|B zcjH^d3!;oZ*^3$@AJ=F162tw@3q;AHl|cHQFcmlV=2{87%GPfzepI*JaV%bdZVGfjgN6VESu!BTSUmOe<7flW zy2;y$c0XKpIlnkCCA$Q~Zg+??D;)^Sgaj;VVu99;$}~uuJs$D17(r$;{{T!Y`X`x) z3NU+sV*HcWana_7CbUb4EYglf(E}fgpDdnyt^gVNaX6&3#!^&qt6CzRLl(9#xqt+P zo5QX0ndVS+jp>UibY)IaK88}KMEuC1e12SODzrU&f%gx3q;_9;_#y=iLKBKQ`exb* zPbVp3krZww0l*h=^_|)TjgN67L5ibZ4qb{_{kcL^tP>loRb!$1?*e!hwuUsGD(B}U zlJ1L}2_YSs+R4l}x*NPKeKR2hK>pyQLgWiBj6#_WOiGIQ@L@`5pXMYq@2bycbc>=s z9dd-(JDs1;nFV;50aXzH03_BTl#OSb_gN(MF>1h1eoO-Rt-uYfiT=1&Y|y~jJJu?K z$<`ft)N(vBWU!WCWsYW;U;}+K#Q2MG8;H702r;yMPls?5?kl&m5mGVn@0f@h%sA87 zz@6LcA_2zc%0J8ioA2RpmrzRLhCIKR<4$_cDqUi37q=*^n)8S?u}}TW1<{Q0*}Qhm z<_$denHNxXTv-9b1jJE|pLe+c>Ab{s^fOD}ImdgDxOvEFh>C>po!$+5xPtQV;sBRM zNFDKjJOJ~EixKN@9E z4IEh`WRSWV(-vbfoC znnUUHh};oz7zfdS%8l0m7eorpm`0)*e&w*8VNkqpi{lBSsc)m9`R4)ktO_OczB1ws zqSt78=4&x2U@xchCG-yw5By*=_t0$vA;AYwKAdL}qWMlGgqac#_tp)HB<5^Q0n7_s z`oIWDLOB|WOi4}u00`vy#UL@a90AptvpbF|j}iUEyEHoQ`7vS*J!Dedn23hw?f`eQ z76ZUm-*ZP~s9R`a0`OM?N!7e=hKC~#rWVxEnv1I(QWabVkjFNEr08%FR^~7(lxh#(7jDaM)ViCY^96Al#W+F$d z@=o301`5}Cs?UQFXQ0B--hk>3JNU0y^w$>w7?S8?(heI!IIsyJfrepDeQL?K}rs7=n%K=!OhPAU*-CfdMq=crgIb z5$0(dRD3`5rhY0 zR|f!@2&2jA!5#q>Y31HQqD{X1%o1QiSN{Mm4FR_VL@LmclS7RY6STx2Djre;#{wW1 z!-sWo-a?SnkcZe}PK7_jjtL8}s>VCOB=~88y%+FlF^HwEhD_CEY;Nq|J5UE$;v=RnkxO{lGAtj>r=fiCd_t+$MakGK}rGV|o=n@VPa<^Z_LH z%tI)fN8UK3FX5W>w(*w)FNxqVDwhWt$8&g)Cs&WaNRtyJ8)2nPm{Y8%P2sn zE+nZ1ijhbJ#GNB*>-SiT;?0rG^69zx;lq3t9i`~wskiE%&EU3_1U>P&WJ#|XJcAc& zxW~vA7r|q3NbcM?fcdUo;+rhcM`|Od;071E?n5if5r7tXCsPmn3gdbs80Wzd!&HP0 z<(jtvkS2p`P0d2wIXGfcARymkn+Wfmo{H{%di}&&FM|(4J60B(VN4)>qP}#_{&(%} z?qqp~`G_c*rV(FwbDZ&jD}CbriPj@_Ci#&Qz0E|(Z)jdLkbf4;t47S7y! zpj6ec$+BNzNjs;Q)k)v{T#FWy-6{&!l zJB&km?k`@~{4vl_ss;#zrjFLc#n(!Rv6OZbB?kN4+>L|lSi*Es%JDQwf>viK_qhsl zX0qfEE=b*g80$L-Jcq4e(6^*1Dh%?l^H?ZpC}%h|;6fr}$Bl`GMN(FB;F14fw}8pa-qM7#s1yNcSXw*=`{K95Esb(i6p zyGDt}CCf}cIiV2STo_VzKJmMzgBy*rXbFh+K>>SSt~_+%9MT1Rn8AE#m>^#mlz3cg ztlbU7!+03Gp{K!vqwp?AgsX;wpzzP8XdYM?41+xTGD7x7x!_07rUOwa#}ojfT!cJ_ z*9sJKpXg?x*op3BSYk)ei+6-$BhWQQz z8rkCzNQ&Zu*7(i<*@$%@ImZcyAyW@iE&7gC zIcmSSV~FKP*8zcDYSyI$JYnoLK(+~WmcL=2CkcbvnjH_8-I=kYbQcLtAagC!i8Nr) zpXq_54~iMkI?;n3JBVXc<^y-*)_B7keB%~-{{YtnUW^ai=yixKA9iA~Tnwdud}O$O zE^QCTFoiSU9JUP!)#T|pbz_ZG?A#{kG0-*8UoSynlPimSpCF_@;~Mf75kLalaf~gNbrB| z9?gPgyNC(UCId!;SQw!%_z8(p51GLnE0RC~ z6iYGyrX&IQCL3;(h7R1SQ)$oB0vT{psQJP%jmKL4=OETb1`)r$vxqh~BIysHxxaLL zdgkt2Vja((vVj~*dG`ba@b%Aldt#W3il~-s$&|Vh1|tNyEH0kx>U&HkuTur#{V*_{ zq4pWsKRj0h3Vg%7O-33{BSjELox;J+rlb-nP{Z&C)tl_z^^^b zROl7o&c_0zAm9rtO^z={B2YDSv-c5|50YmAD5>k4xSFEq2ve(yaRMAV%pW(eZS*iz z3Rk?@iVe@+2VCs4`i2LwKY#8QTCbU2%wC~YEF_{IaiH}m>knjMvkfwcbif)>zA-xl zseEM#O4DggV?p+@#gb4D_*sAf7hSudJ5k8f_bB?jH2kSJHoGEvZ zUH~#mH-G`RYU2dJN?M? z@%6xKNX!<6PkWvwZ(bP8RXY#xGeYQk_?dEv75&x)Xz|iw2zR%X=`&bO1%5A_Kq9s0 z)4UW0>iBR9dsYg*rU1&k@ZSzN5gaa7La3+5znS&W{b8J9t^g+NrjBzR#^x)u?Wx$~ z6!UOcVFRg5REfsrv=SADVP{vyY}M4`?*tW#tDxzcV%1s>n#6X*Q1&nqx)gcg45Fnz z#1|+o+qJ*-n63Qn{^q`f-atyL{&`GdD6PJ|I>>d6ZOxT0kM}eMZ3&45&@S12T)Km0 ztEu`a<@#r3KO_YsO4HgGhoioW=m@oO)9fB|) z)6sxZ33q)sDF@>iOVq*!{{ZXLidU33>lx%f_WQ|2zc%0_v{>M#B64GDxC*A$&zZ&c zWC8YZH?s5p0E`IvIKYQj7QxWX)IG;57t5PL>Bx?;gu2J+!vZ>2&IqIM&%neWU3-!R z&F8^zx9F1qPwq2fxWXmdTEzkBkd%+ZASxxl!#pJ`e+=0klb$Xn3b&nebyzMy>jFYR zfA<@8%qsfBA=xoLv2O84hT;MlQW^|x9wsg59~tgo3q6JciL0B1;}00MzL_bAkf3R~ z6T&_*PK8kEj!ub0hjWEnluCbd(ZR}))+~uLv7*+PQr;>G6mmo^sXS)eA9OQL2e+3J zsCsZ+0j!Pz#?uz_nvult;S=^@E-ThdEA#Npuu15|@uYAq7VpH%wy_*m1!2%lF0lg( zG_*z%i^-9JWOlI)+m(=91kG8`d9Acf7!f{ZDM7Md7=h7b$3XBujwtzg!B-9@IlwR@ z2Q$Y74ZAP5D7e$>6sfD+WiApHC_M;d2sLx4C}QPY?l=gZFx#i=1>|*iOMn3D7~HUr4Dm1uBf7b2hYozf)Oh@^2;Wm6jh0|h*@A}a!7!x+ zd+Y4xBlBbxo*z)e3(kHTz$8WD`#r8a=K-wpxd7~mRqBbrz?GoD(T7%`y9JNmSU`yJ zyfiV#JdbxHm#43+6J+~?Emt&-m|TEf{K9Qr;j0F&MPDO;i;?q?QZAP(vdq%4Dt8i! zb`H$BUnd3tHSyCnrBlu&R0Dy4pnJkncpGqCgMls(j~jw+;n$2MX@77`g!6#KX3@@r zWUI{?Fh1SqEEks#&evbA1_OQvEump2ont|T`2J#1dELb6bdbpB$`dq`ZDDRwt-&*_ zPrC3kvDza;?hu**=hy3krywDS(RA)mdWk83P}bj0fH2i|1(;~4uC5}8oDYlvEe~BX zkVrLBRc@$4&0?xVF??krjcp9o9kzxc(m)FL+m2!!;DBk0FJR@zgXi{Q zC?~^%0m;A1M>K~FNFhk9!-zP^93K(xQXy94t0PH$jOqs}=I<^9DCG?#pFriJni`&^>O#(QxhEbSW(o}7TLjQ;s^MT`R94BCOo z*ASmDhHoSR6T<78_eE3pJ8W%mOJ2bCJ{-^w4;d6R`)0%h)AP9li;qgZA8<)OUvL;_ z=xoM*tcr<{xf zMg&GEW~H++@MDA=-jxNfsDoQO5%he`i?CRsA6WGaPl<%b;nlTbdLVMl>4ZiUy+JYF;O|@b2YauH^hqKXzH?ZRy={{v3A>}ZjX{&^)mL{u> zCT;E+cOr3~yGx8%CPb!Q7_C8#R;->gO+k0(5-KC%!-i}iqYRknFAca``H&6Mn+)}W zXMx&&+;I^u)>bIfyrN^GV6@+OqA#2pV6);2uXM%IzhBIiJxylC1<16(^wK#6O|^&` z+8B*sHX(L>X7KUK9^X6|Gqo2X@~w_-1LdY5CvI5M?Gp)CTJ9iK*0LV-O<>Bo0XV+# z2z_A<`X8Oo0R}PlE+tkpK2P2n!j)IJ63~}-HX*zCOPeMVlSh8I&makg&B(X_^~BKk zYXH!YM&Oc@+W;!G?-IUi{thoj1W5zd9-g}~&C_r2Ff&EKIKo?yu2I*6X?)@6rNqJW zcM6=72KWMF89es@7QS&rn7%P>3K&yd_vOp|y?DhiG9<=OC<=PH;3$#(!5<@!IGftZ zT-ZP(=M6>@qlP4npD~m)Tjxz+rw{H6M_n^E0o?7xT2mDjtxVI27VAPeqsjjOdx0oh zElj$pLs^V&G~FY4f>>EFSRgyaC{4J76Pz)SlGpfTOB%TWs8&M~aJX3fh9mMY zX-9J*x$7XoRmX6&u?me0T=gQP4%ds4o2ImF@L+NP-Ms|5JmpAC*)MH5Me6GDk@{h^ z?)5mWZqPYZxg zLxQxxfvi#{p~SKD$2LMp>X;BT)6YSgld#@t8on`|zEH&iL*aACjQ0yZ;>muqi-A%N zKkgiDqrIHpa6E1ku1ez)DqUx_E6|(2Pk1h5O@xTM%Yw>u9k%2*m~W%x#JMHcYt@Bt zL;z`Qy@22bi*B-N%f1+DXY0$;D?B0qK?~}aKL{~ zerCc(R@94;=-ObZv$$zUj54dTWk&0)QRs7_*trF1tUpXgUw(dK1RXW|lRjMe{V_Lg z804pCa@q()vg6yVE?RtPlp64206Ke*3$qm%O<(~z=TfF`wow8z`C^*-Uf2^^!_-j!i-Y;)<6-8*M zB46A@?O?@vg}}j0OIC&&MkvnA0!blXxfYn|3eVhop^o6wyHBR@a214Luz##-l#*)( z5y&?*M_WxdLKcrk&m35n@Gr;7X%c2++Z+ z>^Tp4L3|#i$w8rL0tr3yDAx?C?m|8 zAGndVi_-QUQyQmUhR;qU?d4u_RcYJ@`7>d0QE1Ir@Z`ry2E=`C~q<| zU|Mev9Eo`Wght)tPU$r1A4!CPXR42YxGJRE98^S3$7OwnLF&B#$N&)eQ@EY56!>`S z8EEXNAZ3G{-ZGgm@LzZt3cSX)z1&G>LjdE5TL)1wfb~t5`)k30hD9$Lk8rZ;ZnUqY z!R!|7BZT(n3t}mR<-%V+6P9B10$}sAzYdG-cZS4OJBRfs=P1Y;J6^i zt(O|ZfYLqIK`+h$85dZ{yHUKgG)TgG^5qIham9k}Jeqi>JJRh|Oj~jNXTs$>xHiNw zgg@!VZP0_!`-6NB9MEapeWC!G!62m*uE8>$R@Z(Uq}2D8-Q0X)m;oTI7|Ec(+ZEn1 z8PXP<&6IX9UJ5vcCk6amN*oa!a-cbz?K(#hYN3jOIzNF>$O#q%`hE45+hpnvSR^zI zr26%mL=Lm&4!NaD!>`O#D1ZQxxA?#iJ(75ZYoy(AGfpqCmyOJ2PemM_2yANO>?CE4Y{53GQYjP}3`G}U|bXZjI$ok|If z2S+eA`0fF)Q75gnw>L)PyjmeoIJzmIv*ucj;9Bi6LHp+rTjbUiZNNkmDD)-7BCwjl zHx0*N(Usdvxt@WsxZw!mA0A$3q;CvUb<9@__36m0K*GhHxT)8y1p^?Y+b@D>IV0M% z^fI-`Tj|YE?|a6-hD1z>dZb1gj+W?EjG~*9seC4Rxz%c-%D%8-g<}F*YQg*X7OuM4I5{@d+&kXr? ztKh~G4C*^%_m2gYkXKM@xXgJC+6u9K;|Jn2qerSWG2#dTp?N{WQ&<)Ou`sfgXxo;v zw+`;e5MNF(0R>abi2x*Be(wb;_;J@mM*jdY2hQE!JRMAL&IruPt^ z%E2d%dBui2tiajYQv(;Z+jD3l{{Y;z84j?dp%#KwS1d9Y%MK1L9)wkuQBPPFg9Ka9 zUf&o%04wYLp7BxuhB3r0TXbB(dWWWG6N%YnT)A=S@X$+r%o>IxILJau>`>ZrI zMYj?+`Ej+ZA-?MuM0(~BR^h>QniIMArm!7Zw+AiX0}+4|UUI#q>CBaV{m9sz4AZAr z9W@NE0^>)W$`QX@3G+by7vf`p96-oDSD4z>n*8^pi1@otlVi`xlJi{myYtAI5wUZbSX;TP`P}3J9 zQG%8NS~Yxz5J042Pz3(~C%gdE=dm0SqKkrS$A{6BXnCxy9zp)ukgeV}M@DXdjVMDR zpC~-PW<(OesH}zZWifAOaO`|L#d0baB?k5PbYxIQ%Y&n3)yPM({{Ze#bRp~y z70n%6G8GATSd7}8jx3ExQ`yL2Auxu}frPyuFJVk**sW#*#_pVfBp0u)@Cr*n0XOW+ zyu^IJjKQZ!4%l>aVSJ0rDL*ocN;Q-m2~y!f;TN27tZu8tTy><4k}r$r5fFrI2wPSw zgs#O^2;rb|35bJ3?~Wuk(x)&4h(Xtu2SCKg1IVB!M zpl3IX35fviI>MEvqeT8pOUO)Qp#w9J6W?CpJOu*ftdxkaiE`6@)6gNqbu)!$yZAku{l0WGpZgV*j%Bvl5g)rO0QfLbUJFQ)?g zc{)L|;}|M-Fbrh2APyg`3bikgRg;qQd4GQGKKlF}lR~b`pjbSH*E$lH$2&|hqB80LW(Lfa^ zoRcloZ;lrfruq3jIH+DB^LA$dHtFtAa-4!B_hK^v%@or?-}qv`s=eQ4JP;I2PZ!ga z(FL)ZFAM=@w%LptLBwiE+!Whx*lN&+gD2EKIQR!5iR=33f|?9O3`Xc*C#S{&=mJR? zuq2B1I#kA(sigW@fEaE9^q`y+FA4;41hoz3cOVk@_+qKzvBq=-I%ba2ns~%1+4W>S zxP$|ba9}jI4#GzIdAYEsV_vWe%aBF#ToG#7g9#u}afyiui9j8uwfT-TZv|m0^Eil2;c$%_ZX`)^B2lBb4Gyk(0R6WJPyqh`xe|3R zd)!zyy>bFSdnCxOW^>x*$}WyGC(IxhUHrzy@MCNT?jb?0+*@d=xM(C8Yz@=Lw-9{8 z;}v;oA245UPv$(;v>6(nx0{Fs@ZzDf>i}+jMSEs)QBSY4%aa&k8EIEQmAj`xChG=VQW8c$V{KusCqXk9Rxd0cNJPuGR@?%EtY%-d<)*&iu z1FQYPphXiTC&%BP+(9>2&7NF=8Jz=0O6JW!rCSh)nUU9f~sH#2w);Lm-@uPMQ4@0^QzFqku*iZ#qlw}Mup3aHV|fHYWi6T%%C$`EWo5zV(^| zSoWsZ5D_Z*(fOJMv;N^*1_l6m9p!p(37u731$%>r8Mn+ZOG>u@F$K^zc*4SEG$8VO za1|GlHSP3Z+teXFJIjkAqRl@tO$h@VabG4)XwnnfV-RRBUS~Js7nv&xGuX{Lyhxv{ z!gaN*p!IrYjjf9Dg?j@ zO8BM&+rvqT1h>`|?jgVT9xp%s<>kI)$&Q(d3WkH;hYHxCkpqav#4$BR0Ag!6R7Nm1 z84?e87;doxC0EIT23|m)fqZ+&5qR<^Z;X!!BtV702M# zCe!D+4@M@j-NXRYH}2;FFP`J-c1wV46&K%=2oJ!Ss0R1_!VAKj^@?IlUshscPR%)S z5}}E_H&V7AT!~?3Xo>M|5+rE<09-svzb{NsAVKKd0Atq7peZ?t2KRxez9zD=0M8Lx z;*^is`+x>`Z`-^=y%qleFu8SsH`Bkqv$**6+-od|sl(<13~^t_jz*ag_`oIA)6urv z*lp;4HGm$hEGLs$bdbY}!40%4k0CY}<^Y$!x5U5{PXaI5Oj)Tz)s(o64Z^>BtZm^% zVdFK2lDLSfbJfkanY>IwA-~TqMIF(;nGy3I1xzst2DD0XTaz>;u2sXg+Hz& z#K=@&-eIULF)kp~Zun@(mgVAy zSJ+w9{^r%jv$|ZMdot%R^lt>nv?a<4wDF5ZpdI(wnqe0HE)XYORmhYvSN_%nZe*}oNxfUFbnTcnL!0S;2@&U z8A2vtYg4jePvF2P?Yc>f65JHf0-m(EkiYjJ29)slJsIzJL}b9Z=a`rk+mx82+kz#> z`HOV~f2JkveVC=wao$j!4>$!Dgv6>zo{flW1SHxd{KpZ77E*QEWKeemC00&ALEq=* zUuAEsdZ-+5RfB3j8FIsQvpNBHi4VNTMIYMYAQ$K`G`RF}p+<`^ECIazatY)b%>Jg*4P)@bayJot2w)zdrbyrG6_xlgK;I>JUd$dwr(9y5!DI@?*i!kZzLPc!AIHI) zs^3h|i{3n=$27tDh>f%{fN#?<7-`r3J?iD_831CgRRb4kU6OhTP z%-n(L3?STYIwzZf2bMn5QxF#>G$sE49Iz99@ok8VqIu0!XoSNeu1g1?25c~b*Rw9> z-)u8T=%met!$$@C<&!S;5rtOf{;IBJoc2r`S&(Y4zp|B?d zYk&ysTpJqc9D=UTm6u$n80UPa-uSQ(18vxCAinm^97c>;0IDj~e)8 zb_MQ36#G0(uxd|Z_&9Mwn!b%3#WYB0r1tYBa@*K!OL>?=4uV2>Odjt+Mb$H+3rZwT zr>u2Xr5#BbG0>DXJkNyt&G1v4jQsNCBBsy^2qVzG7I~5jr$hxfNH}^CInp z#DLbcG0gFc#5q)efZ4*tGKH zpi0F%EKtrEM1g#ZYkpx+<6%=VOc5iSLK1UXdxD50e z;WLo)#A@7tOQSY4kjexWHE`JIOhBoLJzy}Qk)|r(T^oi2yNJYm;$R``4aj@TcSR^* z33bY1EprlB>g2*RZE*s`lg=zifAYoDl45CeR^#3YQ`_ao4)Zqc4|r7$(*VHkYY!Rg z{ABt#I3K7<<0)mZKbZdj{+MA+mEI*JUbY0BaDu=2n?#z^fk=oL04bnb?8uXX;{>QV zL1zqngrv?bHN(J!FoW;b6U0LkVZC@To>yyt14_1IQ=-S_Ie8M-ERb{h@%(ZrPU|(Q z*uePNg#f+*f(kiQyFU=8DUmG~&=hCwF<;Z?U9<7$T&+lOyL!nwjXR0*w(#H(ITg94W5r`LUO z4MAwBd60)uFSLgn=QI=$rZF6RB@{AJfT?);d41-|Q~;WXtWZ>FLP<|qbn1z}^zz_X zH$wEtsk?kz{J}Bdpxw2`PE?5f2C?u9@FlufTuChgElx$rgHZH~366ykeB>b7f^d$w zS?)$h04V~T0fr(Y*n~vUG7(*b(fcrD)|5B(4J(NmG&Kr4JA%VjH1{QcFxP9yh|f63 zEei5uJ}J6VXPBN%`-rqE-v!0Q0W`umflAi$7!8q=j$AOR&&-M45dM1{2Ni$w1GEcl zH0wNmZpPbnkVV>9#4Y1^U$-xDN;j$E<%f^y?lOvzuMcJ}^*)wNy9!Q=+yVvwAVJxi zwCte1vM+F0HqS@gfPe8j?;IgMU))$vZ5~+w!L8H?(V~XE=88_FvgHLJYwm!!mi(IQ z^51zOHH$}|FvzB;5XphzLS+IDF)2LU+XHVOxd~*vJ-EU~p4<#I*QN|Kd2MmnQJXo( z#x`jejN6S#+)5?uB+-%MAfcDv98FzsTT;pk;ZBWnFzzJ%p)PkQ?U8UUnV zKHXpikMP9;NqI6>ombSr94>H+p#k=@7ItER@XfZSo#2mz*-Qf#gh$sdBjgwKw*dvj zNm4p;BntODvc>Y?7W+Tg)*pOG{7yY)*vBX*Z~b94tLYIj5oyZuagQjQ{5BEo7cQ3p{w)jg=p#l z=eH09d(1~;S%^MLb#Mbs*M6EzM$(xrj+UpO!H|meV3w`)WLa=k_+>;=RY7qjX*_Qf zferZZX27Ybfka3+&|f}q8ZGBC2E-m*gig0^2>=`p5N-~dOfJuj)sZM})pG23y4U=N^xSGJ|FsUxSJEJDbOWtU!LB`IC`%SxE?q zngomJ!U>TV&$kpx5+@`8v%F0I07hQVOw#;&at}5}=PcW!o`(6$^m69<+jwWuCyb0F z?)~P%v3bDMw+Lrtem?RPLu+tJ(M+)f;ciMUrz47g+yxndC|hF;A6Q!H3jQ0&!^i!; ziHAn|!657w$#K+r<^iB6xUC|=2b$!TlaJNF2CWo&zzfJQ0iVWe&JOLNif={nr2hbL z(w}w0!eMujOid8QRZu6P^$Z9B(8va%Ie>y83_v`s`dpT<9Lo_c9l&*02@B!>0Km$l zIeVf2$CkL{8ZSE7r)NPDu;}x|avg~~vYu+0awboITTy1y;$GGCDNwaAc z#%#cRyFEV4M|#~>SBG&WoUvX=gX=sf(e-_x@?=T5s@ELxamqkkdk|q-Rt7*W*!wb$ z2B0!N3x?+rwE;aQGJ%2>ggU=+Fw#>51u$)*ItSKhQG2mjl~J-MPqPap|Qy3-4hw zML?3d6y(=@8tGfrF(CIykM#MHZzq5+w-Xui43UPCvOs?}G%I`|TkCl23y~e;R6LlJ zrm_63&6UL-yx{s#``!VKKigBxtaEI^uE z4w1K>IY4W8zE>O4X2jZYf&?e-RB)7-ZKXGa=ZQ&@2J$L1W+eyu)kdg6;hzWOb zR^+d4Ky?=9WF8I?5gi};Tu5pVFN^+W@O+pV*KP;+u+0n7Zf7zOM`p1K?DWXS!ievn zaMix1A*d718c|-J4+)4A)x(>N86;{xmdr>&qJJ{9lE$wLWF@1{YZFC%eHjH5+Zh%j z{{XJ@$VL4aQ_?9uVuQq_z)}c$JjW$AS1F_ujsfDR{290uTmToihh+AKdT`l1?agDQ z>Fcj>AeDF55U-SB6x{&v#Fx8_KGQ50`Sr$HaCJ3NErVfcpj3X7!HJ`ZqMXQ7zd;=UjAVg+MLos5dLo!s0mbWc?_6g9T+GF zH!vTxjjuQ&2_2@w4m3Nxl_};>qk1q8wMiX+F^T3Ex!B^$o5gT1UtSr4sFbU~Oc?t3 zh~j1Q>m5q-p80?o19=v+dF;D|q@SE0UN77V#P$YbM%JzGbzF!B;%f#;r>`D$f+Mx3 ze7M<0)xS+Q72fs{;>~88`#z3T74MHTE+YfzO6B9A8rXQ&A-3%cqJ@~wIq|%ip~-QG zUq6{Z1zt~E^NQ91qyciEgrVhy9!vxV{FoqeraGHlFQYF2Iv3r=&~&)$AuqdTL17B9 zGz6NAAUYT2Fd&W2Ap6q3`HWh8-UrAS1a%nmyW0E8r@;2ESncsf%s}9Q>T#hLY|8;;IXiLF8-X}# zpM#5WJ+sq@h>JwI5CL!gVqr#`zT6CIbPuLfnlK;@*>MClVT4i_O`qoTUT6fE5H&!( zM>YQdedUh$W&suiU)J}5bmQX$w1=D~Ar5v>JQp6q5GdijkP>7b!dPRu>AX~1?lV)X zl3xr!M;8(=hX$0{o5vEv!@A)V>&7Snxj(pqTP4RAus_@+N3S@9lI{jBfdiNn{qAY) z?8JnM7Y|XXtQXk_{BO=ifL{I21;W)a0ZO=DfSp`!fC(RtSg4KjhewwY0PbV}+aM%s z6P!@U1acJ34&3t&pLq*V?0%jMQh3T;V0c#P$Uq`j7&v4>6H;8AU(927kLik!jky~{o%*@| z0HMkb%!60HxZ!z)eN2FV@Gx<(R$vg?cUK0e5b^I3!&iCd1EUU!y3-n${>)VKN0^}I z^EsU8kHl8&MZ*v&tPg%f*6@tGE(cDaU|=EKD;t4s&7Uw)CW>7{)$-uUr5YuG z+yZFy6tP1~%O5b$57mVn2?7~meS|AvJP&!WVk_MIzzMC83OHgE0qX#wpEBvy?!p*( zq4O}KOsc8y&0izz#A%IqG!Eg>PQ=C<4RAb@jqt;Z8>`F-r+7ow1Zi4j7i?hRJ>sV# zf9!E+!0@elnRXtEtB)$=p|Rx+Li`Kion#W8DE|P43$wwSUkTiRvze&lcz!vg;yU7( zy2KHKNbzu7H%_t&)?e;67Axxs<$afSk{cw}k3b13G(#N7$!B0(5r*--p4x?Bn9 z;hP8D;RXxPpZ5}ugwyHBXsdFjs^F=^JVz*iYd#TSg;6S#1LVRhm8*hS?bZ5Vmn*{& z{;o(MI~B5JrLA0R++GA$}vw(LDbEG~<|E-^1I9u{8r;M{E0$ zjU5o#Fl2zQCJGk+0FIftPnO9a%s`+J<3y{0B)nOWbyv<7oQ?i)Fm8s=@aY^*$=MVm zn-wGdHq0uXxteoHfV1UX7r_i!8`5P9iQCDJw?>A_<4q2NA2U;MvnOn6=QnmXB~W`Y zOM3=+Q*H}1v3vH!HQ)$Zrk1&&F0jumljsd2_ZyQe5ChWX4p;!qwgomhdKduWkvt5a z+zJDFhAm69|?V$go2`kAAKcTUw~khvqaE zeX&Ed)V0gCC(XGKWj7P~x#`{rfj~Y>BceUszHhkK3xaK=OIcK#99+lyjp|A4!T+YsQJ? z#=_n0jHu%(eW#-sIw|j`$&5tk4z63Rx$$7iHf-?azjJ5IZlIJS%N4*BfL;_naq`6p zg@m!EPugJJbb_sWtkx94mlDr|aeG(C>+QjvxPKKsm6OH3;4a5jX;VX2%sVHS(sv zp7Ayk`-$}4YBZ>sxS^2>opH3cEygoz#%>psv2m z2}B9!9*1NX_tp>vmSF6hI)9;z0GUjBTkHFXut0X_vM4u+ugWU0aZ5B0gn;<>Z~$I` zxa2ZOotaG;^H27euuM#vB8~S8C`eiRf*%OiBvG1jsWmln0E`A2^!Ou}?(PWLZGdAZKtk&9h1*@4>I@8&UiA%~Ddf3FPNr%<_7q_4cu8#B%(mITzF zb%BqOGB|Jp2-r)O2?2GHNdiq*iJUE6Sa-SLCBafVjtOBymFbI2-h)!_QwZ@$Pd@3u z!2NY#XP4eK=ZX+4M9zkomOV};%-%v+`CJIdtsy-*U~W~@=rF5EU4R2Aec}qaY9tb- z9oiP8Z|jsGq}dC@i5<`#D2iV$LmG%JnIgQK0+R#+1Y7{{Oh=auyy&IMWT+YgI3Fev zqK92Qy{;wtGaBd_O3T%Y0#o-3Zbb)x;qWjoMfPC;ZAI{CufA}j1PColAxvhgaPP43 zn+kxvBaIKmOHXqLKKnL?uh#&ATLldSF;zvbNFV?jj4PoE^f*;V4Q(~r>9dW zia3x8mDo6`mMVqKm!Y@Ahz*<#dI^YX09Qu}bwNM4qJ!K<6U@tOr!jh8IGrl?ey#@n z!y-SxVp18TLQ|#`&2{C*S#xFEE<*CGFN_=CWN~Sjw%mQ?Ofj}0FL9B`1Hy+RDYV`K zB;|i|&a!JSFK-_cJe~glF@XqgG?5xG#m)zP`M^jODtdBUAO`*&G612VZZD#7GfhBkqE*5KRw}qDi`~ePQdObMgTq;V$ujF zowE>igS-L$<%Xx`OVsmY5fLH!E(k_`VhF8;pK_}P?U;d5tJC$w>@L%rmn^h}32*?1 zcl5+)P=o8>`^W$%U<&?(j#iX6ShobkQ)qVf;eBEm5)eh$O~V2tIfX0&C#~3gFpbTh zrybu7jw5n`g@8IUz>;T#jPzTNVKv@J1#UpK!O|d$+x)}Eg7UicFo2<(ZCV(h@ND-c zu>f7R%nAeoKoxg2*dtkD%|Yx)-!1w(%DJyr(KbTdjs zartvtb+X;vCNHlgJWdIgR@6vxVcIeIy8F3U|0$5$n7gnL+>^g089#@gRgTK7f5~#6J5V>5Ik$w;f1F3HI5+H zFq5gT`;Y+9Fbe{JxjNNX(a0-hU%ee+10F$y7j!x?0Dzuwq)oJ1;4pRX1_kTwa)|b- zM_tvXFr@_UB9n*2aWKEbE)8LSt#WAKYNiz3x1&0t+&PF{O>F(Sb3EwH0cAfNU^(0j zPt!SsBA(WD%ItU;8lSir4f}x(ixOk7kq^%02s@m|MJfLC4jwj_6;4?$L4Vnh=>54M zCzcruMxW*&1-lQ-I|wS{r)B^K_%0TOvrWy{xvV%K~6IFP|TR|=;^ArHp+ zF=C3E4{*!+)kU;0CytR&k$aRx1A1)4kPoe@m{6YAmP}hsU%G!Yfr^z&FC0}B9=3in zX!ym1LP1J({KsI`1k(hd=ILl7t$J{hDS*KXU)&KOL8~LdmvnYE0!G<^03rm@w@;2x zDKnd&Pt4HZsJjhg!9^Cqkv|-G@?re=;qN40p4e924H!GASeZpuXQ1KA5Cvt1?kU%4 z4cpK&Z9oKkVJujT@$MP0*;ih!-!2=;P(TJ(&v+6-vC=BC(;>r>_-IvK9DD1LXr8@} zDrN`+-)rkA9<5Uq64lTZ!Oa8>=Kg-?DNW0W01T!QE6v()rr$J8r<1Kn@})5G6x3y^`-Cq%&P9Si-%VcO}z zSV1rcHIJ~d{9tHJ7^M*e&1k4#DP{HUT$(q^V8*^Xo9ALz###sjrrdxd;j5IYif=Bx zXxo(EhVv4M^?!5AHknB2iUSA*`?bj3GdO|`5W)JcxS!}=W~QI1mMlCYW;+UeCMmc) z66?-y13+c8Fz-==7nosu3Cs?8KApk=Kv(5#SQ{FORv`l8&%2Y zA`T9_W(XAXg=3_`-~{#Y&jN)E7(3G7Qp?OlgMRX>a^DGp2%}CSy4f4?%3?E@2G#Ex z!geQ)+;Smqbt<E@K1;lEG*mSM$QasmF|!Df)@qCh zE>_WC1rBYQ;6$**_khz$={&_TYiv;voQ@tv*|!Ki;NOET))b-G>KU^Q1iC#*j06B9 zb6R2AX8UMaHJvhTjrPgW(-T5?3NhX`9jeAINl=$lsg{8bPJ#)k}cnw^O z1sn8@z}wI7-E)LTyrgeS;}9KS!_9A4D0IP4quHEnLRRg6nGMZsWH6;{tX~<52N(HV zcm!Z1MC4jOxTLD7c{bb&18d|)B6~4i2t`{A_s7hkwMVvGYq8$_V+A2+(@Xf`x*G<2 zd0!!bs3B6%dcslJA^Kt(c$d~Qh5oR>!U&DaAXjfsvotzi+a9KQiORPg`^TXOVI8&2E6463wISUvJPs8j-2HQ5!u#d`iMD! zAD9Gs`<8s?%lk1*0e%O$n}FC;DbOeQVgy>dF*J~lFf4SM$4TwXM+BKbpwzHAzZn@e zI|e&7)RuVlgbNPxB=GF(fsIn&mbXgke=yNuK|ghyA2o}FaUJ2o!%gA@u=kF{NB1Jl zxI%|6@kG2Ee)KZvL8)+CBeOYHUVq7nl7RWL9nGb-qVja+0z#ozp zpV7EmaCJI3U>kvZXS_)?^jtD=cX%;=9^Ri!qP#w_Nd|skNPTsS7MO^waH zD&(#BPnj!amVOw44>)C7?dJs|C(L4i%Wgpi@vaRm$Oh3_ei&=$U1b8s4&BD*5Y@Pl zO>W@Pvi|_wmWQ{_8?Y_baHoBI!dz-UHHeo{D#H?`4UEKETv!9zVil@PP%l#9AX4Ot z_!&f@Gx`jznfY=&6!nN~U?=NNAQ!D4zTDJBtp1tFgL>n?5{X=vYmXd2n&cl0UpT*m zq4}F5LW%6a=naWHVpUx)8@L%je#H8?y$d!#JH9SJGmTXPZI0ueQ?Z*>cY?ZCxneP0leGB5P8;k!YO?0GJ!{Rj9LicP;P^A zs{+MM)C^E0`!N7VO8k~!2Iu_6F_hnycq&d#Kwr$haV71~meJJ$nZ`l%3-AdtW#~6SC@nxG2GhEB*{hR0S9bG|2eMK`IZUEK_&h#L87R(TEDb zSKO(jsO9RCeqfR#Ul{_FB?m5XbS`T=zqq?o92f&yug=F6Dn``AJ#bSMfV*wm`RK-D z1O#8G*VxR}x*@EG^5dY9FBQdYBw6gnb`f5~gEL7GiRxX=wJ>TRrQA#<4$hoF)xGLs zXn%`SnPj~+`uB1TUX*qj;5OOtal}Z?x#R>On97Zkxuz-Id&A4{)Zh7yWRiMxd2v>F zCeL`|Pio>OE}8>hPk*^JYR7Um!FV?qeP#+p#NGzjCX?x#2``w+;!UNy!&Oy5_-`Mu z;P);#3#+fgHzqz)?js!Q_qbW8-r|tJTlV6U_e@y%$l$*Kq4i^$L8327#~~W?PY;YL zvMvJ1{{W%Htx!^DgA9oV!CpI;4Vfy*qb4Ec{Qm&V>@0fCMu+Ujvu3qTZ}$vf-Hs=s z*XrNH8aA4Fj}YrCqJ&-J$I~ppAGr`0rRd%%7PcDR7%$UtUZe~F4_G^5gb$3Q4JCXS zXMyV}qd{{NM`zE2Hg?i~bC3tSieB$?a|+LPgldrps~bKd8SkjpCN z^o*ILkM2Z{{M-Okn$6~x>-&f=VkZDut1%;bE+HXYpN5n7C;~Eg3GV=+4%7YCKm%N# zgMcj>vor?C++c(zG2K~kP=*Qy@Nikf9AORbtB)p6o;1c)Y;5}TSTO}Z*u2QqOgvy9 ziKg-S#{dgt#VB~A+pI~biOja_Ifa1&ZH;Uec*Tyx1G*C&fzkXolnfgXV_~N`l9~~l zW+*9O#9Uyo&G`89l@+&G)!7Zo%1vwc5vZs9ILZfIZjE4QB|ZDgz_gq`eCO>lg&h;) zh)G(%<^&K7xl5zpIp7EPCBIHGo1qf>!ESPU$d%o0vGTJux0{78p634mMy>;eo-!)* zCwLCLSZ7ZZ^Osoo#H=6UV)h+FI~QKC9q3#*Zs9^~EyK`Jwa8S4Br_ym7&)gdC^Rl> zaC9(2EC2%9`YDE>T$Kqn;Q}xMTrn481vSJ0qllocC?@h6fzr5pC`QoThjDJV=hrQ- zV2%>5Y6MIK6`|_{*3;jE0<%ck)UDxBt}d}jP#q{7-rb}`x|iFDyUbvQA?!S2kg6!A zPGTUY1#K}CzY7{q7}91(oC7cgHnhfx=9j~5#gI`ftAk`hC?v&O(cU(ilC|41F>=V{ z6D|=14Ki|M1OyLqEBlpEgSMc+N^e1;+8(*CeHSECpkolF;lE%G9n=w7zkOz%b>FdN zJ>M9hkJ(UT-k1YolN5rng}^HU`%eWjsQ{@O4{Rf`BW>FQ5q1)Fh+Nu>1#+e-a!HJJ zBI>`H=!AEWX`(&|@+ldNToi@z*X;G4e8sgT2jQIpy~sQ>lMalP@KTBSlN10fO_`$I z+)N~LwZ#q z$qWFcdQ*Sg7V&gea}kYEp-VG!Hn7UVZxV(YeBhfnx$f}7Ob9)>suODe0Oo2C!C`!A z;tJACjeSqJ6oH;GSKpw+=e;$;Ly3FOZKyKVrllWt1V=>GuUSZ~@H2{W48 zS%8Lc-X|h#QkZN+>OWjx=<6?mq`;CE;XzAnOeq~+Vv2R|33Gu9ia26L{LP73TqU~M zF`;Uz{{V6gcUf^eTl_J$JpTZ7nhktDF+>4f8KFZF`8b)PhP`BhZt(*k^c+f^8Kh=K z`Z5HBD8vTFEztztGi;C}^9^(-m3a1G{>s7ZIDxvb-&wJB*bi7Z=|LA9RIu?N#KX|7 zqnlmNq@~u2WC}liF;=*-+g4fj(x4)L?s> zTx9}KCd#nIw$Yhtml2Bwo3CbQ3BQpHCrPjmY>+XN5ad}jhCGnJO8Iis5Q$UBznBwH zwI%d&OUzQ@bv>Rk994vbaS3cgh^8cfZr@)AAA55AyG>_E&j3uS+&X)5Gc?c)X!wuA zf-=~<@@!0w<+Eh{=JoOqE_XMvB0%yuCJdenmRP4vB=w9lD8KF`J}In=1`MR@Mnnqb znN&D_aK%JQln`66t6({lT`MIOyAY!v$Fyki-E6f+dgnFb~X0 zD0B=+bZFDM#`S{geemR}=wkEu<7`A0Nw;~f!M%anVHk{l@_zE-K7&|zm$Yxln4o;N zI99a*anXeayWxr)>joHt$_q`Nj4m4~gKR7)5?~&fMTW7}@sCN(8B1`-Tx@1l+Up`{ zj$mAr7ZzfVLC;v&5lS8ANR*~-4`Kd}5elFMH}09BHIUu8x|7|c2#`1Nm{7fw62bfI8msWKvhyctuR1OW*gVHBq>x*3`A1@0CMU zL*8rIj-z_+SehgLxKw0To(zByxwW!;a2Es;C4<&p7+KxL80( z6jeQjK*XyuVHI1ADFf2rpjk6+#uP|VY^LA?;Kql|TB!aHrN*G8BQ_dh+4gUZ> z{$NIgj&1>0-e}RK(@FYb4`!bH?+P4r>U#MB*P+r)4e_#8t?e{dDp*0Gy{k;0V1Eyew3b)HsXhDpBeDo79fn{En#SEqr= zzzS_@gbamh{(AQuJ%3J2RA8X$d?px8h=#0>a!|F8Zx};;vD=iExgg3LptJ|ch=%Tl zFLiqR$`)W~fn3IfMI^^rKzBlovB)5>+-}^M(xO!yMzb8^!x{$ZBpa9N(ZO(WFjt?i z^EFbmIP)}6?eX{4Eh*HmujWvL8UXva;V?U!d2tDaDCybZJM$m~jBPryAGymUv`A!| zBsWwGM;L}i_)e}%rEuzYPurTx98xyT=SwfB0bm}c5iSGSb7Gj&SUq@T8Wa<=!8*A3 z0|gxgD~ks=pjMp>bm9|Ir=QFKg|HAD1**E)e|LbvX!@7I?OY>e(|WAhT|jBz9AmLi zr@qYG*3!h)NFSL+QT+ld@?j)L!O$V#@#j3Rb=Vm{sg{SlL~kxk_xXs z#6}M^eP*Kj&1>@~uQ|>=Tbg&@j1;)A`s@B0B+oazdOqxY{|yB~fJ7Z4^kJrzt)Iy~WWn2nfybip-2 zxv-7fh?J_})I9Sb06#EWtdyqA#{uky$A^#HB3lSG47Cp|A7&5Smg^~TrQy7|ci`N6 zfGBPpE&xKPCT-zYgMt&GiRH6KbT}p`MN@V<_izc{AB&p2Jr`H4j@%-FoCg{D~ZZOT+$LWlDyXe;=fZWp4H z2e4ml$bG#0au^zp)*49i?m+~Kh~Rz9dHlo?v+ST4BH0qIij-rsD>|9n9=PW`FBsL+h%Dj0nuo~-jAGqNl#9eus!}1*u zubItPe=kw|!4gMLE6U{+VjiXJJh-S5mxX&NtaR)e1TI|{-1=kHj+5YdOlbiKA99TG zTGGpkul5Ea(;kx%r)c{Z1bn!NR^h%AEQAtx{?+4{)zgbPZz2=ED#o^BYeXw69Xabmysn-+lA2HBR+(4*|W&{rOgu=4rstwin-=Q#1C2Ap*zA+ zNZ#ZQ{`~WlL$wvV_+XhDOyHWngCw7(C>3MOfuRon0GJVAc0Ze%!WM??FjU#&02L5_ zhoHfxydBDbFmLyB5H}c!57t5Ay6N8Bw3s96WEZ*I*bttXw5nTmo`_8$CX&dr6!hO)%-;m$JLSW_GgmA5Qc zm-kr8!mo20=>Wk%KEFRPNw%3CrBK8S(7?%1gck}yLxHL2?hp+zAn#Mw167}XIGg6MBl*m(TP1Cf``AW^d`Vzt9H1^#5G%q30uW7hAt?rcFq z7Y2jFD9jOD0nEY}l}?ZJU{M3930a0EE(=NFC8xprkW7Klhp*f~994Aa#O%x|ibQ$D ziG&?tC5svL45)M>cyV_CvaN6wD7;GbhEOaKKTcn6Lp%j^LxsyHLvR&DAWu>k3sRi! zg~L*+ShZZVV08>gG9pJuo;TiXRMZ>9Fo6U22s@R8uH*(+1t^*oDI-o36(ZkZ5WO;V z8l*0TOYOi1OJ(=5##3!8JiT@61I&@(zOG2IG&N;KFbE=(sJw8f2!e%h67a$S6+@|% z+L9)>;NU9gj6ACyg`|pyr}q$BfM}1dXjCAh8@8LC@;0;>tD$@twfpb@Ku*5$LNIwn z>*!z+4+O$jp;`)7I-augQ67i1)^z|%^&iXtQWBoM5@1@e7ei75a40D6!0G*QR0Sxe z0^33jP}=7stTh**ZZ04GibyZ783dA>LiA3PBaX)02z6tcD>2)rxNhXe4{?hESB1cR z`Tqd9CIa5?Jiewi;%h4aIxCxorT2={Z|-io-mxkjOf9c4E`zE5xGZva>BmJ9&{#Eb zqH4q{Y$S+hgL98|0x~7RC2YD*U`R@xMYt7`-&X`&Kx-6O>_e9gif5k3BZ~+oxcOI< z!=`KwPWoaIyHn2MX6kPHFaW?e#zGNn%>s6~fwpjX_58@XVypRq0Amx;fG3NAwsQwg zxa3TnPBU3kbsU>Do8|#deveKG{{Y6r^K%#wE8`l~(wkcuTx(=wtW2dZ;$&0f#sDi4 zQOSwRo1W3xhz#RDnFmfL3NK;r&o>cL?GHdmE>K5%hA%*5DU>^w1zuwcfrp#~dD{<; zB}P^r*`dFAtk6P(NuF1A)7hRODqEuQ-9q4%Q++-(vf4u7E(;G4uJ50;i%%q`~TX{0r@j=5Bu!(ho(1Ii| z+L|eJVP1=5sJ%Y3d3F2-VXD%@whs)3+lK<5?prs?UNt>9DKx+UdGuo%BCoo!2*yef zKU|3dCN>4Vpd<2QBB2X*n_O=cxqNkTPHQz|9q16RNL9g8e#nr-(3w>!<-Ty;psn+7 z<_V>)Y9M>PlN1e5pqAjf=>{x&j&`$U@;2fSma37*t)l>qefuyd03a*wi-iJ1Y^6gE z!fhilZ3P`V_xqkeTM!Joa)4CXFe=4c*!kk)cn6E6{J_E}$~glnt@1;S`GJ$JAV0S> zQQU9}h*-D)fOOz|C*OmEw6ePV&|#tu^rf&mQf#SdghMA-0#KS*zsr(VLOQj<1Zaxt zU<&BJv{ z!-1`c=APr;2%q}Inm`T(La^jl&~9T*CpCaCw@g)lm6zA|Af(+f3!(~s7=TG#?i#N# zh>6Tv3~2~VwCTH*RPr*N6a~PD2~QY=rhtWj0dup0X)Mu zL$lwRbK*q#?-W~M=G^i|&){IIV-gmp`@ZuUT{A>G(7fY*mQ5{f;{!QOhJBK#_Q1IJ@bJYj5586%tr(SdENNJ6wtzW7zW*r1SB*60A24W9|CN1g=nxn=R-*z zWzb*b!D~!0JxlKaCZ}-)<@gwnAf0j*+M$gFUgxI^0jR!Bescv|f!BVxAkk(*M_rh} zBJ=rx+`4HnYTFcAnab)cxSl#{X7N2_F)WX<>Byob{{YOL*I2tRjNkO*gpJqC2z;x* zxSAylm=v|qfmnT5}BmV#}Vp`i@h9Rl*oPkrz$LoWn z(`ru&b$noftTsjzmv3_d}krv-Y=1)y#oYck%Se)aUB4cM%${vGb zz)%LL{#ySi6u|H#lK8cwfSa_Tdl_*bkLM_^i6vuz2zOcOJ(|PPkh9m-$4aKQUn-AG zu~-qCD^xC+35$aP==Xvrl|DRfLj^2Acg;5fiZv3VY+2#M0aTIYH#k3Zp}L@7&9x0B_6O01ZK)5<>Q6xf+B7@)c}ythXTLd6-&~ z7`#ioqT9A-xwElYeGLAU01uByf;4 z?wt#{oIMXe93t^-E+kD>_{kV-O{~c@u#fk6q1ZHv>%krBJomPMDw<$by2{P@Z<_-=p9Tgu|y8^2T0gLGwcMNKs^ zB%YWlea&FhhP8T=CKOLxO4L|!#GMDl{{TGTi?s%5mgR?Rv|VJ85GeaDAcaCn`9}&z zhJx=Ij4U)Xib&x%Am@|PVhICO@%+lI1PBn$Zv>I+xUvW&8H$}~hXAqkxD2XGNIWZ% zxMs?V>lSDvQpd@ig*E;#(d2t8lX}+AP65k_t3KoZUs!Gw$!kTEcoZ{dOyO1Ovk zn2H+feZ1g^1vvENCl{BRTFfqvyZk{6phoqW~lAYmji?hlorIV(Rnx zj>+|!b)YDZyx1e>{{T(ml)lW~}W{J=k12G}r-E@;?mRA~U$%aO(PSXMroy(;~b| zCuEr-z_!BM!w2?k&a_aI$%0C)($TlTVFm)xp>mP>21DD4Hv-SzxAQF#gRf!3qe4DA z$HNWfstHi}#-M4Z`G8iNB+H81^9U2tpD%JYh3miOj91Y~-p6+&^p9MiJ`21OFNrYG z4T&>q71%KpD9Dkc9$G6mglUKuA`#VjGgQ>IgI@;m*N7o!m+6sHWjTsHC@}`nstI}R zxl}I~Z?A!e6>KgAluqPM!g$S_bZx?Vam|?j0L&6nHD+Jo`;ZVJzdv#;jn7T?!Bs%Z z_09un36krdOau{@3;zJXCN9PKn?kff^^JWX26@Q?!Y*1tvKZO~jcxW!E#~sXEl+AV z^1fGpT-p<_j0=jPA4K7s(P(=!uz?>q)}7&S?ISWq2A5lgScL6!{*A;-u!HU6jYgpV z06m$bW`^+;S5V$95L`txA3jfJXfAMZ03X*h2&8^wptPA0JmO6TvLbpdU_eq!Gnrr` zg^5s@7m#ZrtKnSOqs|X%`@n<%9PD^5Qv!pA4+n8DJ-IeVbl1<50Q<8h4{WM^d}0;mz{94thw5Bz@+R~cRwF`Mk^caL8#)0@H!%>C4Scd& zf6FE1A6HlcLf`|SU)hwE(|)5 zo63$|qU8>zApmUG=hF?S@Yb?>40onhg#EYzz`=*pa1v@if?!xcRheK)3*RONa8t_n z5;ahx8v5~=G~^Zy+}98a^ltwEa3MgaSNO!Q9uKs8^H{7&ix}5~+3z{{j%eUe(ggLu zazPX*N3ZX!7&6pTL&9T2Fk1OPa&0cQfxUsirZ1c$cw;FvCe)5wby5&0)iBcK+gs{5 zOGIR8v%}pvSw$_~Cc_iWvjdiZ`!bnHx1m#fe6biJlH=f(xVQ@Mi66L7-2jzQvf-wH z1d2@tE&!puoP$A+}$6^Za@fDs|jwdYqiWRUUMbnRvLWW#=7L~3{>KWWhZjf zVj$Z!pQd((vb^gwuI_Nt7)=uej`K^tPk97jKhR=-GUm&hS=TI;^lJmP2))3;Oh-Zb z;;g6MF{kWukP+GuWB_e;HaPG=0n^SP?xINqyXk})mv6t08Z2Q&6?nSKTry^@YdVMD zI6!cGSD1EWczeCRurni0yU_k|DSNJw$Q5JDeKJpa}O5>z*oZ&;%m!*tGP*)eA`a};~6yrHS#6DKQ0D>7rt;( zeWsL|Z0Otq!oo50nw6zx%_+8ydKqT_;QcB^T&$F3q0563!IY7u@d<8{mmmSg%TZg znsCKRQRDfBtgrCEQ$=_>IOKw(jjDbg@?Jr_bCJMmB9)FnM*{=H+6m)dT`Na#g@f}_RkueE%F5U(^oh4ov;D1R9JT zQnEUL;#KH8lgeZoWIH$!^lK!PjAFDn06*00lVV8m$c;fCgt#pUBVVpiLS1Txtj}%G zZ9l1jfaw)j9-Ke|D6`mcAwQBB#4f5)3t}0u(iZbu(})Au{{T+|3TMEc-m?e=ZD%9$ z=PAzr05SwBR8EF?AUgZ*vH*^r{OgE7rn1t_2Y~fUj0qaE5S1JB;u4V#@k%Faua88{ zZ2thE%W=UNtGo&($(f+ENi$`u%s@L)JvVSwEtMj$$)r6PAb7s9rSh1rAF~Rm->kYo zjd>SexWqfrMjuRB{u3=l;4wOy0+|445-%&7281^`Jg4^zhyW_Z?QlA2_Tc!Zm+#Xw z6CMuSMw-V4F3%W(UX)%w8K4baxFMz~tOGEJ^f~^LU;z(@u2cmDQ1)Rfr44=<$fYBW3dvDR4gC?#g zlHhAnrXg9!AO&#NT`KRmhs{{Q2aJkER!jc?xP~Ar0B`YfrB9O~4meBLrdW+2{*1xu zWqd9mhLgX!P(_Da>{K1uh&PB_K~2QJoz@^eB6Yf+^zRP;QMe7%~-kO=C38AnvYgikb=aS0{(NuLdUMBQKn=B%r!`TI-3` z3EqP*_ZmeDvf5Ifj5O-K9@l0q*#$xAcs^z*JK|TYs6G=b2MR{k9#<8R6oZGD=IkKX zf6MdMB?LwV+VQyCfNPhbi3p%Cuvj|xg$4v*Wg3USc})NfUenN-xek$a6=ttfBxwq8 zVfp0qgGxv#ZwK+gR;^tS23*mdw&^}&Hyi9O^?!3{s<9|`pKox}f6OMTPfQsEP5eX$ z_Zp&OSn;wM5Qrsh<|sDi_-LO zRloymX3+@D9}W;ZA9+91$sxf=E?p{0+JjB}X4GiUamR*Lx%L@+G#)W!?+jBHnLzu0 z_XGiqD%O(&(JpAUyK!}VIN%R`@Z+PrnW@mXS*1oaY4-#JdA1t!bI)}#6h1%B;{dY) z1x^df{sT8j0)Or)VLew1P{53LGsZ;Gfrgi=u2~idyhCeDXo@itM&2+nFMEujYI?{b zRaA1XCivp`sdtyqD?z$(eZ$sx1W-6VTK@n%;2W}d!9&rL+?X)zU{s?14ug|4HOv(v z@_t|nMc4bAHlq&**K?ub{{YOPz8M3|K(BW3 zNyg!#4!B|fd|_$>)^8qd;%O!UbZDd%=cU;!b{K*uUx=FcGnb z;H1Xu7PQh_&!5eRII76vehLUmku8D?k~VA_=KXxyg_N zX$aV2(78gKOo@TeCr1!`B~Q2jf|>>qHo@alLo^))Pt=;gZ=0#_qY}Q$FRn~M`dJN> zqksYWWs*`jp@Iv{L($oN9j--nQ2q`pZo4jQSB?t3o;;elpx*l92wfWD3+5o}-tq^D zu9yl?JpIlFFEWS39U^~oXrvM${BpcuQKPldth_ocuQ^Y0ocOsg-qt*fBA7s~2H8=s z4B>|w7t3M&#A^5d05XD~m{4#|m90wWd-)^3v^0VO=? z4553+t$OjFkP*THi7*u1=JG}bSl=c{3t_k3S0|70aX`205mF5jV1QeSp2p4RunF*k z;l#sD4P{4DM==U|41hzVm)nm7L4f!oK*EYYoC2mPA6OB$!HFB?B*k48jmiw__`sbI z#{`GtY!C@HLn2_27*9Bc^#?cyiTuM9rsWcS=O?uP05CQ-@teUEI^sbzs0J#0JeD@( z74w@T_aHt6#%)Ixw^62D7ieW52b?GgdnUixluu6>YhclT+@!6JJs})#YKr0-2drpm ztPSH=B^_8XF3+oyy8i&owe-aSFq1;Y%yj@(jdRwsZ%!i^x(XeKqc#w?Dxg&`Hnw;8 zW`s$)eQ}171fL%+A`cyLR@-LT1e)e2C<{yiQMjtAJTt^M+#qR#g2aBZuJO3>fSSN= zZH0Te=L6rIKy9@k=fen7C*okVS3Z+GVSVA~?~@uXw1@6gSAwn-^N^RI>?+FQ4}&K1 zE|6O?h=@;>$O9%?39D7b)xoxq{$TD@eCTvWISDETvqmC(FA8T@^aMJJ#SC4lngm|w zM>Zr!3hX6Y<1~^D0zhvQ*Q`t!O#=-8L;wruPf3dgz!bG-o?J=*4320W8_Ye@6H&-7uIPBY$J7CWTOM+@dCxwK;2+v$lXI-9Lif^P-bTS;uls$GX0=nAU z0Qx77Syxl9dg+jsL4qi?k)~W46yy1VfU35S@OyD2c*qViHd7APc2D8j;3?|D;($wP z6*4h+8PYimjX*Ss)8I3Lz!cp@$^s>W!jhTg3|JOE>tf@A1t}}*R_4=8ouBG59Cz|k zSCGI$YaQEwKtizU*Sf^V-4*rw?-Wj~0*C8>VnC={t~!}m)DSqG7ZfuPfJ&leJ186V z)o@A>yQgxvuARsNU<4ub4_Hv6LA-!KUUjTJd&E*kkg>lpv0Ftt(3e;PMCWZDse;YM z6UI<_BN$jIo`Al6p7Urogi`t73=00l`JG$^@qx6pD~D-QqKncmlM<$FOK^UN-XzAk zb*&Z!YvXxj^Mv)SWa1sg{{UEoOY15B0KkZ*AQ)8$ZZ{t=KIRml`ohjQXydTp6`5^f z*^n?t3~PgC2?|LA(c}7H5h$b#OE3W)+B29BxKTRHwg9ADLbVH~&&(TdmIAE&JYaFQ zfHg2eX5aMOFw3_Vm`yH@9s&js-sS?d*0L3*I=m~0P?&)<*BxHf{{WaPap|iwk4*82 zLgmxrdG9L`b+#)V$27{9Trlfj^B2J22T&dRH5+B%7fFF z5SmsVFfAxCL9xwB7XUQZ0m}+tr2Q$BG>3&y#a&^7NCr=bWx#l- z=8=0(P6ZoNfPJnE>>3FpqUFBwnii{+!u3i5vCWkhtu0IrggAYU!h;g$`cI$$hUC=fIV^0>$oS+U9l z?yvY}%S`_On!;(L*_NeYapFU9Z9Y!rnv1Ya5p`pTYTbXV!nGjO`tL0xW+8bNWJZ$? z%{b`BPzkiwF)8CJwCm1Oh95&yxFP=l3{cSzHxqQKAEOQmdM+?lJqwD#d>SznCi-}A zS5m}2oLV|5jwFOU`Ft27M3-wUSNs@4k97VlG67-Vc-MUNXXtCQHVNN2Zh{;cLmk5K zct57FHae~spyiX3+mYC@{{Rfw=Y;;a(!If+@Oa}q)!rf2{{VBaLtVg*4vNZS197zL zdxlX#);Nbo$6P=vX)*}`c*MD3@#M+?Y-LGYRSz-+6W0F#?Z|KWnmVEWt0oJ@0JxCs zz;?Rxfd~HpnAQdsKf22e0d~%sF9t^ursZ=k@duEQysWbQk#l*@$b`16N>gZdc9dTL+ck+k5s z3QO$8Z$vBg^k8sts8X!GDpGDba1cv51N5>jGo>txO2pLpNF)CMTvagHP#%lN-fz09 z<-QvI$q<5*(Sxb5Q&im=z(k8+0rRZh1k#-cW0n*R7w1zcw?khzh+!i&k|sg>9A_qq zzBn5((Ib13p=bjWYh$|Gh-hb}YoOPdN|ha;TMPFhw7o|chw}v~NMrmCK^iYBWb?}B zzXABH%?Q-uRq{EqVLP?vnR9mf6OSY0T%uyWNBZFEhY%XL&sfVky8FE|ZPuO(F(-Aj zq(jZir)&)TxFO*^D(cUeBtsGRJW~=zgb4k)q)8y>G@|z9U~J;t@~Mhi=qiuw%j(HG z5h}ji1eN~D?-y4z!5B|&!vPb8DKRJMgay+eBW2*GIj#^-XLpp1g|cntf!6|afygrA z6fWX%LN1D>R5Tcua0p>#1@U<Hvzyx8VRjilL9!>qm2N^LdV;9<^3*g z6;{&#hMC+D@Mdm1ay#Aq%Ew#Q5s-MEoZs&-eH^D!T=VlNJ^h%O5y;FKGKze6A?psK zsn-& zn1NNNJp6HycJO_~QRe{10F~AUV1szeIxDP_r)HQoLAfX~mI@fOGVB8Y&{6KNWS_1n zP{PU-z1+YoOts48nsxEb6=HIv7Hxq8wqb9Vi1@?+0UtRa^m8oY#TWTQ5V`QiqyaX@ zkkOayf$!VgWIG9vYBLvefPM!;Xm@x>A_Z zMW1!n6cVCCL^3a4s(CVDLb!o_7bqbH7S0>TNV>Y_32;!LPpI@f;2lyQL#vGn`vuvc zCTI&Q=zES{*kTZl0pW5WHkNQc_a4K*==vGpI5Zs_zf7?)Mu)Y;ASt)98<}8v@9NZ24>3SnoFt|VSLUT9WuHh^Z7b!NNEa8cRmhyLp2MHoT&nYdCU7^+*oeJ4M; z7XXwne;N9{a2g5?#W()|_EB(hzVEeSljKHTPv6a!T{{U_;KnVa0 zS|wjN{^SV_2zzjALG3UI!v#_4k4j<>w%ph$CjPi2bw%v5W!guh#%WpP(dmL&jv#i81P-n&oChJ_q2 zWHf)S3WCTpOb>O5p+!51*ph4O3I(q&ShRBz0mX5{_%RtMr11&K=IF7vfVh}+W zJ;t5EKm@wLTChUm!3s+Yi%|#Q+>yRHgKarM8U~&w0n=(@fdY8rDjRc&0!m~sANKDk zDCUtVNDc}SPZ-sXoc-8&!<^8Q zAKt)W_cVPD@_L$aWF$}U!bt$+bfpBqi}W72Sd&7V^dkm&h_4iVI86RuuZ~uj6=1=5 zT3jzoDVx1ycoSBLXyDC!bvjjWMNJ#9VLU!)A0`ko8tnVLmJch09ylPtM(c4@1FLE2 zH-wCbyT=n7HjGyFvHt*4IY3Vz^(bT^?FOmh+;%rEwF|BLxf0$q_ApqR3jB4f-jSmC zj)Mw#7sj4*ZJ`wS1evV-P|Y+AgcMi?3D|2x)gA`u;2HzQe|BD?#F)#{$am((TI6FgfcKMG*^ltQPh}ipKu~$9v`)vyHnmoOpjw@$j7ruld-oh0P>aM$(~%qlW_R-x8r3}t zZsS@C`oKMXeK|*4!-`?KrY|986>Q2Jq&Q$IVv7ew!PdLHbih$3Tq({>5VNU>_tQEr z+=LOUW@^;cU%2!J$%IS|xF{|f{^1@$BoCf4n_@a}01iL;?-A&`#Sz)_kRazSG*v%b zWpZKzjw9Uv0C?ear~d#Im5o;a01O7UG6-F6;28kIVc1Pfu&s4~DouUBf0=vA34>LH zL*a|aD37Gd)BA>jHIS*b!bnu{n}$tPt{KQc>hCJW8ZYlPg^jGjqY^G4i%g{iE}xmi zMfD3OoTwP1h$qU+2M1Zm^NzO#AVsT*KpE=+sChA@MCC&Exl)RqcycaV zgA77N!B0nA6jSpRadBfb#PAm?DQ>&t2@)>cs0FwQX`(J_+f@+ex|Pa~riqi`^FOQe@4XjHDQ_l>ZGjq!>?w<6r0r>|I}x}yeD zBvVK3CX@yC!~*!-aaM)GlvWNAe3z++RU)g-24Fc|P*6S#>lZf9#HI&;lpea7q&9w! zW+jbkdw66J=m$g(i~ws;c!rEbkr$@=9Y-B#MLqd0Fd$2R+)EZpF#1ebI+(1{nGT4P z*@7gAXXDyp!)&P$VCL92CDjfNYfg+qTp!cMFHxr#qBEitZZD})0M$_;(4B%xKn1DK+*6@M}q zDJ$#H{cu$ch3iX3IH0aIayaQ%NN@}qNmejAF#*5~(~cC7F7(052D#B~n#oQeL<8u^ z1Z^7r;N_7^lLOcSl|vBUW6VZ_ zL^{k(FdykI@M%@J$ekAzKsFjoppAOO30Ve=Ns9j9l?uHaK~rOjoNkmkwEpyeOazBu zvYqrgHh6Iw0+=FbXu!LMwe`&-z}_zquSI?_6;N*I)WJnGUxp>1F+t-69=!hmb8=OG z%(`H%gmukgI~wtd*Pwok1F}q6@qJvPqBPuX1-7yR)JFkc8lAx>tE9vgA9#fWZ9}eT z!$reOU*Bxh4ff0O?m2)T$L(`ue~zHbCSJjWa|gJf%7YH+zs~ z!1{kN)tg>mcd$Vi(-1C7ily{r>~@3!hzG2ACJjiB?hHos7z|sW9x@Qe#RfcF+NE}g z8*%VOgvBXP-i;*Vh)aJ&aRi~+2lr3BX?YTxnX$Fe-5gCfDL1%#i9bsNWf&TzezOaBRcD@VI91deo8tyn+2#3Y6R|Ex*!$?cqfV6Pn zCT##Q!Za28o0uyEe9+1bX|$#F@Wl}(Nmr!A^gTRN3Jll)*M zR7Emg_%VjjqILRVrEVZpnQT*60vy|~=FHk8ZH;z6BKI^!qCS{YBo(-|ACm+WF14f4! zvDU5#Dn07qMWvsE>+>V01bn!OK^Kbp)+Tt4ux0~TThwL`Y&Wh)sL_mq_45qWZm8^( z!Ig0i0N{PN7^wI8?*~P-BhdxjBcc zpN1}?=)rLD3*jC(r@eiu942`OaR~};m?&$uA%8sPF--Z+ryUskLkSdWWkkI=gg>9Y zw{ad4{a)WmjI=2_}YxujGD{^wLt+k&7nF#iAx zjDz518f}}#!P!ymR!kt0DtUc*%d6K6E2E$vnH!?;#fYqr=1_RURPr@(G`53XL)40qu?h@ftFJ59GksMuUv>|~tV)(?U(Jnh0 z2>xJ*kz?~_bQ%tQa&;2C$BzKsEC;0Vb6*}@oxX4fV|UIFkI|du;_H*sg%E^uSTWWf zNBp@!0eDP`L_BlWjYIi>J!O_?d|<>Kxn#cihy?aA!eyd2DU5db=LIV zlRUT;bT0n@m|*M&R}xB_T)L?VhSQ|VfQh|-GDIZZ@L~)6OrWLPtR)X)Be#Gl?<;A5 zquc^bh9IPpE-?pA%xb{`YGOY_2;KXD(WB& z$@eWfTI?_`g^x3cN3WTSzbYZiI_DxxyqdU_WTHWyoO?j+=m7UR%tmz4(K`@4=Ltjk z?7#(J=#up0n5fq~9koB01G1ONJFEvSE#zvM0dc&^@?NHF29NX%U(b1ITf{CP6o47m z6bxyrTwR%g6jH_7+OFB>9QKk}DzSVy;0-n^%&941c{+Qz%^+%rWB&k)jWGnIJv^D# z+!5!NAP&_OsK7nG@klNT1$3DeA$SHZ2)61l-w&Y3aA?U5-T54#%Vy}GiR&g|2;zbc zNWiHTKT-7I2`!+1O7P7Vsj`T^5cFb?U^*{AcpM%AOXX1Mjco_Sq?S|OI}AII0Ssav zq)P%P_XG$2IXFK`BV4Q1JP{{Y1p zBY><6rf~k4Kxvy*{{S#mb8#jE-cDy(T5V4k;+0jG^Epm#dOFa$q|{qvCF)p6s9%+@*FL~aEUH{2s7C8Mk*mP-uUf$Flmb9EcjIOt$Q zK;Pkkk%-lBZ0-O_`6-*)Y+;iEBB?lIC@`d0gJ6aHo!`R4kOx~!4-&v_Ity>?H@Smif-`eO10?7bnL(1 z);m&~Anzq<8n{t`ab~l0z;P0ehwBDA*6ncwimtxBnKl0CHI23y4oHl4kQ^i$f%*+* z#Un$2^HbIv(G1ZR_m(F&lq(<@ch}}dv+5{7RAU!H05_k$&1nY z{XfjO$hzKvfSbjdM;CDTes=(q7QQzEBSPS6*q8zcPr|s5Xbi3{ToNrWLmFhZL|Yi1 zu%X*_T%o0S($f+R6s?D+ERiAv7;y*QGq;C`FYZ!MNLSSBGK(ZgiHrb3sO~Ll46Ceg zHB*@Y-AO8Hn6eDU`m_uRLQS}Vz?eLojx#RWG@0a&r{_0>86+j1X7VPf^>U%1LY`b@ z2uqM`>Yr0n7=gabKq@vE&mw+hTFWB!gnLG$E5mV6tsmi}#DYda?+MW;34D|?&$oC* zs0|-+fewWHvw1P_IW$m83vVtcr29-r4-Nj|3SJgvJu)I3=$&%8Wxz$&-*5K;7}^W| z!w|EGxGy7cfFS~U`u^siIA(yK%w~Z4ViDO4Ld9!w_T(?z?2@GV2Iu3Sb4!T z-e>G?97OnGv)tXlQPSa%g-IFF#)nt+F7j@ZUSd$;r@+HwNw1~@3*(vCos++^nMR>n z9C4jZF|)?_^yD5Qxd~7t{W8|ViV>$AMbvDVsZC)Byi8yqHZ3A$jSUG_nBlodmTUQp z0fEu{@p3dKFPm`5R=JA_4(=a}9_9rN?F&u!#7fsHuDF}#z@8-O4}(|>S_Sur7a zlC;V-lGaaV0;rLK3Hh5Gq%E*hN4yCX;`k|)2T`-KEOk#AsVlZ8>5JgOqgXov65x=d zgr`kk3W&_)1&C;`aOh)O8G5cP5u>p&QBQ`ZT%fAYQki0!76uU(CMpBH;)w^><5)t% z(0L4{Q4i2;rWa~We&P^RleYr{XmS4lw-HITIwm*s6j3IDyjRQfDC1)AL0OR<8bG+_ z;9#=!iZ><-iJ0x*#|cq|H1Ks+0Kcg9d5OudEPS!L7gS6B#+ieQC4A{%aHQw1yR z!Sh$!z}#`h%mo!YywY4=r48nXI*(zLMBpx)YQ#7SP(q%F7c4tZGz-))CL_bkn~7Dq zx#xTn{$hZNpkrOwd&O*14l2$LAjleO57=9cVX)EsVG|6Nzzx<}fp%S+2U|Emu~9^uQ-|@_NT4gJ-hX;ATr1X!d=|TsCq)LxBhrv9fn* zg;LUSpttkhH4SiAr`%-on?N)b^?+TD+e-5Om{CHsHrk(#OfH?)h3SO~AV#2wI4(#M z%Iptm_W)1ZfI~t1;}SzqSEx`j1hlcI*i2|(Pw~SclJwjzmg*n4h!RLxJ(%=Y!k!1C zSx)gDoc(GU)JG5oi@0C_*Yh262G3ba{>&q@AgE%YOb+3v+F(9i+k@$mytvHKqBwyw zq?oVp*Z%+%zotgB!%{4V@?52H##GfTifFl+qNR~*@3H(Ts!C2vlrKH zQ97G)wfn5ClI_C!&n7YcV}h3IdBTKsGiglR4W9cGnpj$#X3kA=b|Ko2;h=GD)~ zJ8*B3d%`wWx8ban>CE)zhMHow%E8p6e{|ppH@tUQ&;4^q2rgP~Zro_8Sk+sAG+LO# zn7GZnWzbU;q?{hio(ZjvG5Sex(HoYw$=r#1d%^+J0o?%ihK$_SMEuIvtAYjFZW(&? znBQbjzAVbatquk~7a05BF$sD905J_-*x}1!&18i=IhKLy;y@FEV3F5wvdq(vLFju& z5fiY>I0=7D(@>ycK!_?Bk$Q6BS4OAziJ z@o@}2_c;9*IVek-QBdtQfF2%l0n-sRK-xRKCk!MDxX0DVaT=64>kzG0=c{Iy-ID-# zXp>WOeP#(vgB5L^3=^ene0;gX4XtdYd;0O}u z*cibOp~WGU2sD!Q%>x(4lPybV2M?C9AW>si0w4)u z$&JKpLBx~_LMw%VM0X>4Xr>4iRelpU9_Gp+#~4JSm-fx!B#hKwy1;ac<9nTP5FPI3 zrcR{5q^_z=(TGL%F@mWMg41!yUiA+STwH)AR6q9w0CYEm7-T2YHf!OB(;IFg?)Q^; zZaP3Ro|=4m^yeW#X4!T(-U3^v>q=q5y}MZGESEIS#30HWlY)c*jdryYta zgv$e@P&{!!q`Qr4DnX(df6UMY+nmx6>bOUTl44M`_-_J(947_xA8rCu3Eyjw9N@(w zeuVA-zCc00u;4$A4|6S}gNDdz-~&_xcu?`-Q+!^MjGV?q}!U4i`ge>p3HN zW}k2OAd5YifEYp9N|l-=>h}+SG3IJmUH%3eAp}YKVSt*4vUS8HCCxO@?7#-9`q%CQ zQtK!W8V|3RHn2##$QD;16;zlkcm{78L@e0KU~lig%oQFVwD$gCNr6G{L33mzOCY(o z@CgrYZTp(U=;aa^%~E5kV4EPv095gjZ4*x>Y~{`Q2+9Du&5WJJ&;#z`66^2CVmglh z09i@sy2dn;m~eF^oNxkIg6MgdHI|nIyeInPQ8ddy0MXOyExJ%$nkJ~{D6vqS25%sH z+ND~ySjOy4wrGA#8{n`!S6h3=m>62rWWV6y34~D1|>tdl0OXFh_9#5 z;^4+zI&k1$UNCLwqLdQ6Epn=+L)Emo6v24dzcCun37sJRn8<`^1OEW^T!G@X_v^+m z=HgYwjES<%JOhFzenK!s!9bW2r4=z^7ykgLU`MvCu=(oYOj=ZM3M-DB+Mb0ur_?i2 z41j)Vi*3nkSUG+;_t0v9(-W@ai8FG*v+2P zDi{K0ojp?JkL7&>(ySqA=@GgL7}zcj;GN&v>JN=3l~&I4w(kwEg`0Cvn@ zjv*PpZfT@j34wtj=0fZ~JUJK^w>-H@M0tJWXz?cSS{D4$lZ=8e`LlPJ8!)PKpuA zqQXbqJm7pgtA?a){!Elxvjntp{b#TtUYtk@Q~vuiXF?csGRPqM^VSGy+T*A%T6+59 z8qSy%P1l(T(R-iD;h^pxp?YU4*stH?i40a8(<~FpYhmQdNR{b(>v%Sh`Q5=BfTP1- zb;)25#d)(KbxKSsvJvy-udf)1MyuM%VS(f6ORapl8U+bYZ^`)DRa&VCJ7Tm z==3=dqID?!(-Wb~e$C<_PPmiM;5)(=L}6@8j3OKFth3ug5>spl1w>u1a3hDw8(D~( zaM;uDQve|DxrQVJ{{TVzgD8;K-}VF@UF7gJaJWrAaJ3WQ0jh4Q?PQ zMrc^D-V~0H@h}#h1Nh(`fxnHn_Zm22uyN<1A&;ckYUD}Av5)@%_gpxoHrg3PGoTV_ zfIp`_cQ?k3WmG2Kyn4co!)NPrks(8mVh}&UA@Rg&xj+Fe+^x_`5V+r`SgMC|&$z)hD&z)vGU;|| zVp8LP^gU?8sch*~!k+c(5G~k*{V*z0ot|z!uR53zmlRT32}T0YiRg1k1Yb9kh9=0O zdYFKZtXiONo^Zn8ZX5G2qF~o1+*h-?($Jjuf(HYZuY_(0E2^;}SH^UvpSdK1;M4o8 z)K32ZY|2t1;XpjZNMiyX(;^$=AjMo5HFn&7i#jI|2Q-){X~Y5;y2YHsSVdQPBn>eN zgx*4f$o}G~(BrB%+nktE{l=% zr%6?EftuLZdpy;}Q2h?ZcX?rGxAY~-2J(y9&o?XthkjHh@l(d5_jPzosP;Thj7(;v z3+iMf@CP=8cO)i|ItBKq@}lz!B#6_57^U@Ofp7&}MC0LLOEG!OH6pq_Yu z9T@v>LTy}8MF80`K>|KVA!n@7V3%uIG3mt9Q9M5$av!_i#|@nkvPO=$A_~YZ2uX#7 zFL(m-72VF!6laXMiUTh&%IVWZL`?wk_mm4j-|rE{IXTaS1Kq(IC>f#s^5NcJ%C}I+ zbot>Q3mk@&lZw5$`xK6mdYNLLCc4XU4ZH~N!r(&y{>lavkdTOp%|`meqHRP2E)iOh zAxtJD1R<42(9xbVXz?fM*LV>eevqrU(Q%P>c_Bwk)=q3HS|1&h za-d1iKnqJ6`NE>Xc+{lLSdysn0ooVeiY84fdC?|R2$F+;Fzjy4EkJIiqaI1 zmQ2#O1N0Stm?YFh)kx1tfe}!F8L?m}a48v$8)2oX(TG?nP}CGBPk8%`ffD0AjFSe5 zx6_%YCK?w!A$OZz;FozQRte)JTvdS|c$!uU>Sm09Hh_+$Hp zF@#BkNs5PzP%Sxuqq*0gmo)gt?rOQ?Sz+84j3XQhXHo8TS=>pNy~tz7G)$$!zc=F; z(Y+j4dn`wT7#P~#960q;C85PLg_hb+~Ebfy}p1+Rx%!6el9RMznpUDq^L zG@O9AT4l>boy`JFLkFqnB=+C@=8P>D;mJv+Nt#jIe7^i*2VNd+^kUPqST5;y#Ksu^ z0IW(ETxdkTaDcJ9`WS<~%K>0+hs;bB?pVHOF(J_s;B4|umjVR~th8~c2k$pA9sdA~ z*g+q70PcFmcRC#Tf|Yp81}aQlAxQrK2skOwt^|mv=LOb`S|_o=2_}W}-DEl2=u7F! z>UY*5ceY$WPN9VYGu{wU%Q02}RrdKY1>?lXx}pomW&%P)mBR-_aM04A1GW%VfVu%{ z<8KN8crMc-03lo20^n5aD=4hLjt3tG(?!wYFliIr-?N0*sEgWw8)<$Ch7}4phna{` zJn&!~R|(yJF-VOzF%%qEmFW4rXai3h-Pa<42`Uuf+@6rKEnJO`F$W5n%g}yhU;q{S z+q@Af7k{P#qyGTv%}fKlbXVpARaoU}Pamjx!V2_P*0Bj%lw8nLs5ubCHgv)&RE3O< z;JHAwuMnBs!>&1sscMyGXEohtmN92d+eP z#^h0^4JkvJN(m0l{{ZaE(46Tm$YhAw5sMtSNSE$iQ2?ev1}17(E^AK@SpmcV z-E8~0fXy1E%1sn0AXW{*MR8&pL&gLTlm0uw5VEnTOO)6EV|YZsq4eb=L=Cxr0I0-> zbIS!1R+8!&z_YU9w1$Q~VwJ|b_Yfb9qGz zE>wZCruBcB7*l$92W3ZJ_T;746weVbuRbY-DDYD)o^iar7Aw;sqKx9-Ia8orCI*cJ zJrf1I1OEVUA~toK7IdQ}>5Zsq?~~W=6%-gn&H@@ai}e_V9#3hWKz=yrgLm(yQvnBD zBY~r9#_(Z6w=s=Yw*LTer%|abxCs1bGKZ|86!;Dyr_4lUs?G3x26)^hP%j@-&OB<+ z0J$Oy0mPo`1k!+5bp*h?n_nWL-eDm_dqlBoy5e_8cKG>lnQXsgjmF=RXl~3D_KJz@ zD+_QfA^Z!l#i-7hEiDw9kA-m!T9EYX8@(GOeqLPM9+l=o4I?Rv02Dr$AsT40#zdL4 z`j-l<66|h|1_eUY{{UDF$Q#YUB!qm;D{p*aLhaQmxQLFz4Y$&`03^1h-)I!MAdKjY z6G|9JIMCfCo#V_mYt9urz__a*%fgh;0fu zDe$w=h^wtY_Ir*qSC_t5MZkDt*2``{@HrRs7DG%PA(NqNyWsfCPe+w>*j=%@C1(za{~ZRr*@FM7UK*K>6kIhojI}7e(QP zb^ve*y*ap6RXE(}<%h5@19j;Ps$Jd0sbgDANhI6Q8LOhWq3iB! z$M!Uf==Xv+qL?j!eVMPOpnZ1`il5+}MOGt9Hg8l$jw;G?gi@4$T2K&TF zVu7d;C(MW=4K!Sq(7@3ZU34+^6JVC_0L{hx14ZH93z1rahk!uCuzed%U}e!rf&``+ zmjVte6ZV81@LWoo4HAP@9=L`auA12UFdk8ypvZQ+%TW5i%n@D2c^A^<<~`^?Na7(> zP<+0O4T-QUS{aZLg0^ftV3BAFJGu7UMG*>0VZJ4Tl!ttK#IPDF!*udlp!#0F))up^yU!;W_SR;5&H_`#FxFsa( zec&>W0gFB3&)nDMMRiFqa&lw-mn0`+kf-d#8F<5XG0 z9i+-HoR7>s;O&T@W#5s&rsfb|TtX%3kcwawe)kfY4eNudEnp)=Dt=`!YAeTB$tZxI zlg<;f`--sVCQ9&DZ#e$|H;4zB?*r z-XQy#u)58z)0m)nb($WhoNtq}nYf0`3FOOov+8647r4o${EuR7qpWSfZALYN_~V4PnQ@! z1AHtk#SmWNkXAe#8gkJ_)6NPEPus|bGJOvem;#_<_!(2p2{m=VG6UbnYb{23eZ{~w z#~Vj##^gyXe3{X5xn;EY!*mi5m=+1O*R@vy2DW{<95oZ|YT^h053inZO5Hz6+$C_d zmXH8&%H7rTOm<<=1{nYV5It4e<(~xn>j0SP{4NMV1}HT)Ke(L>+emq-fCX7s3qVl2 zPfFrtAZ?CtmqKyrX0vbb3xo)y@0>N%JizD?wzxSFkDRy%RnAY%xT>OKXMlhnuzjvz zOX)Hi!!K)VBO+`PK*l7JprpY#tIx9IrO@FCsIiBl^a{R0Rw406&8yF6iA^KyDZibcpHzx z(OpP6CI0{kevynEaho2P!+$8N@CcaNjYr>;Bx+sdB@S=!#tM^be3(Bil6#jKnnHC+F4^YK+@?S-YhUq% zCZ^y6!Z{Uq*O)B@-tiP|Yc@&DJR~{A{{WaoyOF^pisEb$v~a34^m{Ns^L0)pq51x1 zz&q7uYC72&a8tB!WyblLl z4wWI^0o4Igxh6(H8}%f@YtjA~UF`mt8pd2*9If69 zngLDJ{ccRH8o(#p9A@X^jZ}M}#QQBWb~;hXprmZgIr03MhQ=x??gux6qZIWtVqPyS zDM-Tz$m$m;sMLl4rPt3h{{Yl55q3~Fl@KW5BqbmKw&7?HSfAKpC>pc)mnh&|OH>yL z0C8?D&}r^K+U&y!7tl3~S8#wXZ;6RWG9k4@V2ucF?m8Xtd}N%|kk`R-B06zXY)1OG z!mWdi!n_g#Eo{V4qHzIHQ$r`bYOfn0gxP^sVZ`v5NFXI2Q};DffdkeOM%u0a0A?me ztxdDUn7+`S@G(KbHq$r_Tu^jLnSRy-#&%JVH11~V$w!LZ2thp zS>jZ!i-(9n7l1Y2c)^ghO2jeI1VtFu4|)i?afgAvfoyPGmZi-Y(HPCUApZc@D4*wN!i2>kbAYykb=-l7I~0O{I9x{C@baFS!l*l_>rZ*O4ukz?V`MP& z@)@&N;;UW@OX%k$V}im;DjHkk}`K+Rq$aUtxr`R^`C z9n<|2)x%8?fQ#+)W`-$vD;^_+8XIFne8U1(IFbP2An>gS?o%XzwfG}7e{ctANRaY} z!^SjxNumX;ZgS zN+PzAd{5>Pou|{EaS+f<0>j+OQ*8Xp3}oCC{Ukum!(ZufazJTX2;kxg_KwF0C7V#I zVv|@I1RyGhrPj<9^4XPWmz>g2f)!;h^KoUt6MVs6+}|*Ryp7WnLC`qJ7~?YmlS;x5 zM9NyKpiHp1+(zvn=NL?=g^pmjtdPGvWGfpuoyiWI-M$H)q+Ca2ZYk>ttR&_&^W&Kh zBMk4HPMKJcLBn25t7nfH(H!wxF%8db&{E^v>)4soc-FDNYmBTWd0ZCL%&Gk5el8bO zg{CH-cw@o+3=D558b2@^?HH){d5K2fZU`x?vZt>Z32L^u>W*l}kaDSriFJ`k-L=3w zrBD4ZLrzA=Hn=AJ%k03zZ4ot)9E_CdkiT8I(@WfQPD}xKMSm=qB|w2(m}yVP7(PP) zmUG9~78TB(oLJ4z4D!P8+{6NS40kO%kc>iLFK;eJl^c?+Brk2of%tQrY#)J&b=-y| z2AP3Ih{S#Vun}@(H_Ik%wX)Ec7WE%uBpoWGF zKyd>PKl^4!PW)nkC0JDH6B`EgXYKKjF_bTM<_H~8*TWRnYNdY@iGVJYG1deu1S?fC zZva?{W@(U-2v24@%|zJO-)=CrWVdMCRYe0t>TS`@9vD;87d}_`^ch4Mi&0YVI}rPc zK#hsdyTl>f{{TB23b)SYCZu7PMXhqPY9Jtj1cI02=%=;J(qP>{IbGyvBNuv0VwQT;JBz*KR=3FR1!hQNClYmo|y z)4*`W2JK*OElH6O0Ptb}@&&#efX@4eqLnAyAy^+C(I>- zd90$I4<--*S&b&2dpn0#frj@I=q3%=imn<{NTSp(Ei`rmvE6WXs~5$|%z-}VpWH-_ z5s+;|sqd?d*wh^{5CVn{0n~HF?D*mkjSoo@h8O@Rzj*Eh6-Z~h3VbBFvLYZN=!1+# zG|Gp@;i^ms!~+eK_x9joKa(9cLcWK|g$w+uX6v}s^Fmy*wq5TQM?k>P1~CynryS6A zRwDX0o(-CJEmgH2SZ~6ZLp_FfzSfJvUjmM`ZBx%Fwfu_W5APG zn?*gj)F1%sV+TL;imFvG28?iG-Fq1>{1i%>~gy5=40 zlK^SCP;AyPSOLsj+N2EJFt@m%Nhr(!2D;+YTXA|pb$}^se~tzTnQ7)A&zLTpF7Zeu zH!w9;X(#B#lz2w;FajoMZELp@se%fA8NP%N{%<$JXw6IKR~UJsmj;^VYwm-$D}p2B z$!aiC1-qCfeT)sq6hC!w0ZD`eIfmzgL>FfVJ6q<2Y#x^tby!frsrj64#aG;AoIW}= zvsq(sfkECL!iqZ_MnSFugcHQG+mIn!cMndO-ys1Ax2uK|qPmy*bR<-)^~ho!0*PP3TxJ*I0=@zoCI$zvusY&*Mp!Al*cp36X0v=)Avdyz+!ld0 zF|~5lgjid1O?Vjhr2Q}g3DUgeNaN`8<&}^UAtA@vfg9T56y}%vyr$ny0V`rEG_+O& zD?>oGY30gOzJNCMW`hS+F>Mp6`-e3}Xr#cz3?yFhGC*PiEE7Oi%tz3Ab9f?9`k1l* z0HBb{?OjPj!NvrAk*T$+%+xfgN#%!+cs*M|q!{qH>qKQ0F4N`73!prZ)jb%TLmp^0 zL27t2ilmen{%1gKE=4+>455_@JSSO+@{LXzjPxZ(i(lN(Bv5=wTvq|7j_(I>w0|)g z3i5v8b_hD&bi7dnUGCt{JD;f(m;fDJMJ>|cHAog|jI4(cK(4eI4^e?Z?{LT?YCj!V z`ox2J=6XcvV(Atr#6y9o=AdLkBNT+_e~u!d%Z!`PmSMO{9A-BgA2=}T3+^!KMuwWi zrWJRateD#51Pn6CAM{(q&BW?7Y)%cGaU$)^5`e%f<%3A)m>CPNxv!+i6MV(-+!yXZ zn2{cE8`crPhnHBgo}P30ntbH-=O877^NLg4%|jpz0^An?LGUsw-t~Z$gjMA5WO3Yb zWi}tvIp-V`S$C2h&79$y5N@0sQkbj741h$fYY5BVUUQ)VvjiM0X;NmqW$qW27rAn< z+l5pQoGh3Fhrqa%K)S9S9RmSm=ZskNeV7)llNs>Z(S|xca-TjJ0w;LnXw6;4{(~{K zJh5~JYM;j#H+oy9I*r*=!HtRoi8i-U#Yy8LYku6M&c5-Y=?j!l&?n{zn$!4m-8%CC z4Z4Yh2?3b}floX6fid4Q03R5E;{*)+*X6cfjDK?8Kmza>6A( zL*STpQ>cp*cT!9ZYEZ5YnkJ(ZU58f0AOT9KjU3o3bLg#lm=E;VwImLX4#W)AM*vCV z-!aO7vgnO5n@*lqd@v+~h!5PYm_;!|_XwU`u0h(HZ>)bJqIe0L3hu*8k~Wc``YtNz zS6_*ZF94WeEAiYvxPW0-tBs`6P$he=Dn(|W*QP+Qt@~dtP2;)x`Y=Pi$Opyvru+hNg+Y@r)O_g>$VFyHlI~rw!#>ky~DH9WuMQk~UL^2$6VCZrf87V*! z6g;j&B`yBo?bHXPxk8D4{{YBgAXiR}`Wz%1v>yC9?0h}XF>62e8Pq8e^Vu=Xq1!Ni zEOG$Y(DY7gHl$;7##%M7-?Mli1H=1m^~< zHl@L(_2&;jhE9!2L*IrZlLk0kR^= znh^_y1-vFHqhigK;1gyfOS+B@IgXef06OJ@7nl>VzFDtoJ8NCcMa2pIF_wq(01N{a zM|Kd@o`=T-0T3X4at-ty%b@Ws?SWC>n}2Qy5F^}l0So6PUlF;S&|*v6jG6mC>zV?O zht_p`=suY-zQ5*ZBVfln;mHaHFlCpaPfL)60%Q9g=DJqO8Y8C&gzxa_xRmwZLBk6Y zO}sInH1#kJt)kojfgoR{#e=AivVHZO#X5T#^{1U+X{Cx`Qn$}(gds%kcxX#9Mm0Hc z#u95WTB`p5A(}s@OeX5x(*};-88bkxa*sXBYZF6k60WdM=e(#gg&c?-sxZ)nW(YLI z8A0h?;0}<0y)&(9V*6GK!e`j$C~Lh9X)cOs%GBm#cA{ zpWL{s3gX|fvleEhY|8?5*C^1-QhL@Z6kw&TLI*ST4{mKWkwewUQQ=GI&B^_S5YYRW zno@UI!R-uSsM=RLq$*R!3Y$T7o1#b?Z=HT)M8p7EBHjiTH43S?s)J{$UMfDYM&9o_ z$uJku%vO+U3OVS=s=oc;AjC-pf3o2*1V~IN&_Ps&*_|qU4fEEqVd(zJy4+|!Jv!h* zZCH)%o8_zr6Lbw1Wynj{90>XS%7QkU?)8sUg${vYkKAP-l9LQ36JV|&aTW58iex+K z+C}j{GC+HM_1l99gcZyQJbOG0ZeGO#w<5_qed0j9SWfn4ha=d9x=&fBY$WZOOad7F z@?l8RXhc@uCRlEz(zSzVYY*T9nm_}h&!X{gPe3dpon(pQ9*hA4I$`L}fvN-tvBd#J zC=2komU*A>vn-y(tv>+zdBjOnJ(R;`AifGy!*gA5Knk)#R~6GyAK!*rL?Aq(2kC*h zumCk+amqvlA$75TFLJm7t^Zd<`f~VxRq%m5$Jm_j4M%(i55Rk zIE1%_9qR)vca7uwf(;>q*uYM@Am;gAZA-N+$PmHP1)Oz_LrMS?J-){Q1|^(%(9TMT z=oe|_GKInlJ&p1Anv+5t`gz40Fau*gxYcTA8rygP(o_bQ$mY$Y1mWo6QJGz$Olc`N zbtDG*#JrLI{@e=-WK9+8>4=+@;M)@cD)_PRuU;{7!5z>|Zzg0WNpTY@1J-daEs8l$ z8DEP7MTiZA})C}qjoMwQB1 zI;K4wVEHMD=m{~D3veL7Bh4I%paN#IM|q?N$(zta(ZD55{=K**3fv`Kll^l9ZWPUe zD6`{is^YcWXw*c1d{3o*=P6L}3 zDd)IQc35EDG~e?UU(wAl&;g83W>`yaacAIwvV*gD=Fo<;99kylV~r99j8BcJ+(OS? z#3SBd`!)M9F`AtGac78OI5Z&{{Tx-sj~{96#g$O>$ffTI62YDZMtMgWJZ(4Tc(@sJ zTYOvvhQ}Ief_is~1ytD_>;eIAzOF`pdcsTaP{O^_IC(7wOy&l^ALDI6OP>2 z1yR3du3U0sPDz;F{)rqQrkfO=0r!eiZ}IZzz!pM>@w`x%YxtKTDjB8brm%o39^a#g zfYZK3BM>^nNYN7H1cl$W8Bm=uwZ~+fT{`13fZ(=z#bZPp%77@+nLxTIyEt43X_}vQ zkF9G01@{&7U;|F112OLqB(^oWFd-p%7g77+~D2dSBeof?rC5WE6kPNQb zyy}1MDM+xNUoKfnuJQ^muxByo4g#>Yth6=>qyZ~{_{zhu^kB4UyzU`GE^l5TC%40z zR(C$J*k%bli~|(O$3B^&NXxCMlaxi(pkSL9>%NR52Kwb{)kNK%?j@3kUw4%!V=z>Q zVt;XL0)&aT2c__n^BgTS_b+X^xR6Oa?XTQn!H~M9Q$V-45KqQTWkC~IZA0g5aT0rb z>jcD&w*LT3J+*@DS6jsZB#tC4YTQ93(nci%wGQya0FCWgaNLOicifFU&En;SQ&qM(F+KbwznsMv%%? zt2TJY7*UYqh1@bo(*iml9FrqgOvK#)M--{6hRgebq0fndYVAkM3B=ge0~)BQgdQ=#^^oW^RiWezGLGJInYlpk{7l{jM^+=n&Bb=C0dB{CIEv|%D}h`rg$)mF8GgHgEB%irCtkxJ8d1GO2kk51qAyjwQDS9xg-{?{n92 zL0M1y?ZIY%o^VmKct{@8?@ky3I z{S24@YeQXVk(ekII8fWnNV*yZ0CE(fD*=KI!5p;O8Z<>rWk4`=@~7@D(L=e`x>XM+ zvo4?%;5@EOp1Upgix4{FV`AdXlB2$Qn24({03L~qG$jP1tn|R8pd%!cQv|@Jf)Dtm zxemk?s2UaGPs;+LNedK(xbuc&0K40_>V=EEtTa5x_%5tNjir z4y+^tp%%9mpfsWMz!HUCN3$ph8a}-|u5?iPp9eHD3)9AE^kOBOE28v+gW1tp;}*=O z5Y_`-%+OzHloGHdMaK$6E3ct5aCB5j5Bj*;_gAwf*7-c*8^NT89S=i+Z-NM2C%J|F zCDL`z4hQLRgGI#m+;;5N3Yb3MxZB01=BCMud9rg-b@hh zK-MEe%lW@%RxPHnGQo{xhTPls&-?Aft03qO1N}l_C|c-ak4qK`ilashQe{%Bugpcm zWI^y_3VUFMP_M?a+B;3;ft#JwUwI3{iklZM6;5DcW;NKsqezf{a12*fNt=&zK$9`ifFbgsP#K=&AgUjD|#~K!1d#(WBjbL${ z-1e;0PZt!3^1OYR#0htDKu}blt_|947Y>Pwv4*|nsWNO0{4o;vboW`YRj0K70GXgD z*-@Msu5agcmEkPrXjwl+wt6xoJyJHfIslk)^|%l{Zg6B-tc{TI`O)UeUflL|&)Gt$yU4PteyVZ+gt0KUFE!T1%fAStl_ z0OmSu^n837L<*<@R$a_w2nJRQL`{fb3aCMaFtI`5l0d1i-v(P#jF?*9PEl>o@G!e2051Guckv7r9kDPo>= zyoS@9>F*E&0YQy?X6bn{fB;`uP%p+PS9GVN-DZp_YQDoNC@HVk1|$hLn(g<4e|kxu ztD6WRT-7R$CK03cGf574iw$54_?XOWqCY%?k3b=!IL490lucmK@b~$Uu{TgXtYK0@ zI_KcN{pSa;{T-$OA6%QwWT29-GjYi3;BXZfqAjPh z0jlibQy^%4J-Fqlhj`AzVYvb!1^rB@Lq~F&v}p{-Pp=sy)#f5lBEq{D{{ROVomBq- z9pWc}fAwwvj1o1$4+M({(<27XTvVxs<_Xo`oRVgZ4%^9(?&&=MPzi@#g4hv(6+pkW> z*Cq%eaNp@_U^KClUw1L5W{(-D)1f|-BZu_kXGb8dUClohOW)AsBjV{*qhNps9z(T4u+aNT#K^9md)HcL@$Ya zPk1fE5CRxsL^o(U+F>P-sHOEO*?~Mkv7ktv3_w%>jd`i-Dv_(4F)@4i-$R5TGY@Z< zHAH(g7eM}DCZQly$Pb{vf&>Au0d7YJb2LRTd3Yz4^NN%Jj4<-1a1atXF-xQX_X&cm z38K31l+MqJVg+wA?)I1&tRIw88%%YbRMsqY(-Wtvw@B6{Q#o>0O@>S zD~odiRug=8dD%?&tlraDb@gzKaTpKG1yEix&WirW7zGL#j6hGaJ4)mtP*CyY4+D-0 zhf*r=4!Lki8ES`HmMWw$s`{83Kll`S!c=exteR`Mn1hF~h0nP^_(1b+Y&19!lUs2M zjC#r#z#3%~@EOzYPnjPJbALA|<9rz2Ev8C2z#e@60PrA;8bW)>rfHl8wvoB?r~zwqObzJn=3BPsskM8{sWFlH8|gM!F@W0y~itez7c zURyCM-h0Fko&3$Cr%9Y7AgP3iRd%?hqE2L1fnSHgkI>|gSsw0D=DiGff5UwT^Vww2%F_7o!43m}CwS$8*z)0=v_;U?^A+D7|rk zYN8%+MNtTck4_@gOSggOe&T7J3*JyMEd7zi&<`x?Mfa>IG@|VaY*~O9(|`xFAu{PI zR($=H-yMvO?D0SiB{Fp**fMi4q{5>Yh&0O6_qzz#Y_ojGWZZUQLkHL z-~<5h_XJb5N$J3ZVUlhg$7g^|d+=k`fg)iKK6#9B`-)lx*6;|SLVt6tif&oV3@$>r z_lTY!7^Gaf9hUm^7%Qr*I>sBbV8NgWSXh@ERsDfA25^2O`s-otQ|Y*!sjY2MW)BFe|pVtVv~}-4c)EiLtB=08}^) zzDAr>oF{T&qW*IY#Gaf^ir}SP-nj`iw>Uaa9{YfmT8`p_Qz0SZV5ps(!4m#BQD|W6 z7WQbtqkZ_!&bxn@fm2?b5fL@aMeo4=8B}Y*hI1j;0@yLb4@KwG4y2s8eFwbQ_RJv- zObR|oF?HLP9ysHL)38L!2sDv!gT3aQ@W`gVxwQ-@@1)(Ihw z&*JZSQ2v2`7ZurnfT(mZimHr%;cyhRCu(VO5$^;AIj6pi2f4PrL3AdBJPs10&u@0F zE^8KncI%oU02xNJOO+G`F^#9B#h`FP@NcA9j;B@_Q-w#~P!vQH03|k8(ZbPm;%Z{W zQ~>(yOf)4#cl0*6hNNG&!9Ov!NU?Ao*V~pLsYI*NAsk31RP;@SJh>=q2+v0xKvgPB zcjFhJ4wcaV05atPUi>)?MuT<+k9BbnAhM!t4M!w*-$UQI!N`xJlm?EvxSAx2j2wzU zf$J{{C}|><92FEdYGeXnJP$A_P*{}a{{V5ou?N;NxWX0=NP1un*$pJGHt@gzwmqV- zap**PTj)%Vn~H&A#V$sbTW!>Udxb8v^$d1w9)viU(vibLy&e+J_%e*kqU6&vM z_1w@P%7sjUg=mW+hvn}t$fa5={{Yhh1W0YhU<{Np8k_^M-gP>e6f^|Tygr8t80=H?X5VXOUS2&U}YJYza?3J6GkV|4GxdT_6-V-x<&TsRwd@R`#P zJVvn(%m@&ske);^n!JwHr_Pv#nv$Ci!N8c|Vf-!z)7OqEA7&S(D(d0*VnI@d+22Mo zP4a6rFzf)^7IF$O0o0QaKMM$S+uoe*XZD5hLBns@xhVHhKD7^zK{E=c9on z@sj{~-Nr?u)XfHd~us8E3f^BgafAjC1vM^xZ<0kLS3u`YOZ zCz0+@%0jrY{W5@?{J>aTKCrV1y<)j;%wGGs6V5sp5Wy@xIEV%u0!;MHVr<6NxeyPQ zjtKy%jO|xnYYeckwzy(i-1Erd((h)lIf+m7$&KCMD*FAH3Py{8VFB@w9G(F4m9Lm( zz>6X{Y8VXncyI|Y2(n(r4aZDv{{WsSV1JIWNTY>iRv|m2nRyr1Yu)Y(Jk8A zW~A@TZPBI%LIxNOHNIsx&hIPIvoxD4er~XhvFAyYK-c{-C*zaLl_@k}OAlKfV?rDw z1*h9snWGT52;l%{^8iURs3G)XAvEy7tL#jH7Pt>{NB&?HiGMy~D+C&@J1~|;9aYoQ z?>IYTk8o~?O&)PY824BL?!m=Y#}~k6;1sXsAQ-LTf~IdoGFw|GfSX{eIp^B#J>Z%; zAT57f(x0&mEus<+`$?NC(DGyC#vwj@3VAVEuD&p;XY*LsYV{w0-h9y!uOSTzGSc&{hDktc`W7GV^j-rlgLN%}6w*bIR z;ECLilMa+wz#fq?Kts*2{2#Jc*kZWob6n1ruHw>n859OT7I*=vAE zXm6bS!$Y1J(vgXHbutEwCca=vire*g7$Xb0ub8bvRex;OAtPXg%B^?X;lyq<2p&Iw zaX0i{<%=Ct0~$Q9r>6~vka8RJ;RGnNxSomZIN)ea+db)@m;#(8N7xKi9^q3UL`AXr zfF{i51R};U<2dDs3pMitVK2Mm$(s97eoi+CPSMlHgBUi6&n7`6zOf1DLl)lU34k8% z;=?`RND4cM76ZxCFFp??eZ&B)gd~j?%=LA6&rdw;X zAU)R|nZD&nE}FxXi#zZ6gGvQa!ZHo>0pQpE1lBof2;T;=K$e&y+h~R=mLMp0q|JiW zT`z5XTxu58KyemCV;jY)@?#lPGIxE~7paN>Ru_x8q46#Nhi;yc_lQxsxLSBF5Kh{t zgWEA8S0Mz991YQ9sulR)>_8lj@)9zJ{mX+4Q>GqoW=+Cfx|yeK82zUGnDsX70UI)# ziUn*d8G;RvK(D%EfTb_~jtg@7u?f=#!n)rKGAMvLu2J^j8VI#c1l1eMu?IH7M#T-X z7z~Eqj{e}9iA4j*7yFjvBnBSajVB3(_IeD62I@8X8KzKd3KE!0Q&MSH!0^Q0Mz9-f z!viU*#5!CIh)S=Xu|8cI`t5SzO*h5ZnWkVb@%ID26q&1hA_HWz3K+h%5Q=1gF|i*1 z030CSw!OE80i<0x8Vn7b9S#r#Xl z&J;}9aiX-(7$_ME?Z;9$6G{j+aodNi7%dA{h2!+c&@!>)`x}Kz@I@>`rf*|L0Co44 zCAr3BpX`}a#2|UvW}xk9cEE1#vaifQp`UK>{`7ZXtXD!I?`}r^!QEm{0 zZHwbrTm{4?90SWEs)BM8>l_-px(-VH!ZmGcPda0eFtQZB*Vnv25@uD^6Ym90MQEFF z0Y^h1<``HT#MiuL^1S!afZk^R0CQq^z-O3}LmV8A87`W1Ajm)f3av+}mIA8{RU;z&*Z z0NhAnbpHS_7?)vF1vlfa66i0SQrG#6Lq(Gkkuf9Tfus&x5%Ody@@oNz*i1wT#km6U zL!PilHI%@Sjr-x2Y@Qprrp}bfmf9xfhryJXNBGOJdy&dpwB)Ir@s?x&^y?$P{bkc+ zCKjQ#{{Ztf6wn;shlXS_rA907Qw*+wUSLch+pXf?P4R_vScF14C*}ubW2h!pghGI` z_dGDz%47tagT%^9t+6a`AUNs1-i|7IuZ)URqwV76s62Uc1VBB$)$4}PO7|@UH3B&e z?(+pkSb4?ah1t`{i288|F-S=H1Ivl8%p4jLIm0wJf{)Au0^ZM~kq1YNK<5hZm>4!y zZVEsK#W|9$6Tmxo7!tY)6lv+gNH`Ab+`(x8Zt+xd3QZ-%Tpcg(%;^Ozd_CXO6$EzS zPow_;y2uj)$++S&B2oVUf4E)KA0F~4dJg+c0#T*L+mCpl^G_bVzMPispq?KIfQ!18 zNAXX2t&p@^pXM8sM!nM|F;`K9_ks_gN6_uf`ocO1{!B@9qn~ye1xu~23JwNytEa(& z1^vqeK6{uQ$ZD7eoEfkaMkpbN(RLcH7N+1+<|0DihAfSQ{{V5Ii|y}F!Iz{@QO0SS z_wT14WP#{UMaY%Af5QtP+Jb*f+YQ|@;r%fviVQd(ct%3-sU^*=RkJz`(-i2~LU@tH zN#z5I9E{PHg&%nf>>M_H`7*nbH{BRT*ywvRvBJad0w>!b!iCv}O-on#l&&(e)d!Ih%y5AMju16UZzEQ;fcP>CW*byXUy1@OB>@11?o_L{9@3$ozQ1 z2VqPe!{!!RZ@5*COzXUIkPflYu4EFOJ^Juu9ltewVl^mx^VYFK30#4+3Ug%Im~W0* z2ZIA`#a_(RZ~R;!b^C#3crXt+s&$BHYX_lkyk0R?(Pg{? zndN<4Vm26J5cqQ+L7qqx&zp>A6gBO~Aj@V&P{C*|8uAsnMUejh%Q*YMmod^GS}_6t z03^h%@?Bv>8YCb~k%1m!5vA=g7|5RqE6hMNBAujD(V8_Rp|w3Q1Q&4D*YgxA02`h6 z_T^o+ONH{eKucD>{+M&k>X)nejOqmi?kw3j6??8DR;4ud^uR>0L4KI8MI<5zRq}eo zBf>c2sSH}hL8SOe{mzV{eltcFaI`XiJmH*>Km;c5tOt)_M#zpHs57Lm8-ODw)jM&% zmkn4LfDL7DlLgc@X^cN`SOGY}rqaOLcu!eDc4Aj+sf&*oX|_IGo|?q&qruCP+N0#7 zC~~TiS!S2{g2|==(VTv{p3KxFPGHea0|i6woB&l?9;! zzf%gs6Mnpzh}21FNgYDkxQ;{SE^H53M3G1R^l&gn*#x$plgQu+9|K3Jh=dAsgiI+T z=A=3A%W)_zJ%ce303+Kvjsvv?r2KwdP)mHO+2z1c2D@Aq0AU90q6PtnFE9*X!hh2E zFjA9a0x}nd1iBOQ^fAK0prrJ%h7(~&JKh+>!hUyq!t$yJ89>O1N*g9_rQLYY{J>C? zT=?K`b(6T315MR;+DvzML$aiFn9x=zP989<7lHl236x-aol^-$KEq!P!CdVM7xSiR zguCF6BE~qdn%6M|kWC5|AYf3m+D76@cY8q*B$)CV=LXwt4PeV z0c!*={PtjCB~nX*+x8nn6@v~=#@YSCrHXEVbbw;+VVVHJ7t=D-9a_#UJa5($Bno9B z@j5OF2*G}}i!%~2S~y`cwzQW4NKIdT;Ajsigka&CZn|Ub+(73C%=|WG*Dy#8JY*tYy<&j>0NlS2t~3bp%;*K-?;5E=LE(}LRhDrEzt=14#8B`^N~x90KjD2G|G=dPV^MO~Vm^u{&!KOyhoB8140XRj#ENB_-o^tNNNDb@5 z5TTS%WccMt;`!2LaiTX3K$of+&0qmZ?fM)sXrgFKiYjSvzSj=2Tjkd#dWuz%UFaZ~B{y9W| zw@e{14Lvo7fH;8>u;Q7#M1XC86j<{ZJNJ%IM&JqH-arWhqq_d!ji;s)%0>JOiz&bQ zPv!}(-Uckai1F3xmEd)c>6e4zZ z8OHg6Ls!JXNyof2vruu@`-uMl$6SGa1_es%3c<2C>mD8abhs`9o0)q2m;?B&_WI2+ z8)!tBLlXl6KC;aK_T>VuV>i18{c~_%tZivLT&?wl668_wRn@!BD<2aC!H(jYM(L(F zo@OU!ZD6rn$^tCKH0d0K&1uL{D^2SFq89G~I%dj-@)U4IM;jgK{{W0lZ$`2N5ZgP; z_c;m-bWDv-HW+nQ2Sz2U)&h-(my?X9SKY%xw0~=inzax2DWh;d4mciF;P}m^1*$Cr z`?;4ks90Q45?_Fo7t@M>?0|3R$6_a`1I?HTumM7!vA7m0o;3iusTvKjA4~u;fu|BI z-UvV@x)EluT}Bhk%_A#ki_3{INHB(_KV~8{e}feqw5`(wgaQHH9C2WwSn&=NrM*wv ziA|N*34ku16LSC&QvxTH&e+YJwZtJ4%z|~j zm;t0g@J_QVG$rzt?QnZ6YH;fPaHm3##Ipjm0>hY*dUWVqZyTG%H_{&Iifn>m(N3l# zxoCL!nAgKl^4_o90<4ap7sVz(C`Bww-7)`@H9!7Xf7sN0sBhiA*FbWu%wv1*>rFcE&^wbHTgBhW4)p)+w<1DTPbr!Y?q>`wSj_{{YOTcuRx-JwYsrI8>CAgVI=!wXU7ieyO2F`E3+oEe zYg}3?0fvlaOjb2^E)Yvq!Rhys0^h7NkA;{*HldlV_R}2?jZn%%YuDyPUyWc?1oLzW z_d9b?c|T?_8vMn{Xf@|5d?WolzUf6Ux|FvF{R{l*$XA$(jyC=cB3^3OO2 z0gg~T#p(C3!V#@`!bm3HnbCF$J>s=^;7bf z!D`$0to4kRr$xpmF7nvsqH;{3XxZ^fCc4BwS{ zGhtM=nNZn^dh>7_cy{gddp+O+l9xhb3rp|Vz*Iuwh(zQuK#>HcaUdWO;l#WzDcrjL6c_D_u3KoRpxzr9w*OgE+_noeqby0=NVl`dn`Dqv&;9*a;IFiXFeB z;}As+?(F-5ZHXeTb*SVqxlN$qpedssu)wmTp6S>GgAPsx1nCrhSJ1SPGpdIxOnrMLR+{N<(Li zEu3%Ox$f|q;G zcYWV81^fhnE0zLR#4<>sdgYqkKD_~bD!UgEbw05{4cA=={V&Adw@H|rtF4b3m?8Z|xN^2hj2+eHfTOo*ISvu+Z9&>vq zp5}BOMRi6eG<{gtoQ()@t{_n5FpMGPc=^XeYQIHIwz8 z=}dM}ryK!YuW=suxk4y6LlI}HX^guV8ENgr#GLHr04n@L6`@2sn;}F=I^%NHFf219 z5k6m1MfOb$Gm#cwQ0<6VQP*zxrTtpbb=(M=T=sqfVDU!XQjx`?SZ~_JjyvB)LebS?Y zCAz|}^m=k|T6Xu-n3zP0c#WnT%lGJ;!YR3zi*aB8K8Y790IF&DH<1fYgoyY+$w#yg zO7h{d%Iu$-xm~d&_guDwrqM%HJq`q|1{y=H@r-zYHckPYp8$KzI{*Qxnj#*0!1R=a zZD_rbqs|Kp%5qAe*yl0aM8(Z7`e&CZs1xWcZxd{ag!Me?@ zSGQX9=MlAk!eR`o4|4Ay3@~(C%Y(f)*6Yx@QOm+ratJQHcw9*=o52S)?>aCkl8+`_ zObe%L!_r_RwnULAL)J#dy9E;J`7pArR;>iv^8%TOQ_1fL&>nG8I1ciF<`7LODvxx; zL{r4h${B)*0?wnWuFNCSQjbS?!C0?g=R(B-JbcO|GVUneD{g{L)V)+$IP-q71XXPnqT(%zN05&f(Uk{4N*De2iq)Uxalt?M z4|_?BoMHHNe#{124vdTa&&xUuD%}R?41@jLwQw*V}4BPeaH*+#4*-3fAE0D zD$1BHwVvNNKFDw4U}@0)M$%@w`6hVjUJHrtBD7*!PfLy=?XWu4$FQUo5e@?slD)K0 zCf1lr3nsO<$AiWIcF!;2o%fe)t_4WYZli!1X22m(k2dy#R1}g62csdzS@AbB+j`R{?e@;|NG%Y%ulk za^j*N9K>->94s|vBA$7ImZg&t=n>H0{g|pI?okU`=Vmje3^Qh!F?WNkBDzBV05f?Z zafsBpR8rhUMvO73jh?B^^teXd8A3)qxSCn#{lsDJe#~P@E~^S7vi@f0fVe0s=MX$I z5Y_R_R9J3~?i*!w9AiN6m`Ql9z#7Vf;5b+J9@2wpX45SSJ^c%XsmE6o5z=7=Oc+|w z4i3Z;-mCKgb}VHBXzai?L8tJczj@{pA^KcVXuBEO29(XBDU8TeLss=L#h0$#QE{?r zBr}*2^?wF!ZKuMI3`wxE{{S4)AtyC4!7!FqdoyPBSJ|4wX{0Omo-jc2H%l#sYQ(>1 zYsAQ2rpt#5f7G1LiMkJ@!U!$bKFwkVqkqgjj3(RU@?b$buTPkyD^+@OW6W8-;8AZ4rGBKGOAJ%Nf;zXp)-aTe1tx!_zVq%0t zZl+N77YAfGpF5gRZF69|n>1*D=0HzyRVsBEj+cbjrE)e+yZ*S87!_(-p5I0WN_nTO zrBLggIz$mm+L20_6(DTMKb9XG2{cpDrQ@fvgES5mY_<#08_c251Ua2BGId zxc0V?gtdIE4S`;5@C=Y0#*%F4WH1ZJnGM`h02Yw_{#=rB;`B44BS(SaeID*Mth|nIy^{2$K_{1HMMs zOi4ed{iB;CWLbU6x1KV>(?@{kyiX}m<{%i91g*?OErJ9%+(GIUUmU~|34eOrwHSQA z=2H>!9f6uht7uQSvrte&!4GFBZb3T%`qp5vDv65t6J*7>*qi~L;fH9IA;Sr;S1%Zk zBDa?c3=q~5Da(MmRB@eRyl`=ZB}gHp{WC%-Myf`|AWYnfGd)7WExq@03<32?=4Q>2 zeF&Gv0{cApfrcj~<_8b5%fKpj0;)Uqnnw-HJtpP+#(8zJ|HQgmu814>XfeVm&-(ds0IAf%?x8i5N(LwUibBF{^Ckh+{{W$K zt7L!fHsh?IWYb)V*@RN#SQBR(kB#6h!bWop)G;m3%-{1XaX&GSo+cKIn#2qD8Y`hO zc4oF70l2nr@Zv&|rg<&PwF(%u<&5ecxTVssjHA+EwtkoZHZc*x_{v3Tz0T5@gnEDO zQdh2+0u^JNPeu&cyOfwTB+Z01iqj&$p$EJmjPmyolr|H6A7%*zyY3V|5AI0u!_tRo zpv8sPqX1D53}p;3s|<;G+&+6U#5np0TQPw?BR4%)00_-J{N^E5$S*U6@O;F)l-wjn zG!N{1mJef_7C9hLKqJ=R_|@G3{nkuEB5P>+42~$sJ( zB&`gl!915?G4JoThl#ud?%KO^-Y6iJfmQ7@Znn|}Ce=^81q^^(R-i;op;8ZCdSxuV zWUDs}dX%+*d2%+m%z%?(3UM zBDk@yQp}ox8Ci2hAxlnmY%C`@7}+!X=6>B=c9<^AFMV~q1#@OW`xd5}tZ zj@cn^aHD>6g9&z&h9K?JC`^K{<|1;AU%MO!>?Ec)Yrt6&4;uN;UKnz{bp2- z^v0+q+w%yxLs?pTVxj63L*Ti@qQ#FarYgZ>7tx@^T82B77aEY52Lu|$80FOt zlQwp;-a|Z~LbL8hBQK0%hmJy<*DxR?LTRJz=0Ia+O~#s!+=m3pZ=zX(+O-n{!0d66 zy@mx?FLA0JrZY}lt<8uRFKK45N>j)F;H_)(B!BZGXe_}ARSEWGg+GoUJS{W4m58Tt z2nR%e_<;y%Qg|}3Dt6H^02qN!C=8V{DdPabu2lwAmjG66%ZM1hF(EBvu$zDGb|S8( zZJ?DLp?&W*0&HLR5uxF*GQb5jeD4&$@76|LweWF0jWabxJBd+p!C(e&#n*6H2+tWo zQy3qtEec9zp4;;sqQ#E<8_zN;XJ@Az+~}A%{BeQ`jn_Vx9Y*Y=Mw+L*Elzvs{{S%? zHtq0AjfMf518SF$#I;M|;BawYFhTmW0D+*slU2m)MI2DU2O$g)}vEdcHEs};C~5w}w&H++dP zp`}Vt?mS^+(~lI!sr0CUa2<_Pg*H%dx}BQ#--pqK+$N9pT)v7npfIAY)oFS+0Ov*S zv#^Bh`LtKgIk}R~6sc&xNv2?sZ5@XC^jvrt)ih7x<0*#fy#T{#z*YeLN2BKe8Y?I? z_QyT8$l_4Kl|^V32%k((R|)$Cz6^40OPp~y&??H~`oRe9`6k9W(;?|qCl7NgHDC^< zvlX;(hQt#)gzn%5HTLB}w@CEal5P!mxW2M05&U8WrNdKr1T9-ZRvT~#FL(tRSJRPv zxFtsnjpCHQ^vEKIfUzcm*9?5q<|xZq)TUl}9vQJ1D;@>vNxa>nR40n$AY-l4U#XH~ zw4=@l2EpWRDfyH{H`x8n1m&%x=nRn%f_Kv-Md1vp3uXQ6b7_W?^>cSs14`-k_W_kl zRKkc2h=fa;EyBDft>v^9rXje?o{g^#E88*Yw!;?HR@Rp2Ojj!BE4-O{I{N11 zMWi}o^`KU{+EgmuDoF)4f1WYzRY&nLm1+wUINOQ?pz*|lk*caOSt`D7ey$$&fy0I% zZCCRVhh8dUqYSbfo6zfYnx<_+Q85WSo8$E2oi{DP+W@^Hsp?=0MXu+EEG>atYkLis zD#OvdDVwh_H8dhGgBX=l${L?BCqxKecs858;)3ql{Wo~R27E7J!xhzRhh_or9&WKC zJez$Pp_;E+V@v%qg4k+wI1{GZ9#bIUXFRTY6;|A0Z6;t31Kt3h2oIy&*+;j@Uc1Cm zd9oJz#_bM6t#zyuTV>$R0KM~s5#M;Nx(j~dMoREMb3*r3M*YNu@BaYqRzd-0HvmY@ zrW3pKv2n-CM#Bh{QJf4kzQ$7oyy^B&69jq&ops}sAHO&b7v>=oLEpzFBMZxt4Qr6_ z8MX8IFi=(?X6UGgsXZ9#Orxm>0!c z<|4uAieLdqT92}1Nt)(Mpx?ZNv2X6vB2O^PshFwlewdJ1us-1@Tg)Cp{m-~%TZuVj%l{YaBmajW~ zQwsnsPt5lcI1RS|g&Ie3$wg`B1C_Bi=Rcx2gl{w`Xfhy&eC0J19Yl`uF3b%Y07m_?%ibQKiCWN}vNeR`Oy zp#X4*wh)b+0zN(>{ym&1UP@7|5p zDpm)4UjfesBpZLphyg)dLWB4rswi^c6ch-p7L;-MAejI|bntpt*^KGiD~`-6-XPW>rCvmjP6*R#0Cn=^gQzWsg9IIw^k(E7 zR^!1U=s(1`G(tFcX(WANRg$Qum;(sy`fm&o6b+v|M<9qaX8_}<#hOAEp2sX_s?o47 zrvuZh9W^5~t27OQOw@)Fp}e8#!Uq|)G6`Kxi$~`Sb|)1zw`s**U@FAza5TYhJh+f) z0S$>GJm8~=BMBFoUB=bP!8HEhXhxiP^0yk(003c8#jfx+Tn4*$Ydq5Y^_MGB1rLk! z5)?azya?uy0`~K%gbeF4OVB*Nv1X_=+J7(>mvDBIR^fbryf5EIB#a{Q1;~2X`okLgBYyHH3i@kW?i)##eg+fVMHKE@QTkE zQAjX|{VxNBhbnA!#CUTDsNVp4VYktuiV z!KMxMa+u|HipX!7uH%9Xl>Y$XuD{F$0msT>I(IjN{6v{g!8?F3F(p)fXOZZ+WYmic z0lh~NN<3w4Z(YYOIYt#p*w>heCTu}|Fd!b^Fi^zP6mZ?2{41WyWlrSEE>S{t%gFx! z0P~}~d>t`iW;Mv_8qMLp^NohK4|#E@g~&!5M!zwER|ucwn3KEL_&5ax^kCMTxK$)$ zfFvND!JBT}2U5cThosA{Gz`@kH;9ADJ!L^$-g*oG93Te=S_UC~Z z{bFCqFoxM9=kqrTX^;+~+^FZ9`g_P9Pp9R@1g@Q(ADHgX%yO}|JVcVu^Fx;hbT`3h zxePCRPc7CORRhLCpLDv0Byh+)7J}kP@Lhs?F{4C2F%1P{6uLY!jw;u%!jw8_e}H0C z8Vw0=3(+nC=mws`Oh+6sDVkm4Km~Lz`!dWYw8x?ACgUa66>4ha zuwF}eX=(zF15~34$1j{)EmOzLH(si_%W5uz(*Q?s;Zd$O#8Ry{UV3s#Ak5J)GONs` zEhlks7@_ImhYyATi9BOT-jGjrX2KyIW1s?HeZqTodq#V3H>PSo<^>n0tXVEC%sZ45kC*BN+ zj5qNxKr?;%+q@``mwz%`9yq06W8lF}HXnJ2RJ8D8sUg`f-3BlRxb9}zH@lJ{BQMsx z!m4%iiXcaOam|a2xiLCR^C3^>AW>M%fMjL=0CRyg6~Z7k^AHqJ?=jeHfqomq`9hmu zWxsITn-3WXwBTF9a}og3)rA&)GKZ}hp^&eNNq>kabV!}aXk=E>4CDkOE4jUqu~dq1n04` z10s8I*g-A!rVB}3Ic?*EHG`%A3Z}Bdr!n~9768);58p zDOKX*0nm97@Ly&wCu~u&wlGzSTqJa$V<)l5g5V9Ma4HpaPW(^IyWL0^RoVQ(BG*2L zWyKt19zaPE_hwa5u$HGxTRD#uFrW~%+LO!TVQYK?y7y-=sSqvl(VE0y zEp(#TxYZiN^-_#+M_9Y);g$yC0-4K0HGLjTfSE!}*sWG1BkAG57&8ZeW5)ELtVj|_ z?06HiES1oYzXOBJiL4a6x)OSL$t23qboF(FEhu&`D$f)qid( zWRWg}>5wl>Axjj={nED5-Q*zBfmgwO;wqaXU+FWLAbSXP#sC7;P}49~P1oC=Jx2ie z{lBTxMno~bXs52#v)N|D&T#- z4Ak{mSTUhy8}oyiG-gr5{oZP|mDIos(;!G?Ygwpb%#Lq^aIM#eJsGz=;-@#2UDofj z2^0--1ducJ#7FteK#$z|x6G1|k7xVj$iusCCdNlCfxX^nue8B{qT#@lEL>{?v;A`6aEu*9f&O9@NNq8T ziHM{zjjw<%gC3+|Ie~$~V3RsU!v<;G%B`x!63r=rYxv3+oyKfpg~~wd+zoo`APcp5 zkDz&;%y(IoHcjWXztbivjECuh8tle{%@-mD?3V)HJY>?H!XQ_;3?7|gmv(T&0E4Z2 zI0#pFSxu!5pW)5K+JU4CGLRm16Mkm0ra?+S3=&L(CdtIj_(6NOo(|%mmY|K&HzYwD zXutCtQ0(_1tw4T_+-d}rLL*f7au3+Pdu}MBX#H1^!(x70JK@42ihyKJ5P`0G42oUL z`Pr;KFu(m~qHYU~uUP?U89QvmP-y||FhLfIk8#l=VkFzp#q{cam#K(pkkd3~J%k_+u|Y%#T*VX36F^Nd3q zJ#ZtzCxw3;YneXe3lP_qViSj@zyOA$?pLTqVhjoh#14m>^5Pd@-fp6fvUK~NavmNW zfeIe|4hU1>;tm0x$NFm+wUmA^jzIB)R)H??ZTv)@B@-vU1kwKhxkR8V%&kc;&Tc6!H2A6S<%=g!_U{wxiqT91Qe@ zsK6;LK+6tYcJq({v6_UY<1zsOhhW~GkTMk?3y;0u;km>e3M$~D5fm7jqMW3<8*wVV zbS}4>RjccF5YUgN4z!fOR=s24#SMDEH3O(Qu-`4aj8SFE6l?1WxK@8n;cQx%*=%#~ z(Z}#I1Pl;89Sg z8X>8H9-!nj!NC0v7**1EBdy|cSo&EI$p=on)|TeL0zJ#10y<$Uc-}f|`5&<`Bd7d* zYXOLqbA@&1pfQ29KOeaZY$Cplks6g~o{z^PWnq9=Y3>Mth{2msAR)jEY)BuC;#>$k ztwU{h0SjR+ifjph)v8C+{+Rtyd(8nDR6SFB}o8_bQtZ)!Aw3}5}R(DGMy8Z2M;9o zg^kw5hKY`w&cMOS0~t`b5b@j>^fAvej=5CqOj@{n6@i00a=oEI+E^G#xtCSG7YuYU z7;6?)3?xUjUY6ub6b}Rs&R|SP-!(!u<4RV~W8-YmAQTq89U$OG<{Pho+@pCAO4**c zjp`(kGF61wj{+t=)d*r!V&!6GCKk5f4On8R+2WNBAGj(cZooBH$hyjEa%OmbA?x5)AS>7)rAsgh|us zmP(Hd4;L2Xr1&8J06pa9f{EPrYL>O!hdi~e=)vH zsLfx@1lcv?I2lWKf=$ep;vt_T$ehVT#4-~SC+CcLiyNb{_8c%s1KInGE+Nt9St)xD z;M{&WxghOcTzs12X0l*ao2k%pH9Z)JWSFE1RV0rFE;Udnnm?x)pqoO2qY#JF`g-8Q z=n>9E@=S$fJoC)WIj!SGCLYw{ugn_xjq95p+_)A6YMY*ZCr?Y69-1R^@3KveD?t!5yk<3zi{}w zrfeqaW{NK1q5l9w?lJ`Z%As2_BLjRnp{Hh^F?}SuHF4`7cxPHF;378*Fk+yuKm zHf1utQ}Ugpm8%sc65HNG7TzP;t>T9((`-uj@!Y$!?@xqPNImU%q>3UWEuve+7++!1Lhbx4dMRD=< z$AyRea=6|90L)Y08}!O_PSnK$DAw2!$7fw~vGGr@(-IP^x@pX_VWu>Hvj&aNWoO$VAz`v%3v!$=fB)l zn~Wffs>$aD@I)RKCqMz?`K%|I2rV*10?BYF435FY=qAt8Cai#|EgW%q#n4`ib^#PH z!%c~Dq>YsP97&1WamC(%`ED)`g51_fvkEb+6Sc0ea4x1i6ZJnX@q%?z8eTzH69dCX zxBG+v>H8dTUHfoAbm^4W*xX#aE*ZDD504B8opr|<)N71+$cH>)H}EhF15$c#_YnI} zd2OMuoQa_?F#%O_>~-emg09uc1bBB4QX#SO%jjfRt#!8{D>Rdy``HgyD9Oo9h zgTmx)o&sfmM=_`DWkRW3N-N~_>v-t4g#5V*09MsJ<4*{?Fkxl}=CPQ;r&qhOO z;>sCRXn&R$CSkP9vp@ zWM)*-Wb6ajw;LKZBhxc*1H^a(z~DMWeJ^fX1rRj49Xz-aR5<-PffmX zOVY7V6vWfzwO~zzVD?KrT)=MOosXnAvQJ4?=;CN?`A?=I|z5LX#1^n0CL? zfPXtMm9+-69--o7BLeUU`uxr9Y1?ST;ebIJXqCW%sZ>me^oAg%gm#yM7*vUrvr~=; zY$Y3j2&!%)UX7`UMsV6E{y2i-g(+cbZLi)!FsQzi+jHa|j8XsI6 z9HbBz0Kw3td9EoVV&7kW7+Vcknt|DzW9&!t4qrygSrMmuMvA`NRY(sM-j4Ok2ycVS zS+D>NUF0UcK4awQOscKB11PJNsasR2k}JBN4kQ3}l>m-fT@_FX!7y@>NbAK8%!0D2 zCE4i1QFmmQ&ae4&by>!y!CJD%_{`Wb(N&-f@3Bjbb=+B}bpR9XNPQ zt>Sqw>KU*902u2rv8LF4#%CR%52bMEHcw@6QXlajUXC9i3h4|&s49iZCh#57ANtIR zriadJt|d5BEV*TGl*3!7%Hp*+ez*uRKa#1!x0g4IViW}k{YlhcY0h!x7$f|~SZ!O;(+ zz%Yl|GiK5?_v287sZ=2bIl%Jz)xE4*V9V zYaYeNaz-R35gTb=z8{{kp{zB(FNFDnoF2bJ;~Fdv^x~@s&BEM12?12i2%ARn#O##p z#M(0Fr3?xK?Qn=0U(RIJ_ow?B;w5CM>YT zkbhXP9vqmhASA>(&B|k59J#=-8T%cWvQF#1cnnsJ{^a1+xg`>#zG7<-H{c}36L7rx z;?P%$TKO zp+LSpo5}@PI7l%Ae@ls?MdB2*%*G`fBVXk)07t=Y3XZcRy>&=j_Is)I-;UkC7sQcIKR!pdV$fRd8$n05QOg&-}s+I$e9W3RiC2 zH|uzm$Zf2KK_QNj00CPG#K;v^ks0o} z(`XIdpBxpq0USeelW-n?XyO=UacW;J$vqa=5cPwJwh7!BidkUIDq&VM>LRp4n_$|D;^_qK<+VA@ED$SK6>V@xghGnJWqI` zIkX|!J+sbGmn1C;QN?i6yGisUaORn#`x(9KGR^-Qu-` z*?bt_2$(PnNIs6I<~?Q(gl(3fqWD)s0+~;lP1a_T!#%qyt_-36o_h+X%2d&^qH!Tf%gdz0F1p9EP ziB#E#BC`U;gMj2iK|4$Pl684w*0AG2DvL)z$RfYcdvQUXD0m(YMh=Z`P$8&H85vZA z69N>{{Efoxp}%RASp3OLA40bX6=dA8xDs?L>Osv*8ViZd*M1X%t^lKG9&rSyQt73$ zDzt0?AP2)Fbm`0j@%fGwwFVuWG1kPtNoeGX^#1@7Mf7@W%I$yA#b8S9Fd=J9 z5oo;Jx2B|9E+7%2`GmwGDSiEo@?fs|Rfb(kzc*qF_Yv&z8n9N3MTa0G>r^?Tz0w4iXol%@Q}uuL`!@?#1D zy~Zt)3#SOi>XQLcV%d%+!EprWdVbu9IEyhLA|WAl4?gma_NLW=`}X77wBM>{bqq$fHYBW0&%F!rs!`K%`>>!u+I9DS%c)m_irQdbS!*kTdF zphIDb5hM%+vU433SRxS)7~-~%GM4bqQwUM!5z+5kCV3adF(9Xh@o`BM35=-otWL@P zi4m=Q7&!pm+)!ai*a>|&r5-W4cFX9)fV<9y zWrpT#p}-^L$%O`=rb`1uh8!5JM1OsKnnhTw~nxipHi+-_& z_>OD8qxHh7iFGn4D~6s(;ZZ^o_$Q_MoTJUI5@=XoyO2%1Lc>=OiJOak8q8)*7ygN3_jQ zkhhEZj{vAKlj4x0?`{YH{0lY)8-@|9ZS>%s0bqJ+Z-x@4gT)QGtu7(~YVZqda6|>! zu{2oaC@ddA8zJu&Ro*;KE&wB7kW^7JBp1G{mnY_Bs~Hu*sS)xZJTL(Vvl=L4M~5oj zouIOiUKpUSChpmft!ON0WYwig3K(=!&yVZDF&Ur@HB(%D;WP$t)I7K;P+e3Ze67UR zbq2?~A#A}1l!Ms>X7_uIH=9n9SL%JS}D1fDhjs`2RMGY6% z(-}(QyxRe*5_acRdBMHHlE2>`PP!wv9X1itWnNuLiQVHV&33Uv;H0MWG0EiK6)Ze@krPOT0&*b>DR~2D6 zvgX-B&|YG-)F72NR~sWuZZM4ogR=7L0@6aKzJO!^15AztcSmDM8~KdG1Z5%6JZqZQ z6;JDPXEq2zYl)i>Zqmik^kS(5rz!BoG%lD?*+2y^fsGmqv~ywR+kr#H!(iC>SbH2v z5exc$U_lL3gVpPmAPn*AxCARmxvZemRRnmkT&%IR-g7isP*e1{EWX^ETocOb5B`#t>Hh3S_DK_5GE+hy6uLo}NiKpq= z?~HI}4O@s6_V>$%wDB@w3}&N|ieetMhm|tCdf}GE#J3I2VEX?6;4+@E8pF^A3J@Ls z;r{^PTzPn>dsm;10B99Ae(u2-{dLk~qY=O`a}P!CrK(K(7dH4``r1A#&y2Kq5;vtTU>ED?W zzMOU{x#r)`(;^~;KQcjMr!E3XaD|-{#%nG3Wvl7DptTbWL)#ld+Gj%A?p5_;C|$lX zxh@`_jH3E_VHpfR2D;}U@#i64*9~q%Q89^x*t^F{ub?J1d6aVqA*r@18K&+?i1L>J zw~lQF>4qrO=xtn{OkI-9cnDFpD2oE=fky<5#6r;6y)g?B+57Y|4(=Vw(UuJMvnc3< z4`aH70geYw5`U2p}M*EXL6qtYoqlX`k<8H0KvA0T^UoI6Sfy|go^ucP(jG#xX zDQScNjOqUXb(`IGX9&_?4dgPK0+Gnl8W4W_#sdW>>sp!RCHOMtoEU}UC#C>~$?ga|oiFb&lBFat^n0T9Fyi-9FYV=+b~USu#K=evk2qy9N_DIA227gjkq zviasvQYqk0{{V4y8W?(T0TNRHIsX8TvkXuk=S1vsLSaayM}rezg!+9ZOG~)TfpJ-s zS*%3DDz$#}%G_7TBwXSNTse!>{V}S=_Th9oVpBkT(%V-( z1~NO<_+_M9k?~P>{{Zkd=!>HyAnSJ|52ph}>%$Nvn(>P%oRb={Rfr8J25g10t{Rq8 zt45!3l3Y3L$_>+`x~D(0&JYY$SJNPik*EMAUj&9O=~;1UNCjCC6kj-z*I|B{E1_BR zW>b5Dt4JEn_ig_GmnxG!3}EP|fMbE1M_^?@&EgRGMPT0AU+#o6s{ z!zd=9QT<0ZgC@u~^vEcsJK$_^pr|?=ta6D$wEJ4PeNCbt^S^RJqSO%`h~SY?L%K-^ z04AuVdB!*l3POdw`h8-#AO_GLSJnhv!9^FLFn|qEdYDiM6#nlunLOHISwf@m&KlCN zvB8mwF#I!7Ns8=*raY3xUvo#xg;0P2xMd51E_g84XPbb@0y6nEOm`bfWDsJxq9InV zp>QcwBvmt1T6ry8M0f|QPO^Ko zRdjx`Jd%&^r9wF83i$DvWFZh%f)Q5pAE zU`>UsdUzk0=x9iRixgDV3BkZkEdKy7j95;G=;8oVVp@j)_mx5tvdAYJ?*Ky3VI`ps z3{LrWI|NRyYzPd7z8Z1>>FAv@z^ccoU>NHrJ2dZQF?Tv~LZ6t?I|DC)r$g3O8yCnA z5ynsuMim5wUtsV(4h8{YrzJ?Zno6LMb{GVBfgMfMz$Ci-gOdYe(vVnwXD$k5#DX0d z3+E_S1*GeVW~SKukTL}%(X)8Dv4Ww~1PB$Qhi2V3AhTOvE}h1foiCE&EP^Nog{Bn6 z@Irixl$Hep6n};&Q&DMvEnvk3Hwc9){PUHqB|Cmw36>CQvtW9TG!uRh!Gyy1%R^L7 z*C)ohB~FtIbdv#8sM#C>KTB2MCmaDAs?@4+awcZmSh2oL;stn&>{B#4^+)Mffsa8f zru|_7AdkE~OoLN|RH*@n>SF_{he<$Bc>vOzHV!r{DWuao(1fb-<1)d#L)pE0xUj;4 z!8SP)fe45x^x!KAHODwyDXH)O0C$dy;|SO}fB6h`xth_#E9=RP59^+BlK%kl+-5LI zfEcMHnO|hb*@G$`(+h}B*8~UP+$n}M1T3qE#$F-PWE6kQ@MVU^eZjB3{{ZGd{4pyi z`bw>N~Wr=cPIF%=3%AP!!b z0kB^=H3<1GFex=Lbq#Bnr5@{ub>QYDOVNsND~9)*U(8`6yDn?7A?G$!5b))2-Xj46 zh&y{VgkKxQmOAl`O&0S2dIky+HkT-!*uavN?2{?VWQ9d$<4pOGrFD=|H{3-7BT#?b zXGvp!*^I68?i+K5a0JsvI~#RbgUeaSUICZD^UNR_qga>5bi=~3^Xruru8m~50cZ7- zG&|b&=CFsjY{mg=JBkG$E(}f+MEixotS;Wg#|X5>6Y60iYZRUrQ|o|` zZgNsE)cfvtDENmBprTWO650MArY}>cyxOEPY|1dFeZ;ZEq#A#?2pz0(op_WU6-r`Njni;Ao>A+>RtQJwH zeIKR~qh-J|vkc*cPW~7r(anOk^QT(JK}A9h)We+su63Xs7hK4;Kdc}M3?rl7VqP}h z4=*@pmj_g2T!kDb06-P94>R;(ri&@!88Mx32cNi%Dg`%xT-elajF2FWNpjt`Vu0Ic zbH+7^5Ut2k6&^qLHna!;dM$r&8U>MDx=_h_uEF|do73EeQ(uMNP-jQ~05B`#JC@Oo z-C&S~Xxbx6;%JRH=N_%gGxU8E-Ziw^AJW>iA)BHCrOABF7bGVoShs7@d)sZKkULnN4MhO zIUr&fw@P6le3I`FAxfEDuM*=(yC3^8iQ*sk5-2{HSAx!?mI36)5IUI?Th{YH)InmA3%sWWKT4t%orbL2D&! z{lTu#Rk&VR<0E2I0Esnb5LUI1A%8Idc(@T{6xLp=%%WByknnr|05F&YNE&PJ^9m~4 zE~Wi*hU;|TB>Tu7VjJQ%d%{dU zLq`-em#yKGQxsCd&@c2{Vyc$=W59cI(3>%ihGIdvLD+dsU=E9Xq&~j;z=H+eB4_597Mw^W4pTp6y;?@7=wiB^<$g>fyskZXX6*5{{93 zFpK~?p>1Nz&#=MZiRL7(+Ly*LFQqqc__4Atu02mFT zT3iKU)$3o(8VweBPe^4*$bocx`dlVJS{nhdeJ()xO1%Y40NRV%mD=kO+zrRic-XuE zeK->s0}uqR8;3_vK8FsHqL4RZ>)rszxH}KcrbyO;aSom2`aotIMcFV+NqNP)u`mGD zQ(+smnWl!Ujy_?OVkUo&QzBAIO{(QyQw;n(y)lvqA9MUn;7e*|tP+YWfyWT?Ma?lW zP6UAO1el7m1(7NT&RF6FxfNO`3|9<<^G|LfYp1?hVGYG>Z$>uILH__l7fDBW^h{9P zQLx2(tfi(Jg-WbEIRH&H{9jlEn2QWMj!X~MT^Mcu0O5MxAUO?t$EHW${{SWb0OFBf z1`>Cw;7+TR1mDa9Y5w4S56s|W8G)At9C5Dt#49UXNtCFaY(5iX4a+a%HAk)G6a*Q@nCyP(qDe`3a<+&gLiBHT0;7PYsa1z&;$eXh` zxCsKf3B^APB8a!O+C3*44=sLKA&#bB@s~@Q|cmA@^ zs4iDntAsZAc*cWugF?#Ll47gN)XMt1?pY~)?+vJcEXyM6BTj41R4IU`lRbfIN4dap zfAq?tWg(6qA^!k$+mwXnkVMokyd*k!mjV+NjZyd1&(YpI)2q-G>>@G<}k};G5fpCb?11hC0=57eu;!iE( zXkMogQOt@f%aKvM1TGBNdT~_vaa{_<)>v)qr>_nz((7Rijc5WF77{`x0nt3n0BD!a z5FlH`%1LymF{swpcq;In$l`8YPh%?1Rd8y}(b(bC>^3s6+*N&y)>namq6WLTAsP^W zT%%yM{{R;m72|mX8F`v>7{wj=asgtnGpkA?7|^yFfOtwlNb;23l;6Hjm~ zB@iq`_b@;dnpPWv_GKuVFG5NCheClDjl{sw0qB1dENEiCFp!lJQ2oH$-vj)mzz3oK z0Kn;u2x_0#D$7<_tz2ktUj|@IsEgh{OTLBGCNNfI3nY;Yglt?wi`w($Ft8$~bz7k9 z(Tu-=SEu8eNULP@7`a)v(GO-U+#Sss=*Tffi>H1Z-32Czi)igZ(hDhqZW~aZz??E7 z(!<#BnGJ}706ne6T7Rq(?gFmNf$J!UgV6e!w3t962Nw;R$Ry}wG9nG=z#&cVE0UpT zHV@x;d=TmdI3BRsyO2B_>5wcvK*>TxhxkBJt=xpEv=5AdALJvLV28v0&l=G{$eO!AuG~Tu7~=P*qtd zjz1y3B#zurWdeG+bt|6Fi@aiAX?20&gsL}uxu~ko9s&2>1qPFCYf~ar4u;kF6Wt^O>STp7Mw4?YqGiP$X2M9^MXuw(TY@(rXWUMp_RcFNvqFm4EdEm)L!)6q z#KQq@1)?Zk*EapT_s>}vVyt;x%o+40Ix}PWn=8W{RTSrP)X@DGG`x_$42Y5U1pILQVFiJGGKXozHI2Q_ruP_u*+L(YEd=2ZB&ddar_XR1Wj3mNfSNpt> zuL8)R1CCVRaZ>R_r9sI44)3@$h;Jv4(8R}tR~;F?ToOxz4*P^Cm=qnPUk)SzspTu> z&kN?RO&+5h8}|}j&BBP zICYH*4INX~S^!ywlfZO}lH<)>(z-L52U@uRJMoGJ0E^+8LB*H>X2MP_1M?c8B9+&! zx?|5Njr;WEy-xi;v5;zJ%XAKahes!=H#4>_09!=8VU!WwQRcpm%ncS|li$mfPEm$W zX)CTwNF-=i(bj232F1jaU5B8WnHZsF(J{Z za>{D=Ub2@&i^y*fpCa7SD^Kioa*5z<-sH(bRZl@oq3&-114Uxt0O>b#LL^dV%Uc|Y ztzTU+l{diTaIu4BjoFIWucF{Z2&Kh@)M8Kxro?5Y6e#|KS>TE$P<9!U0@N|OZn9%V zFg^pkdD#kNh&Xd4F81{&^8i9*UTN1aEMNO~kLbW5IAd*vPbMIQIfyFO@yH5R7xi+1 zCdrX4BzMH1FMEU2AMxgB%_0Otfz`u!-HdPrQB^O6@M6T$;uM~71K40T2cF`LBsJZq z6A(H_yi+vnU(Xn^*ifSTb8xgEIe|I=HuAyRJ2OH9Pob4wIy3F}k_N!gTscae!7H*{ z*@}V8Nd-l?fKtbCB7;Q!<0$bqX4~2i!#OQn8Z~i?OZ$OHnOPghs3QH!QMC3Uh|m&u zJD|jQHN>d8g5U)Xp5PQvfA5poi9T0Y)_jU;xKRlqJPDfzd9a##=|7mHYY*^by@IYn zC~YtSjo#<-OeqW1F*RO1{L7!X@t#~_0nyBjaTo?@iMHYi6E|>LxS@Gqrt%MjJv)ne zfqGaI`Hd){ZHF-_#R`^Vxt!6b0qJE+7qeLra7WQ%ILdtP)h-9y^RF0;B|* z#e}f%6;w)$OR}$8Y<>nvK%`jT{nP7>kcyEj#n(4E&cYkw$^hA3P>4w&TE$T;)=1QhZNJzucfKZ-PxVeg5 zyM_6ifE0HNa78C+pyN6gcTy3T-$;NZxeZg{AbR(b z=RhE%#l_S{<6TAT*&&;7i9BT?;)>r&Tg)9f8BgWL@vGFo32tTC3^c@s!;?vZj%8|A!zAp zD~M<$J_3*1l&M4#Zr^Svkr5&9rbZP#kUs<4<05*4K<{4SU=&NqD}d+%B)43ioJ!q8 zN}QjW#zD2ke7FM5`;65Sc5IznkZEN906|PdB$RnL z)5vr@dYGWB3R)UV+kq7Zs&lvt8&1^&6dv3_2E`{+OIqfmAxY@>jUMGgJdmC1XS30Q zS3p8>Ex^#3A>|FG3&$O_XcHCk(0Vv?T>k*!7?<-rWM?iaHU9v~tXCAd8W~W(HF%tF2$|E-M*)WmZAg!;G6@co?<2 z>{R>=0}@BMHXM4p`+y8KN#Ia_q!ALGEQbDCI?Gg4{=| zrxisfbBZIkT2OFSc`{xv5Y)m3g zmG^+BE2G?N`0u5|qwK$?D>iHddG>rVf#^?;G9uak08F)TReM{xmJ3HUPdq**NT~+cK;$b*;h| z469u#2EXX$(KIzwxptlQ6D%88y&!bNdk1M2CCNn4$`(I>e{-F&&J#Z>xf9Sp*06GeIjMZxH=u zy7x!*GJtvoxSEIu#w|=#4Vl~>kY2suq2||jMi~O$R!+B}@i0*Z%He7hYL%CL?Ag*{x0YG#C~bM^9ej4?gzcRN;jy zD>s_P$E-wAQw|vP#O*+0_hthc1HL?oidx-y^56{EePmK=JFeg%z?qd}S9@`arM<(7dB7mj*WhFuCirz_#4|&5W7o*eZ#3u-K z+3NufrNG70E-Z*ZA&GHH9w4}90#5RTxJiG+muPsHDPo}%`Ju%(U*Qi2+k#dcNAHs; z3`6=c_9m5Bp2&x(6cN^sIKJ(nT1F|H4^z-ye{@yTY& zd^Ky)h#D4*Ks5|daq8tEvB0ACAEtSfjL;Fo6DN30E9#zHqUa2Gz`rpa4C_H1oyh2c zNKBHKW9jr@4#Wodyp9PTwoOCr!V-wvU2}kdX&Y@+tS}Ho#juu$v2HaI2=DOYn=VoT zn(2)Xu|*Fg@Zzb20U>|hE)l4wZxR)KV^Ed#kw|}D%xPNy{9wvJr=2AXhb&0^=B5P( zB~L$oxvDt?c8^f|f(l0D83 z-^@1GUfK1?{t7Bpwad|GSgDPXmjF<>f z3|{ZJQ*ph?YZqG1{$NXx${oo9AI2&a=u}+TQDN0RhR%A3FhbynxI69&SkgQUmN+az75{V;n;)W!zcI!cHBI77Qwl8d~B9(G~r{y(NW z?HhiWh@ljx_yj64>id1HVKKOC;Ks;m+#l^MNPH9D#Ffw zGM4~fciS9W) z1u>Exq_~uD3;19V*mP|$UAoY>$gB zE+9l$+tHTMtt`L+*l+E~QiMnC#iL5JXTL@um;V47Fm?noHv7l4(Z|zx3ZMrzOw$g> zX+rK}!g6%VSUjj<*$_!Ry|vtfUX9>1OodB0;El|FN85k_1iQkK=wR41FX7BFTlb9T zYj4N%G>ru6p=LBkYjIAj!j5Vr;OQ!y5A}%z>_EU~^ms74fnh&G`-pT_$bx&`0&9Yo z0nMVU53f0*RiM>_5{sME$>In+V1Ny+%03V5Ge)&yam^A41tdeij%={Ojz?G^4#NuR zEghx;&;`bTggl?c-UExcsSyc=D;}6%2!JvKv#S^(UI8bZ03sb>7-=Jli$kDHWtL(= z!Esqzx1a360MSoeCPJ+#USc*~JdWIoG=0+)k3RAcsZSdJ0Miup<#K>(iQw0AD95qQ z;IcjSz;0t(Ra5s8fTbJgop2xkFc9Jhc=`3qK{R=p8cJ_j08WNi4vaF2RHL-H2~=MJ z{J`{V$&hx$F5Wui4FT9W2a40qLeG_STm1I3le(9 zMqVN(2LAwF98^ZYBsH3$*$Id-Xerxj;5Nruo<_JMPb@!d-YHKf*0=}sOXxB@{{ZGS zT!X#_0zC|7l&Ns|r(80H(E{6vCHxFSRqv^kj23vfViAN=Cb0smYW&s#i<$%Aql}~v zXR*L8$M1KXw5>1qLSZS~V`;pD+3U>45Jr^2X>OvY=Mlt?HQWG!G)!XT6X}{Mc$U0m z1riSj0>UZ<_Tb=w;VF?hj2>&`Fp=rrPK?{;-Isz%2&HlhKWXHC1AK&4}~_!47BwoA>*PtRX-X zrlvwL*3{APaO}d3!SdVa#0iz?&6xlW8fRpS_G$Hz9tVTZBa#nAzm5+fc9WjZ+)zB} zD*0s1MNLnX@^?;Z?I!25mU@Vj)RGvlF8NOGO;8GG9if( zovedUnFVUPKMK*A6B_m;UJHl==1A2- z{csduD!#ZXrEf8GWWFNHWpighp5$!gu=08?TOzK+mg?p0aiZeL)jIzG!KNFbr%GSD z#-WVO?0BuKOeuU~Etmw!5=dZnbyKQwigF-2F=>N|T4&`GDMPmd z($h4c6B!p{jI7Zsq44K~jy51*XjMCwq^3I=lAzbwg^0-t-=_m~h7)?aP1uPk0PL3xBPCUAUwAVb&1a+k0L>$O&85VO9<#Fp-Nj60qI|+|oHdMOqo24{8-;Puz5_}7hSVJ+ zjsi42JI(K!PjtbCmTcO6aS=pOFqQY`gup7x_kw9n+VVwwu(MQBPHe$uOdQVJ6!Z z#RA)%^?-?8^8t;M{^JD+0yApVX5gJoPuBBzW2wL>`! zSfj^(nFHw1nF~=<{L3f`G5C3K+9`D6Hf%qy^9GKjrP$f_VTlJR^7?X!e0Gibk9UGG z$x#SQKw7U^r;2+rz-Wg`48)NOO81Onl~FKFH(U(%VIV7DpAFp7h5rEDAP1H1OEdLy zleVxDT_4k+$uinMA=`serAhDEFo-G}veoYJC;(EW^usodN#`4rbC_)`(mW&M1_-tB zxdGacPfj1)2ln-dpc&@gP5Z%W;Fj5bV+FA31`l%uyp<=0+*Bkf%Gb%C0{qd@GQff< zRr=rvN*|ona?whJIyj|>Z2Z6g7FIT0FxPMPzQ|A(QmvV3}zY&rzTLNPcN(m5)?zCJTO?KeEXLv zU|r!Dt-f$Kvdl)shO#6^+yrds!$Mz1KL!brHmGrCBf{4)GzV913^j!2OfPY$>ZQs+ zcDx>C#u^fT?oma3J#ZESt(gSxxQ3n${pw_hw`|WhqnP7On#Y%}V2D>|{$RjIzR2W; ztufCs^yUcIxICz_XECAY1xU`9MKXmi9JrXJNGNYs;Ww$TScP=PK<%B$tzjIlpQ`@= z!NSs7OpqEymjW}xBui-HMRum~00cP^#ksI49LIW<<||Dyj~;yDA$M>7U|?dD)ZF`k z68y&Fez<_1vtt-p$MG_wWc$qo7SLcqPHDo7Xx=TgO2Ulb#8QGi&fY-`#8hV%#011e>VOoFV0j6jmS2h=v{{V`9U^KmL(7qa5j@VD~^zvqp3W2Z` zkG4g+Ldb&F^~5SGASv>uLXL!}8+DwM<5|r(6=dU8~mv?r9Rn z3dLl=c(@3nogYb*@&LIKXa!=oW?QPq4k5YP)2g8aq_JVqV<1VVl>iQ4c`)Bro%1W0~c22vx#E#m@NQMr?kXvG{sr2 zYdQ(gEFP%hx+;P*vP_|bE^Q0b_avs9He;X^V}+i5TmXm&%lQPwk|F5C<|+XoBUz!w z`MNO=8G$EBwL2W%W`eAEBa&cN19ZV|0D=UzunWTsl-tM$ z*m9{b(8J4MVC+3q$=zJiw2Q>2OOiyd!BfdHbcUFFS-SYhjS%o-!B(a|Op*}&z&DgB zkTP;0w8hEk64+AW2qZz)bajNxyF@rpgJGVj%%UoH)zPdN$SPN$VyRInPHFBNydgBb zz$2;!9fk7aj4_a)s@3_$Zwjr!z@^LcA~uy}fPNDvn5sf+ z%o>Q&_t*0*8g~|gcAs1lG66qvWZ>dcht6(AoWPZ9$M*pF4=*y|2;N|rfC34 zjb5BZnk{dW0HADPh>g|G&+qw)KwJJCveuyZUc+pg$B;tN`3pE{-c@->Sb{mr#txIA=mRdHgj_zqAkD*!{Bdu zXg9`r2GlVc)vjr>TFHR1lL4|u%{BLl4SBl%l%wkyJJy_s#F%I_9rYvIftte; zDk8U=$KKQ1cIv~12c$~LOQ=lSj+*dzg}^W=1yB6KR6qQI`HDcG5ed0x>5vWg-c+x5 zO^JV)s?@aR$BsK`JGjUKE2r%)XrVKi0*odI4YrfyqGhS0U&p5ugspST@MAXW4fddB zn!AIsM~*sdkaNo55EsvJpw{tYcDGd6XoCxO3s9pvE(C~`UYrC{6YB<+-p|SX!o)gb zCu+6lA_z7q6>(5cG2~`CBfxmq1uu{7x#03v};RS@zfJ!iT0*TbPWM#L! z(L$FIO(iaABEE4Y1oq%Bfccz6%4o2u_2%mk0YbC%#@+m56J(|e8{6aNwr0YRo57na zvlC!cF$R#vdT^AJ2^+ZXIi`zMxV#mM=%t&)wA%v^352%u(U2eem&E$^a8dfu7#c3h zde0Agb(K*+GO2?pBXW|7eC5%0mkS+O(U~bEZz)8IpG@TK9~Thp0ps3Ktp$nSE^IDe zwcr?~AsHXFF&IL1UysaGrAM0;pdO`vGsDCy(($=bvrB#$kI)@)ZBvCYBAu@OWKcEh z054JA2uxMY*t!hVd~vJ^`+%pGI4##(n20M|T!{?CrMwN`0viW)_jo10ow$J=6WHQm z2P06!LsPW3xd@4@PYQd2YiG!z& zS|xDw2cP?wR!uVGh1~hXfY8K}v(_0klcwW?(Cro008ZBcY=_$v6x;6s3cK20Hvm^_ zu4TWD;*75>{pMT&B{h--Z|)JkpN=Z&V0BF}z-r+u>(Q2#;ALO`02s*-_X4Ja3qu%w zo-qr|oirU2yVZmL0Kp)a+`$Dl+nOM1=Co@q_I1ca*J{4xS4eFD=d@hPOu z+4xJrrS>wA6>A?kW1%EdXAv{rYIJWan@e_MQcxL6*(RD|Y(u}+3*jun5*@iF1Wnv4 z^vh>zzrnTsXNjP%kbPV#?QJKamL65`zQZDMb`B4jkq1q<(ZQ6VG)1?@3?U;?+oAsJ z9RR57K&0KG8;an_6h zNcM$cz{cvNjhRSjC`ZX#xFS>#HhOFKAj1m5=0_lku^k^=N@cOhwuHwOqhv@Xj2(d+ zqT&F18dd4}>kL4bvL*}EBB9iT#>zrJX#>$Q5*8YNacVlXBDT$V#GcKM^=1J3R!Y{o zc*JN_mDGW}7W`DBQOaT68jQUd{{Wb7M#b%O6A9l%aDzelfCkidN}VZmCMGMgo{zbd zBobNoc=wp6zp7&b`?i_T+W{4?g~C1is?Hdqhl*`jsuPBOFi7Vj5AC=t$Xcfn<{49} zC4peNn6xZH;9g*TgQ1OexS`{S4a@%kh6)wvMEBfCkPVj(z=jY69A1lzSr>_2`0!xB z#wu-SVP_E^4da~@ZSnlZvG-9xeAqV{4xSifFA|#mIbcbF;I`{+#v!6;Q@7iRCN!zf z?p*-e@5oGK@_|&Ejn(L2k867K@B(jc;jH~j9(A28Z>$EvO`6vj%xfZ>*zIyv@1iryGt+-^+cAvX zEzL2c%JAiR$170(0OaJ&-~J;sXI}?(Q<(rMPvZc^)s+|!f=?;2K@fakCTP9g8B$z+ zw-YUGBaw@O4xo?6w-h&WSIf5q9V0?Z?iqM*FX4$#EsbBk@qt}Sg>3-`e3${#e;6oX z0+k<#V!9>#!69;#9=5I$PhcKq3=4@z0KWFq2rUPbrxg+-O$d0IU=6&KujXpVo{8q- z+7)T%#Kkf*4wqT5_MUM~_f2|}0v&+3g!;I_D3};Thcj@SLjmI4+AxsD;?*~-vK;dM z;5-#BQ(vdXNqvo5ipIr zW(qVC%y1nhP`86q1VB5x&!Gp-D62u##hvhT_Z%b`4bjIry%K)n0D#r@#Y3)!7r_S` zKTC=QM>Fpb>M*2VIJTsWptFDU&MJjsoKQQ3RWiQ9w%{Y%`ebzR;{m#SQ-4v#X+N{h z^_A(SrbP)%QkuFlg;SF!0c*3#+&co4b&$<33{HV-Ts1*LDG5B|>zb+bwtSv4UWml> zxfT^DG&0?0xWCbnK>wA!bK0D@^!3S%RIfaJ*%n7`w}gACX; z_vyz;YgThyFy@L864nEev1?CqV@t3nO0ihD{TsG2DZPi6&DIoErCPt|yMqKTVSGOaf}Peq!6WZkT{|ObUvoD^Ibr&q2Wet^WX+)mLi2vjwdK$8UV%S+MfL zqH}HvJ#VK3K(=vF?r^~i`h2$Q{l;#%FpS;t6ov*%zO_GMx&G{A9wke&D1j@?;8YM@?dozEXcM6|SpfY&H@ z$=m{`tW82R$VJvDph5$w4k5A>Lzu~i&`THXcIU}pD= z{{W6b-r=OpqoITCCQ6SG4TRd_Dn9onO(Yqt+Ed@jHE}BKxe>sI{BkJ08OIM8tlJ_< ziiy9uvYr~aoQKJqiaF~a0MtM$zg4}+Z1cH<2wHalM6)3w#|R4Ssf0ItU^XCZ!b4iH zxf1!xd%_0KW@v}GDHpZ;$ADRdt?4{wzy;d$%LL$IW1Jx?;KalIGDx+nj>!K28C18p zl1Fu+o(AdO3`^JkGcKw5l%*mvXC?M!KM;!FXRT?%~*BE;Zs_WT|RY2frx?mbAkrDJ97DHfIp0$Fi+q2P=WI7q8 zMx!D?<1GqqJh@#|PA?qw3Zj?`x|7e(S)fl1VL;hJajVT@3WO{AWCm{O@!A;*s0|8# z70r;C7y`SL#55fpDqkrvi4~f02A5S_GMb~kJF?9)#z8@Jq4Jxeu`pBDGqT&X)ho{Mf+D4|0F+Z8OlqeB@CP8&k<~6H!;?aRXpwad@LxC8Q z9w)qEVW6ek4PE6nlPxlE&l)k(l~&U&?&pYGsiDezafAOAhB1DxSIoEykV+b0m!tz8(g||vEk^~ zlLv$$w<<5|qsk}u0Z7%SUKh?mZwHb7ummQ6WK|$^Y9I&RN$d{kTkOI}g$YD|agRa@ z6(^iqXp|N70^s0wx^6>6B>Ws5Topmu7X%AS;qrT#C^$Xq=qJ1i76KsbUM3JMaD!21 zBD8Wg$)}lW`=WF%Y!iF~)KPL(wBFL5{{V1A4@cF=H-Lmh6R+!nCR%KzC6eU{@YFxWEpyXZtzs2IpuigK0#_9bu(YGlkOMWp*yu^u00H+; zUT_d-q7vl_y}y|R6-Pk*o5~LBdtRJv!GGp)HjuP!ZrO^>c?61s=ORl4s;TW<1cSf> zt6ggV_T1!CeC;tE@ zurZYQ`@%hs^EgFV>0Cs48z^|LQbeXMKG0_Zf?h-?=Z{#jMJnv4vmNy%RKP;Sh7C4% z{{R`|Ha(EngO_<0jn5gt62u%pB%Wp|lLS8`$NU{d#ajmHBrqzDnRFK&>vVcC>#uyJ z>u@881c4K#7>tj8IMp~I8$p>s--Y~eXpQvYh!n5ImCj>kDL-)1m6e-X2zM)Doy$pt zTY(CNGt0qpjh^uU=^Zl6HN^h_;7QYvA`0TPIU*+Tb4jcnq5CsqX86bhV-_KR-TtnIad!x_$W4xwMr#dp~eRLv0n8 zYvsdDBT!U6u1TeL>yB(IeFhvx00dJa^%8!j8xGZ6jy?~z=E)ra;hDg0&VRv}5Z6!_ zBQpYifsTweYdT|JTUl;F+bUwHX6$nl@pY4O;E3C5`fx=NOcA*#(`7KG9dZ%Vy`)V{ z=oKiaaCTzlJiMgNQ-ns%c{1uskjN4@o1^&o*B3z#Cj*F07ZAQT3vcU@Jm#YTUHbS} z4uWqKV$@U8alr~GSKwpN3NHMZK^0;Bd|4{va5c4?A8OlN8iH=C$+-T`+ zm^c(}M=Om*X7C2OqYrPgRIc)|cyL+G3a-H>rP;l-c-jfOi;us=% z?hu#58%zRgHo~9sI2Wal^8mEi;1Yk&I3g7xtRJTk2gdS7B^gi$US%kJm?n{EM(2f0 zOHFGUN1ElGH+cv?u$Zl{<`j}LXRV|7YT&a9p9M@pAPvmDipK&_+C{x$l;$Z3ePg8h z&0uIQz2lJyg`)W|(716cxBHY%z;%hBwMg4AtKpG*-U=Ok#ppB$-~e8(cmv;f4TSsn z;hT(iW_xkTyg5a}DTH1DF+y~B^=`2#mP#-H2^9c(TkP?J_{(pz`Y=%Fh1~Rw&DweZ z?jUyJ;2nWGhON?UzyJUffNjl2x<~1et6?0ll!JemJ58wo`y4W*hW@*`GKSI@$>_*C zQfux2lACg+hN$5{z8)9<05M}9Umhkkgmg~^Kt5u=;^ILzB-I*2?lO;-h@Nq5VxLYR zZl&RX~PzGe+tzz1;U%9`5>P6N?_X0eJOhC%iUFk;-1PZjM zWdP7;=b4EKc4G*>rX{1f9Ej#fuAnZ0wsyGJpz+hvWx)5?s1MtKdQH@cFXQ7RmP@IN z^jp(F_mGs_k6+AJlmq?1DwX19l}N*z5`dJ(rCdYiBaBjlKJckd3-Fv%)+8e*mn^`6 z$`3>8;_Dzg3E^vs(O5V6gHK`H8bU9F%ZW!z{kUbVLuZ4ChNO=!<$+HB033r+0e?FT zDWGNdpHm=C>Ht=_>h)-!9UfOFNa#>UU|mxn&d>g`tW~5jA}16xh+5DHE(X9`BL*-{ z$BzXuE3^cw4Socl36zb2F|v9zC-(y6M`KTwe&I!%GvTzt-mCI`ZW8FLvib{($jDiU z0*HsxcqHGaV=D4^!%z?%w`OqH!(+Al#)n%+=1r%+SAMmU={jlk#d$GC(9MEkypy|| z1UYB-0ix{98{R;LOu&aj>M;!@7Ba?Ym$lyQt9pKfkIfX9~elbb_i41C|Z=}doE92HN zaD?kF=CUde-zTg9I;1cw(HC9-Ilz zB^px{0-^n`CfV2D1_Pk(7Oz?YfOB{O6Z>m#9hFo;!t>B$CfepC8nsJijP zPv#$((62@V!r%dArfSsg@liUuxxjIZZ5dr|69L)akv6DeyM*E6?^&tzGDCMxYstZY zNrSJ=!kc`HN*uNAD3;Ra>){(XX#MW+lHCcUjobWEm1tZw4X2<+fZC zl>iIq!UeOvABVtYBpG50>_pOg&n2pvfDvv6+*>gWH3g{`;ea8>AaVV~zGUFHW{1-b zRM6kN+55c1Ty|Okb*i(+ ztfXjs9GH!s18)ZKh`_D>b2bj}y(SNB-4`r~HP)w%WRqqfY32M(fF47gr3K~`*`vEm zN8ea`fJ%D6pf;{ERjQW9B}vz|R>}*ntfw#nJ69Uu)7R4|y71fgTExK415!O(>pLhY z3@#Pr@zlpn&-=XS2|26v#)%N|@%m=Wgl6!7ybMT6Z*TfAHl7*bVO zoDxzq#NM$i*8CV0X$(nTPcic8YaCa_?VRa`=%{$Yuu3C{qrNfsZr=uV9KqF~A&GH) zY|R7m7YI;=^D}w~8pA;&hz=#8=lsf(k3jj1Dp=vDdjnJJg?Jsg`PqOm+qh;~Ul_X? z_Y^$)aD4~)a1H_)`2!HUY{+9u{{RoS1ll400MisI;Wc%xVkQtNg+$FlTrB2~80b1P zKvCLl*7bt7#0=Tw4L&RrAj*)$#IQ==+|doe#zG=)MT(H|GIk>#GBYVS*7$jlP!zJ0 zh9wpu%)eE-n5_f{Ahwg#%dBNY2uIT5mWKFd-F+*OJvp+a3;oILG3&Y*MH9d#Lt|Oa z1D0_aj_XX`xo?aBKw*CCBxE6&x(e`em?P3eRtG~O7EPz)-XOIDZ<>REHJ-yCPAg&! zWpR@<00WYIiUZ{Q#Y1MRwO~-kX*H*M$Qexl8BLfgVxhBF*kk%-^@pPzFq9wOc*vuG z%I{3$4BXL{gfmY~@M(d%6YuoyS52D<2aazR{A6TnuIC8=YhiC0oC-9fzEQ`#Kqx}{ zOi)qKPs7dt5G5hP7?z1TrxLtIqXm!&;G?60njv71vG{q&ChBYUILa`VGboI!hz9^P zvk^>)pq`ITFc1tSuGg*+SfqeIL*t7seC)h$Ljc5~1*^G;&>0q(A!N-D_(v9-8`ay{ zOdEm>Y>o)%=t=Bw>bG5;aH7^~0{%D{i7Z%k)@)J_opS*PSDG@6#J%BdCRSesuNbaC zc7wx5Bo#H4&`?iKSZkt+73dy}{8S)0R|$*2#5^!f0&BoqS3Fh?<5)mdUq7Z zkZ#-r^<@u+KoA8;wfX8`DXzIh=#lRkEgyW6W8xtS`1Hs%BlEY^u=n*lFGC3%~>VGa8FdDi_xl#dE_r=0iKp&Lw ze&IIqqCvNS+(Yps@rKKDNY2 zML6b$b+hZM@WhP*E(Y1FHs=UxHT`gS5_D_4pcW0^r@6a9lDO~i_m(l-kLEoTRdQlx ziQ>fc@FdOjiO}%)F#$op^8~lm;D@!y3D8fWoSjRNi9WKD z97f?zT^r0V5)m5_ZA7)C4Eeo=r|DNO!-`I5){#65x6hHV?qc_1ddOw=Oi)>)#nwDX#A2<_p-T~K87 z`7W**Eg?WG_kp1 z@Wh&oub?moz(*gjf5mYW3U1_}ARXcurm~1`&no)G(A#|mEDd0~7v7h3KHzH=0^xMKm^^8LY6r2WNG5ub~L9vb2SUu+v3Z(NRu)$SKS6=0Y0 zV}T0h2>_E{tH%;(F7YvIyTU5aNiv8)Z?_18^BEJPC0Wa_SW1GqvC6;sgcdBvvF_QL zf*LpZqUMVxDG7N~1R*(y?54W?&cIubAWcIWM|c*CZAiF3TOr<(Vxwl~vH68SS)c(F zU*@x7E65;`#YbgpjRs&}O;bHp-Ek=$BQmI}WI^&ifr_l!IL`yvVugk0<}Ml2w8n66 z+yN48EqRs$<>L)Bcrb$gxL)EQ^*`<=CvD&wM$CxUyr#rr1rI(lK-}MGy@h2GI&TOC zz|aY7#uV7GrBEjv4mhZ@i{MOVix73fTZ@6Gz#H`b=FM%iatAQ)?y#sXh~YCt1B}5z zgtQuneKF#Q9rWU=pxHk?;bL0v%Jf_m@}!TJCLMwhEi3%U5xr4zsOyQq)WreejU$ce zh@j*gfbhYtLK>0Ep~djK2~0u@S7wcSF^m)nH_~ORXhC=1+=@sOwze|@2oP2wNVtf4 znXv6}0Mjlf5K2HNmBvy*dCjpvBBvgdVJpB$~;9RM<^eE?7+kb9_tzG$eZxqm^MPlmPD_ z4DxWSls^$LOoyVH>w*K+z2RAw`LX*ZY zR1<&0E*8ZokuK)Tl4zUXq2RcRS&7wsIkYo|Kt$$4ND4j4M%xTkK_xk*9-%9J7~Ky7 z^jt7J2$%$GZ?~HuI1Q-Z9hoI`Q?wln&`+?P_U7YC#q#@+p$X82Sko9poffB zDQVMZ^E3+y`FIx|=mZ2GCv7}qHLO#DEHJ{IoiGv}qly)wXG{#WoZrtmFnEmg=8v?e zl=q5s1s`s;ii6G}?U@7ikN7hM1&f+FU@9jgJhxu?=4PMgaUf5apuOHH!QSQ%2GV#5 zmgr@dC=i-*wMSYljm!j=*q*%a00joIgvc#;1A?m53MxGg4h9&-Q7bW3ASlWQ-M+ES zTBO?o?*ytqLli6uKLB;k;smUqqxj*l16R%qdUq@tF>%n6Aj~Ee5tONc1{(eEtW_A} zqE12fIHLXcaRY{#L6i)*guX5hJ;EV1iXpA*7=g9_0LB)wuUIQ|=4fH%^OjvO3-1~5 zo0yG+d7V2pTkyak3U1s@KH?~I#s&f75cb47I}&0WCxa~mo-?+Ci4@rnk9UY0ld1?G zvf{LYR^S1AK>MC9%=0>DFt`u(#eZ0!1FrB5@b+MYvK$0%R}eKIpN9}82@8qxI0p8? zhNjF>bdQC|1c!`l7pxMF{@{^E&2nQE9^3-j1>px1Pz#l`Uoxp1+~QP=f>@ATDjA@- zDBiqfk-Tde5T!N5w@Q*PEnoSSvM{a0#>p&y1`xIjn@ZooR}p3mQ+l%2fjM2PsL~ zOqwDYTtTZq2j7&((?D&;que)$1<{5_Li2edTvo*Kiida<2@ds;;E{(rmu|{TNE57j zK`6eRT-2!~jJL2s>4a1eLSRa~KBdj$A7lN>6hqVZ!Ga91{{UC6C}e>{p7H?X$`}?W zGJsGE`r);9ixq>5H(?9?#EIf+cKl84rB5t~EQ zJdPqdN6rKxsLtnFOhIF=mX`9@6W0GnTFn)pIt-={DsCwA4YD)k@#w$pJ3E=0{dxKyZeEJsF7>et5=&5mn2C)=)@-;IvWpg6HdX-ay0?<;C=q z3mEjom)-9XJ`2C@K%5V}XpXBT$Pmzb#V3OA76NRUML&}vh`{dm{l^O1@?caOFfPq; zZy^n5V^aSBlNv(1I$&o>?;k;~=Y`caa(X}aD{03pkZEF&pR7U6<-&)5ySbzlH{3x& zHH60?NHtBa4p4kJ>+z++1=3)ZEH7~r#0X(Y=5yn{Vrv$_tR%u~ak_C13<&|_?reSz z55WHb9MJxkDtZIY0j|Wu zNE-0SvB;Q`y21<&jbet=SQJMFpS-gr=x?_|cr%6Gli9-qBW@IRh$8}1sJwpX0I?nV zmL@$(PwU!$Gn=Sek7=8vGjKi=`Gh05Xjt@x%7qE471eGg=GEWkbiiJ^Ilv?2?5wC- z=x4uZ^%NpID>d#-5T6vEn!J#pICz;2t_MthM-(a;|2-r-=k|X?;I`-T?cQR zWO_;SQyx4RzR;Kg4`aJxV-F_23`*OW;9K^SvC&7`d6jQKun@7%68{AK11hXWNly=zoZZl#%tuGv-!t9T+ z-805dCesT;0-iOd0Xni`VhCE{(_2&^V=`q(<2pW`4k&kEH@v!;t`8s5as0}YRt!xb z!xSOymm0pC;Qp>qtn&H>_xq9{NvUaQp0WgBI~tykxKzX&Y+wh3pHGtjK^QVAx8X1g z7{F6$*DrZbeR|edHjUpsEkjHhlo)1bEkK%k3TX2loR{!5xeO|Nh&3$k2K1wDjmm1$TTYxrfN_g7MHugx}6X# zF7Yu%*gR>DdE@{%G{-9lhT?!xTH-v~9e3`r;W`2+0k{Sx&b*E!vZJDT4`;l!?D20g z0ZRbd7DYJV4{h;)W5L^Kk+>y+ANpIY>o&rEjs?I~=sCRx&NBm!q2;+Fel-5)O4w#Q z8s(ukEi&r*k-?grjVBag6CtM*;v^qE|88oFy*Cm_RfjyWY)0(CtYCk?4gbu!( z1QIbamDSf_#IOtx6POXz`-bMnZO3S5M-f~h{{XHnU(^7692`bqL{6N+oiQN}vkfU) zy?rhrBaigK0^YyZG(ENU)SAuVD2p)|K%@y1!sDz6F4z`{q5Ncy5)d6vUdw>OQGA}E z)xmje)4as$0y9hF9Si5|r0I}gayO?oCV}jN5HbJ&mK<0y8F%L=#=>9RW$OVYas$Zp zW0AO@;YF2L6f$JC;+Js#U=r;A0B{h#X^9Uw*jvmK=wN90=5diuK`R}p z;K7>cb8@s8Y!O3PGQ$eK7g#ao<^?inhHD=h&BQW4p=MqW@Am=_O$Iwt<;DOs0fm7` zW65c7N_2soQNRyl%t_IddLqIsJ!O|{X z?Djc4f>wHbIdp&six`N!4u}1(Psy$*+!0#@VD)0J-qs}E39RC0$azOkZ8N4=Rw%|*tp!>!=*SgoJB(-*zF%6v1}J-t=tGDtDzP0H9W+=z zguiYNu{&@8CDu(lE4A^E+`-_82==}V4DHB!KRx1R?&dR97>&Xtil45$!XTZDA3OoZ z1~ya7PX7M@+=Z-Jh*ZM%756dAzqM)1?1Hhp6P6Ma@p;;)zd zaaxwPjBU0Y{ns3tBodh&1FEQCK-e7}ZzvmLnKa%)+;nmyl`fjv@x@e!+nyW}eH^9$ zIv<&#I|QC*qaYW@znYmetzzXQm;V5#0Nz61o(y6l6UlGpSAutVq!I_YWGXA29w&0_ zZNk{;rV$h2pSXy2C33ZIlzoN;A&vk5>4+%avuK(S#wh&84174r^35iDUNv*F@L@L6 zS2(T*1PBiXawbnFhdU!fAOPH0K)txkH2N|C^u5Uq!7XpCV7d#D9&%=G+YNrXaEM$G z*a7vKyeq>sLKHCtX7ZQ*VC_%t1TEV)fw#s!`$HBHzHuNloHE2|GSqgTZ6;9E>CZJj zTw;5*XU|3=ZFl@I?F(4EfzkUez9-HN2ETt(=`4bwwArvXN9A zN-niLeN5zoLG3#n4ee>3Nu`vB^B^f`i3@7HF=<5IznHPAe*qziM2Mx>_%|Yi5j_c2 z{mrKDjIcOD8YpzifQoIm3h@g#t`SiPIw;qSt4YLu0M_^aciP4qrQkEuZ>RsZ73PfT&n3R>LPq!opFZ_SGSVmp3awM@p zyay=O1z=nd6VMo{@koBltV+m*zi){4Vrwr!t|lNJ`iG_C^C1mG0Y}m}yM=Cno?7Gw zg?A~kC#m^zncI8x#g%DJSp}HU07eU9oywyztyJSI0_KH!gT=!tQohN^7!zO$Mw-Bq zz{PqVOxUw2H%Arsiks8B^hY7Q5r+-p33#Y_HzDsVCj00Ud&8(^hT5?ggN`SOivW>= z`0{^!WD+&-F^CQT(OzMo3fg{%=H&%O`ZugZ02Geb3}V#~^j?91PSg}4J0lQ+WRuK( z@XA8N7H!kUNR~ryu*5>kVc{h(aaN|n)Z_0jA@F3&HW(JJXo*lXAoSyk4MTJi)br~a zmyteSc$&x;$s=isu~=JFTU=0$QSgpSm_doOb+n9^kfhv@?f~nY^_)G##8&d~HkF$5$zerUL|GDGM%-!2oC76JpoPk0GB!375;&W@Y&8gVCoPbMl9HZ2P_ z+zIRU^KGVS#4PD)n@ki^G!9Itu@ba2!Lq_ygb~JY+V_@mbt5?qqv0)Lq83^1=QbBQg;>;Fn2` zhX7`c;sx~U4^HO^77NQ1PL$vR=?!PU$0@;M=M*NW;cAhX7X*D^v6PQG!VTh=(3z!9 zrm?Z$#u6cW=4Wwh!-A9A<5dv9+^){Lz#xtxeBpo!^XCA%Q}X!0RRP|Nb^=z9f0%ko z)0;lHYQUt$5jbV_<*bm_LahGf0${ljPgoIF#|&ub+>NT-79CTw4L1M;(2aa& z=0p-F9$#yKk|(Aq8YBhtfQn;-&sW|RwIF*qqUxyFO~>nuzJPsVTEj20dBLdcuGkW& zt;JX?uFElm=H2DPB&lp#3*qydBz7U_?vvIFau1wSco}EUGbf?o0sO{6-Tvf(OALZ_ zN6JLO1_su9zV0MOgTAc?6e$QUC=I8}#}SS50s+fSCdVNR)1|ic`R^bEI&d90bN#x= zFzGh14DLN5IliLKGmCw%yrEJh{{RAFQ$TdS38jW41|#Im;CX9nYO+?1;*^nq!8`DSm+rBXm@`xPy;+MJT0-LlB4yA()OBp zaSLUWAmdwx=4@Q{;W?1Vd)88dy!A3AbDF% z8YnwPBW3UW&5qgU5D2txNhrVqYElRIU}%hni>^enKz6ePc25%(Be7Y8O&S}JG}`49 zm`nkDpUfg5}xX%3)Z09qTtq)_J42GjarPy}}wfF@m_ZEZZs;SGD1e>7h#-Nv!^UI1h0+sVJ>UcH# z`;-6!k4Lc23gKXZb*tAAg*32@!hfLs^3OP;w7YT`N4Ofu-p3vl$&+9psSvSBcol|7qB1>4jC_V6*{AyeG&W68KEuS)CCU?(qxs_ZUNZ~>C<^B5KYP4G1_NS2y1 z=9C6{2{QU$_cSmo+u%Ls!>yd-HIPR`?s&Kfz%pt^PuIpGq715@!J!u$UM*T`tWlF`uZGlN~U@AvS)fk)PZ65>ElC5Z^B z3Hrt-=y2-0*D!&$o#OkrL4oEZ56l*dFL*ciHK0KM03RlI8|y>9Z!|8zftn0`4tUlV zjeriKf|t0kQ9>Y%s$vv0QK7ik&5HF5MD31JfO^RT<=YlHA-XQk*pf?!3o)>WI|}~* zNO&=&f-ZoB3hcofvjAB!M?fHjKA6Kni%t!hvDHgA1h@h2$JT>+2Y8|kP$nReTwUKs z)xmOyl(a4aIts&r2$1TOyA#=y1SJ(m#~eH7VE{am z36hwqy|{OyigImUkLl)MA)@Mz0l;s_h5-sX(~jtCA_@C4I$EHAbJNv7%vJ1@`GGaynbFF(nriuR1YDW^1|UNk zX0>aDx9NdyE8feS;i#Yt{qF7oWDdX8F=aZUG3YLq_js-c&h;ZcnCZf|V)ka`^3~%o z;mc=h?aP*L&$CEnhB^>KPF`FO_bC(Er_*BQ?4UX``0|)KEt`9JUSM=f3H;3@030{? z;6Bi4_n42A!FJ@%ypgmknvswk3SFNe{{V218SRfU>^RV~k1+#0nnuvU4rdgMpx@g~Um|)c(9OHLcoUgoxRr9uICKtTFJPq;Qc7 zJh{Hzl`G#B#StJO#yxQ55(I4R)(sa2QhYLjAS(+48i|?~{Vo^^bNh&aSfg(P+R8D* zw>5xaprfdMxzK9CaGIv}f0zm$%^W1U>Mzez21==sqVxi?+j6|Fn4(WyrF68$2q%-% z*@d8d$HpQn>iEEb?Hl-chyh1N=x~7*`R#C!L8lW54hATbnFv&qAoSx~jjH(n0IpF) z%wiz_0G-XG(Y)O6fx@9E-D0{aSmJ|W+yNWUC>vei4OZed;5;ij-!d^-}-I_C9)W*S7&Z+ z_0t-aKXN20zFrO`-4QpxxQpTo3rn+Dsb#21KhJo9mAODOQ&%bsEtvp$$o0pxE>J)? z;-CfAA?KqvaD~YwC8mcYZCR%Rq2D;5JGkyeYLveiPlEv1{wLc+ddFghWyScG|=vqm^3vI!t_%J?qGY{o!sm7W4-%66jk zHOv=ZK%{KO;LuTN%Wex#L~b`6eYCy}a#hY=2o z!1(oW0Z^?WO&0>e*=xhne&DVsBphofHvO8U6qRusL-p=ahrp;E4| ze$0wW!_n=4!33IlcX3Hq39_v2P==Pur*(=YiY6#L7Biui7%eZjnnd}G(^Sb=LNY(B zaEcM7wMYtMDs(aHG!V_J2dup6u%_tRV;9>)#hqLrTD2Y@N5=&T5zH19d2^Q}N^gj( zmSsMgg;_EZn($tsEc*)XOIU zj(NnAh74sX78nrDR@!trBQ&9P0AUtA2be`bLLVk6Vr>_r0j;JSz-x9~HW{}XzzX0O z>xv{o{st^BWfu3=cmQG*y3VslqZ2{HyNv0B=a>y4#bLupBxtYTb1m%ZYs{Ho&<%V` zak`1J73NqAp!7bRS^#J3*yO4ZsUTwIg@~V{GzhW#eIK~` zA(=x(2l~qhn5&9lirCBVxC`ebqd}}x{5zBhsOFR{&cO3o0b1tfo=jp>Aq|5R=81Qd zD{^Gxuj!40qY*=eA$cE|k|C!HEQ&)Rj?IVFfr$e6 z-d#rG0GD~hS13)Z;{$?=*Ebr_WfPzp;6Fg0+<*-?<0DZ-(&-!}CX38UIzI4x^en^} z7DgcwZ-6vEjzlCdeZ+$Gpx8w(ya52EPqoqb83O80VJmPEfgby{3`Z~kBOO=G!c3^Z z3q=xOpQbm40FV_=>GK4lBi`!-qpNBCZfvBITmt0{eHLfIL>-INz$A1q5i`4^o5K9NJUge1f(>EvA&dSUbNv5+;q22C0nJo`-jMaS7I0cWq*Z3F* zk6(F;Qar87Mu!nA-!YaCtfo~Hzia-?0=LqJ17UTXJBk}+F=J94#1R|#@sQN3K4`(M zaRUG&)!)2evU7$)i7~)6zF3|Iyx)&T{zpA*Fe@-my}is>lG^zItVj zA&pVsWo+qit^x>)VS+Z7gu()*3BXfP#Y@~y(B9m{!ZBqj2ZjD*yN$?zgcsghh>sFX zc9nIW)6{WMpeEsft1kYzty6w7Ks$gg`1s8M*_2`@DeG*?PMTTl!h!v8pD+$!3-_27 z^;wYq9lv}S!M4Hc7?9Tl2(u8=ry-_7C}1Ov9AkV64glRxoUw27lK^5o@i&^>2B)_G zGK-2x82ap^n*{#=Oiw0DU%1fR?=Ud!ar7P?d%*JWiW#}Ai6(A1Q$~82P{qpoZWK@Z zlx|?!t-?V^AycSVDqVY@TvPP(mnDFJV8E>DQsrTLGhya7@=h7C?(o8`Nm{~ zE((QGa|w3u{$&YZb)<8RWfn7EfW!ptb z+m2evK`MH31w@0v-kbH2g9V;@wT1**yxCWYfD|jOhw0gs3MlNSFU$}(UCPo5ym%Rs zi)yg*Dc2#P5>SU+iVTG(vuT@*hWxL<$}VNuj8LSi+zfO&tWSd^#9$gMrV+@HA-m?B z3@SP{fN7NpfCX36hB+cA4Zg#b!kB7m3i`RC7VCpZwPv6l!^jIr+c;t+^r{HAo-h&V z0&jqq3-bi24$+-(360Ar*Gq&GS5P4I6ok!b)736D3Y=$Ig zn0zgh;%1hALJQqtNQFKbV}uZp6-hi8SPG-qUh62*ROdj;UC<+)bEm&s{7h5Q*S&Tz z_lO5m$&3%}J!JsH>vV4s4$Z&GPt3meq}zoe2pG(ROBa#A(-N`y#L6p6HET_JxRA!- zLQg_q#BS_fss&us0@jqAMZ%F+$V-q^5sv%5Y;i#}1&xlw9SQ_o;v*m~&hs_&{rY15 zWrSbAW9%cG)>RCWeme1%9U=T&h)`+rK;iMn%2{Ix;gpO4msA?(Xpqjk~MOa-9LzK8cUL?aW|&6;)yu1~=5eh!(+$SVLDgE~ z*=Ft#sQxZcxRFcY)@ciZKjuO}35y)mPdF&2p5bg}x@2CT#j3!{MYkmMf8Ah&C86|k zt9~cmyHV)$#A)nRKTT7I`GDox=bO{ScP zM}PG*wv89=Glqr|Ttk7Ud9Y0ZKg?oh{{ZMRZTK~g-+`t=(TaZJ5AVE82f309mkR5~EyqgCAkp_R>}h;r>L{5=667PTxPXcQye6C3mKr&L zU_4x8H+S3-0Td`PdmI95V9jKJ>2Qk!OGEU;B1a%wszZwnO2E}KU;u!{#!J?9I{RaU z2(zYkyO;s57U%}SerEzcRxiYuJbH?vvWtVT9RnOo5pie8z!;l(K3v~GiX~!FB+g>i zrTQA;dNxVzE?R(JKD$gza0T9%8duj*!69_`Jg1M`fVeYLuUsp#f_ZS)kCs{8(51sk zgb+Y%Pc_DCCEkgeBeJMA>A;IrA!v`^n3BC#t6Bg$xvDg}Zx*@~fdK3Kj2A-;`=3Cc zk3P9?-0rBHL*2w6L)V1GYT*q%F)0xak{1f{LWON*F@l?Y7a*qI54K0qh^lZwte4k+ zFseX0xZ7D8hB1jb>b@LPp{n&kfVc=kvArIU%AiFtM!SgSh_#Iu#8Tx=)M&fmCIej) zaFFuD0+NS*Bd&M~zM*$R6IaaqF^1k^)`&^VtMq>Lp-+Gq?-gs$KxgnO4b zh1o#8G6X{Ta8TC06`e?BL3I&H{{W6Ha;Ofmj2sU0Z#IfWHeijKUZ19!1ZaBK(wGg1 zpdhZg^km7ckOSbMmqZRYt3wh1iY|ljZJ3mWgpF3rGFFfkiK+$T>kiXG4APt-F{Fxu zuc6ys@j_rbYCe-6puPD6r=vAfLlN7f`5Z=_{kCgZs!Pa&0|6p}TltDa?a=vuY|Tc9 z0wChAj6fV&6T4F`Ejqswt}{axm*XxCeP0Xoa>yCks^KFnm(97OG@_|vg2H5|N1Mov zL3Y#0OlpU&LXhzAZY9bhYj+$B&h8{s@L)1K zE-a18LX;Fzp2Lm-D_;uFCR4D%e+B{VZe$Qs2f>!O3kAgB9t2gGcWH zFai6ou12$?c8yPl5A?Hwflr{m0~NR}&7wo|05*7hFvm8IQ{Mskn!v~Tn{+n*Hxvu- zZ0<@mi&r)!!MPjkL#hr!B3HS%f!^c?wV%w<&o@zUXSVuOw8}tmR!(3Nzy7i`cHn`N zQ5hHG0-mcdLFgXgY1%kA`3h zt{9cpQ7L-&I5-y&q@9ik-_XjXmT!5zx&whgfVtT4D&1j(Ag%|4aeWxJy&hbQR^55- zC~zX#5HJeq?&1>FqQ&%v5;{afgpIWYE*jKY+}I5v4eZ2JQ5Xfy=7Y;1;3PME9r-+C z5+Hqb^@`drNz(cp;^+qrNnA}%&)>HxcHj5og7a_?>bGHxX9!p7^}>TaYX$@~(msKU zNGb~jrnibzdi`*q7EsB3#~cIng_x@a9wI5`w>+N&FXkOVVBgTS#$7^~LyemHIL4l8f%661Z(%=J;;`wv;Pl`jNxy@g zMpV}4yU8=c059gdET!AnM>v8?{jRjx9T+;7ss9X_R@;-N20TYJ4ZI`0x?g0|uCw#id z7JCoeW@M(CVr*_fN=*isA@DI!41RHZum0fqe42kkirW80CRa&2G2vDLT+>QM zgCGWU2^7nY$fL|~R@7F$auDN+^Uco%&mn=c#4|99a_vD4;3-0Ght>*{Bqwf$a;a>4ljjYe5s^?*Kb98c(Z)g|c|P}8YHnU+BkO{0Wxv~k!M*vyf*NSwb8>`3&|QWFA*nHT zerLu|z)w|?6$ceroSYy{F0Aj92z2)jtU>)TO$NHiMJPY_1*0w6CNr^WyVNj3i#zS` zL5@&t+N8i(7s!K=n%jXAsIzcuWxqy#>1kORw6Ri@tOxK9M z93GU?v$=Mw62)P=jnbXm5ZWI=1Ba&&R4N2xuzxd16w1YogsBPFx0o~d^si8Hc26cJ zLIVCQ$|ur8Pdz+C1VJ}Jz-%`CCju<*cf;#=q8jN6guYYWS^|5E8$=uwps+sIrUR&A z$sY`u#T`H#0zhla=O~Zo>4P*vH9+9QaA)94h7=kE#~A>K`ME$1Hn~|qFo;6wJ-!?3 zAOe_%14X`lHHZi){$z@bH9>PRjrXsJNq z%atmDCrEX2K%YhD(84K#J=I%Zn7ui8ZE~6c+d`%=;DkD7wQ$7&KENPm!U10!p4o`u zV2;PhhArQKVvd7z%H@+A2e9DRU(Y?vqj zqtU;vR{_S{0uXvKr&mlEB4uJ|L^taT7_}*1di}=k15NAT5eh(&3G|x@mC|l<`E9 zDj0W9YG}a0Eq}-6S(8-W;erw%>pV4xNV*iWW;wBJ_uK+ZfPbzk z4%z$6K}2I-G{_wzoy4YqJ@?!|BcU5PjgKVn1*-@TW2%@1Rp*!qBJ0*BJJK43}{fo?BzQM4NAq9S`O_F{~64et)^XC^9M_)rWOV zq73%LC-J;NcMsMc46JA)Jz}+W%XCg_I)AQ4W5vUCcMKvp=8r7hOMXAA> zbJ2!SAy0mFkpTYy>n5Z_21B5=;u!k2=+5J>@I|e05p{;lAdw(pug&WaPR*DAx5}Op zU_uK6;CsW1YtN55nYBS)UXDcvc}xVQyM_!Kqs}1$Vi!@@akXRA$ZUTuFK4_}Cdu4_ zf3J7|rn`&2Tdbw4!5tF?6)ph@k~q%5cyXjp)>=;v<4l_In>uEPS3nq4YN8%yGL-Vo za+=(rD#Z$03a7XR3Q*>S@FVZuOL|fO zdK06GK2}TwjPT*RAp|sZn4$K+Rxta5T980c7^K~g>v_i@>y@6vt}yCeIKDm1+#$vf z6rLQm2=4DOP#VVwg$V0;s*#}*yMq=Eg#yMdQVkc*Tx4~6WWt4|qwCbcN|kS`)x`j7 z@^zZHQbyS!VMihX1&2h=z|st-Ab4Vfr(%u)>B|1UaDvoQUIzyR31$;{Gk{Nh$DmW= z{bpDPIswmlNnOk~Bw(RWxw02PFQWiP^Y+&^DY|HH1uBM6E6UD}Gn1#o5U;wdC-@le zfmZxdx@zs@vOv|j*m#Gc=$%zzr;wI3>wnXlNs)8X;Ko$Ary%4KCD zQ-OLO;<<10td=lku#6bUi_#?<-r zzf6G(!`Bkw)h`ADfC8wd0p8{ssy@rxHhI@eAM zUV#cA;28=UfII>6U$~&NVFhf&D*^Z=gN1a^_Qzd|oNKUwRDiDrRo?|^FWHetM1Z$s z{J~TzT7@5SOc*F~rYP#go|r))=TxurBft=Mk+Nm9r2gg3w5va}2qg;nn|Ft)5x*;h zK-D+=Ch;4o1K_u=0cfq`3D#oFOGeWDA9GcM8}bK)z@)mIfdfSk%o-PC&GK|Ihif3Q z+`z;M1JL5-xleDJ7GMB?k2!Om8?}B+Ahlg|8*Fe9t4?P)Vyrd#;X+d&P}gCCjT1N?~`AtahEGFcJq;%N$9W^E0#znF)+*_r}|-#)NL5igHsTF+a_40w^hjvZG+ z35^dgc@B>bVo(oQ%7>U35|KHAcPT*w5$p`ODp`dn?t9Asc+F7~C#)YK&p4nGU@d&Z zLv+H6TDdGfH>nM5Br z346r=PXakrD*DTzXYL44<8E0%p7(C9-BsKm205XZME7dz>Qn?s z#F1c$B*Y>JHtYbmJLCxkn5c3QV!YwgW%pbiN zhBHX(6DbY94{kgy6biqH_T`mo7JHk(4UGhN;3a^t91_@dyaP~V#<2v~<4k=KJXOUY zh|NAfKXKElWN590mjEp5;Rus78V$p4k{!d5$b+cAuWiHuW(lbtvudM{p`Jsar!*8b zu73MWS{{Lo2Sm+41$OrdarWSiDz03WLm=ac>f9~qw+0)tI%PC^mgd31shd8BP(5M` zQ%W9xW&>4A0&(`?2y{PKL(n{cHF9ybzOqk^!Kkap;ndCoY%4IFO}*(jV-bQ9M02K? zx9&kkf#uNLvYPs!!>NrM28q`ZQQ_C470E!4K9>*FY5SWg35|H+UA35@!n^FqpQm^N z1S$2%p{!oy2Wc8$XfdtP{{WcjIf9h_xfKQe(ai?)ib+3=UUR!#zas)0Jti78Ggv~5 zeZ;!E!UrEc*)6~zjrzmW`!P`~zHl3z!%=k8aQ7JB-WVCQJh=%e@x&1G{z9`U;)Cx1 zJ3EpWf;ez!o^f>!D-y_GrSd3NMf<*I$qzi@sbnXl^YY>sAh6dK3&truL zsV}Ta-5BD-PdG8(->m7ycLg%0`;^>S_cs~{KGz^oxKx=NQNv)KHw@4O(h_cDRtWxY zxHhp$WG5DLE8k~4A7WyFg${ef1iHr<4|&gz69i!?kujzLCBAU@3?GQxI3hz>2^;?a zOjByiNoke~dv^fDxT0`|Hn}{@C-)<&C%`cSx?34N6B4eL#7X1VlPyV%1$i`9xFKGM zQY{V^1F;6*q{?TV-DcPEzz<8p877W8wdTC#1w>Z=0DmzGG}=SBu?#HtFjZ2V$PgmY z_U}7`RP<56#>*yc0HR@85q|R$8HT>xh5>T7-ti*Pe?iz_ zl+j}SmgQIYC9gh{V{~r!e3^|jsVFADxMc832Xx1Vn`Qj zg>J^L_01}cF*|!)Ij4pEG1!y^bBN}QY)6=NafhFjtZ0Ya2#KO=!&+QRh%S(+H=L9L z1clV|bC6x5Ge^B>0RC?a4B@6?6#&2^Z-dRvK4IRfyjL7Tg=$D$~ zG_}xUpp5I%_`x6(-YJBd1g5lkGF~39m0hQ!C?JZ41V=Uy5&ri41`CTD^9q!=Xyg(asxkfHdy% z_`zr4=F^FQ4(a{);2N4P0G2H!sn5JM57cpc*hc0AnhcO-0+ z-WviP?J*9LcOVvf08`1R;kdLjrLxmmv`xfELmCkQbQN&+;lL~!Z>9eLTo5!7tB?kS zJ?c<#1nN|m-19LE`6j1vj?ztrv}9Zc#G4I!5pm@f?*TS zddv5;Nst!sZV@~oi0yuD#e!}_=H(&hS*1nsj9s6(tceu39LQw0ZF9^5v*t4i0o#B; z8#kU1>xyL(9DVN@uzhoo*OpWN0M;=4wTLT8t;_=gDmG(OmwS3RXv89PJ(!~!2(O#O z4ubjj?^$hjxSul7-hmZqbe%H%rBLct!v&xkipQ< zmW;|sMu02SF$gu-5AZWv*|S_mP@wC3aiTV_lRWi{{c~E?NO&3!HfZ!QA8ZT4-xxxH zr+gLebibJjrF`I(3SVe?I0s%^Ggq{M`r=fEC77%2HI&l^W1DPr>fG3bTNpGqP>_QN z9qQ0!;g!k&LuNb66hqsb61*^i#SebJ3~jW(_~H?e)E5%9MS)El6mTF2MfZ}52su#9 z$vwE}h^S*=6jkZHCPf2}51(!e8LH@?f@XrkHUJl-#R_+Ti~0d@vt)<+Md`Z znv(k^xUK{e=}bWbuDd*�o{Hm|$sbRqFP6xma&$Fc7wChg_iuPNJ$|0bpGY3kN{H z{{Suz(2y?@7pPEZ1I^7L0C$uzAef1aB>I^K0+Ep%L_}WW+KXHSho_%%YX}Uu5g5(y zSQ`t;^kN0JdChdEj95{b5M;wR-9&sXUKa$)I2Qgx-uz##Dq$ONqjR{U~2#VE0V+rb4 z5xzzMqQj;p!`L|pSw0)Se&KcMC2+`#ZNyg0Qds`rDy?2e1Up2390Es%oIC(7rc@KW z{K`9?zc4~kJh0Wx!IYx#K z5x8j721P=mLgNR z53mDS5b|XeO>+dsy3l-vH3*@o=d&0l-r)|jj!2TJ8MBVzUNctKg}!I3qJ^bOPRAfq zXF;OH zmjgoLK!!SjI}`>rNl+=&{qW*6JtE)fo#@1)F7i-My4E1OQnY=XqXh+Vf*3CCNKB^k zrGwHAql{Nk1-$U(9p_@~z6M~R!$(eUO`!>v44udS-Owba48;U4A>Bt3nuUJ`RTwTx)h6jMWzH$&$6VbRE z8yY-_!w8*)nw-~B#?2v~=;1a@Kp-yCye2A4kN~~r@r^!#sOJFe_ffzL*x9buqUP3i zX0Qq)SBWMX!5Dsq7^wqtJ*s4iv_9DQbC_Nkk}5xeh(5TdX7bYY&+o&6UdH2z57N1C zZiYb{2$>I)w3-{J;*b*c{{VbXdElPY$lSn$j0F9z2LWydCK0?>0&%oX)N(DjpOFK{ zhZifBv}=I?SsyZ}o=j5~C~S@=+_Caubwv4(atnn(@Tz}u^+5QphcJV8YWmb>A(kMW z8&$_p8ai6x2-hQcWW!KQ(h=y5$0U%7HsQj+0$`Hnnt3pdI24onkp?^ggt5k>?Z0E2(iD-pyc=rnPnA{48&Zb+3Pjgy33SO1lf>Ot95};q6L_WY}YYM%e>qx^umW?5M`uL zEW{!j{{V0Tz3jwd+G67Ab#bdy2>P|Nu1JW*7sCa;4;CXH`Qs0EoBlCf@`3*x`m4u##pMHrANA9+yR3NHFE1hS9tG9lrtz!4o+ z5L9>07I4svphD>;Toly15BoEQ)D`K%yKIgk@;3^YEv{HlcM=0k!Wmig1!7>Bi ztBmbxj@~$?1C1#U?2LWK1Gt~K71%S-8)Ejz{){_>4yYzFJXddpW+`O~hPc2U2=UvO zMD)Ne3E|+lYEV}Ehl*qOZ1$3G2_}Kg%nrl|r?7{&2KKzRLjt@29?91hAKlxI&=A>` z2JGrv>op)ftA7V9)CD&`SoAW4HrQ15`k3}Wlx)$R5i90c*^mwk;)^rFs(vnn#)05^ zTjZD^3j`^Ypw0NicQ)hz9ce@2;;CB-)hz+=z@b!p0dkSEO>q|B6=sVFrs}iP;eXxrWH-u0X<*@tXqi*nl&sR0}`Ic0zxs5V~qe9CuWWY z4pF8+!fbGa8b8375DJ1RLX%hxgri?fM2ijFG&cx8NoKMHZKXwzhZyAndMVy1La|ao z%A$qP;RM*7`HNTVgHS3s#UY8|2;8d`+=lo>bj&mes{ZfBZfVhUnMbJaSn5O?cy76h zf{KfvthqY+>#?Ab%AEp1P)xAILWHPdi%`%rB}A&Vi|AlTDOX;MiJ}XHsrV%$gaK^$ z1f3iU1vTpft=HZ%kYNz7sORl*Fc^zM9RYl^F3NuSxYw}!>v(%yD%IYA`eqD|A>r)A1oHm? z?zf%@Tom?x;sQi|ucHS*YKpQJn;)hv;vQa%0CpPXM@iSC(~O!6cQ2n9mZau7O|^zk zdh%nSrh^bVB|aS-0432rfvT7R6xve`l6)cD3kn;2X6TeoHgU8605hTW$(j!p4f%cJ zHcM(i**L6LS#ISqzlUhjXfUW&sUq}3=txG z@PBjBvHCCo7Ou_w$?`rKg1(T^#{s0Vml}%{;5s@OUL(3;DG>hvhDsU`o+dE5u+Xpn z0IVu0Mr=c14`19+*V8D`PP}58>_GH!{^6jl^2!slMsxMP9`P=Vaf)L%)(nm0e&wL* zZxBR=vrx=Uyi6h@dckM#<~^uVWwtP>$I}6$vw0O02nkxB%;-UZ+B~^X2Tfx+=HMbS zV$|j=dJONL;b{OiV8AGjP-FS`G-|+W&zi}o?uP?jjio&wnFf<+#xdf0Oh6`!Mc3|9rh&Cu z%_>us+4lf83K3U^@JwJH$7jD$!3scqjw*X7ULlJNqVL!<6H@^Y_w3+@0LS6+!vG5% zBYaG{Dd|)_+#wZrZ;@>#Fr;((WI?$_@Er9?4<2BkQ9N?3eUm60eDES8iLlud)#zdoU4wnhBBw&Q1awui@;EFCI%t_HhhI6m z%do^ghDe>h{%=_$3@h)r6b%e7+!GxH0rM4}2yaa%l0wI|YK^nJc?Jcf*JaxN)ad$|nzJK1-R zR-w(zzHTGqZy&FD&O4v`g}@vV)6>-RkTtb-CCWewTwos{z)DzB?=N)rV2MJZ_&0G_ zHejK$bK8IiW9N^|sVjv|e~XA0E4((x$BYU#68;){k{XsVrm9sR2fR77dhkr#K*E7X zjw42SmV|U~SrHJI6kU4eM%EdNTZ?AQ*#@vEXrSZ(f7jM6u}6OMHG+QPo=g#6>jhLb z*@J~aX|CT+c#lW>jU`RQ$*pAO2~M+m;$;>*IJ{3d5M8%8XQXh9rKYS7DNW3Ap}PLP zaaU|Daffj|hSLGwQ)fqq_ZfdDSd1MaMcoM_A4L8o@*cw(PWtEL`1_auZRVY-k1OX8 zO9ag(f#LPQ2`y1@8AB6T)Og8VG7y&p<7a|qXp7BA?5{)szyG55Ur$GDPztFF&g6d ze3<}iTmZ$7QeHTj8cF*UMtE=sL3$ZjF4AeM`-;6H6{{`@5k=pOb7@%t7lT@Hm8pd* z{ za1o^u z&cK=$?CY9xW!+qHo3`NAHKVUL-c^aVykxCf_7HLk!Ce>zRIx7WNG>}3w)MyZgi79V zSWwCV)ew0fVSo}JfusWBs)nmf|zK$#W_iQ=Jka(8X054YnvTR z*h51@aZsL5c!)t-qEpL_TDTH)#+D-$K!K`RT!1SQBB;@rps3y=-ZK(`wug3EuLcNY z_%wc|P$5yNim(O=3m@r$s9?MEiC363C^(@6zd%&Qnb1NrxE7JJm=^*Km&-;0XhH5p zN2SF<_|^$ELdghqFjoX!d779;QicAP8KcDMJrv3}CXC`VIjg$HG;2ilF>g==^^BC8xBw69HXSfGXr!4_>C0j>0R?QV z!lFWuz;lmrK%A^Xhx1qhoJN_c0tWE}s2SVp;;L`D%BJPH2Su1e3a0zhME=ai)M!fQx7 zg%)Y%0E!nh1$?+Qf*u?@dRSpfT0C(;*k3J<8|Omn0&V62Jg)IQT&IgZ;G|y3=MpxW z=1Z$}aYcAzC>J(Sq{b|H7dZUWhpr*R7Z5Rc2Kq5VfII&T6{Wj(o>GzZF7WLTJCz$?YvY0@b$OIHTZ9m6?n-EE_G3uvVD`1cDe$!2 znfol&@~Gg6RTaYOw%`zLl?ewwKyNq6VXOcH!k9mRTrY_)ZWqz|wC=JTx{fXpd;2=# z3wu*MjAkoEP~pY2_h&E_Jl7G7kS25V!pURCpZ5^bBdjArOaf3_v`OoEKtQ21QQYWb3fiIRSY_*7E{7GKU zHizmRa4A3^8!)GV$Vvp`1v5~W2|nW&vibX(0RnC4>kteD{U!n_3c0oLW6J@z;ncw7 zl;46IUy}xsr12P?eax~lAN!LSi`&k#C2UC2gpx-P#3_2XoKV4EDmm8H8`o@93V>)i z5CHrO)xl8Iv9slIamD`t7E^BBerD3DZAb=CL~>HK$tTc1F{U3MT1}3vrbqw&8h< z0B&q=lg=VS*BrK(l?mdL0S!uIMBuq`8?e7}A`&bNNY&lVN0R{q5QkfHP%rU-KuBem zn+c%EFmF9x<0GuCh+a=}jsnzonGfCOkKx2ywgXz=7V?)Yk0r-NsdnHI?8w<#`~{} zaE640?hs4}EsW7h?|BO}pHAa|{{Yj>F)#!Cu| z0({D25$yrbqm`)_X|u!|i58pDWu(DEP+Vey>7U(W02Tm$aZs}B_bzL-upq>A5U054 z*r(USl`?NJ!~_7AIuyyEE&y^QELwRoxO=WFD)wYY3eQG*z-f87-4G4^{$z}Na^T0C zWB2gQ9|^b1;{*ht${2I0o9#-3$j~L_!l}=>N%U<5FUR*7SjxUqmSTWn9x!#|P{1HV z9734r=<|$!3{Z|A`}W{y4dw%_O)}9qKdEp*3qYfZ2=*`-X;&&xsD<|9IMpQ>>9W4? zkw!^?3T%hzfTIMfx9$MHYiGOY!btYSSe)iU2bx1}AVj5E?ZAL_Ym63R1by3pCY|>I z6mUyc%+^qkxFWsY0m%OV(;>G^!j^@1>*f(3)=&nST6?Ly6StWZ2v7ThLW4ZzQ_N)=aCC1TgQGTS9BiX3DClZWrt66;Yg-;&oWMo|P^9~;-7PQvsEYQ;&X}zf&O>d=!0{Wmcqf3+&(*}Dof%Z4=kIv#7 zglY;lri_3K2&T)fDGdjI>#7QIJXZ6@tf?(ZXR#2vu&;m~a$O zy#YnYm>44zbxLoA%9kwZ1Bvf1gb{A@SJ{HFG7n?XN`5)1gZ}^wOAdZ65G2W|;ni}H zpqqLxmwA06N5=gabvnVTK~!rK);p;I4f_m;;YjDQV|z8q%;i8-WG!HoA1W(1ITrpD=n zhSA&SGz~k0Ges;JLq3gS2n{L*5AIRN5yqTSVHg|~fc&l;n($Jlh&brtwRVq(^AH{q zq4qgoAlvZIGZ7ohG~?x5EEd$K<_t|J*^6?jr`HbYHsPnqkRhq58S1_Hi&U&vyP$D0 zfhmxSw0nK0<{{Pza0jksI7S!uC?Xp&5T8x<6J_>b1cV-JI2AwyfrgN-ljrN3U|~`K zT0?8p%Ag^s!WB8&k|Zm`X@aqnx+(Xa^Z>s`5G$}rv=`1?Z$LRu4kpsJLj-#)yHi+d zctSvqfiMW%K|~*xt|po~QHFcvVFFl=3VHxfeuEqlbTN*JF)Wb>e(@8EWmwG_{lw&e zPn-{4k3IGO0B{7uUmr|SDuvV6+?2(!8p%WK#0e=%qJ-i!#MC4e2`yYmV04Opd&m~n zSN?(NlAlAgoX}tux}f>Fk@;X(=-{vz&%*BGr3~`ypjRmL{f-KbhoZ@qq$CBi2tmr$ zGA7p@)`;H*8RVGV0>j(y7=$}B*nh_Zc&1?~(jWPnq9FPhMr+}pC1x`qGGC@aM$ViC z5+Tkhgp~5f9rJ(*!v6r6sQDS8NQN<^Keq&sQtBJWQx<_E|z1Rp1JVwwh+9UH>2B`^^x#>Hs77Z^`E@sucd zJA&6nuGbSKyzTzh%yG#n?wJT7wP6G(`5APQPhproiYEU6ySP0K4L{|W7?CNcx1Ml1I6t{#T^@L*v7|R9 zsZ1P(iM4y4jVE5xE^O30nENq?6cq71M;=46OQ}9#wueM;7zOnVcBePriyWC`JnDbA zpd?*jMX{>mK?;V~5NNx|P4F&v0(s)@!r&z;$m2<{Wub7e;J}f3Ig$`>qWja_FEG&f zzAjJ#Jit`8iP*w@X`u6n;1H5<`F$SnV2dJAx61p%Y;Wcv>NuUHVEu9dPk3sDlj^vf z3@1?Jo068MAhD?M1M1_BP%G9cD1JF7P<03n{{YP5X20pu;v>7jqQOEFEQ7>;ZUAf(6<6&U)-0DWLFKs57mxF=;3n0yq^k9@`oTFFfS=Hc_n=I!qb{ zmCy*-@`sFe%{B?3@_R7rHy<~+K!s_*TV4`nYTIMlZsg;KpZOetu(9`xJD7fwDNv?u z1ldxF){Hn8Ly**!!a;-vgVW}wOYFV=lNUb9K3|@(S_!38oj*L_X6+Y6@E=^V4j~wO zZ>${BjzI$x_eE$^-0)x=ovB|J$&4=m)3?)#hgWO${KwfTKkG3RddN%@vaDL7I}4U9 z4!xrplnS1T#{-a;1{$mh743-!m`}%(8BVP(Y%A{lz=|PZ#`fJ9Wk3*~3`i@pFWn_3 zM&U5NlK={AIWUU@j*mXv(1g28Jw~^#jFcjazi|PQp2B#=$hB$uxhmCk;L!w2)yAv$ zSv;APUAW)_yN(zGaxg&!W)QJT1IiX<3U6W*aUh$;#sZYm@Zuw&k#S?VCeg2iFp}Ez z*YkLl08YV&b8Q%cz?Kn$X%o?#wRrMDGHQZCe=-436v<>1zHaa)5>*~swDjidbP!a4 zxP6MiY2|?XmVFmHU|un3)blKi{Mc=aXYY%dV*zy zT2!PuTpz#<_{AaNvw$9N+PBk{>SCrvqJ5nvXmP*-k=ZYckcC#ycu6TKs<|+9-3p6{ zX)y6yeg0t<1}G~8Ih|HQ)qq4#3zyE9f!JQLA-6cBU`tZ0EVrms^EjAFe6o{0}$1CT!Kn~eg@o`2zJWX_TTMp0yQ^14o4F0j@R*u z=)3plyC~tMX*g1RZXG6(ttJ(M*Qb343{9;_tPN+@WfVh3M@^5g!v(IRlWzUsd@D}=bHItK8lVXRaZS%8D&+ZbI26baH1y)kgC zDmdgH#tBFJfElWM;s>nk&4Dl;7e)ZV!sKFXoA_ZJFU(=5L#Sm_uotZKOj1=ex^2YW z%-IlXKfK3$n*|0OOMogoX{Gd-6kSdb3uuOxA{rfKpPIx|LLFTmhc!5R2>UmS8VE!4 z2991=hkLg*Q7v$rL@;p1MX(Z5d|q58 zn@02p$xO8bFL0osKo8d3oM%Kmt_5pjjC%!nQ^1Eu0=H6alnsPLl083h(O2FGk7vs; zTWF)@NxayYm!UI>h@k%Ffupn1WpV^Q4wox;4kzYTl$3DMm?NbKxfgP9U^MA^`!H33 zBeE|rk=r--5I`$%JC6u5z)fStFuZ{%ISi0pWP%=y+O%e_Fg~b#WdTp>KjG69iit_H z`rJ){ZMj-$tC0Q^DSsUpEc7&G1hjTy9eHID+u4N824E>ORpH|lK3S0_hC@*WtPQ&C z(F_39p(Z$p3FbBCOct<#Uo3J4r1Nk#svA`sj zc>9P^NEx8{kF9fgy`g81Lx2-)Qvz9i;SiAHSyrI6#wmOmp+?798*8k$rEx^q_F$bW z*c^0d2cJx#>@gLgLoBz}N{8x|n+k+s`;-LxO>-uGj2vQ93NGCP3JTwDq%JU{Q9pwR z(@5#&m#XQVn0_+ltYE79RcG-GD3Sk0ve zY>ONDgD8i`3)!8Weh!tf_L(H`Rd!Dn^8r*>Y~f5@3Wak;Yh(dF97GKhWJH-zT}2L; z-T@nH6J$GoGpfLfoA+@o;bTSq6f6NVh?hRWL z9JHVi1Ki1!)?0U?;=rL~svc7k6e<>hJ>rIvgZX91dBTB9a4(X@YwXQJK#XBT!ce-e z3-q~UP=a*chC+qZc+JE$dngdo+X1E36ic0k;?E6Co<-dG8{=V;jwhH9A%sn#}N)leIebl}nxds$bc>zdgB zwm?b0ql33oCkQ(>xyB8dKn31=WdJ)Uw6raA0NM;n0D6zz(Tq0kt+kF4LIO zy;&%A0FW_3sfIdT`*PTr#1;Plh66#sDv1vA&Ji{;fU8WusPUfQf_??axJNL8wFkK9 zhJ^QWhHZO{FQn!gm^5*1fW_$GboJ&6snEci|g?F%yW1 zKum;RSe*Dgz=}K*0%w0R6I#yOxa%Xf0$Ilq*iQz0CKaO1;fU7zF#?|7GR2-@l}c^i zA*V&u$bx7LPX;tPeqaaSWv_z&0J&%M;Xp%MzyxTfBqO$y0O`jbGrM#=#(pL!*Yg1D zPYmU9=eScS2UvmTnNWWJ0O!0BDI1cEB3{TCyb|9T4F$!f^f70knMyDf#`JQl8Q{wl zJq#hV;^*; z2E*)298kxHRVQ|sZX2>Gfxsm0^&iQIjEcnp!D%^^5?4_h>A_B>v&<9jP%C|^)#;4` z!K|7V>(ox~D>E3=S8+3f(Li#!Ot(Wv2bK}o zx=aY{B$$8*1mNN}fK6(3yr7!hrKO7uy$iD@X;u@R_5U+ zPLLcG?!9|4OWE>Yn7a|M05P;A_GBQS&Zc#wNB(1P2sa{HG~kazG%ggNMf%5-KU_kI z*AwBYeP>XeLgu~MJR|Iw3%;^R8%?;-o{nXY{y4f#^8+X#?;b#xMa23Q+WP3_C=FeG zW-J2pj%icbm<<#J#up)+1{j`>DQupW{{Y-!Q<$Oyvu9_ET(K1a!AGa=BU&!E`E`Z% zgyurZ`LEXmLOCD)pRNfFApIx2U=2(eQ=>tkyfSE<^^6q0IOx)Ixps)s(UlcqGjRUr z9w=(Un%)Tr-zGV`xvZo@k8vY8lly=nz4HWckA1l;t@m*u*UsUV0aL>VTya;*;`Wo+ z+;9-*T%^}wG8KBNWh5U4Md4M*MkWz^S;ncQ=UfD6_j$@V8$Y;&fPP#}S!O_Sooes# z!|Q_$QA}l9f$}o@Y8p=-%+LT&J>{cf@GU#Qd_e^;C_LyUj6_h3n{XgH4le8m87ZKy zNHGHWUX58MsjY^2}k z;jDzBqs*Xy+4@YlfDI@XFY_X1sjEced3D4Fgnk?D>pYDmqg8aG?NE zCYvs^Vfoj?q?ri-MVUJe8Of|&?)~5l z5P3|!Dbg$G&la;rYEDY2*Ai3ctcJ&FBO-odZntA9xTc+sWxA${$-1zy__r4-BKN+v zov9h=m&MK080x&rSrqmTG$X<3@4Tc9vtv^%8}mD4-f2>4J?$favW+QsHh0da&2JIA za7L3|>%4YZ&ffeJ52F0_C!q}9nEP69UU=iA4CU8lW ztzKmyQm>o^U*vdj=&nJ{KXDCc&FGhoCS#IMM`kL}n2seIySUIQQ`YA#3>|RASFk=f znP=Mo+}d?p`r-_$FJ5>DB@?vR$S4*uqYhH~!1X#4SqGwkWs5+Wu=E|?{J0r<^Mr_D z{P-^6%e)FTRF-qA`-rAYhALGXm?{FzpDoWvHgJAIEeno;E$ zsnjIaB`weZ_{V)TS!iKIr9GKSXgdB|3{nkcUZyBdrbMQIAs6N%Uu@DAA#Tia8|i3z z8Bubo>*^R{Xax)3MZqM4S6CDvm!E!@4d^L3)A`po1||o|?+64b3i{>#>-?7GQo}E! z5*8dwtaf!m_{JupEO>Hh=ob(}WxT-JlCu;P(8hv+@3$Z(Ql1ayMFxtrlkxYI#UV$8 zJ;&BwtwkJ2rt;*ZRVj(T!zdI%G>b>9$Pu!7$VkNY^*JGODiQ&C4BMy&rXWudhV;z`?Duho-^^MzIx zWQ%Se9DFj9^(I3JMe8u6fOR0a5U!O>G=zina%biS%ZkM{;{{DOj4w*%1ik|lFe1#c z1)hvXtXxe5h-1L|;t_N;#_%A9Qo9%o-uCApH~#<+c{c#_3~2gpEdW}@eGapMc594> zPT`alfd&z8mmoSxn{M5hc0`%LJov;$upn4-1c;a#mu>_LtAor7ggQ(haVkz2YXw;c zaezFT;G(nnjDy}dazWv66Vl-y#K8hL_X5)a!tGFu?TS z9QetJauX)jXkdW7cQOGs;c4Azk`&XuX3J(gqdYj5F0KfGd0{$`ntw4OwVp5p5Hp=n zWtifUPC4_uxe5cOYHB1gg17T2YXQ5*`ou6F97+)Sd{^IT0 z1N1l#feu?R3N4LkewWddj{@Vtom6l8lHxl+`ous|J@%62!A6G#9W=ST18|OcnXCdL zb~6zz1B`U`hx*{q8p!=K(X3gUOp{l_7wd-t!(G zFyvV+Ocul67(uPv(hwbRqhcuZW`lMuxS&={Kt!sF%HkouXFQs@d?yYSu;gh+1fWTV z;DDG7DF!M{plalaf)N@${urHi34=rD5|1xgpz!;`$yt2h(2mX>1Me0)sh^q|7bv0-Fhiz5 zLTPVYX|&rEzc^#C)y<#%ViX6>#HA8B8#s8xe#}CXvjAEjhAtV$GKl$6&4Cqor*Hup zNsbs*fKS!Rf*$HimCEWvRhnrhoTt=Q$n>(mCLOedV(A!N3EvFd+#&#Cqhafg{kWO- zkUjLdy})bOja;CfZtHgdjzfhh_tp?Vbl(UV?-no-pJsB zLK*yeVoM2LwK%?y7y`J#Gc+UoGr>UxJo-%|gu8aaApm_iqAE!9bi2+Dl^UT1@yojL zhNrD%ak|8W;$X<=o<#OIh{398#ei!W?c~c6GhUeXEDWLtt*cy78Kgda{{V({C4}-B zfN{mZiK=GIgb6nXTw8&>^dAO9VGARuc*_H_=s6$Sq)vi-}^ZA5Ib#3gOG7uN3)x-DAkp9{{XbWDHHBMZN5weA!}oL<9R6=M-jmS zyS!q7Nc%O@2p38M!VHPiaX3qql+$P5d&5b&qNr;CRjtwQEm8Djuq%P#?YSE%=9s}S z>rWgEp8N#W3u0g>SrI(A&`vQ`d?qp@^uij@t|5)mZz)4SJl1))Jzru<11?nr^Xqs3 ztO(97vYSPPTOWg(rQVPjloSu%e&Uc7Pv}`p*ghp5f?Vz0Kv*HBb@a}DI3QZ-yYoAD zj+oE_hny$`je6XSKuCtEmPo5V%vDs7L0f>KAuvdY7oKqn(i?s{GD7W8@MvQ-K)zFX zX3|6xzM+hT2&u`5K{i2Yn+%Y_cHakaU!%rSVR(O=#=8u~Q%P;sM7tRc-CRx-b@j~Y zc|XG>8$MsT1$c)7305D|g&yCBw<`inYU~{k!r{0omB4^JXu}?=Z};Jv0#-hmPfi4@ zz|5jPr|01UDrSaOwwhZ-?3=wzbpzMKHE z;FXVGxU7i5LhpEW<3;8f5*F;z_?WaSNThujp<1I(j?D08!L=sB$}Uk$MpZkJC!^AL zc{~A9UGU8n>|!pZaVv;%t8$$#*brC{xS|P_LA9B(1Zjdu=(hz5CCrj_una)H!74j$jcbTxdD-j;h%=F)Hvl2D1x9P8kQjZYaIV%M=O6Tsx1rh2RAK zVXO&#jc0pX`0*E&O55ZJnikl2z!Wy8;K*+SY+!z>x#wzT|vkJgeaMNtc_S{mO_Tt6x(Wl z<_NXun85>{@#$P(R@;i>%7l+C#m7N@*EO3VW;?_eD;vY#tf21{Z8@hC;(leR zpK#LUQ$aN4C~xLy7QNyKOx;5U{{Xq5jygCmX|#ngRrXf9FsR~6^c7sOAn+hCS|E`W zzN?6Vf*lE`bBI|P(OJA+(kP!}k-~h9KMAI|2RA205*E&8mf2t9M-=E`ua~7gU>|IA z<}4O^$WFu5%iKds`Ecx1*Ks6bMNXERTxH)jv4H%IwqX+&@6!oUbYq1^^d>Uhi)5M6 zNg`vmgwn!H5GvOYMFj6_o$!6`7?KLnxXb97GW2`_7}%tBJ1aZ_P11HSQW25i7EO95958)BE{CWU;!0|;e8*~JR-pYsB&8O^QqOf<;p z?g**}1xVb26`RW4;;79gRWNFS39oC{6@x(&4T2k08bQf)Y6tB42-A}q+%98xU0aRlw6{qW>g z*Z%-G@fDuc-fPmUqdH!Xc*(*nRn80;%^BRCeh1@`G)My+Zv?e%*X9^{!1BK*ha7;Z z{5_5U&W*ZP6DEg+=d>`8c6{gh2NMX8 zq+K(E=`aehJbOPeW!f(s1uF$U{<)&V(SUN%pyLud9&@W=&(y@ljWT%`aWP63PQKob zIyZx~b%_cYT^jBYS{UU&nGVxN3r6eHhs%H>@}+$V0Fec({m#-b&(q+|0xn{q%NjPUm3-q_F}6hi22?bLk*|0*PiiE+-yA@MV`Z~ z?(rLD#QP37@Wv1gWHen+o|0eysb69EF&RXCnwlJ02&UGH?81kyHyZ+oOo&+)cLSPm zC4C>vVCbMe{kV8W7{AzJL7+?8b>F-lA~jqC;hn(6E z6CeR2PPpI|USb%THT#8ERp8_VPJla&wavm+0K^qd16fT*>w*T1-+ko=O+(FE+`9b( zqBT3rAT%bO1@jzJDBX%gnlY9(DmM&6!cpXh3~cbFcuW(81>N7n7&Kyb!xJdyhXR6T z2w}h3^8zr)=a{D17f4)HBuf7PQ&$H?C0zFw+(F%>&0zpX-)3sZtP+F&0LnOs2($sd z-)3&9y9wspDv*s2PUcV$1Xpo)Ja;MtM|F-SN+NFfEXCD~315a-HQT({BOZgmgUmvq z>HF_^1ePZNf`CUg(WCDwK<&d%9k9v!rzSX^E^&40IIfV3=QsBdM8<|nV^_({cLTh` zSGVpU341XmGRhJ}#&A@vv%t=`$T9eRRL>6qrtvGWEHtoOxgSh?} z4`}s*IX9A_(B>6u^985_{69BX4uihsUOSN}Nr2Q=;%PN7X{_j62&eSL z9hi-;^EL^5f2XYEbX3HS@F8FMfCu3*qoCDPF_6IAKMiKZ8u?#%pIGbh(_E)VAnXVO$6L9^4c~7!OC8VUTN~KQQV{2C^Pg5PAgtGXz3M0O+Oczot}b;z9MROr8uHUV93K>%4&} zg1?p+jQkh6PZ+Xnt|?JL+`&g$Z29l^83C2|53>y>2>{P9Dx#K>7(g^jSUzu@6-hcC zOl%q|_Q1s^#{U4bG*Yo$`^uECg!JMqUBB)rjTL@89Ff=m08w%Q5RNbgrLTClo8IA~ zv)dGkH03wA9P=?SS1JI4;WBQlmnd3&d2tOdZn0aY0ob$+Vi~YOutyf(Z=1|U6zvPQ z2w)*;?@?-kkgQspGz_8WA zJ5vHfi85s$KbX0FS%Tu%5J4+kfq^q>BNi1RzS9Vh^?*gX!F&b5z#4i(-mp@!XlDb@ zx!@}x;1mfToWr{hnaF6&j^s3~)c$<+m!~FTAoig`x*?Lv zB$2zGM8FMtN;hlYcx(p?fWU;leRAid0+cw~HKV+vj12)>r>|HFqH;)kH{YBT5)`Y< zfeJ6*GKud3ju;1FXkg^%=+D%`xlz;yk#MH5k;e4CRmBJB6+?#eZJ?pq!M38Q`R^hP z3-_5UVE_QQNl=V^KFnLV1*>YV=Lq~gD~LskYB}SoE%2W10->tP zI`@iLsY$fikpz0vg29?~_XaVOK@DVpsW-7l$l(CXwpFPrzFYvASias znG6BBpJrO~F6PhCY}bPWFqhw@T(FTK9AlRSNb41BHC&lQs#W|97GC51z$k5VQbOTr zduB{5QNgsuH=7&c>_Y+B2n8Pnyxz*U;ZKt&3$ zjVbwvn=af^0*6^dLOKY4RmH87?j{7d^$-|s_$plchmV3N$5stMpa~L~5{bFK(4M!Wv7zpe}uu5RlP@zDmqW*XT0_D@)xY(@V7&^Q938a%3QznM%Dx!@}} za{L`+3a;!vyX&7;8E{`kkq;L!Q*Dk9?mow4n; zy1c<2TP`!$tNW~iP5r>^HUXZ@wT+eQ$ z0dhzHpaU5ZJd@eGl!sdvk(QY88~i!zdAbJ$AJ5#ONz{H~zE?Od1Cg5tvgH=~F{mqL z*UV85AfFZ6h=30z%SOe?5{-Dl8~}bftXxIHj{g910Bt>d)x@B~Db}1sV5EIu@859u z>lhsHVxYRm69~)92v^c?nPiRC}LxU35%-V6yA=VDn0U-KzS1wBMk>>Qq0ZTfJy+WQMb&duYtYy6dTpZck?C0B9ZTf!i~a#Plfe{uxu9sRiNBTceg0AjYR3m^CJc& zBS(vxs&qX?@(-*8=}Us7R@}WZARHrFY}P^`s|}Q`1>t4>WWQ2b3BbZtQ#J;{{V_Lj zs%&SZaE3O>el}ndr(ds6=2c%Iq@SOzN`#@!I4C8DoI=Kz##zn_2mZqjAP||+cF}`U zy)AhrB$%*to=4ZtE$LJGH4K3V^hqIVCxFZv$c4>)Jgqc0CD%Qihwv1pEFPa){L;BZ`Wd zJ?PvC453Hfu#EP<>u`yQ3G8!*&kV#Z1(y89{VXwy4=!}PK5(GU8HiRep$6b>*jEHn zJ!J~G!v&l^I409`BTjA|rdbumz^lFQDM5f!&&;^fw>V9H;ZmD21veZ3M?}h=O>t?y z{{S!vlywN12e%%sGDBnG$toFrV?||F;82XYv8$}oE-~y3KoG$je7OQkNabQSFSNpY zX>(!@%-;)<#_0@|O1ABOi-=9hD3qx+pC&Pk9Rg$4 z*c-`H6PixQ;AN`9e**{?)*swjN2a=f<0(|E0MYCwA$w1ZK>#p^RItgR50?O%Cc<|5 zF+v(=oB^6wJq!-_SQ>d3^E#EIkW8#}4opx$nmoHV!w`~eG63BX`aIw$!>ZhQtk|GL z*WcU$G(hOM6c-m&+_29uaqa?wfu3p@cuMKOyV?(8Wom-MqyGRiWgDSU=5G_kL=kf4 z60yMu;^I^wEDLZ#-D7n>+%f`?Tp-B$dJ`Mdk_xWVuwR&A3ogkA7sNKoCCPBaA+S$4xllh1P$r8tu^LL{x{lt5T57 z1XSA@=9r{;wFz99=*S$42#x|;mGc}9I~M)GFG3e3c|zgAU5E7I%kqftynjqK4(>Ik zvsy+U*OC0h9k5A_9sx`58KpfKy#D~KbYLkuJUMav)*%AeG+w4OViUYU^ka#$T7_Af;;k z?lzF;aY*rkHZzj1<`oYZty?mw4xMH#X@_&>BmnFWC-=dc2LKhn0~r>hQ&RM~dkED7 z&GN|G2y|JYr&BZ#2n>sfW;O$L=L!D+ySS*8L1Pm&ekeHpV1vZ5?)=5TT8kI6g2Kqx zfap2m#PNAgGTciFuZ4H{xT3)7le=AV*Lc(<_WZ zFH^*1G70ANIPMm)x-k(tXmmwi=17Ma2aJFyQwRc29m)XJ0}Qx*Rm8SCx6^=CvplX{ z6jz`=u#8!yGeTa8Xhri3l#&G33ZkN9lVLI=2!r-^}*XE&fU`umtv=^3K$ru@KW0cx|6U<38IP z_u-1R0vcM+MtLv_$MeI8Gh2PRJ%vV^%{v3vrNt>eH~8W!!~U`vjG;gL%0h@bPncCs z@Xmj%96Uk#%JK*V!NJG<+sxFqhtcPpgT*UyzRa{HJA9K^)HS!xDjQo(*Qn!Ce=;Ij z3~2O??kXw=g3knT+|nOcZ$@3bF^2b>Es2!Yy6Bp5O~VCxtb2i(9#3}U33W^)Absqy zA^mf@X`pW@E|=>FaGwTtxWzC|>8HHurq&Z@qt~pPZ5lArICXPm8yI>Py5EHynbjRH zpJd^bY=Ya64GXswvC@AS8kPdh8Zb()mG}AiiUzLjh*8Tl_Fy_%e><#9Tk)oE?8F7) zOsWVEsr&af0d2byPZ%lvVRDw{wBdp%npx);qookE%74DKU`2OOnHLm zNzSuKFJ#Bq?E=|zh`Y~AhL~x7R{(?w&L~6~8NSwJhR=Dc5l#O9d0g#IfHz9-VT^|8 z>FJb!OMaIIoDYvRmcwZ0tK>2SR*-!9aSR~XpikTcCrMjZ+{;0EJ;)9l$vXvvCImkM z8gCUzt7a(0Uh!WJ9vrO~niR{Gf#ja(Jw0Slbx5n`v5UG8HL|yXk2}yl?imtrX3qs% z-x#N51xK~V9OWrIUfYWjK%v`?%K&%Vf|0$M%2$I}1yvck+(J~-jRI7 zn}P>7QS9){K>NI^COyFh6{aAbEx`!=U1QvSqd<~6p`)?wKm~AARcn1BLN|@{;n%W%ASl;2XMCylHv<& zL=8W=&0_-fYc6m}ffpjrGv63Akvw}hn#cZPQOcL;njRl9A$t;ez$R;WsmrW+MYs<= zbC;xbDqnlRQS+N*R9avJ0o!$eq14Ke=uP0o4yw7hu0GLunsX3L>{8D;Vn0S8!WXt^ zCNn|jH5P|h1s`p|sAk+m1-mh-Up}#kqLBUaW0kZ&N6^J=fY38a)w|4XF}in)2W8({3t&AGh@eT6WTcKU<#~1VXZ^C2%8}l)BP=mID@W7JeBb9WQ74f@}D1rR$1%62Te{un8 zq2^^Qc16ajzZ_)~@x&Eqa|(jm*NwW4QfWuW99^kJ1n9^Hf-x7kSGgbW(}#tI(A zk|^4>U-fVTMLGF{XmlDUgu>xKN)p2;V^t#F!!_FZJ7v9b|>YC1gf+<$UfIv&1t)ln}tH;%UK&(qGR-%?t~z9PSH8 zA|?SA^CG&Bgl4Bex|ZY&prSm@R^je%z>y zba6kpH)_g(Mgbt*6i7b|>p*CFAnh`GT4qE*Da4pqnegNGJ8l(kEwu-U<@JpVK|!h+ zqJ!w4@@0(xBAUQZ6l?>3*A~8ju2pU>1knK>N}jM52(Bf;Ot=Vr(dPYUHB>_d?7m7< z!|}#llp3d@GFh9U`zBDKQ3WPyNjCRg{J~LOjWJQWuZcg*K@J;YCV%?o3}VNDqXdZ{ zXr8r%)Z4z$$P0Am+_@x!<%vy6@VVYl)|GfLK`EC`qlN(}gWlu0~I56{{XiVu}Z+vN1=qC9%-`#N8^z=+u8YpP(p7@ymg3AUxCR)kOn3R z*-a{9f+);^uB`CZ(-N_CiTdS6nhSd`hcp5fe(2fPHHiU7(U3KzhxsUfGjL5{K07l* z8A{GmbZTXaa9Mr#7GUXx6!u_^J({&VZ#1_+guq32;`GF$_tq#dLoO6q<9Gt@9^9=c zPy35#uuqeD35aaKD4tqA+_%Ae_vcs_MI3zo8D)SA{{VmwGb3(_pGUpm>HZ0bfy=_C zqi!M7U~3R4Vqy?_8IMDJ(+en}t|lHMLb}m#DDb8&j4xXe&^Q5fw|DUQF$yW*;uRnl z;FyQDJaUz&#F#(@R35wEPAIH_O$WQI)e!3A^Ig6$yeS*?tdkCoKJD?7#DN1q!D>i~ ztqfI7i=hv@kPFdn6{40rjxMD;P}!zriP-m$1tMt9>N@`5pxQix z5B3=`(e+TF0ycZWwSbveHL{>M3yFyn6Px$>hV^vA6rYw+3i11atI=?Zfu^z{9wx9d zsPix#YAx*P126bRe1e~}~oKp-9C=dJ$Rq{(44;)|sZJf0!#+>P%K{t-j>P0`xEIfEd6e3yHIbFz3L@F+}MbK5Al5 zv8jsh43obd#3W)4jSfh@97Kez-X*?;xih->^@RhTt|8ytXB#mLQV%Y!6WAFGQV20S*dGCM!+%ykz^eN3PYdCb%UrZ+T>2d{Ai+x2?oWQtdB`;m?` zI>=)TgcO|SrZA{T{{W+&=&1fYGl>!N;r+r^OaB0a+GM>h?tFLtFl0bt{{SwrIy%)~ zxdc#rdp+aQ{{TMAfL83Ak-oKn{pEkSfxU^!^qX!$QAF2>^}<3fpJ2`yOoA|WnGo?g zj8rO+xSB5Ekw(%@eWHeG;EDcnfA z6acIe9Cz@;lcFD^+lAC3k#!lAKoob($tJYq1rXssaMGU!NkuT1{MI7HG>%9{!G;*? z4BBSjKCpt4M454HZMeXsI06{xB0IpKEnWM?Kt{Ga`3x!XoVEzeEiEfh#(I#N?h=Xz zgLx30qU?8=Sn;F%FcWmz=iG!(P9Uc4xv3xC8qW+fM1%3f*()sf-D2B7TlV1S7g&{G zR}eJnGZwV(At$_Ci48*Z#DZ1#?la=ai3-JhaY_JxE-c-N{{V>Ml^{+T0sG@L_IBe1 zmusvjzEcrJCB!{cSmXdSc`%d@LlHhHtVb1Gn0AvAp9l72A=H^sJlv)Qd#}6@0x$#l z+(JP&;~MVC+=+dB!DEBdi?O4|IJSmDQqR0N+D+>y!fjnRx!^B%g4;n^Fit%u7&h)% zo5a)w!Su^E$+xGugz|t1;+Sbw$|Y?1eBlpgD33?O8Q6^|Cvu_9DAosTPPYl5p z3wo8~+Iq|5po4H80&CAtIHZkFjA+eL{DGuSjB%y~HjR~B2xDP@>O61}Dv}1SmrU`9 z;x`EpQuNks)eph<9)@f-rSK6$!C)oleg^1zKH_@eyK|Ar_NpI-5NTRNC~8J81IdU? zzA31~kLGLCk5q8)4Jk3xMUcW13u=E1I8$Y*L?7l9B*8a)lSp8ojvz*sBiwC5&>Ejx zp7iUp0G%bp>_h(mGKwNeURO9B!2^9R1_)z+4kzw$O#pO9+Tg})FXI6Sh#o0}o2`5T zV2;f*6hH5A!~Z3)5U<_ST?n!95VO^*E-RTS{{;}if5aZnw$G((V6EbkDGRM1TQ z8WOh(-vH;oOO&k!zi}n60Ki^qRrqkhGr z4ZuYJFvtn85e9KSxG>eW!+sf60uda#g7Xm`!3CVi6-H`c3*|gzYAgbN;|c-;P^J<) zFIybeFP0x;Z0IHpFc~+CfQ|YSWO0HkR{U64F_3iM=@H6o*V)~h#tW&z?%q30DYL)CRscajx1oGwtAdSyY35+R6a>bh%8du4c^hMqf z$HrL~aPZ>=4dft9S4ZwKXIn53eK=@?2s>;3;C?zxi3<5V%~ZbT156Vn+mkb2J`{{S&nF}Bsi6C{}s zf86nc!8^cTcFht6`0D0mw z)yYH~=qZ*)l&H=?aA4Uj#9$SJ{{Wl8LVo?ik^tUV2N5&v( zbv(x|LDL$!Ys_)Bn}+`YeBhh=h&Wxcp#fb!@+iGS>U`7y~0@r=n}BD@Uq(U4BSc51yjT*@6WjP05h)-XnTV#Khedih5Co#{ z^#+##6gg)Vfq|+7NkQ}pfFkMxDMB_lHO(lC$@pOyK=2os3C`sy-YRnLz)Hl+6PVZ| zuJU8B3E+u3`pW|Cyeu~kxC^BBa^}RKll04o5fT#P6H>}{9-I_nEnb|isDu4p83n1W zx^x@Lz$7x}I=+km)6-RF>4*tmHb&s)wbamHp^<1XG%uOFCD4ZFk;SV#Pj##NiCC1w zTy^e%4Zi7D1i)!QAP>9v18`CUl!~qY3*?`qMKXEttiX9b*MviPmF!?E)R2VvOEdKy3Ma(!- zYQ6#UiS|LGDwUneN+hAD(zCI77YK=4lr^=5@#8iEc7{dorz#%7&2FHm5aE3;Nf0`o zk7h(x0Rcw&a9~on$gB!2Liox;kGWf4TX?uW5=9)99YH%sQjkaSc^gBv-_Sy z%AWF2kCH?CgdovUvujy04+Q2_HH$gJ zIK*m>RHV1_Cc#hjlLaGDhPWUd(|>U7I>MqRxrUIT!w7S2{%5l`QxB`dDLa^jHT=Pn z+}=ffVcvlPmm};CdS{?%pR7)_LTRdR4Npn}rGz87UZgT-rdFFDwz!3hALmWx) z=HeT7lE{<8{{XmQdvmHAgt&zda!Re-#m_{=41{A2Zv-2yTanlGf~KAh2DQ753)RN4 zhSmHq$hsLoXjga%dGiDSY|RZ4WY9JaZ7_37JYd2O@CfJ+8PF_aYQ)qyi3;9g4ObRt zaNY~spk!tB{{TJYTv8eC1gPR~33_E8ER-j_G%x{pkIZ#9#mys{axg~My1tA=_}hUP z)7BWBA6TuZ2D)*jQc*l-0$4vVfJnMd!|rVW0e>7$%#9xmpW}QOIBqa8Z4;F$2e*R*hQA2fYaVU6_VrbbNtTp$B z7CQ#Kcct=sfRICioe)n4~Cw>{g`xNMB$c&H-$p@E-nPv zHTNG0T4Y(Q)9T!~U-@tfmg;0Hn(jpNK4OAB4&kGmz@~U&+x5?9Tp>I<)@+c$JDZ5D z+{JA&R6ifwHdhr|tM38u>mUHtxA3^O=ziwOVBdQ2lcD1QZQ^SshfxZM{$Sj8O;_if zK9C#YIY9KKg_qoEjH2fIO|hxgd6Bo&sj zpvLT%<}YBRN$%p62k&dZ z<@yr{wxDGk_|1p7D=3&W*e6Ky@?;1ohKnu{q+3tN9U9lG53Wc=AD<=)p@c5zt}A5+ zibDb<`ppJ6NaERpyMmMeV^;=F9i>y?VpfFl`RT-mvQ|*t>+2hBn!V2y@SgD6-O_6n zI$H3Z$g9V^xRB@@+`BQb+eKld-UC}lp{Y>yG67?2)&!)&LMZQg($QbY#0E;pVBgV{44-n~U+pO9KYU?fl17{U8*V*n$8ebBM z=BeSfv^3AS5VAaBcC#QB?@36;U) z(f5V0AbwvI$Phacr1Y*y3l8I$j4;B1p75PwKe?orf2>N;6c#8g9P2{%hci@cL#%Kg zrcG|EFxji#CQRAa_Teaa!7Km^gP71O@Xd>>k77l*ocLjp>zQSuQ^pJGW)LSRQggV~mHsW`(!rHLW3oU}aXY2)q-18NAQy4WArgK^Fz6 zT1l05XyT}_$Xcqx<0#lL`EO2NOaY-XYNHb3p(i_t4YAn_OTL*?f-8VDd~*~bxMN6_ zaOXJV69lSE~3r7hHH_gElRyH_Xdo7_PvOd&~Q91;jA#ZJ<3$`GMBfL{n0 z33zv&y)zOx#Y~D3;HC-ND|8t34#iqA02q(kkPSOz$dCGrx__nseK{T0^0BG3{J}4C z8`ay0#KzJz#VXhVbW_H$qz$YWu1H?5T(Aed;4!ZNVUq6O<@V3m)6$HXYzb1c>=D_iiDy`2lgZ?$10WX{oFAB^S4~cEY9qj|b${DJxQ{FAG6fXym zePeN>g-KBu;N~o7+UyJ{+A7ld>fbIF@b66|zlI~My7_&x5)sb#P}g6$FhZ0RdePn& zEWL?>p|EIf9w>sszL+TgbByPcT=#3(~j;$)3f=yLDujT+ToCPAuD=_5u1 zq*v>^zy%O9R27Ts^jzYAD@)Li^D2p;cNhkhbRH~*684kbI77$0P0G_D4$8MiNaF7R> zJQ$Y~xO@`e3wV49Tu78FJ)hnNEJ*}IUd(m3EBJAOUKCXyOilA>t_25geOxu9Cz;}} z^A!^GqxP;+Fuva3C*}Yx4`M0Fy!2#1p8dFTtpG`9U*>H&pa$+VDh}D82u6a0xX@M+ z6vD=?*v&w|9n$6R(qg9ePdVTR90W12aM!7kWWP@s&20UuJs3hEL)c5N%w$U95`USu zN)*IG2zu%bZ|+J3EA;s=Q1BeaHVSRudYD|JS*6Vd4*P^?;dJGHFk=KM=GIFIG={?@ za?zUB76ZUJa1Ez|`E{EwMaU>4<_)O}o6f>DO1QL{P%c4Uxk6AH5Ce_c;s>Jt0NG3x z_!{Xd6OK6hMU~x&{le9xxPmsxuW4h61OyVC91zyGwg{)(jQ;>!3ZFv0BYa^#6sl=ft{BDa@JoRX zh5>}e$F2ArBW8Lhj*f0=^6Yu~8sar;<4kZQa6kV{)iF1CIB+>B7C>1=QbPeksroKil2mlO!LaHTu9 zC?1DDdbkY%45>t~Jz<8i!(B}Da%7$U;Lwzqi0M0qraGOli%BZqtmJWlMsxZ&!&E-39 zxnr~d-cll8{{U%`$Z5o-Jm$z?N}NRgV9DDBxCFR62LSIt-fK#-GARu?sL{71UMmJF zM^O8Ut;z&ui-fO7oD!T903R4dUQP&Hf1?Z#3`>*|gFd$=3?1fcRc=t16W|UM4EK}= z&#e8Fp0EIf=W&S5hwpwlBc}G`FEx@A&M*qwj*I-)%&D<32`kcKpvYAnE36dvopCHF zjU~K+_Y~U}nM$#1CyW6f1%1h^@+4ApcK&0{8VB8~7^(oAm}TQhJ-8y#g7!a!Rk0CW-x-3WJclFo1xQx%%aO3lmrswRAQI0L3B0$icFj%3Uu* z7we_{$dT(Z48;o1Zm?)7GUR>o_{Jp^9&K_0qrN$G3f&$H(Z)cRzK3nfNf=SvjIb$0 z5*V#pTU6t%XEpoxhnyCJT3TT`28Isy>?`1XWk__STmsKtJcbInRA+~yjd?tZ;*c`8 zr02I6U2EeAQEitM@?a=!CH%xchR);of4OL@PpnaC98HmMO)kwBB84pmtUBP0D`>!M zBWcrElLv?TnXrColH&zwiS)`CZ7JmUjhgrTWA2kO7Vx&2)uy#al-ghh*6%d+QI|HeK0#Uduw=Kj(fX+h^L1W+tze|7wZ+5}()Qu_j%!_|GR9B>M9#mPW z?jf!Nf!yWkr76uHF>0s`^(A@L@rWZwC}qglDvkiaLYh(-R)nrc9l5rMkYP0|G1N+r z_@8p(4FV;BtBDH%;YlWK+2N0YCKzP|_M|VvE@$37%BD;t(s;9ASQ2~3$|X^Ux#D0W z14(fEzF ziURWGgfujpr@z-IG4hYm#p(;arv2(*OS9?ZI^wSUUK*a9QY|!puCcdND1v;i=ZuvV zc1i<0kQ6*H?J#XH6%B|S-T-2%_M}y2G#MpdDi6aD;bW&z_3V6NWg0=$X5_cHU|j+t zqMorWP2mwgM>}e?KQy1NAX&QKj~DYLAcH&+%~%kt!9A;to@`tvh02$pL49?|4%MJP zECflbPT9u*29HY)?*dE!A2;nVH99OERvEP%Yv8CjViB>yX(R4sLW=$b#Q?@R(_Tn? zaq>ON3NL^61JHr%Gzz6rfIhdIVAhHA00f2*o5|@E1F3{jUppKE@BU;@U*YQtQ}$c} zge|}wLv}m&9H}BZ@3+h|!8G^(048`CD?J(H3yG$Kd=zw!MPk2j=mdXHM+H#|57)Qz zD5wQsExeDc_TUmbzHp)GEGQd8FLqGU+wHkF75DDuv@N;aoN>Vc;5=e&o`;M6=AY%& zY{Gz>U&FjLww?f=LT@$DJ-@lIXN}>wz^m5_U9@JY9B_Ip5dn{;q$!k^#*acaoXZAu z@}7(Bz+?<7NL6wfQ2=c#r$yEP4uWgw7cRgoQpwjI%Dr04;HA2H@CWTNn_YzQnqX1igae(W07?>l0K`H(NH~Wl3ObYPaga!sCG+NY{ z?P3pdXzW}TP>X*YFFz++-eN-VVu&fMX-mCfh}*XzN!9Klzsy7}G4#f)W4Vwc0hAKK z&qt2nCYK=*j-K(7t_4~c=-jA|)Bga8MQeWvCTRN2XO>vtG57C0w9Kimqw1j6t*>BD44^_zoLQ#%xgh5;74oAornXkutcC;Y|Iys*J*5^Zh8q6~A3dx23Bd&mJO zV{G8TcC>LSplVzjw?vp#7r5S-SZt0#6)tp^xCuMFz$Omc1vF(!h%EQapxHvd%ye+8 zhxx1ARj8Ht;#W@^z-beV+(Z&J_smTQRp$qIapP5!?I}BfUR5+9X&B)GHo;b{YYk#5 z@*18UVo2GFh6qQ|xE@%=H(W?UfIYq(Pp}k<*TIoU#*A%9e*wYiFd;D4w8pKq1FT6Y z*>kEKjF4jQ%@!Cms)tuFT1=c>GanE*jVPID@F z8b^Y6cprNT0!NExBOCm_Vv?Ri6p%`(haqFr2}X^-Eofqq2803Bjt~|ALGd?$!DGR7 zD(Y1;RBU&n`}=@w9b(dpXn7{C0JEK7!h^Ke_&E-LaSVtuAGit;TlhPhz(Xj;>7i@s ziULYhVB~?!ssPQRtJTY=Bbc(oBj_;~Yd~Kslmk}^W4M=0K3u9f*tiWI`1)%ZFH^W3 z%fRm1`Q`->;nn{DS0EFh4|Dqr2;<|t{0jv8;mM&+d3*NbXo#fya>RBWFrZ@h0U2*h z4*}o($$1sY{+rU+s{)=J8PwKnvO3`!PZVA=qA)7>1Hd=QedIU=8<%GN4!JsP70w2^`}{ z!csL)y%;7ijZ%lC91)&JBgHOsJL-m1u9_hpA1`=~KEyRA z3}wTpKF<@Fl7w2Z!{GSDT+k!c=(qq%p{p{&po!&NVhCAO{(*r+ch&&4Rd!W}tBEZb z<2S_J%1zV(=8T3ngOgY__q^y-N|pxh0QuMi}yiGhP5o2G!ui6>_S>wK9oks-N8NtC;PlU0r&gy=kv6zU#u6- zW4nMg;aXPUR0tpbmBf*hMfPIKP$0s3arE%>og!5JViGZM{$&D3xpw2CF3xxy+|VBb zV)GDhk?*;6p3b6RPnr_s8?z+Z*w)c@*TImE6sRFDj-~+-yGw|n8Y%GbnI1TsDV!`1E*;)Yu*XpuLDD(on$|rymxDt- zCIKyN(toLp2v^tUBm$K#2PgxX<398(kOp7F5hMT|X;BidblonFxM zizEoN+N1hnt8s3LZDyO3I94T;^|`TFJk@n_@U#}v7MaX*rxr~vGD=px)o|3n4}s%Y z04sUr+#p{#^+r`iUyRDI4|pl0!6+#*!0;=A1v+4* zaDzE;3{`b9ps(&i4LdR6bF(eH~_xY@rjYAbxCIXxY53$xN#r+yFtvjH2`~Ayyiw(C>2q z;Qs)r{ms+Rn2^=(0(Ci?3$q$|{$lv}GPU8Y!jYaFK%}@yPzBygIy(&V-btVj%*0dK zKT^XFUiJV7OTNqu+x(6Y=w=3(YyvotXf)G+_8QThJO{!7RNw$ZMtqdOh7|9kJ(Q76 zK#|JP?H)dcAY_{-yJig6=?no<7CcweF@Viv#K6+)A30Z;g!vqW)#

    @fQsSAR-I> zrV&7&F%|g*xDq&kBhj3x4xF0bPrn-EdGLjHb4X!M)H8H+MJnS;A z@Ry%v07Me2(`kYgTQPVTFtoaSusf+Vc*-m^N6kB|2X-+(uYQ_kV&%SzbslOj|z05t_@_O)N!(u8($NE^q^Emy;apd}-S zRO>pWH>JcWO2YSYy zJU9Sp85aR<@AtX9$c6wW$NbdBk{57`*cl~H3!1CL-EImKq<*+`_8V{zP*WXD058k{ zK&3H};&3)a3V=8i8iGU~FUQ6x6fMm|BiOk?d<}?f!i44Bv%nx2-E_(<^Fw(6J0yOm z_X3Bo=HWEQ;qbhB_RZaQIml z5fn{>$?qjm+!0ip{lFDZ2_;fO%-cYqgeduN3xThGy$OJW%UC|DLrC*$L@=a^+NB+2 zE2+Pd^u!(HmGLSV5nAu`&ILX}iK4tiI%-D0^5*dpvS&G0;$Lm>;E)!L2bU?8D<9F5 z0tq+!GCE*Pbk=|d?O($Z2{|jsnGGwqj96*!aM1y)e;nBn5Y_wpfeS$blal7KjrQdr zS>cU?PZxh{fKbTKCFR9hXW}dlhEN)P#ws<$#0l0&vt@idVp7}l0uO$Rf(>DxQr%!p z!6Ar=F#EZl05!)6=mW}Px&_2tyO?fJK`f@Y zfjvWn&xlL0_Z6550M)g9IiQ#fJmYK)5;qmboarZ$^Ug)ypo!dA+2>q?m4`iH1eR) zwtySPBI!r`a;v$at(g&&7)p&Cs)78=9He+LC>))@J(#ON`D2t--Eo8$2h4-Ix40dT z5X1<;FqT=43Jr5{rroQH}@C?()$#V<%X&VFxS?p4_U48R29Rn#jfJsv0 zr13Aoh*1r#X^!`RQ)llQMl*Ero|{L_OxYP-%%upNaYPZ*`GR9wQ})Y^F=1ZGW>aw_ z)&&fRei9BK^#H{;@JB#RGaVVrPc;b7zk75bS6(w*nYmQ1Sa3Oa6t== zvPj%4v91K%@C>9@dnOuRQ+GS5ILuK?qC@f4BS;Bxq%C!}v*rdJ56%NZ7uF&S4HGT? zWm;;h?79pA5H^s@U4`m?Op2&hvn3M-s`8P-6S*$Ssl105AN&-qghrxoZ#BQtAD}AuF?iL*Hv79lU09`sOP{ zp~I*&gu!(3nV_w?=`3Q&t(cP`dSEmr%YSjBI{A`fSakgG;(}&HRn?|2hJ}tXC{aqp zA!c}Rb@$SgbxRzN(kiOqT`+i^&OaO|1`KkIso}&5P!5#vYa>K(h9EuzM(-14S?4LU zMmN`|8dL$3s-wi-EnBoiTY(l+3wA!DUcWr4#%T^~)vL1df& zI)1qvmKKHjasY^upmSr6PIiP{Xq~{azT$kK<%XgHB9+)rSert10DXOM*m(_W(TD*l zo641D&|^Z%YM7+!!)hpP69daWjeXn$8oCha!cj0*@mL4IOT#xBZp|>3Ao#-B0#$Ty za3Y{L9Nqi_1Tj6A8Am2e3nRiZ7diE2(I8@{6D509F77QlH5v>;HSPAvT|;X z`%DwIq**jT!Z!-4#1@RNM;#E|vOm9$3VIT z`!I47-=O`?vQ037Asl86V+py`#SK75k2zmPdjN?ZR|vVrMvpG^%ygMDC*h^dvk5*$ zDob4EYJzqrZMkN}bbE~vWtZ99 zbx7KUii9JG?dfqrxUCyT#iYp9CbjQX)V6)8DXhrd?I1Cj5$L<4fCCj%} zytoeyU{H(2&esYcKBT~tVTL)dPM(ZC!$2X*^%^1UzL2t=N#lkI6< z%$HUWCe1y_HdE0i36zAq2RbQEQcrFS1qKvw!$}5sOz6ss_;Qpj_g5;4 z6Hdu}nG+^oOOgLwi4Xb%O?}K5OOxT1)EGUUP3Vh}ueV#I4S-Iffa>WFDl(T#sN6oNG@I1opnwTUV z;V4`UU`ytI+^|DBf3o5A)7Auz=F(yvw=Prn4Q8b3tCU`xH%?+q69qxefoZ{0LEC_z z>xv!tcQjMhCkfLoHH}4aA$?*x;>CH{j?~=2ToC=kgh@O&(tHe^M8PYrE2P(~p~VI` zupAn9K+UD-(s}a%NNI5t-&AwED-+yNsI{M21E_DVuY~~`f$M&svuXxrU|pf zmXml3Tr4!;q(Oe?(-#SvJt) zj;pZqsLQ-aBt2Y(Ex9cFtO$Cfo^;HhJ09kQl=CxUDN-}Ri!?%MG^N59P(FwKJ z*B9-%t{wKxgV!R*;lb$r z4k`@_3HWqzjR;rp!ytJ)CQyNQiA*63wNL2701kRgD5(-dHUZ~`4-#Kr96)Ldf{|ju zX3Yg{gRCL4xB^l{e&A;@06~Yjd8{ZZ1`xIv3-=r2qH?^g~B-IErxFXGFyhrbg}Ok)%Tnn0qwaf?!Fg-;2l932uFS?{r$(7?mm0MN4S;4 zMhm~EH$kejqwYjp0c0B!O=~sqU0_AHN5PR$l4vX7;HqQ+cTMd-OaboA$^qZ*bL|iB zwm46v03RWP2~_rfV~UzkVds%A*Dm9S(E?0L2eDSiD8WtfG)c{P&KraE>|jiQJ$CT8 z@cL3Nzqnz;W%vl~brd4wy)II9SYz+WZF` zn7Vn}AKBv{aF3p#Osxi`qa1t#U7nJVs<@A^^Xp4_wS8jaX90-7;$o0=a%e02DR8mqnc>cKXr&k*YU(A$T z6&r6qGi7p8QHJ+$6}`PAdpxFXRWF7;qTvxX#TqtTm;f2DY3=YiSQ|E1>U%M0CmKAC z%4CGP@q#vmZs4^400)-3^q(SSzVaQ8h?+!8K?*A2Nd3VD{z<^3%M?L|bAYox! z;)$~y87uH`t<4!vVM)UnC=&6V1K?p|kGNxcS-z6XhzTrWK$n4Be}`xXJT7$})*2lN zT{gT#y-Hk5;w&idb5;Jx|YgAT}Ka_Y+PG zH&Pg*HSjh}BN1e9P(VlXhDq(844mg0b1f7QdDU(bg{e>OMv8QBqc-59cZuaVI3g~z zn8=%Aq&+t&uy2e2JGJ$WGb52l&BbrUxwYT{aXT`B@x}OxOf`nH80L(W!UegOmHK~_c?8u&Sz}ZXq>lsC}xh6Cz{Kkm}@QwR`1vWH( z@?j|8@M!6Y5|<v3hy>PI(mBMiuk#H3SgIB`-E!k^)dNGNL)dpXtcrXjy|3+Vi6RP7aR;8 zPQ1)l=nI4{635OEI|&pM*_B1>WaEY;QB#Zv@1HraE|Sj}3gPcILGq&$dWl&LRdqe! zlG67Rb;KFXJ4gVzb>799V!vWvDtZ`D0F*ev3VIXb z;UHRT?ZSc>YrVxgZ_YAi-~1dmb)es~c&tTO;IJx|FCm+q5Uwo^v)IiUptWD}V2D^Z z6#@5%g=r259&5(_U@RxciT4tm1_YsvjHqE)7Pq;~1fe={$ZKx>7=hb8{usuTz`rfd z+6SSMHqie7m>oT1iPJ>0(amH?Q$ps%$!j?v#yH#~V+sl@g-4@{l~!sW@2%idzK&dE zs;J*a7sVl7E1m*}Z?fMjY^$xg4NQwI)Fh>B%x_ zA)W;%AK5Y*H#ePsm<-q6T23g#0akd)1S2*08;Ee!&7e~`fhb2<4MGfV3=Q65VQ&5D zvK~iRE;6L=PgemTgLMLG=FkK(juJ(J?8&vnsEyLNlzo6W;z}Oq6BU{y?0{nx>b_O< zNh-aFTV^oP0E-UOgN#T4Q`9IB6? z1^rhEK&7;Yrw~~-l}X!>!K4r%dH7-=PcAIuWC45_ni376qJiyjhj1GK7RENY2-J!y z1H3cJK_>ha!iftv4l9ad6y9JMk~=sLc!-2_OmTn@3VLvC(kJ!AL=!YzR#CODh4LP< zfN9hrVRU5xD=(F7W+7{XQR~(ju0i1z;1j{pJ@&p6B(-Dyxp22pE;XnP_Rm;J301r847fBtMn-rWhUrUzM4lnvs4`I`zNB0Ot zgoLnWkOH9yxCDBfA~kl>PGacUj@fX#rpk2OtZXHD2N1WzXyw!p59?T<9@&QY;cJyT zB%@2sV2VmyVk79|IHVmn3#lDOYbT4dt_6)n<(GRzLxjZZk3&_>06Q*o)&-SHVc0Bwm=1)es9>zg`*7G! z8cVB<=v}>c)11TNM5c5rieF<3BRljq)l}efib_cO3`?B@&-KckJfbyEZdbUJT0-)M?Ob-!CVN7L zUMf@ITt6W3KYwsBk_9otP$q%Jst1_$2iAMUJL3tIjjY~HR^$RPX%w^_C%g|pp}|iW z;-b2KJ`*@0XELIN9xa&2)h)(^!+Oou{DumLgclSR5+s?p9gM(VJQoQhfA4~K`ou+0 z97|Fm9HH)bz#0&7RFVg9>__v)Q4?DI=-?DEHv7YMv@jrbE(Qqw$hAq_>I$2}3rAQk zKqs|~&9q@i;F=~^rhUyarQwwyW(RVU&Ip73$^r>L<^WC?t_0G*FhK~<@`nhC`atauP z&JRCuNZ>Nb8~L5Nx?D*^>i`N<6U$sy*Mkq~K^VVb3&kip@5U!uK&7-dw6WKjwaKBysF(PO*{L7i5arhtHS~5bhV?1Ibpbj{X zvm5a@g%5u)sTzaB%s>$Lt4~G3?a(g9gGl;t0JbbWzKo=&OF|h$(f~x$w*U&%)WE7j z_L=L&7t`5|Tjt#f9=t{wWSq$eHRpyb63<~^XXw@vXdQa9#eG>hdb+?Io*o71nk z9H9l`f95~|Rq>nnO?l51uFe`@znEldhMYniZNpKj)L@*7WRyB)rXKV~n!ZWZ1J1hJ>#P?Y{EBC>UjT*R!Q99%n z2XBcm5m+_llBt>~gzvP#i~%Q1ZB-mN0wutj%75X6Cdy`=cMJ#!Y3DYC+cQT-O-*2E z(T(4=TmlgP0CCBW0ZCq3;Q_EaYUaf}+v{Zm80r#jRC{uvj^YkOqpukPEgoVRWz_@? zbIFQ}rA-N6cM)syRqqUnbH6aoaGv?2hOLr#;L?6&XgpU5D-mv++>AP1VXh z4go6C2csjPp0EJWnm#z-xC#$=8KM|`57=A>K`&C-{zn!oP#Nsy92+fcK7$%+Xav7Z z2*_CjXZF6;@Oo9k$mwp@ohz+EziGU!IdkA0LT_TS-M6jp6M+u>%!AFeLrc-|zF#0nj zrs^^D`o#=FaD&$HLUP`{E(iz(r`LH1AnxlOvlF+IB$sj|m;-1v#i6toLmJp6NI%8i z5JDWp0-?S|_+w!bl$sU;l9nqc{j%dg5(dn8zKkxrQ;iAaIE2*0LDXhitDzQ`3A$Ru z_4=kb8U;O>Y}Ej5;ZxC*ph$tcH;M;QOU+aaAcoTY=Prc1FN8-JBvh;0;{3ru$nx>= zlRywEg=Wk&3L-Hp5LiCY+;)}6LSRUQ9?OGN)3+8+B4QeyE^@>; z`{s2w6~ut0+l1;!FZXzWU@$9u$OEs;KuS%H49G!wjfJ(h(U4Sj&2W$o6isx6(7YDvQ7=o%~0witQPV&H46*x0M~HV9e?gF(3>s>?IC~}+@oL2uxf&t zJ+Q)#6S3F)bS@fD!Jbe1kUDT$w;sTuw!0L(-aRdbijH6hu z>+cs7+$NxSCJ2$6LU}#hyHaZdxzQ64{tnp44W0Bjgu!3ao^dFL`uCd3VH)>0a1HVf zVAX~5i>(_`y*>WoB2H{m@JWd9cDSH!zqw($Q^d(49st}C+G0$&Qmw*4UOmJDzsDy0 zQ(PxOG58^BVgZ9j?=Yn?g)j@Vkek}wewj>}C#Sep1bd2v@!#Bp*_OE>F6)nQZGq}O z{{WcJ@U+c@n$^O0ri=V05+JG6t`|c1VgVF`kZV;Th>+cJ)$@j#q;@(m1enzW_W8gF zzBzlkePw6ZG?cz?vGa)L&=pPWzOHP~D1CEJkzz~qDR};W_F{0UM5B0wbi1mUfCxDSLM8D7# zZ~&0)iQxwm1qkFqXQE6-=@Nvix%rbBKqL#&Pk026xaoNQW|>K0n4B?g=}!?PF)0^x zF2Bo~rWe^vWiF8+{TS{w$v$A|N+n&MTtF(CRDzHU+)GXUG1`i$y5KnXjb*MQr{j^8 z08~;wS(~4MLCyKt;9(JIPCvQ0xDv+u6r1;zl~1MvG*?LWn8{oCt-RI5E{UuO5l)GG zC%KfZBC>Gs;0}&2a;-t9c?uA|@DC(B=G&#>ykIZDmm8+(KMz?!8F1VV1peT#M*jf% z@?_H5PB1B5ev$HEh`4VHOzfA|2`g%Soy6p)qc2|Exm0K8j~CqRY~z#@V3z{XJ4rJOaI;s_18aS0bx`4)yra+}4y6A@KX zA$o%u2O_=|vm-m3pxi7%nkG@^KlcPIp}&6hox*CE(m(_cMaaUV30PN3>B{iuu!qIhCw8P;SiyPFEksuwd3-MA;qvVS@M8`1Ew2D?gC~+x>Q#DuV$u-P zLNamB3O5^4as0r1&6AErK4|>7X*wRl>~RnQK6r#IqYog+2iM$7oVwG+2hE51+W35Ymn!^c}CMsenZVtAd{26Gi~hNL&@a zB|Sc&kb){8e$3H`;|%cQw+)%4Rc`+PG4lh7@WAo_(;zZe6~#2{!Bx#8V*AMoqkpT4 zRpZV`3#E=QtOo^YxE6`b(h5Yz0$pgnM;Bw$c!rF^JE(u%X7$k*>vNpt6x6y_oDVcLA`# zYqq{&qFaiV8A2491EcGgl}X&V!e9B8YrGRRZsT_$2zpG8H2}QIseIQz%ZxGWpSe;R zj&Chs)yzsGtBpA|zl;*Ch0e(9CV*Tf)|VNg-^X)BG#J~r0!WR(12k{0Fhe*pMcL@c zNEg)3tA;DAS`4H=xNr+xA=>`srDCz}iGh7K>n!Xl69pbmjFfLn z{^c`ytiqj`_6`80Kw7`%Jrmb(hHdTzbZVKScYZ}gKQi;_7qP|s!vgMCh~iN68_xg} zPC_#pAy=Vs(ha}K#cX`u39RZ$5eE?!r<19(oA51PGAHk zr@5L(OE!JoV1Oc-uo_AJd&i<1OceGH`-!Emer7N)Z=5$r6NJ)u1jx^a?Z^p9tB7d4 z;BykFJJ9Z8g^A|g_>(E9iSG(lLhFP$7@hN*SSY`8BYF?!Y&*xmjzAzRh5L++1J^fi zX5oy?pY?c56o|*mj61B9982Im%rryz$bR<=R>J;bH%p02hEd=~x4>X2)gkf45rd4| zO%=w8p!vlnnlUrhGD5|{Kq_@!oM;EKXNVfgVqp_s!grg>&f$bngb(u>;0hQwmX(SD zto(97_Ib_VtKso8%sy^vLuniD#u|a6f3{2)1OYD17mJ8NG)0Q{PcA@g zYW|q}amvwZmFV25An#_@xS|?LVD(O>GF3%_S9+K)bU1nFnX~|r0*}8_EJZ4=tZo9J z6_H?C-OLdcnge;j#AsNR?7{Xvw4o!gIn25DN=m$W?RkCKN zW}poS@x%Z%6-Rn~bCU51tr{%HM1dBKIHPW}cAf_fMut*2*bt=hY}Xvzv>dC74Keu1 zg$V5Me^kZ-*(PKbTubZkn(^DxhNBKW!z-*~g2 z&xfWFLRQL5j~`hj&{K)5+q2UY4OG9z4`$krhk|0E#Ko)=Bs(1(O07}2zK+6Q-R7(x ztp1~yqfT{C1jEXL59^M8jrhPEHYfnga23Yz7x`ug)&?hd()+-~#vA;~fdUr|I>_20 z_z}QtVwbK&&n^ic21*8@_s&xZz-JV{_b-V0Lh;QQjKyp}G1t>XOp`D*qK_O1(3>dg z?qI;%bSiEf{$Q*wQ5AlAn1hO~bfaQSkR@rmQVEo-M_sDc2(?fKEtJ40FR5@|mTM|J zj^^%8*g)Cr^N83RdE*mm+L8uP+As;=!Coy7Szw#=@R>t4(d5VI5p;SS4tHChrNL=@ z3T3XSY7p_efZCBtSZ{_Xt75Ffh%^E6B|>DG7K+!A93z#5u62lz15OLEx8z*CJ~UyvGcnB;ec4OlW8l{`sWHFcCyk;hJg>#`T!jh(a#|91Bgoqk7^nO9>@$VP6g&0g9&1cX;q0qmVwb z6akOJlP7f=mU9Moh}1&JD&gm)wKE87#<&{{V+1`Q(LrtOCy_Xb)Hl-(Fl$ zm;%#k{{UPC7ggp8uAzW1AGj!k93s)NGESJL04Ii%KGueGz=VnS0j2qmaE2R?AIuV0 z%3~$2t}Il!xzVU)LlkcSbVgtXw+11#M*u?PhVEok1T#0p*Af%R_|6P5fg91tRp83l z!^n}=P^9wZi~+u`vGZoTlod<+hz}r{s=wGB6!D^@`)P;Gzuo35WsCsG;sSI%+sp-HrTY*mE@yA4b;-IFFa8YIV zfi1NQ@y$iW0W!P{nELnJ32EwNQ+|U42NT{}qfZV8#K124+IY!F#&5TQ-%c9>@BG5v z+&M+5`+%_UOxR6aj*|!Bz=;<%Yc21+v+QCY}lu!2DOvB)VF6+PJ-h#-~~h$ao07ykfoEe?ruZ76VlcPfN{ z{`p7uG$dLlvl=4!>b$oFsH88$*x?blQmF=p zZ({clNQHxV@`ge{v!I#Lg!pL#hE%L=@;?I<*t5@cRB%Zx7SP8JiQFp`cTVO7t5YxM zk-(6WwfJ8dq9iYFLNN-4y=S63-NvbkGa4IQ!jM!Ro`0BiTD&nKvuG@$4Jy!e(%><* zFd?rE`}eFwo@$>fa2*#3q?Y(7Wrpo{{KHnYFVf9s(EdXLM_7mo%Wpinpp`UXLJr&3 zCt=&nHpgFhS~t8&x3YP`hUxI)RwVg`;d$`js}oN+@`Wh-aa~XP3|bno&0X0A&XELp zZ~;kmfkO6R5Ld$v+D_(#16mjnx+5>#G${}aijNqoz~YpyraV{7)=)tp#1g5+40+h> z&m~_5c+ci2C!ACclHW#51)q|tn251iT$Zi~gdGRr!epn6b}q~a%of*0T6s;Lqk*?@ zcC%t_i(F$}E@AUDfI(-oBR>UxJq#GpA11kSOq+$5Ne2O~+2ti*#ji~u+*FupeO`&= z#Hb_^obD#tC@f0}CV8h0PgbN0Os5^MVRgQcqqnX@DVo zx+8$6tRTOlxeYtzc2u;8L1HoUrta0vvsql?gJ6{!2~QPC+wIE z(RLkIC{ye_YO9H<7=GdaQcAwLb&z6Tr}Vi}4e0Dks^DrU6?8BU!Yh>D$)4h$>a7#m zlC%Ny&Qeh{?_F>ZpY)CE8VH~8Wo^@7_#6PF(1DU$ z8aug=4WWsbSsUHNCUu=G9+D1PUoMaQ^^t zH8e58rgJy8`=%(MqBj8BSHs!B$WG^Ue3A5f$ed~t3ET%H2oGX=GDu}pl0v-i#a+y3 z(Qjqa!ax(bLA~a%0t^0DVo{)fp~3+=m?|rfWx+N`dJ5$e+sVMFJ7W1qY~blxfc616 zCqaQzE(*TX%>$!voYRdd*kHBoT#wUY2k02Crrk}tsAh`RA>{dz$9BMx@%fc{hV}e1 zQif(0V2m`Bdp#In!f64c(A~w7po{tyz%XnmpW~WJQaUjUQo?!~=G5c_W=Sjuhg=g1 zKjtieZJaxU5Ro%bd_K%2F2do~A~_kZSna(@jv$ePl4iBCCB#Tr2w(PY8Ffe6a=uJV zL~DXZm9*R0hh>du!H^K5`+AsBXc~(WoKUHOvcvtnRLEWpe;rIM@f7+v>ynhl2NGbF zQiUt@lLT%ti2{P`&K`&@^^wubvqdi@O74UFS zBdX;itcAg3l3|X_%CWbZs(a#K2D=)=Wi~khGhQql9Rop2fSqZ6+muhR#R_1iF{`5q z*KkC8+lzq)HE=z`z+Nx{jlS}!y3ORSF2W+^4OZ^(HcNrfhfIkg(HV|ie{u8-Y7qYb zF+w+)2*F@)5ESzN0O|h#z|4cx=2mQ5N5PE=rg<$fdR%d#FdU9X5cfRKm@9B=4Mm0x zitK!sD0_q-%n98b}s@y<j+A_h!bjNoZNts%(5a3m;;NStED=2l41^yX)bizZZ%0JAU4io`KCAO>cn2F~8Ia0-2-c}!3| zi75X7?h5N`=iQk8ZA3h#K`JT%@^2A<1tWz5kl1oD?f^rtff8|Zc?$}kFj9?s`J1)i zcM~DhdV_a(gi#STVSg@4gWFz{u53q(#?E80yE?XyTu3`3UUIu(i{=HS#7422UxTsWlH6Xg92Easde(P?n>-TDGr@ibkS+Fi9b8lyMAZ!i_5r`H0|kKg>WPBp=OS z9!;D$HsPz1T9E2!~s3Qf1H8$BGwphbP;rjx^-7=92LD{>-mr}WBDRTC7J z{9FP7+CBdOGH2nC%_@HhauBE1TH4`j&Q6}+8LCQRtP_{>Gxe~pY zsT@>%Ffxi7Aij@tHHjd`zJlVeu2PPXHsPmOB}Uj%kUXe{P#94gRzo)AlUTEuH+dXY z6@elz6WfALIH;>Y%Pe;#+ijU3BMUw`7`bQ~U54)`fMkUB8-dmarXb{dj+(F`?Xx!#6%Y!`X^k~n?^={{y8xdzKD)*5a> z(n_uG!wSq00#k5>uZ)&*hcMiSj4d%jD0tJzIDvW%GhVt(Kw$|Wr<_sPTM@I9AOPxy z7@1j!(IppT$J8km@5p265;&m@3?^iy4D0mUmguyi`x?b2}6?HB*+BS#R(*vCw`1i5DyO4E(#ik zwZ!9ckei;xpMp%fQ0VJyz@WzVdodwNsE-K+y}`mHKMb=djZWu^WZM863SgjWuKk8z z;jTPOw-B$>0HNrZORlEg!eh!5Mg8@f$Qz{hl!n5z)+pBdIrap|(F1$&n$4BmNBObB zo-=05uL@g;q75(kyqC)j{+U}Va^ML$TVMcKw+4Wl@rX6x=5`K$j!>HjPXpVLFZIfx z*u2LN2doM_TzFP&3Kt-@+39u7%+kS@X5c7UUd|Y5f_R%Qmp1_gL{j>uXc}Z8Ut7r) zx*zi;6zO7<&Ie_ta~lru%`De&j1ezDt84k2YTM{K%Hp*RU^g+^HU&LsH;SN?pVumI z7Ga>MG`NT~$NEp04P6cJN1#37*L+IhivShx71$oU{MI#XiAZ05<}jI$4J^1UrME;f zVby^XV`SRh7)4&TKwEGIEk{H0z6@&Ba4cXE4G^|t6C#yF_xp#|H7#1w92iBVGcO=3rf5B(bOFb?T|$nC)}Sa(YHV;6}30GTj1 zoGDhWi|}avU_wM9`ZKA98Wa;XCq#q%$fLpEIqeXtuRDoW4KV6FJY)$o7MpRSA$fq- zJGO5m9)c6hJm8_xLkMVr;2!XS#2;d~gtpFPp9Jyi_ZV|VhoeJ?76NTP&9Q<#9bhNcI@}O+s93+?VqLlib1$CoqB&UVnSMT50wEVQp<#X5oV>?RSCz zt`k8T{{Zd|Z=$#jk^$yuRyp_*?*<*y7LcxA1!r{M+y4M@1eR_XklYC;l44nR8F{sG zCK)PUc?#N)m{><|j6=M@qrrg{ z6Jn~5s`CO}Pm%pFtnJ_QJh{-Na1Di=a$o?evl#^e$Ay7GDLhL50CKRA_XoU~l#ZTp zcc80*2V5M1kZ&>nuLp5AS2L6O?jWR^HjhK+~Mt?Iv zE3dEmxd8$n_Ziefua;eAs>ZvUg+dL@wo$Rf8rdZK?*X)e&*sF-KD-YOAVnFPbo95t zxUqE}uE3JF3PehD2puTxFoo73wisAJYHO#b1>`O& z>6icrv9lfIH@kdi1zgn4D5Wk5f+}B5BheQEBXy6!L>#Go;H-S_Ad6^YZkQBj19|I0bos0b0kIqe0*1yhi3&L++D&0-AGk_iZYU1M<>qiLcmDvu;W*G6!ev$Y zg%uJjg{U`2!x0Uk2Itv_2GOK3XX-w2c>rk_bwe6Uc5h5$+m7^4qo2vVq|`5yK4tMJ z10NR05!gQB8afxnA3ht15C~LtI0n}VV3h)2_nE!8S|AevaS#=AQPsxnAt?ZfW>_f? zTX_61=#cFow(UJ)GkV}Gm^JBDutQ;obBU!@@s8OpWGn!z52j(> z?l z(C`?=RVup=h~U6mp@j@cWqS(E*YGspZNWh*i|W5U!|%K;0PfRoB)n$pu1^Q=IOExfO@Jj+tt zQmBIsHNZ(GqXv6z)-9n=^*s=alw1qM#7UP{#h-04wi_G36p!aNNN!Sd0f#(s3kj=(TrtrxQjpQOXePF6H(B9oY#2L*8T<19$O3op?y*`8 zjjm&7RZaTvRTuS$5g^zRw0%CX83|PsMS1HSP7YH?p~XS5F1Oe?`uMTH zh5%913`h{D>~e*l(G`;=1*F9I>l4NWJ!r#~(lzHT8>-{4Xslkj**KJSXTWa`!CJ@2 zFAR_{FQVliNB!0)F-mPL>B~Ta{{T#F2V)%E zDYGD;g}G1@P&tKXmoFy`XM1Aupw7T?+c>%9}G~v2p>!paQ^@d4gn%^LO=5e_-0Um%=a@`2Mp!7)Wm`S zi?4Y=H4mN6!&d-u^9c}WX3~vZn#H$WI5EL5S2sLE5dnMWnbthqsxKQb25M%b);k>- zR#0bOw-s{Q8vHQOaSda6Lm-?ie}+iI7E{GSh~Pz_TZ{{gb8}#1$ypO!C%n8Pv`lY4RG6uQZ0Ljg&^;< zAcpDx0L+0nhDk@(VPy?rDSj9UPW#J^UG8HSjwx=|@opQ1iCd|G9q;BN7r6wgIZby6 z!e{0fYy(}8YB^|}@qz?Fh7LOT$|&vko1jQw8KT#)le*2c^OQ(7N`X!7%EIknV23J9 z0s~V_nr-ugL~0++

    HwUpIM2cpHWR8q>*&N?W*fGGz$q^~4Z?)@IoXzB8e1%fgn|!#0s>L+`C)5{V5aCV-I2 zJZZh+1nHHH3{c5xUBsm@*hR=}ZE=MUEzTI2A$Y$zF$zITfdQX?jsiC-r)Dd$`!FCN zXFPXz04yY94Ax3P_%fpn&<}S4Zf3$>VT!2uNG1UgQ7g-U90ZC-BIyZnkP6QjwF9i> z-MJmX{{YR0x=Y;IUfjT_SijscF|$*9&tSY^h_q{h>xQ<}W+6a}k_;oUtMs^fBMlh~ zXCuu(PgdL@Beka-OL$(Mtb2uYDUcx`w7>=lh1Sa4P|{T9DXcvAFd94zZ{@?1U{rmJ zfIThMqa7MgPDFrc^jC&7L*Qhhu_JMi zg;w=Pv&oTWoFG#Hvb-H)ad6kt0t)kAm`lSbQ8p8YrvqZC{{R$xrU9#&M=DUkBk2ez zfOuJlFd9SoN6);Hy`TZ7R5B1@KsnkT@o5zhsPquUmF}ZR?0s=GAr)&DlM%LsQK8Yq zYr_$3y&l{X?3F@RiMrq@c$BxwUl^>(GXXlDYmPDvXm8Qon8MO#rFOrphrj_#>B7QE zY@^%4WMlSIjBM3D8Pg;t%y&Kp8Y^yom>dHH$O^k(y-ASDiU!2Q*Z}lMWOG7g^rE;{ zx5zFO6Uo3KfwWF`n0q8k@Ruj1#u6I356mLu?!1`NG}|Oef5tsbGf+eVzLm>SE0RIJ zzvVFmn2@BrSYQ;u6MI=)01mILjW3TFY3lRnao#mdrBDG;eHhJ*D1cxHr7%+82QtDy zPs+?EsLKwK2BG-40(BX@ChRA#^I)m@<}~b8;v+h7kG4C}!cMJAohQ zm_*u7^Y-OR1Vk8d*Z^>LVnYOsLpXkMBPcwOj!qVaO8oOTO{0GtP>7o;f+M<-8EbNa z)!=bFxC?qOh>lPi27R~z;(jpI9w{70Nx83B>YiZR=UJeV5k@6ZSUe=_iXkgFXw$vK z%#G}xs{1mM(niCT!*P94a;c06BpTr4|o0`;z`1gW7b40mt5u z92kX&*!6R5ruJ%ka3If@p3k^f@yDeyF-dketG8Gn)%`>KxCmvEy)Br4iD*5T;X_Lv zJVzSJEqi)bH;$Ytg5KR)V>i0DD=;L|Ep7EaVa7}_#XZBeAbDIN16Vdpnvpad#7LS+ zHub{`0EV*kaG4#D+UxVrtcK#IQR!Qhku(bgB^dGdcN87MdyZNpEl^&zd2ugQ!bH&d ze7G~prT2Xpwh9&?f4Blq@sa`4nFNNzxD@mc=24O9l_z(@c*k_^TjG!IL7Q}909%n0 zjFr%>xb1T*EV)@Km|zwf!ej+8t~`I?kd6;&QSpf&CYoZl`j~({=Zqpm@rVThwx5B|3_ zaaN6c!vOhQ(MQP1aG%y0U?iB|0UWrPN2v$>aK-C3(D#Fc>@!>4zwpP}C;tGs8p`bg zm?g8E#7cX}pCr~VPv&UHk{GTR^AT=yTf561MXp32^AI~(hzEXg0B??FyW6G~;1Ek; zgK0+0c2*v+gAnJu0ae)ZE{!qMC@*FhU7bt?ZdrzKBZ$z7E1LsSV$o?gfrCQg7TJ!w zx^1Q-aoh)!98WU@l(!&pVc*;*vx1+_WoG4E+TV$hCXC^LN<4DE$8wY`-dVF$z=4VD z&QK5QB6>2~m1y85#V$)CGsbI&V|54a5EvIY1`$BEser+~0zek_kxNOn-7YZH0 z0{Bb_Sh@Vmq@Guqg&nrrC&=OnJm2g4fD)AVG-jSSBx-ikcKpD}p-e_Jrq&9R147#V z0{OuK&>UJiwSM4@T1MA@CNeIKwo7^rIbj6ZYwg8AFdhitLD02QKK}p=9+CsYo=cl9 z3-u99q687K(%~$O<_i0))b1BkEeVLyQdjq}&AKOsA+k?Vrn1C>_XMIEcl)Gsc=%B9 z-R7JdxHSxb)^ThDHA==mm;%rt6E08&7=Rv7{$x$vG-CFC7^?$+w-RYOlR7G^OO+1= z!R<-)c3_Jp7KwlUXTh%P6bgeuYk;fAysis-n(hY@HTB351@q!y)7)*y3tw3k2i|Cv zcD3~5gEdnp0yM!5DtFc$8XimuUYY#23ko&$`}Y78@&5n}>XdoD9EsW43yJ95<~=-o z#2^0vOxzC}loS16hBZH_tfM*RAg$0zkip(PZ10?71w`3`8`XwII4Au4zz0+pfy3c& z_GQR{a>g6t`{2pF#bOsq`HDaY0b}o6aaEu{2j{HwgH0~aYk~u1P7C0^us{nX1GB~e zFhV~bZv++xu~jX_bcymh^XJxUvI5D`)aaNV4|XKm6zG&HvrrP&;HochvMM|=S^93E z{q495+EIW37y4|=AtiKFk0+(`i$w^7YrL6u{@U4 z3QFO@m_#$=wmGBdvjgSAMGQ)zVy*<57IbaMjKSD7U>FqM?%gMbarc9$z^2mGloKa} zO@VQBbvA);wff#Te@U01hqHqH7Q&3h(A2HdUbdf71)25;mp?+fRlb z1s$bZ7sMVMa{;=4o-RY8m=XeahzNKdJnAAk9P9!+Hey{-@o^8qMO8DPo}cx0{#zR&V^ z7eoQfR7l|55haUJ{^rfMAeIjtv(PXD1$f^a;Fs2D25FuMQxTj}F^05)&UMYJo~U0~ zcb02eIk#N6g%RuPiBWeu ztR2VEjRnVB)jA^D`?&@?PSqR=zKii(t_OHki|?zB2!r-9fvI3U5B4}MElSt~S%3p% zp^SXXy^nW_VC`{wrXeP3ig69563Agur{6cV!*G_NtH*w_84wHShAk)1!o~}1Q zGyTQCIOZr^-q^!t0P3hr3i>?peK|umhOpc4U=d?7LzAnNWsdS)pR80D=ko;E3-2ka zk-*?-oP(Q&z1*?_z?>Z!iLbT6A+*3xyWTdcZJBA1fEtOAsWCJ;=NdAIsf+{5 zl@8VCrZE>IBU_aR)-chm0Z3k4Xo|NGqERscP2&nCz?f;%IPt-&Mi_RY-Q=vWxEFR{ z-Rork05fT4tkNd}BtGFr^uB#LtFhe4jmkig^T4W+yGHrHkcT#Vluvhe_2$<1_eaJq9t%uNWe%q(oKy1UnV+vjz-k4oK3R= zndUg)1q->FRbgoLlV|ea^#0^C?7%n;5x_>fg9T;8bw`IRkKke*ImZT_{M>T90y&7d z23X>tXK@FG_04k&V$Fa$c2e>Z^`HsD0hcMbr+Je+)72Le+Ut;iH? zaUP#;`r=@TyzsnW2$q=52Dd-BkV`^n&T7tNNPM{(uDK|N;ES>m^??Cb0idIO!2)37 z!0GpvQe9+$_G7*`cvFZ9dNZafXGb81$tU}U-h?`zV=#&s9wD||guBS>x`$F?^uy!F z`+y-rE$y=i2%@CKLis!-FmNIro}OXU&5wy!FqLTfJlQgYvAB_TXQ0WFS*N_kI#`MK z(Sd95{GD)Yy}VJGBH5zcol1SnpjcOH!y5J{u zv4r7|tVmVczcAOdir}$!rh~5;jWr!a$)Q?$<-sd%T1B`bj1E>uCT1uMv;Zk%i&_^B z_HE*~89||tQGZ;|K-qw-?DHrJ&)g?uAlWc7dszC-)~)r7)R2fpDB_Ufz4YKPpc}Ye zM=3md#T1tAP^*ALJ>V6#OdM1l`W+k~mxiYt>-TsYu*D%wzlV84+@1Vzp}}s9+%|gt zWr_qe%qiv$M$!x2s&81~V<=?wLtZqU0IiK|rMeR9tqfTc+*jd#Hg`fD`V*TOUd z6hPh2`~1xne%7og;M=uNLzW2G01p|ZWhAMz-;)9og+yp^If-k>@Gs@oFYzX$_jm#c z0;LF!Q{Eu;8ZtVCio~>GiizNkF`8FG+Q(q@2oV!;Qzf-@6b}gD1Gs>T38U)?szhuC z3s20Z(%RW5=!PCGEdbU0gx+ifs$^_ljuc455laQSJmpoQewU65sc)b#eKc@A_8C~g zNNc_>M4a_1K0A{OyR}MHZwy9}XEc-$>z98SSg&UUp4VQzE)v2u_!u)_L-1(D3Cbhm zW>6iBeZM9hR7gT#3q%0$z1MC8Qts=*XA4Hf+vv!Jny2x;IjD8!{ul%w$GdQNqSeTN z8XDa|bBqcw_~w3e}H9$c9@81y5d0lA%q1SFnJI7=8PS-W#DNH zu~sZGAa)e=xWHZ8KbW!<7s5CP3l}P&Q`QgTeDA@QYw-llOqxhzCnTtgku<1l(J`&J%J(7Sdbbt*8V2@rh<`7YJ57;HelGDG5wl2MH;X zD<%m*#lV4Pj<^85Kloq*Nabn`xCg`M^DZAO?AezRtgiz2#>ZyyWV;9b##61cDC&=_ z1R5@Ft9r@G6uX+pC$?}j^`<2atGrxbpYvGI7?VQJ`IR+kkV*YAt0}kxH2{2JMdobx zfAb|fr!Q}g6q*;4D`wjO#MyLW1=gS8hRtFi2kV2UaudCyhNYVB2kJPqf2o`&uJKmV z4nFiSFkJQ+Pc?gvjBzr($Tl-1GZ2TovvvOf(ZtxW^PRjg0QAGNy<;=u0<8GLLe01< z68piLE#JwGA!L~#*Cbl7gz)n5RFbL$uhbo z81DwT^ujmtxKXE!tf-ko=qge3A8QOOg2NOu1P8AvaWr?S!Arw6(pAEX_OX(rZdDE z7^y^+vk(bLd69Jd&7)Afimwe`W5@`hungIuF8s&Am1NVOnQ)iIa6rb|lr21pz66t2o!xAlz=_TG)$4{in9#u>t>Pft3 zM&fYUx$h2kacNa1@E?Hg1+B}D!V=<{Y7QcZ-mXg59H7!bmBiYgp3D&z-ePBdc4fuB#Hg8cagcfxEcs@55S0m6*NjFaAED(>m_@`u@;u@RkSFvuzqlg0zJ&s*mrTw* zBe5<5p`&pL56kBh2}`76P!|_0^TaV~21GVRFM}!;?x`6_91l3|i6S_tQ^jzIkevfn zjsa_P*%@e;sZB_U<2w>4!64w^fdpXq_!ods0BATp=iyiEleuM?*6z-SjH@=JPzOz zX6N;>$ywn}2Q3m%7Gm%w$id{^8wRx2Q4WP1*k1tJeb#KK#^XGI6=`;a|sd@!J^`ZR_`8J zLTDSs7paj11JP+X_n2<$?BG2QPCycbtB@50xR7c`h6~8}!IoOe%vf+G`tizINQtCo zJIeZ!0q+AQf*Nku5|gJx3sqm8KPDBVNllXCkZ7YruXhZTY}2cp1wd`;=JBI=`3{49 zdoc}OWRk-F0L-AS!rUMR`@-5EV1t2a(zO2E(m*3VSoz4!+<%L5u|4+{M$9kODC4O; zCKxH_{K-;|mmothVC%=~`!Pyv zryB=}h#P|D)az_#3_)r<&KFMu2zbGCY=1L?o#m5oQ&@7ArgJVN zSFFJZuI6qzw~cEm!ad>?o=)HeaKvI153E`3^CX1uTY)6=17C3rYB+wH_Tt4o;E3t2 zN)wJD8(PQL>5*f-8E6E{AS&Z8oWy`XtC6}h-gwR8?dA-Qa}2_dtPrARieN#kP)}F| z)+j*UWHegt;Sv=%8w4g2)MK-l=-RF{q}~(nEY=AMm=N|>{uoeu zm@cxEFUOM%~Z-IWWBFPT^N*)$jX>jhyeI;$($N!2tsQ0O`YcB&tcG z2lEMru*Eq}xiY0KTwvPt)VU#heE4%=wL{->ifMmqiV6sF9k&38ucH$<6B>g^<}&o$Vw%LZ}rWc40!nrksWIU zAgXuGNMj)RXXYV_)yvKPl6xG2Roy=v3I71=A)s(70`m^zqyek+OgaOA-_?^mC%nUD zIe}b89W4IX`YLkQbdN7xX(l;3~F$K800L(gJnX+(vK_W zDGgP)k)rbaasL2&WEV+aXERpe-;7<-f~qkrrb?D5wt~Y2OE1#)A3fy15OChkMw&S}kmqT9J zlu@Cs)NEdhidfLYe@|W3PJmdLEmYJf6NR)7yhpche$w9 ztAa}cDhB57haZlv+z`=m>j0AkAJXA)K(j>qxX=dpe3s#_MRr_?*#hs!yOw|lMYT$% z0E$q5+z#DPA%YgsO8O=-Nl4ErhQN(C<@sEpM_pQSA!5y@Fhixgz#b(6s`AV+nF7g7 z6jX}3B|W%o#irx-V6`AdLsghCGz#%q(;gZPlvVs3LU=UWRx6ZcA=jr84@E3>kThFH zbTB~7DQikJ=;H*S#!w6#iXf_xe>xM78-xfc)DZ#^W*L^M_NO!7Xa0LVEbu-E!HpKQzdg4vzFhFe3l zE=;S_SkyAxiATmk4{@2&mf~HYf2^~#ZTE7dZv!6&J6%HRWHogM08QRg4FP~BuMRUn za>GZT7=@Swd&`9wuG|)!8+=}zukp3W3jY8ZpkM9-7r!`AVGjFQj6*w-P?BH*ZcL(z zTm&Z%`L{VkuZ-pzCu^L{L|9e1eVKB^^Cz>C)YPkS8p=D@xfd?bSlN}352umB@SZq7 z0Kf?aA>$}jvQ?vFfS1gDlKIGlfFM#P6n2Hg2iJ_~f3pFo$_OSDDARn&2&|!j6v;3u zj-TTLf?8pSxHVMtxEg_P{^tQ**S9VjBzdiinvvV!Fz)xS=1HfIIM5@s$Rj<^IrM>& zDRqgI&&(&5z~BY{0Pio(kB=rDfm^iMiX%b4+}XE05m?ZO7@P2)Vu@A-)~ zTp+APxPT8%{$YUh3y>Ns1EyLuGN6=Y9zmWEkBkZ*mvWfu0g(xBmbpOlrjv297YXVQGq5oXTCna*U%Ww@kR{tDDfnmyk<|vB0w;O)`Y= z4Zswr?8^pg{{Ze^KPULJ7KMF`ssa9(mtyS3A=2PL9tSk0C{v7SaOJ_?u6O~DnH&y; zzkatGtE>%d%L2M&6C@jq6xQ6DxFu7L;e}P!XbnlF#S@h-Kwgx%psA3D&M2y;LRQO< zo&M(J&nFxUyEMgv2f!B;kCJm6t=?7848sf6%LceGI55yGv#6THFit5(QILKdW!GjD zNfa(XFfZ+L=pMI;7eTWE?rLga)k71%hFv}fAK=0OD-1>)4|RcGz9ui1g9ISCy6q^t0@`;KN&r(LFseMEk_ov(=*Xsm zS%@h1d&rIfoRbJP9mo&~@&5pGVI2Xi1Sfz`hB5$KCJZ1*3M=iwUprI9dcQG9YlFwj zf_p|k1Ktpair_CM(J5}CnJ{N!XxcLoYb3ECEaBp<%>a<}IKY|YxB%^Ip)-xqQ+NpB z0h@y=P+Cd47c z8vu?HN$N%#1U&ic*yN%v{{S!*o~U`>zfaO94IIvIC@p&^MH=Gud84VCYEfWA+6K#ot)mwPoint! z7VNT;bIx9E1xh*_(c>fq4bY#NtJG6UkuL=CgqrdgHgHU9u7wRx1Z?+;LIsMIPAqF= zf)O}v(~u-V3f>7Oy<$r$U6pG`)>c3ORCEOQmr@mwll44f5objQu>&4H0pLq=C`QZU zQe|SiGJ%O6;wa%f2sIfq_m;)z#g+Q{7+Jx^k>ZHhsMhxY8RG(1tM$Y)8$aDlZWd_% z6Ih*wH?qIj#wv$OtNC2Ghe1_OZ$>VO%F+Bu?*ySFJUfAF+9|^>j1?-B(wMgZ)5y#K z(lCjXelgM7hvdK#q%j~+zZ^=em)n5g0~;xt9D0UKW`O|TztD`$)naCSZWt}y#z!I{j~-$o3Ui?JbWGoczD69HFfN5O~~)42X9MH6$vjwF$Q zsV!F+LC{Zz2ub6eju7w%uSX<0%j@z?q^Q13X(Ei6l=v443Jv+mK!Ts^5-x12@Wwiz zxCjW3^MS;HraAx&?r95I;{d7lV9yD5xF8`~VQJ!Kvn#omD#d>{k^)SFMg7KM;^aX! zd}G>iasX``^9N;Z#+~;CBa9ChG~>=BAY3lSksL6u@s6O%dcgv00X@E4C}m5pIX=8; z#F;mWKVI1`3-)rcR_jWwa;B zuut4t7$f-jFl=ow+ZW4&k$p4VqA%Ch02bc7>R@O#XyoG!yt>J{?-S#J(iHIApe_U>+H1L?2kqL%;i%&MB25rYeC+ zvGH6wi6&41a*2W=Z=4VZzVJJSm>NPM92O-rf|MMHkfuP}VSrW~9A|;-)*?YvzzMX% z<%p2$c#Sp(`0iyLcNsDXr*iMP35S0MHF8nmz}wA#xHM4a5LbzSgIj-w0f->S?nH^E z;uLyg>w3Y`z%ty?CZlC1x{{WdN@KXv_U}%B40;`kZQ~nqNVlU5$moEPRaw+NeD9?F3gN&aM zF|wX}g{8d9@W7#8%tEjYvq)tZr16FZ!>$&eSRMfk2`~(sPxCZ0X=okHC{dZA4AQM@ zj{2ilL64kV6i$X z`*MfDcgA@`eBrYm1^}6w$a)BUUJtjpdI&oB$ z=;dSC;}_a&3MV0m0zhhDoksP&oJ=H8Xj_%kiARTYa)w$TSPj*9D91KzOo?nCnU6!! zn<=r|4&`7k{DvdxG+D2Ha;dbS2i6_V1eg9klO&*N7lFoJIYrJBe%_`e)_cWhtqPogL z3n7*rp`t(Y1X_hvIX23xXN%Se7%mi}{K6xD4J9X>BT;m~!RV}IzH&7^ z2M|m&IkI%I`;sbxFH`W=1*KtM7(ysO^-mc90J=a$ze4N@n;_M2q7s|oo$Hhtz~a$D zZ$Y4}*r81#-%e~*df(3xGRS%#W;zTP z^h|+JTF7MC0PfTE)&^5pl0DpVxSFGdn7Vt*mX}OaKADb7{R0y1K(*uS!Gp?*AnNsw zljkB43$$~kLA0%X`%GPgBrQ0!!-gU>Tt$w9yihHMkyhwsVFQ4sGPIx|Ps|#vl+MN#_;7&>o(kxx|En{+LK-Zmc%i(-Gq}T5HCz z5d&N(QQ{B01CbuW`^IDg(RCo0K**>-{W0`IVj=R4t~3PMK=%XT$iorbAbW8zRD^w; zQ10!~nVdM_Fw|}MtVKl(L3?WF6qpTW`RFCEa*paWX)N+GB0FIMugb7P? z85*XPA{}uPLWzh-47{taw=YT@d7QunSSTj@aVx_Q9-n!kv_YDgV?3qDfk8CSl)Q1g zf(fqX#H=MSCLgjf0X#BgiFTZJ#PRkbz>ix+9N8*q$fhRLfU3kg_Qrt7wmt46N!T#pc z)ypsbF#{#DLgP9UlfUqJX?na%Cd^)&UY(t}V$y4paxoa0EySC8r^bG$c8UWOPk^Szyfce6H%qEv00lZoK88KB&VI5(JgN#yM2;R(K z5@m_2F_=wW;R;e<6twq{U;HsPS0+6s93mdf+fNp*FHi%VSHqJAL`w#^x<^bY{@6i~j)Z#2xSMF@!1pn5m8!SX^wz+CQ13 zjn*iG@6OpuYb%qGoD3(gtf zO;VfYB>_j50*3s#SI;!eShvwFVN^hqFClsH#1*ZMsM2(%oiQpL0 z`EY1LTl~OT{NYyAan7}bB(GfChhFjw^X^f82N*ONOtG_SnY2daA=q4nj5RYrA-l*m z#XHo%v60=$7hdErDGwOJ5sGX|6bK1Kfk zaDbz6G$}mbBT?E`_gF#oPS%_pPOP;`Wtz<+R-mSmZ}&WIUa(Mc(-lH{pE!~!LE~D$ zvXwlHF=UMhW`YeU9&nLka0Lg^kW;AHDW!0RfwCoka2%aeKT#Us^bVg!i!iLp4fCuC zF-yZ4LBSdq3|4lAJ#?1i){+`sEK@z}qY{d4Tk}|=$S9gZez~aLtC|l`x!^GgXPjft zXFYP5289fbjq{r{n0}t2>a4Be{%-woNK&tsIbyr((-K zVxa^d^vNedovMq(Khppk+R6U!5>*htses|&{{S4=1CKs8lS-s~L(_yocmB8msO4814iVq1eN&-10 zVKF>F1G;&LoJBNbZTniDy>S1!IZ%sHAMvel|{Mm^rX6nU4BI3G~ zyqOmZF^wS)7{}B(fI!S92?1zVFZT>oyMj_9GVhXpy%>lct`E0dYuJ3;t_yl?!WvAj zH9zB&5F?Bl^F#jPGV_--B{_05L0qTc<}KXQkXf)Jf&-~j^4WwB44A** z=YpEcVDNKC@2z8aze|A9>3@SeA`7>XffFm%0mlqp`NbN8T+ju3Zd6;RECa-$f!sGgfh%2E>&&{y(&S#lBB?-(zsjLqmj!$K44OzJrpr4IB^|} zx(S2|_YiEtIkb#lc!a0CCL;()Z36)+2J0+`FD4iiz`vlw9rgbJzb;n>-|)gzBo*MkZjz#OZo^+lv#lQt}1i#z^NWfDC2-t1& z{$+g{CIl$Yc{}Cj8_Zh%Cqyb&O9C)X5>{ zWfATn4!g!52;>q+yo!hSc)NVBoZ8wShDH;H8$o%u*^hh)yij>PXWtNn=-A|}qh#{n zHn@H=0`03D_QA=w0kGN5Q-Ly7cDZK&QgO%_mk1Nh@q=kKS%Y+H?8GzfZnG@zX&Cv$ z)PH73_{Vg4;}AOU&i?@JZa^#ejwLj;pUgm7kNm}~Z?`yPe?DUn!%B=T4p03YcEg>y z8|v!ay}zoq{Gm|$E-7lFK(v?knF6s~~(0L*>#M%*?ihk;IG zB8*SZ7y+D)_l; zT1;W#P@-z(fQRNB5qEzvwA2oH)+N|HemLMAai2G=7#CsiM&SPdu3}K@ldKwrJa;TK zUMgJJUsnWlymLT-xMM>czj5dT?<{S%ZaA=Q@;u^T+Kw@!Li}875Ew<^`;wo(;Vu4S zOccBGF(@(yU=FG}UwK?_>5(bg1T8Ga5mbJwa?~DibkUOsAr8Lt_XsA)s;lgAG6lVC zYtx7(!W%1ykPV6XE*eM=E4z~c)Ip8_=&Y?_ikqdQ0Wmu+AV3VJSQPb90nOuk{3h^` z8{QSh?Vnu#02=Du{{S%%V3Exs&^whebZqW8bc%#B6~aHhu0YrX4vK)aUm3p0l`Jg1 z#I2)tE68L4Tx}j3r?U!YM6mYBqOwSN2%(WTs{klGBsjRl>_HtmJL?PaJr2K}J>=TZ zFVfcFye28w1jz1?v0_JEWN^>`01Cv;(H$vKP`N_M$)I^56Bg)3jV(m<_|3tAn}ZNA zU`nvBf$+`kH67xFq8W}8f)8cCIWevPhkR#XJ68owib-Eh zVQ#EM8v1ZSbwHuNW@$0nVWf?Fg`!c~W!oBtFa>j=CeO^UQqqNK$`Io^8C3)b$}mHF zbr1DBiCqT3!)Eqn0qnqI^J4;tpa8f7x`3!pC-0m|2M_VVt*Y}7g`c>g1g8u=w_iC! zBWBD8bSe9R6UmBtk*AvBL_l*WM#$i*;%PL(qDo_gPz}iaUATx%ral~kC)*WM0HS5_ z7O&^C2@Yg0WwSI>S+sfgOd>@Xw$@V2q4ku}2TS<+%LOXO>AVF>mjrNjybSUMzAi)& z*IYFc%#dPT;0KZzLB==B9{^Wcz!3Y)VhH`ju8fr-fa%MYP=&(U+=z`a#cv(^V3<;D zbL|6}X%XBx5_mjZC$9Wp2k^iYlo@a$-}{=`52in*M_)21243chbC?2Zn&M1qjBo>S zn}9ZxEv0c)C7nFExezqCh|-I_e=@D)*_x3HSuiNJe=^cUAArb|{JVlL{Mm=BG4gpl zxk`z8a(aJrm{*q+9YSRa?_oaTTpN95Q0m11h!{Zcya+CVkLWM`&hI*oC<7FLbvKmc z3^WID3@)^Kz@G3*qT&?@k22%cz-PNzff9J}ji(RH?go}7mgQ)#%Y&YIatEeD6BRH3 zyLL<%kfi&Bh3`WFj}=!XlHol#7T;Kzrs;-NKsk^v35*X3lHQEKAdju% zwWz}aisZ@X-a4irnQL*Fi5JEYaS?DMF5#>JeHyuNqa%R)9P#alr!^{`@Iv)Df0?pA z!2ba5vaUlz{K4|T5xB=@{osNT%r>5$V1Soh#XJv*>Gy)OZKc5v-x>b^@f;H!9QB15 zk-w%zUmoypYeC-*LxHeEGs**tn$c_ZHUGPsasgaV^8Y?m=>7SvI(C z8r|lz#uY+qR|Z?yVlB8MvzhH0^@^-sGT$08id@SpjlMDi*4Ew-yC#9yZ{>iN1mDOU&wOjsE~UTq_pV3KW*p0kXAS zq?*McYXc+_5d7ZqgGtYLhtiRT1@KIXR;hGz_XZBsaNQ;r_Nj&@uA;vE23B1Yc)2xp zE+9>;FQmv)R4xjj+mCpOpxreIjbzXPul0AG*TM05kr$LJ`qjh;Pc^tm4hQ|n2g_h2 zy3aFJG;;-TqMEGaGj-jEJ~PNk@2nxD;ikjz!82hGwSM9iq8<{mIG|oVAKXOpr!j-U zgvAuLQd3?Ghr^jnayfEmTJNb*F6g@Dy zrQnD48-iNU@ka_-Bhv@~Q@Er=7qs=P08*>B%Z3aBA)-xUB#X6^8)Iz81<<$A(9K8U zxGL2U7!z!xf;R!tt+z{ri)6kI?*$t8Oe|DY_nLse{{Y7UL^sihksF{e5@7__n(iV2 z6@myygK!lPq`IdZP!NkiJQE9x!*6;P8G*V^!+@=921dX~b-`5W0~@e7 z69h;L@MA9`x`=+UTf{&LP)wK%N?3SQ$*cunqwZp?;RJ|asju4S2-f;zWZDJ9Aw{!9 zKiiNuv)K&OL>rV=75aYMyaankOu9O2b(`JySfeRCOeH07$9Ry!3d6@u`@vFW!6i^A z(w6{~HjKkS?bYhx3&20DHG+tDeE8%5Jxx7ZfEsylPJNF!z^Ea1U>l0KObx{Be=$=E zQx@NAd;2bQSER(Gv&JR19eA2EbI`lDz?tPeO7r75+WrjG5SNqY{`fKu$tvWMq}1)f zGT$rfDZoK%-X)VMz6ZEsonAMZ-dY7Dd~lxe3*O!?0)~sZg`sQb5y0J1;L0j@;LdPe zbhs*01P?c6SbW?SxMfX^#IWhPu{{_!N5_|jBm;%Qy6wC75eSzf-*Q$KX*}nrCh)dY zFd-Hara>^(#mj=gZYLaYxC?cM1bBU5mh3oMp)LT6E)J|>AtuZSO5qSW>jN1-m}=o< zBMd67t`hI@lF)U=s%{Wq4U-xgHW0~?5XjrE1;BBDlxc*$EyV`VAbP?DFMEX)M>{lY zf~fLh3WgLEPV*CLuOo*rNn4&Vj5;!i+Q{x}N4l7kJ>&*eeTGB*{{Y7U>kn8m%|{>= zxI{QVF_H8!6<=6{1FB=j`p7~iBoA4-$eQlqM)Wx`NAh4sBqK|ygAW*n;9g@%C4qyG zS`Vx}Mq{ANq$#L>Z~z;)LSt*ZXje?PJ&H&n`1bdhb3)2X8*d_=|!wh01 zk*@@C?D@|Uq(sNT%amq zh)mvxDHZdY)0HpbgfY+)MjCW4yhX1v?7pPUDy76cGEnYAbu&aAOaiz>@cDoa*spld z%ZwELFpw4N2s@FsZN?+U6@?cGfD&1WN=v*10j;0OcZ`|=!7d(IIZX#3d0dp5Vaav# znu5nP1+H&S5-y380Y+mQC+0*Bjvtl)YvbQ|A^dWiPt1e4s6xTg69^*j3Lh9=;hPDT z4h;Tbs1!uXQRxh^EU7z3G}lHT5t|=3A_gP>05C$`u0OC|Lj)1Qu6hQH?f$;xQKmE- zg1-5Jib(a39#Y^<3cw@RDT6BkndTv)nBAbiqucGvf{eR!CV5{a(h4B7PCXdVn`X<$sX z9QVgz*e&gPne@rbQlEe3^^|Dq)**w{#E2)2-eDKN%-Crrhb|?x-=hZovw%=_B4Q;5 ztjJcM8^ST|hyX6UxInpIEB^p+g^FuV4-11Q;NUubu z(h$NT5Oh%4GwC{fKR`?n6kTiUdSb&QG+_CzatAM$*fQh7ZPxv`!8d9F4T*EUh;11r zrKTeaK@@NyoJEjy*27-1y41>mlkRTelK4(9<{xf0XCjI)K~M+&Hf>)EU6>kuHegCX*OJM_$WFCOFT42DykDm@V3~;9|)u=E~w+E7Q{-p~a23 z*mwbOVU6?PoJGQn=V3EZ8W`D4H6DzU$C7A7$SF^w1SRSIX2&#F7gML4WIA}6xR4D$ z12m0=!785yHz=STUqNvYiy(ng$GJfy+vemT7^vf0182b0;qKtc*+X^62* z1{%un#dQ?Sa-cTCM-q4KZ$aMffcG66}v8Ee6SB21tGY1x260>7tu z4WM5xBpXAKf`nAX(+p@;ME>9j1Vq6^Ms@j`Q%BArX)Q1PU`<#js47AlT*-3%Gge6?us_zIQ%mB6f za%3*CshHo!L+7pwE)|me#UL8J!ACjVTf$IvKju>eXfaDkiV{L`#t8*$ih)N7IDNqo z@I-MSLkt$6JohjbxoE~ZI0H32Mgs=u!EC|08v2-!Y5SbQUsyMoXY6r>f2;yPzA)PG zz`5eOw<3t3&)f^>8@#D&ij=zZc77Zjixt;wC3h0hwr?A*j+FwdEY>^LqKxUr{RE#PZ12?ORjGB|kNW^K*@>wy0Nw%-#OEtGIlZ(0i%DVl2F6k;c;A_Wog_!X3hS%m68rMW*SLC5i-QY@+=z1Sncz zO$fQP;%B-!SzHmLYmi&Q+2O&~t4y*FOa$l$AqBh)WQq0tO6T zl~=|OzHP`v6Gyy5Pu!Vd3r%kS0C6J&a*cjqBz(9S^N84vv2ay6o23(!%NPFuF?Q9q zVFt7-f~9g03}n6Eyh)HZm=tur@`CK)jDP6m3q}Xtl*`06(itL96dq=s;s#O${KKGq z7#`wUa4RK_7*#qW4COm-vQFX?L92}*Jh%|-t3S!i=)@j?8^k)k@h@s zl1teyD_^b_fom3E01y#*XS>HCs<8^$f#ns3-7^me0Mvz5#51GALhI`VNp%2Q~+Wtczs+XJR0zkt5_BW6|kU2LZ*PK#l;YN-KBrGpybfy>k|o3= zD`-a4$BHZf3qv=h14&3@&8Z<&8(we-f`h{XhvAb^5p+?9A1)2e03}_CUbHxg&BV+}nakR}c_)(b@A9263|DMzqfx z!9#`jmY(}<9`FT3tyOQg zDY7{imTDf~E^KZQ40?6nTuB|MKDfm+iT%J3Xv~h9CO};z{^3Y-3K~d!o!(dx3J=VX zfC0#3K`{kdD2faH%CJvE3{Kw05=WQ0-(x8o^Ai$*77_c9z~&L5yId2=?<^rPVz(nb znXSYV{N@uyBH_Ap`uBzut|Z)J{{VN0r4y-!4Q?l+>vga>kVultAq+({M)+XUNKm@ey@2823em}s1G z7QL{?-DzFp0J}|kxU*&E8dJxATx6}+j}Y^W$Hva)z{a-CC%9$)8R^?|WgiTN^H{nt ztYyf?lgtG0ccvgkxHwY7n8gP8n37}zgDtp5sWKTcxGF&U#a$ZayHj*|j$K`YKB zt%#I8xKZPKo0%rGPDy<9T4GFa1NxZ4kG-Vg;4uS}7OJ6$D9AE^0K~#|68SJ|A2$qZ z>x4_wn2^yLa%p^M#O?2sEWdIWXEb_nULvsl%8G>r`WRGe)-W^A{$ZfPBd$7-gRFOj zYupltgP9~7bHFYpa*A9ju`txT!77?#JoAl;goXh%ZZaf1V7B*oC;l+HOb|RP{W2vK zoWhArb&Lbf3eK9&vAE242L2dEK3;xh=vd}$uXU3lyK=NmOk~3b`S5-@RoQEWAKZX5 zlV>p?tY%cBlIZmR05OGlO6Ju7>yU~mS&i4SLnzBt%Om8&6jX52qtgHp+rjW^a%E{q0++mE2eV{CaQG=puch-t>+nZPiTCb5u$ z$1GmC!_aZ2cuWTd;d5@F#jCV#1Ug`Yr|E!znI1Ls5c`7&{@m*RG7!5CzFBgwA#zkr zGZmuDLZIF!HB5lk^kgC5_|NT>O5pzh@H2E4mm*%V3K&4L2f0_}$c7=^`-2F_a_Mu< z0;#X)<&5JpNh#zp61UzblrJ!NnQ7s`p|U0d$iT_ySIhGnNr=*IIh&)oa!O&@@xnmw zSkm}7l_Mre9zkP_D8=Fz-1jivZwhX~qFc;@Chtfv;`Ky>Z12tj)@#%0=`; z0F?mNus3BDs6I?1%JgEPbQ1ZW+okIuU z!+%~dGF1Nn?jV?$DeUuHy^Jh6t`t$%Tg0D@VTN@JTz6gyqdl0H7Mc9U9ebMg?y{n;P&MZ z&;<}GIYfYDo_Tw~QczGgUikoy;*yu|g)o zzB9B%G#s7`3OG5ZL0%)*oYqwwJolTY&h) z9H&l`4zZ8bZV?R=o3HL$41$vqRM(s>P}1P4np7^mZx*zy1%2Qsssr?xBp1GLg1z?) z%dO1AyCD!JQNH!>pZv*DHZlW6@taJa+&-I_w=&WI!~`Z5i;EE`L-E8LkYVWi{mV8& z8L`&q>;C3Z73p$?<+R;|9J(^f;_RWn?f@9++2C<}Zn%)Nr%nWYK5<|La!U4K7C)Zc zBF|NPkE1GA5W?Ngh2{c5H|HM6I6%NIuqZM9 zVxj?Bc)D`I95d)}R&c(2nZGS>{BTOn3;ZxrcG;-UPA$3RNii)Sdc>#(sy)RKuQLLR z;mH>W5a-MXU|$y+{Xg>rOw~Dqb-JbinZJ1twaQo#!Zf!qRm;Kk%fp~yK3=^Ut8YAD zP2R3CQB7#ZGDt>q9SypBkUtDC&?{U(VGPD4Sb-bJkA^s!a~wdc3`mpoXSEJ8j^kK2 zfJeZkGGH*ebrHtgj$=d@-dP2lZfzK%VJ9JE`Hkt)2duk1G0X9JVO_-k0HZQbCvd`f zOth2l^BVAZ!2;e_5Dl23%I{Ms3SgzXxSAhWiiv}isZZt{olF&pb%NjX9Hf4zLnM)P zS%?)+f38^eRAZYlkExqRs~7VqHuy}?3+wg3QGD+a6tf!jGh+^wq2@Y_5Y7H&iRS|j zU=4S}AQtlkWIwnfJ>oTUX16dv(=2OM$VhqKZaW*AE8{3*zc_91{{Xr(wt{a2Dx|?d z?au?Zzrz;S(UmVFh+0UoWvZrS?g zq2e&Xm=O$ivN_tA7N#Gmaf$vgfm{G+c)%rIWCQ7dgINy~cmzJO2LMrYdB|MBM1(tv z1h^TpTt<=@5#OCG6iyn+uUm{Ks_B;W;8RV^RWqPvv3GJ&?q8= zK$^y#xcqd*HO5_>WFxOF#_vJ&As%r`3GznIZ(rMq$3uhEnwgT{5igBM#*e#{MwCF_8q@X%qE zB_eu4RC9#UOij?h5eXOZI$)$;oYE2DYj6%>6g4pifOZDB3ex^yf(MRLEr+3%r5uKB zGHnTjRdj}jvB0>qh5@-mL?#rAxNj^gSV%q%KDqYEgt5)!IVM0FE@83*Pcyg&`yz|a z%vf0K{{S!>1$W@36ARLZoRi{vM*^hZm;g$tthAu*-cm}xaV4#50x<&YVQ-NSSRkWK z{4=c@ua+;GlJn~~psN8VSiJ%rsB{e~WI_f3rw+fk3`h_@u1K;sTCVa70vhN#t~-2{ zgo$(+QwPv?{?C3e8CV{`D2|`p(P)(EXz$)M#sdw9YHgYetf}X|Fn4O7 z34%14=nVd+jFZUkCB477!gg%eMLh-qY^Q5@(=_0Wl|{WeUTE`|lZqwdFcU4(jI*tz+uxehTPhZTekzxhn z;#DQRXyYjRmoFBQukHdZEp?PHMZcYyxG1+UK*mmqvw(^ka^-?kpE2k>!NEauKsIo| zh6QWA{y2&AKpd~}n+M;B7-nAMQsh7~3FemKmp<_IA_nPwrIpR-v*pj<(D;O+Ce#psUL8OV9OLY9DtcBcQ8n2f^1^AHtBN16{>Y+At6^AW!mfRBfu*n z08V0+_xpPc0;_SeMj2XhMe8~<-U3VE$A>FNM;Zc68Du0b6Y!Wi4kn4I=QhT=Vv!Bo zn$h54UMBaOY&I-k{@m2-#stwbvtVYHBw`r1sa(g)1Z(f0xv037_m?1_I#Gr0c% z`y0iuOC~O_hT)n)vdl;jmN`hW$-fEb2?bD?5(cT7qfrnrKtXJAPvcmyM8D9(DRP0* z2{BKBn$KH;-QejfA2`{$he0=hq$MY;3!zdT%+emS*jVF4a3k@@5;1n(gAhgy4rj0i zW3ZnF6+uDXCY%5)SI;)FblO@sAjzEUf5EE_9SL-9R!)U=* z(D@JCrl|CD@xYd!Ubw&%DLx}2JTKSsII{(x_~eukiiM^Jd5Ze0Rl=f!kDLT3qX-(Y zV}To+m;?ar3+cp+1`p}YfDrI{xPl04`;3V2$}|GfxVS|xi~`woV!bK{^Ad^RUW|I{ zBoRRU%>h+RDN}pza+)Tiujd>P0Px7-I4?Z^0Kqadc|7E+`#9^&J+t1Oa_yJ+52o)>Vr3E_+`y0v|{BcI*IsZvFMS*I`KRwF$$U0$*mvYUIHd0@>wF8HY5H)9+j0I}=$whR- zPf*EGY%=zo{h4=T`Hi6;I3?M^kIx~1gtraNmB0~M%wHPUAS~;FqIfdunP7|*Cxk1J zVz2S$QY6!o6r=pg4-L5>K%Ouxdv!96wzypna%8P*759cy%h<}N4q6^3aX{E+bq(A= z_O1wskGwRs7XUd)2IE1Yy~j^eP5@Uoh~M8h%TG60C9eMfG-M<_*Balceqr?H4dZ-g zG-94)j+>dpFSjWTnG4a5QbVF(UifPmgGhmf;C|N2~$CuB@SQ)GjR?rL9vq_BZ23m1%1l2SN=F|)80k}b^iby z-X$=F#+aCSSu?BtTm#{i1$h1#De%JAc5%R4syM+Q^ezYkL6mZgaUUbrF`^Sr8UavfGV>@b61W-=GV!k$&fr?a>SMl^|{&zK>x9cIm7o%4bkv~YzfOx_V# zzB5LFWyrlQOLPAKaM3Fi899iZZ5m*^6+YmI4D!e+%vl8=I4s@-FLCBzNW`F~Y&@}b zzA$V&t-_`8C*g#GD!;feBx%e;PgsD8qx``Ei)2E!xCFB1_8Bxrjv-%I3)?oeQtt#D z7zs>3A&3r58_1tHl>tML4XNkf%Z(uCLIQ=}NPiPGYOUrWMly|FE-7)JxTdON1@>|~ zBdLOYXqO&^!4Z(Vm?IGlz>14CrnokX`o+G=Aoz86(q)E}@#ASQGaPYv2At zywgT*0P%28!XENl%N#(4QF4LVtPs2;pIlC`c@roG^K^9bn$3Dca~<{+d4P@eaXlI) zXaaK*ZH{CstfEt%@-<2y-QTzp>}&IR$T8}4`EgAOi}7W|u?tRmamh$Vk4&pdPU?@B z0D?jkZT-enh6#HgxOj-qI}6!}01Wi#3u;Xm;U<1Q6vb8jxfds^?aecf=Dn>(MIB- z;uk*nU`Kv2jzbo>hDf8a!m^^>)VoD-xq$_e*Lgb$1SZgx*Dtj;`D?{pR;uOS)zEHjl z6j_&fGJ!T8u$5nnLL;iKFdV1qY_av_|6oailFpDs} zW2stqyieoa5{vis^5PI$5o6uD91KrJLYX-+bd#$tj7^Kk-#(vaDo=;I)FbW`RFx#QLV{^p|h(hV(7NG0iZb*UG8YL>9$-Jnlz1t`EM)>|C zh^NlE+koh=j9IzA_%$*d=o|ZqLSn)H0QcOYtqdeMHg(y;nkR-NOOs9r`CKCl2ls9W zZ^p8vuBHKC2Mndu?y%k-9F%JXwt3^R6kiW%XPt{OW)inJs;|PsR zq?Zu1xJL-Rntb37RTTc*MRlVcUiXcOzy3NM-csq5DSN4b@Of>*LU+~>B;kPZp-g46 zA-x%JV8*ck*wa%7*serjynOiDO<(82+ z%YcCU!Vf){H8D2lGtlk9Mk}1PVhmq_XIH1&tR{t z+b)f`doAR|tGrgdJCFbl`NgDHECk98IHa=>`~xA6WnWkno$7UkHBmK%4$J2X1l;vL zV>W88I9geZhP<^OJ%01CS*8a7!I1VxtolM2{{>!-mz1fS}l88cMjs4Bw_( zDYpnt%qb|-56Euu6^pttLqqL?4d~6sjMne2@ub@pC;G&h-canxp5B~MRqL7urhC-` z3l&jk%-kCchV0}}DaQ=(9#|A5tDYT*{{V0x0ETi6!0a_1;%e{MU~baW3A5`J>YPbc zlg1^=`53|_kDS|QSet0w%r)l-OPw=QbPNnaK z7$UbUpz!x73TdC+LpLbo(|a*i>Z4dhDk1`|3t*hYR0$`nIt7(~9vQ)7PMoBX3vg0w zf(AMRmmyhvp^1u4Tx2TEl>Y#UjW+iE`d6Z5GvTui&sl(;^@gNwaU2_r+c=5o#4*0T zL-4|YYKuJ$pWF(ex8lrDbOo#yBn*DPGe%VjFia7Lo#G3v6GZMz^rXNM2-KoDNHsSW z+z1UWIYC{Ua3niE595$149U!*X-J72`Wumpq?9A@%07ZAHZdcGsxcub1p_t!7DX)b z=Fc3BOf^$^SfNG+*#5!Aq+hr|$Gv{z5ZUtyLFK|aQ&)kAA+UIa&BbcrbU0*?fVTH4 zQ6ZtbgKYz3E0!RAPyT>DOB2|a12s^YdC&ogU zVPpyX^^hJ1PgVn)iXjsL!|RYN=n}HrndP16%q)Ci?EvBbvNuhIF5 zV(PVoh%Qzqh(AlDaSegnWQ%i}riy~qJzQ+ckUyHrXPW9}=zG4eGfAk1`u^q639_)7 zNMIvq3G|L40ITO&2#a4p(Bya7omzo?W6t1?!CYY5}d&H4d+0LTlaXukREU-5=;ti z&Lk9)zVZjj(T5Mr1YjUMOEHGE#x&1;6F43+=p1Qs2@1TJ46@4Mib4_IS=2%gW*`v# z#6@q(%MB~*H2oN%N_xQUeE7sV>h}=Apx7xtoaS^Ok?S$E51w;meoIB1A_bw;-uRxF~)9 z0Q-!}`(Ubb5eU_883K!iserCt{vU0aBw*faMZ7@&jG6`cgak5v9SycE36fIr-2 zt8EukgvE{Gzc3W5A2}Mk2KdJIOlL?h9DX2{ zdW~;7!#{B9OA6a9iz}Vy-3N9fAg%qYXK>*sf!*B`K zTvSKYadWd($%(|sUhNzpewk?@e12lGVOU+I#z*6V5?X&pxVazzt_fkJB^K!Lo|MJ_eTwlB}jvS;HM4SK+W;I!`IkgDK?f3~{M8KN^Sb z6+mq<9a8`er?>u#!#9w(QxNd2{^qvT8e&)%Vz%+ZV*3%|J509F>d}+Tf+y#?UPO_`pdLi2g_4yb@z8u{XA3V2}yi z*mD#KCgE47^CF@_!V6Oo#DiQ0#$6sV3N-*np_iNsE8r1WeqckOv_ju84sjC33v0-@ zq9HT3sXM8Qrhq}TKuk@U0o4IP90pJdzA%D3&tb;=hITs>UH#@NH<5zSI5ZwrZ-ifl zBBY5!k0(2DgUv6?mNt8t08hQa;DCvKGeC29;mQE=3<$@+ICZd$IJjV@t`VLo z{*zc^HJq4$?$ym8QO|hv0{{dyf=66hTQSx%YxymvR-xlAzAF#hDkq~{JTb}(HHQF1 z+{OaNYWnqr(g;6rVjl(z(~fOnOzi<*sN!s3wO%}6pf7oHmsqG)3$IS5L>>=U1T_ad z6=EMfmm_#&FTKf^N#cK=@#+9Of`^pE5v}I%@r4LO;fYzd7ajZs6H~DD7Qas`sahSmkZ^lrR<_P8;4R9d53}(s!p_V1OKT$YeC9YUF_&EdUG$*_53}R}g5w{N9aMHYyvK@I*ai$)IA5=oeBh z0QoV>H(2OUz?lT5wT^^B>kv)XF?28&Ox+m_680uOlV0W7#ISdP3%K%*>=zIgdtw4A zmrS-AHZdl#u5-hQ1O2$24;TWJn}%}$dCf`;dyqx%GeRbeHg;6U7+IAZjJ?ZHW$A@G zCQt#%b&^!m3c7MJ0mcH2Wd|bc%6qY?k(k(7wfbg*3cw3a+@JWkjeExZWq`>bG~q+* ztO@;L0mw+!L2zcAW}40SAN!*L060dF$C2kZDC6fV$PXE>>E@YZTt99K3{~;Q;(h$h z7v^FcCIzm^iTJ_RTFiw9qaDG1;)_lT59y=#5u{fI7c^xiN>3?~wYGEPi73&>FK1Gp zu6g*@{+TP_4)WNj!kTfP=18U9SSOyjB^kb%ByvX*De&Mz@x&|CWTU|U0K)_DyZ0L+ z43%&Ki4z<&&R?K^GL1TS3Q=2fAGavGzA`C4)&M}okli_q3r=S*C2_`56>CH<<^f1( z@2vG)e?j1QJh?$=gk%(d2kExvlpJiH9+<@_rjPhSVB5p*oJ+PHQ-9lmT|?Lh_3sJ+ zPX=ItwDp}5E8`U*ytrgWf{h*{k~{ctOl0*|Y$7SwgvXUAZrzzx3gdla5S3%x9KCoQ!DSnN7~&lQo{l#tLHuyVh@r~39;uja2TLfw<|$#Zyaf}6QZ@OK z7mOZ^6Y^X|j`9%K-@_nG65|Jg6E1+8M&nBsD7$52cx8O$H`_2o0>=(N80sLt^2Cm9 ziGmM?LM{;-ghvQr^@U0SIqAWuduUXY!l^<t#0Q*`bwBMAF3)Ymo}8kaB2YdI9XCJ z0-WBE!jR2vo3lWQX>fhuuZ`%;7vF;#fV5PE8gmS3O@(E|Tc=7dq`_Sy_?QKw=*v39 z@L)GqLrTjKI5J+z+Jk@%PdPAF_tYfNZI(+$MFoKPxz!9bhk%U1jP$ZJ3> zy~+#oH-Kh4BiQD}Aa8l-ddtOfx<<^A5=g^AG)F9iCCwJS`Jdbiy_OK)X)sX}5h6a% zU#=&z7LQ+^@<=`gnC#mm%O!Z^Fd+T<{{SWcgt6jJJIMkuAmai(-UXm>X?AhPc~h)L z4z$WePKWLy%IM>>G()_8ijlg2Z4K8q^iZp!L^4IX13nqBvM1``P`R&o;Ek;n{!9>t zgNRnnpW~1mR?S?Fjb^N$OcZAZ@g2tZh&*Dgn52Wj=K&#Mgb?~445U4L`f&_)CYNMf zC>jHO} z7Y3#bD}ag2LP?izcxruaP+_$CaM)TdYWIS04gwEc_l*|peoRoIiWESc$8GSopmH}b3WIKQ6|zv1HvwGS!$h~+f;;Oi1n2(T8w>~gtkzM4Ex8ojBtYY! z>Q@lG+rMy#cgp(i9bW`sR(woB?&f+wmmoz({{ZeI{xDE;SwK>@AD9>X)&L$b)IhUN z05q;GNM;)tMiqoI0s6R@;)FY{Q)7@^l-J{h3&RoIv-vYkaFrNbd7Csjlr){$f*I+Y zX?dzx$1R^Lc!ey$^(9570dO+Bv<~Jl@)9{8ETNk zZn#R-*E7WVG;>mA^8%1Cz@VP-Q26nXX}obG35XH9j9>s5hN>@|+`vb_Tp$|$xFRj( zzyP=!BZ!b=XvCML@r!nJt_qWgQN8fRrOmi`vtIGQ2)LR}VL*Jp_aZt>2eazAF8rA1 zB9eGc38m5kFglmYY;^0I!WPNvVPpbIFD#*BcM15g)w7>v+^MNd9g39G+ z@Z#92E(_=n697kvn~F?E>l_Ge^^YqSMfTz(UEFAo_1_GII756B%HvDQd5*nd z8PhUuTqFC@Bcuxs(AzBZ#e=rEr0cx$dK}3=4@vMs7en$*wY4MRDH2(k& zu&E+BoCqSnnObah@!uG5BJE}i!fn$$6YnYjaGr1v;rPvV8$&2t26)I|C?!bZ&Rmv) zatdHTWW-chPtBMRo7ZxHw@mDxV=P9JxTsUN#T)#_qv7w)0J*@Z=8Ru|(-w?0f6Pt; zy-e6=j_!Kg5AIt5s*CF^@}NHa;zf(Ea}?<^L{sp?g_?QCiW+ePcWWogHe6VU!^?31 zYz<^NL6wUUDdhdu83hUG;;Wx@2h%*62f$Y88_Ux{o#2z+jx9Qa$LdXY&N_-HeeM|T zi!D`OSv{uz0C59Z{ij)~ws;Eb5NQKiC(-CK^*45}An;ta9N(!S{V|kcbru+pMoaaW z?gfu|b%;g<2w2db%x#n)XgD1Y83uZ_PN!B*W?sEa~cMX zT8q(x3VdrG4&RZ(d1Y&tyY=(q6h49f0CM|rujW)fgJ(N2n)+t4P0SPU_xp?o)dbvm|IWjfR-`<@Oyv@BxE{S z>n~EfZV8d)gagCl5ZTnkQGI25fqRUG%mJ(TWg%m6fe=iQ$am{J>W#venl@j!w@KVW zB*^~&$^QVuRLi3t$_+J|H2TOr+~_27uApXxLgj!Et|D)XA+{G!=CG_Mn( zskxr!Rb0?*<-!AxMo}2!7IP4J&n&`oSGQ4}G-mMddvW|RNpJ#d4cDM^K)J{Pl>X zIO54AaEYKDns0T0lzbUOcbWV0#Dn)8iK*OZwJ(eiB}uFrKLGjWMN@e|t-xKz0J_9M zfCfqynVQ0FS8hG5FL6Qy!HH1bP&{HO=0z~$=`tistTJCNV+s1W<6AE=_#c>xUIsf3 z*ajCBrNk4)8ooT@(Y1wU;jLVv)Okvt%qI$Y$}zL*bKX*e>KS>r)wd8E*SVz+Gc?u zAL9xqpBSE7n|Juj0ow<}IhTP|$TW+PSK2wS7Ek5@7Ls5a5_1_)IAR|BRhDslfD6_b&!Ba@T+yYJ5Oo3s-3*|q|(%S+l zykU?BoVCV|YepXqPc8MpNzvyX1!hDCqZdV*>GI*z7FTXx%3w6u2C<;Qlw8v5W1Rg~ zVsy^S`-X(_;{jN1hCYutTP?INg8`;JF$$Z-aKqLx!lwcr4L!III>2}D5A~97gCd$c zatuz8%?YaD{{Vv+GDk4l)C+Ko7i+xqHE{zH>T?Hu`g3j$J8)ONd}0U&pE(tG%o+)VSyA&Yc2Hpe&HQH1tQAL|Ggzu+OmXihYw*hr>@WSFTy=&R zCJI&sZV~D73&%$}p4!3s}?`U3!;9>|T9(ef;h!{+6G@v!g1PR$y9+|vDi0YX*hsy|!^vM=Kl>8Ga zKzzQiLU99>cJ;R;4O|LSgvp_z1j6o)q?o9ntj)%lc~g6${n;>JCfl(PO^3^jYU@tV z2cs7F1gvWLasjiyW!5`DCcRw|co}_aY`M_{q)!U%Omp>5?Rs&1;yHpz8uotWWzz6N z7I4Y#)#wHkSp~3@QgkpG5*pPuucHW=?@zA0Qy~DU^er4^#Ga}GvvoYMYgl2WMvqub zp~`p^;AF5uVyo0mNpP{Gh1R*y#t#$#l$Bq2&GHtY%O2oeiY9k};|rc^7qpz15Vs~2 zy)GH-$ObLcndc}Ja?Dpq>CA}1Si$!q#z-E$ueSk-XJt)d=hQ9wPam!{5m)hWS_4j( zxQywt{{YhF*Lbla;E;{(L?C+}1n#oKK2-{37ufe3Y(q3-fI?oKZU#Uw;R((dXf;1! z;n%!v2I$6UV6IbA?Ta2bk>t5hn>epbO##W5;{%XCqlj)%oLc)?U@46D=om9x1>QsN2;{{Zd^Oi7O= z-Na8lFbFip&>6VoFihA&1`-90#&h0|CdDg=0di2S@tj4g1jv{mlkRsaRbUKY6c;v~ z#*duup$%c+Wxm-Q?3WE2+g#44+l&;0=9r{Mc)a(Gj;!+ei%<2Ngl~nSkj1!gv&n&4!~Z)+sRFM8Ki6^kH=IC;OEQZ1db@pXb+$5O4S{(OoF}p7u zNcUL)t{BVS?gj*P#8oNWwp{>YKyt;%?z%AE{{Yty2WC{A?lzU*512knhyaA3`Zx|e zb(EB@G$C4JC6O{j3VX%1>@qEv3&>X>LB?-h(f&!YkJD=tZW>nv!Ar4=7j)7OWf*ho9NI?cO$k~8}@@eIqJt z_+U(S+#-f9sfW3nIuN#a zl4RyBzrc{h@C(E8Pwp@Fc6j_T1LR*ZFu(yDgWp+#6{u`htj@tE&)lI#r3`8*JzPm# z5gy-Jt44I=maK0#vJQeV?F?;F9QO1X{g3sJkoJr%VV2 z%7FUw-uS=_4Si}690Xzp;C0j3^MxCM0)aKyJY)o~2}%|hZ=7i?VyHBH*WLrb`@B46 zJe24sYaQ^@gSQ=u&xZ02AA}5qR9BL!xn1jeM=mVIrmh-ruXom(6 zDYKd|D9tTCj ziV_nTYob|*qG~$I`X9y0Ay0A^^xdpWZJWW+O5+bo zIDh2JEE>o-?r7ir#^8C%9AGF3Ok4m`E-4G?n;XJ8sD=Te$1{WG z@c>rbZ=hxq(Yd!E*TxW`+)+#qhfgK@Q^DwG=MPdr^Wo1-lT76-A! zgq3lIfY$(6ahSS!m7RJas6Z3I7(o<+T_7`FqE38OhTTFKr%#~zqbRjPUlg!WboxuqhMbqN42j;thB(b zr4O^iaL|yWejGaZ5nLTj7)nATxdA;n(Jt2i05FX_DgqtA2gGxWaA&F+ZCKnO$)izE zmw7T!4wo2&HlD}#HenFNAU-pkO4Qt7p*Yyx!!1@^viXEfdk#K+KCK@?k(B#%sLaP3S?SUNwqz_>smyny={XP2T9x|PE& zH9#qT&v~N4wX;LF!H94H-7PqWm&OdS=+uWviV-kMi$tDW<~^eR(#n|>DilEWOePvb ze_U>!dC0VU22GL|gjXe}hRcGNNPo<44<0^af_7pe>Jf#Rv{hoQ8^M2Z(}yv$SN9DB zBAA$k!}Kd~pi$*GZviPD2;!+%H!X2iv3I$2Joa{Ea4P z%V10_p<)RBYb+H8tfml|NX~0Xj(F%>X0b(9Ap^^-k*WUxw=Dp7P)PSA1`rybqm)P` zQ!{qfA?iMiW%nj+)n<$U`iNkfpZ@@mc+CJkTw-g#v$!;?2;xZDR&B;(YcQZYkpST3 zHV@qFD?ga4gRFaS6@vmM9o-O)BpKtFH~#>0LOfgpu$U;*3kMqBDL}Hnjx$E`2v#=| z8_PN6XXa7Ff@psC8KU3r(qhp!4C96jI`IqzYy)?LX5O$enGWIrcylfT7j1qx?Or)X z@l^i+%qgqDa6$U_05w@Brfno~SRwx4kpf8h_RLZmtgRu$$remq9hTpQD1xO&g)x?Q z67MYTR9y@I0GLDssJI|-n6|Q}4mihQFh%J}fgt`&Yu3Y=;QBCUBa|BRiRtrzgxiWh znln)$^9!)!2R9qE$8K+OH4pO=#(_JG@2}ijIvjBiR^R?a1D+#TAm+?chOPythgj&t z5H#*kL*&lfYr^kyKLWTaz462!vo_{|F-H~~$xHypcm7~TIyQa5Bt&o(J$b^w&8fS9 z+Iqo2rXH9xA6Zbr3N-1;3MDS%-eaWED1!ikNENoH9t4%T`KQ`<2pPA7A?7@;9e%wdBN z6Bl5`4Va>J`HqtS2EzjT#UdC21mTVhyO{v@JBGnwa8N}SV!M;+ll}ua*)XkP5@aET z8gNk8j3V2w7-O0$VyJ%Q5R{mbC~Fp#d*eI;M_fQWwZ(i3&PPn>j@X4)tP2A&K4dDg z2v-my(mAoG_bCRnU_gd#J%Ijv4%OGOPhR^d6 z5ZmjK;qqSB8Ocn+jZT9q^@5nucCCGbLkiRX0C2d?0ueQUs{(}ch*aG1n`>2w4NEnK z*c3eYa1~Iuk3+uli%q|!SX{BwUNQq-bY{@jm{DGwXFRvCTARuN(AzEa7^&Uh;6WPQ z+@=Y;VQ;7=s|zEcYpr?6~QcNW2e^&0@GJlB&vYFV_T5m zLT(-jmIA$8NW6P;@E%=$WGDr#@sJ7=zrVQxrpFTXNxUdM@_}H?vPHd7^I&*9Rk;nsga=|@1qM0g9sO-%Mdl$6ivRIg#(46_&xZ*!t~kK zA?3tGkU-aOg2_5c)I#-K7&Qv1+(LWBXa%AI_V_+9->0-;To={>PPl*?fziO|SPMo8 z54aGk)dA@GV}YVo6xQok1SS$!mRH2Ch-zqNv z#XjO4(3=;*ZVa2nr|81AN)Y~XxE-lVR`bb(XmkKie)opW9`5Fv8^*7~;6x!q#mHf7 zg+0j$@77Q!?_;No1O;x(*4c=PJR8au>71c~q3OyHi zO8~f14VXloc%=&!pI z_*``tA6>#huFeXP=l&Qd-LieiYP-|MOoZS4z=N^;21;WxCxR4DM19q z3FFUCap2G#2HQdyYWU?VE>ogr${iuj<3ZU&&I@I>`;eQ;pdvC6^?(TD1(f0&kBr!Y zXY+Dhh8$!X^usr*xG!KA&^$N^_%p~877LPC=*RPwu1G^f{{YObR5p_k(ys7mMbTzH z1B4au1!fs9o#6}A{c(!)9Pr|{p7$osVFzg2-N~#Hmjq6`+?d6_jA1Ho5D!p4ucsj_ zkE0q0bu(bVS@^XuY3ju!hvgyc!=*3?e3?-l1u~S?TXL&I&TurhXwPN^I+sR3+4f}A zLjM5Fl2dp!J$^+;BMqlO;Vv z7zv>9i8p0j1qM;~ihVenOXSH^d(ScRC_EL!^#QzPa2%x(&IZQ

    k`Cq~0)(7=Qy) zEQ@#V0a%3*j4fa*ic>ak5d@lHPk8DxTgYMY9x@>IVo2|qO1Xj|>zGh_Q8L2dsVaBG zz?}?N)(ubM;|-JZ30dO|rzw#b!vv1+3y4~UE+8&tf&p;?O*ko8T#^N50Y^!ISRc58 zczMrU0}0^9D;2Rb&BL2;r@@YwW>g`Ng8BadxRL||*2rLk?<3P0JX?a3mfJH`H|Hai zW8*C8#~Af7iY>g&t9SvuJi|og&R?zKeVH#H<|?S!_~5}QKm0MS6YeZZ7r6LA?8w83 z)RP#=cheO2_PIq0o(!NYd|->NdH0vqtnq*j(-GvyPUyi9YQ4x{;;sO~;3Z8y54`>+ ze{pN2Tmca0_g*h69EI{eut=)l5{=V+^;Lpbuy8xyDwB{mO8#!~+{K zjx<9MFT4)k46+F~l04{g;l-uK>fbpLa6>!@X#T@q;SF@u0|k8Q-`yCq~~jGeK-^>8Au0ysUB$ zoFRU4&wepYL@tHpqrx4rS-SN*xr z4Xp?ELk00@?hK2r#?o=d#7F+DYs$xW6mJC>nE|#>tuUK(PUgvXJ-G&(5kI=l!(&^C zB>47=g$)5e=;IT5=d%kNZpSKY1LFm2(qE=(X{f?-1KmPy*v4~lwLJb7#D}dJs zDqV&x+3a!Nms}am(*FQAh}0DE=yKsMnSH-pJ-id!6tsblry7ZU@VB*@VmF}qnh7;0 zfY7Ou2A0}I#XK5*l*8$DiqJ3I9VX*uNsn1VsyKW>C?3I(s@3{VjHKh|*(L`fk|dJc z+;dlgV*v$gk#ft-7iwv)TTmW1r}V&zt*8otml}w*rqX%Cfl$(Uk>SZCrvCuQzdYis zp;4$+Tka&H0I+0*xgcLUA3oOwI@fvxEV7nhOgey+D0*4;rh$n{dN-qJ1wkA-gbgoc1;+CU|!5{7eYySYjFb7T_ z(+utF`r@GHG1y3Mhz&*8`wA{{Re)gRd?kPh)s}a-sQ`0NV`* zMgC%4=bC3CKsR$&=4j6#DGap}00F>-Ci7{Yvh=l6HVn)H$M^NfL^^#itzW|^rs&{< z-NLIm{{T7o4-5$h2L0TsKJbtkw^J$QqbRk0TwF(P1QYUQ5$JSrp^7WX6wX4+H=d_? z2}bpRdGg``8=uD_#+$B1B1xJkaF-`<;J8}Fm?=QP8jFoSS=k~c0)#-DyrA#FrGvaIv5O}8(?<@#U*;NLQ1jx$pj1BNzJZ2IY6xAf(Htcm!?@< zfz4xsm>JVj5Wxh=48Tes-x$}JhPU3Z znyFXDZWNv{IEl6yA&yEE&}NvTYDXy%xP{EEChk*m0QZ0$5uGuv8fBa>n~evT98wkT zdHB%D<_0lN86W~4Zc;yR4Ji*8zz%8-p7JRYzgBIz=viH21;y@CP=uMP59rrDGPQ7$ z)pBP;=HOL1tl6_yA)P(sgWJ2675v4)q6%Uan8DZ8a6}htuHqS0f(pCl0E&Z!0&Mf` za)3I2<2WhY^M)m)A?Epg)?5qbJjYbxZg2UlNp$s$h7i{Q z!kecs9|k4%SN>w}r?xhn<^+ntR?J8>CQ^g%6mUr}5RqQ6M~ccpePE|ii5I?{TC8U< z-xx7B%3wlPd&@-ifl&94L)@fCjLC|%i(umAW2ejjqM6@WMFzxMe>Iziu!6XjgQu7X z+zG7MBoyv2Y2jRbibD@jw97)yA=W4-!+Gr_!HMMja7wPLk8;?#jo@>*8L_W9K*yrZ z_Ysnc$yxsZzya{U481LLA@7Te0&wD7YYlD0BH!HU2H!aQaWx+9u%QaBB?9mBYymHc z{$g6%=QJ=w$yYu^Fe+wj4b2uC!~h;K0d)RbkT4un@e*Q*(vuAo^I3HSdBhQ2p86Q2 zyJ~tra4@Z_fs@08P5U;%$oVq1j?&N@PvMn~tkc1T5oo)4T;W6om0@}2 z^rF~IXbm-=CbfXjvbXaQXav#<_Ypvg8%Ed$F-HP>!^2xufoi#Cp8A;~LFcqAaMTeR zd_QtHP^K(AU*-(%d_N6W8r7y%C}3H*hMxCwARNB1nms>Xc!Itk8GtW|e_Dd#=XGts zCYq}aoWTH;ChK2VyajE2*_NRwVoZ~^Ai(EU)QDdV<@kE<43S~_mvSPvBVX&xV+o{0EoseyE;?l zD0tR(`>@Ff7kw$o(3XPhyrYStYMz%Z9d z>>)o}h^L|;WE5Z?GE(CsDYqEcwIdXKg7flEp$X=lX1WE~W; zTkOPdD2jw69v-p{(jvZGNHo9*L+!=^Ci+vk1eLC38$-DFetX0S_5FNIT_5uYbSMwm z11wu%$FmZgN3j&Sye%?{IZV?d>pW}-ovt8Fr;iyB4HmBh5GYpRB!G#amS79-)-Kt+ z8&H4l*g)q^g{0$`SG5Tl=*X+Rho4!+dSbMuU7=a$0MWuV#c}2Fsf9=xY;nB>>xqc) zpsm3z?LSNrN_!0UAPa#u$>qQTJ^8?w(72Wu4G)Vh7T$I_t*mu(MyY%4Fc5H!t_RW} z+?I}$xQ&alH_R(5YTT`zjJ+cI$W-cK(a+o(0&WwXj!j7h7;P^X^vOEjDk30iVvfK! z6ANhh+yXei(ea5i1y#iXB2qrEV2_K2AYZ}#998|Kt-zGT47egp9kEY%L0*IJD`&UE zkDXVw;f)frdAwg(C{jNcCO4@WD&e9#P292Leth6agK`kBdw?6YaDmvksR)D2bEk)l zTups4ZEg37rxE8v{basdc(7}R?62{@E>=$Z7G0x2&rC{o|Y0FX~^7O_c( z_1tZmz?jbUHaWPa?gkqSP~OgAmD(ImQ(kcDzA)-m_`m|h;fA7|V5d-Lym9q0O1AC5 zkkc*^A*~+fO!#t+`_1j#KwUTIEg^6|WN?GN<;zRtYY?lvP=kgCfgoiTpfR{kTb6TJ zG_*qw4b}-k4e+x<`R+x!+09&(V7hWnF0xlV;_c01W5XS*_!~IcNdlc zs9$+SdvjCt!X@|P2Jk*`s+(cVw(+hb;2tr7FYY757TexJ`Wfn7 zHsJ8%2x^qXQV5s*FwLMZJ-JkLs$>d0V6X;IAYz3kI5kd~&@mA0b%ZzbP9uRcVCK_M zTxEy!#J@){q zkW5A8KH`AaF@U%xFezNn=X9887mh(wHY>R#j*MK$h`&F$a(e#&%r4{q04_1H+hc&4 zN@=(P86cZ9Fn6XNJB&zS*CfFak#VE;`OYcC!xT-1`HclMWnvpg`G_svVOgGLi(8w; zzTIUIFk%t$11giCFoo3U#CoLN+fE0oi-<5DAN!OgNHR@ZRNcCm01>P_n_NI8kDNqg z_GBZis6@&W5|Dyr0;EE}c=2)O_L{qK_YFOdaL_h&?CvL7RNsar0pG?&3<3&#zL-Tj zELoC(L4U-Uo}6#<)&Pggki-RVtLepQzF_cqgOpWacQ&p86;duOGPHgS5p=iz05^lw zCy&+&6=M|^e&7YB0h&wcA?tXSv7jZP*5LaPJrUM29k;QfUmsteQ4Lle|!iSSsAv$3nu95t}Dv`){{U#= zwkl-3sYMRQC<~(i6_a?hiQfD&g|Fh=&?F01m@kstfG15;?l2>$)9HnJ5gnOO3?A}& zkDP-40QgP_z<@stI|)#(Y9WTWHmQ&mS{M>U(f&=_>jY~s}Mh=7gT*YV9iCIW(JnO96t14QI)m}DRb{=ArNd!W#>Tg&JP@(u$| z0OG_N6B+^rqcCZkoK=Yl%%+AkP*fYgcYp>}-Xp-ohBe!jTXa0{;fRd%?*k}BkH;R| zASB%k0NoBrpj}|=nIegxz=&R85wUsv_lOXpen>biUBH+=ntI#{f>2%D1{$OM9o*Pu zMW3<4sR9f034Lfx9-BlznBB-AF;LhcU&}Epf@?-UM*;*zxCQta7V%%Ja(i9x^yQM) zN_FIz64JBID)=ja4;7=~$v+Fs6|IJ^Fl{*8atIw|^_ytPFY638zMaFJ@L)iAGr}EH z5+kEkYp)n9Cd0S~;%vuLA8N3|g}_C1jAUN(nF3wn04+B*@iDE)F_bo* zGlfJ9bVsfrC9~g|yx%kQ#SkIw&C+ndetG&@y98=m68tw zioO`!rS3Qt8sm{jFlXzD2Asy+aFboe5y(aLFrYf^is_CfC;;$fj5Gk@Br{^G^uVX6 zVz29fW@#21vl_Pk@+lbzY4<5^ay)~ff&iOL08kuKIULX%i0Mre7j)KBxP_*! zQQh0zwL8}zC%s`M?Z-j>Pi{d~2`)2Dmlfk-yhgn9o7Fji3A|&J`y`xR^J-VL?C4Qjsq>)LuCQ36S8u z+y%i<98r+!m?;D&GS9~IkK}TgL|j!G#Pk839mQ+sIRtSJ7ZEWXt;_doFof-@eBfZ5 z7^VGZpS+InDPJ$# zBf}BoV^K_eAe9Wz)X6$3;U_d5b;T~ntb(5nxD_EQ z;TKls@WlP`XqW($Q8eKM4V8E38NUMt(%>%5BkS6@4lzc09N;t@X1a*`hCQEg7p&yU z(3yG9dZDhvITL1IfHGNv4)eTblMwt_Bbl4BAa)!zgcUp5_26PU9%p zVjx9To?qMuuB%@&0laF<$2Ini&4X3a^u;7KL-b|9q+1m*J3A9uwPg}SGePB04;F^< zO%qaOVQZFL+`R5qAh$ut*Zc=&bboGdy1xA?9;pK=ho0Qd-yOoFT zz*@8f@Z`NlrKM1PVC|5L4Ih28A7CN2(M0S|IcY?XMLJI0-6dh&L?ZBTCW49NjM{T< za9kCVyfDimJc2)y6DbBZfxraaG;g)=Fc^4#Hqv$VfEZYW{oz;@1bNgIatwOwmPFML z4&;Jb?OFua=5ns^%@2_=TycZg*6{PMIE9KiyH>@-)H{;?a$E>4xwa9SnW*g(5SPo3 zuS#bJi^CKFZMAK8!vv>PUR2GjTKt$uB5o|E9?7r!h>P%%n1Be^zb-`+4+j9|;mklO z7g$Tp&xyRzP!K1ETa180wmgg%FKW94HT}Rs2)F}~u($3MtpftnbOpHE#)b(Vi_dMq z5uv+=SjxA}`rZN{-pFL68`gVPV2DcZ%ov4->j^i6l}H`UntQu};1S~vFg1W#swPnI z)t?j2BA3cl&LBqI0{}(etS(Ym15jY1fUBM|>8YC!TjLtUW9!Z+8NYr10Naoi%{;Y# zG7j2%j2DoL=-yFq(~wm`a*8*^&5PvZL^E($EI*k)rQFM#N+Yfq~j#w78TJ1L49@{{Xm1XM;5W59V*Dp5+wW{{T=I^9(%OHG5;c)fiRNj`7?i z$|queI1+-cZB%iXCwzuB1J|tcj&mY7PQ46G&c*s-3A%<|S`mmUch(!?YYKo9?mHst zw|N~%BIIoi>b)YkQ z1WsyjY1@g3E+qx1bHB@)BF>lrzA@E<=H#J-5uqPA%??RR{{Rp30$0NmerB^9H|5K} z4rF(bjxjhwxiN4Nt_(m%XF%|ATE`(2zHU#3t@vQME(Dph(4Xc)i?2Dr8oE;=CZM0L z6xx)-4Zuee>^Nf6cO@b1j!mtU){MJ&T8ig!h4YmGsF?@6LhE@)lUXQ6++!gWeZHJT zNia~4IAQ^#JjQHCC`-;VAuEa7@G#ZHaBXcF{m-HXzH0{Uf4Byda}a|kj3B%Tyu0t5 zSSBD5N8S#MEn~TXycyRhQyd?LS#W}l-%Q#{n9l-7QO^ijF@?%o@aSBseLY|3mBFfw)tLM%jLDc3Gqr-}x8RHQ&v54(vYHIEM)yfp~ zZt@AI+_gZM0P7O4$>9uj?LMX%gHx_0r&hRteHfp|{V};s!kAG8I8$Mg;}wlJgQt+r zfnfQ30~{bw1ml1KNb?2b@B9l4f}6IP0Ur84;rE6GEJw3W3Wk$_%MsT58Bo}`K(pZe z+sp#AYEXBO{J?KQ3+uBa4(Y?xK!^aa2xQgi>kU zaN!?$5wY`w0suKwKishv(|=4$YuP34L~~T(vg&Pdnk4gu;C5iWwfB{Fx=)zgA>!hW zp91@EaY8)h1Ult4R(B)dusYKiTEy3>j2i16>BL+w;qD68`1k1j$X3ILyPA#4Ya4fz z`}4*KsvlS{7;DZ%M`K5?juDt$Ts0V%z)qj9jNSzFlQ%g)0kE;!KE80J0y^*^+yrb> zSErP?-wMH7CqlO6DYT7H>dblqiikK<(HeeY`Fp@rj0Df`c4mUOL1{cc94`+H+tP%m zoE|0NsdLY62t_QQ(LpjJ*FYAiy$npXh%FG{5fgDm#d$orsWBZ$-)Eu3$!7>~;Jla- ziWb)mmFwk?Fz_a!DBzWpQrEFKrV|kKF;O2O&8A{TjKrjl5$d6|L2!XY2nA=m>lB32 zKZa$tZ5{I&2DD-qDD8nzp%Z`~A(tLbjBw3lp(^A_#le8F%o7h3!BJKNe_TogJkuK^ zy(s?x%!Wr-^u;h#c6%QfHrv5S0alp1J@s>g#e0C7k$j6aow_;&BhvVpW`qz_rdQR| zXjl6+k!WoN(SgMpY>K=bI|h{ty5Ql^(S>@y3;~0Rz8DWqx~1wka<+-vm2V;l1|Ccs zB!l{|=AntOo@wC38$hR08YV~-;`Gsk65|2Mo@M7W1k#Aodu}j+Ox05xVrY!+RBg5W zGhvQRVg#9N#h`sb@L+3(M7S^2#gPi60jVHT=s>dcouT!rK1; zFsoV2GnSLTd4R#qrW_>jaKKxR0(ZfI?^MLwQeh9Wf7~VUk?y_ZZ@NgSC$m7A>gB`_lC|nXcr!w0)Ig|l`U@Y&fB+xH^xJJEZ z>7sKwYqs2wb(+`7i6m7BU?GK%h6Aq>U_~{WJ`0Mpb1f>hz^yzugQ&9sRCXElO=1@1 z46tHh2#vq{go9~|coDoBZtfFXiMu;w>4M;K)ecQKIixIyzS4G}E#WRvAHRKX^1 zI!qB91ODq3q8<)^X@n3Y^@>?Rn#ZFM3aNyXtBcvxXUtfPQiy9P1qX|oin{ZOUQSKB zxM4{Z$I#?Uaf$X;uh0L&#S;!PNr0A`G^ zZl+4!qk=^&&5%~ii5Ry8YBul>x?LGczA)b@$_=bA*P&o`yAO5g6Q1;0CMa*R}ieKn_^DE zseyp6kmZojpNv(fP1)?t5U-v3?(pz?#3CpG+a?WB(O)p&!bK=}!b@uTnCkE;E+_3AB>`1`n1TyqK*T_hJ(1aPQAz&*F*1AzleZW<)B7>h zp0su;fN(%;byx^*b?6?rg09yfkbBc+K7}c6Dkv$~G1bN}(pyK*ku$!`Aqzs0hn#78 zCW1^LFiOtGXg#GKgJJ2$s>vii5b^5{G!;-5fc9J>hyknW65%TZPhjkMW>z^!TFOK; z@*R=A_RRxcl_S&B?;Ugm2&5aQ*kTLY)b`U9e*;=IRHM2<&m4nxg!9H zFeC@HOmr@Ss1}1^n%Adj!t%N<0ioPW8~2k9@u+{cOy`}(sJA>$%anL;nj9m@JU#nx(%`a> z{I~#5GXM$|s~}-&0gNpG1vP+{L052zt9dcnBtj!i?o-w*gSU7}872OO#a3l^(%>Gl zMloNV+TxZ6NI&Jz3I1R`ivBTIjjAd9Qv$BG>uEczOty~jIzaBcZ{9LehwndfNIH_e zCIy3dirmmBFB}PxTnn<5`?RwzOG%g60?lCxK}LPc3#&Ze(8MCl)m*LwaaHIhCc=(P zU|()N#M*zE0D&*35(+HG5wY_KLJG*u3;zHzVZ6HWwqgqNg(VPgV_&#OP>eH>6T<*O zSY?d1kii~Gx?GsvrU--4-e?v6X#fQR{z6>W3S z)$@Q<1S1J9Vk9EvT1n$0f3Fz6qwWW~Wv(M&b+aa%GnhK_3J}vc4_-0dB)I2Zd6Gf8 zwffwmSQie2$kHT`Zt0Buh=YQBaPiOdWF7B*xPS7pfuKlYO(KJt<8<{cNw z$}KhBWiknYu1rCZSD5g@d2qj%HRpfMW43nS1)~{|(RqIlCQaH2KON!$^8luf=Ha{y zREh12D^7R>h7se$v&8rJMQ~S+f8~_?t_ZrQ%_*~en#0Doa==NquqYy@)xKr)K5ZKHB z>IZQCgM#u<)<=h)GDS`qK%mWIWxbA7qT&Rt{{Wd$rBeFB0{|*-&$AIWHxpeL&%+dZ zV|kPwkhNalt%7a3{I!z2Uyfm0hyReKaD0?7Hq{{Tsw1*+SKj=|BC@xwRAPFT@X0ZosXl=X>e3|l~9z*{q0itV{!*Ky@5 ze?RUOGPxj)wQy7dVj}v*xA;dbnl?iby)X#$r;>9h!5AR=ONbbR8`*Ngvp0$PjYPyk z=$-dwP#3RracRoO^j^5KE}$*nGgy*u-bhdE&C(6RK+B$L+s*+It&g9EYCo^s^Oij0 zq9-zld$=a{&KRI7JZX-LxxjIzC2R>xn;X5K<`Z3&<}6l>Mk=EH{V)UM$Ju!PWVZg0 zF-de6W0v2n_{KotyhdjZCvfw&gf_JOe1TeM4h68q>s^WlgF$_Vvt8o;8aF~_{DKxKD z;XGsdS|DB1IpX6Sq%@F-y^jV%bn9K$Iw+h25N09G{d*1g&4SBJtF-q_uoNGFf7QgZ z7{IDm^u*D>Xi=}hfNGmG0X62iB;+AkLc5^%A_L@~C0wH*Dhb&~4d16q=^JIr=9I>< zl6?$&)o|!RJxt*oU(w;iRZfgc;2tNOVmVgH9j~To2ZR7Q2dc{q5EMESIts`;x66Zs zkS+3;)*S>05h>TwVj`OmsI#~)IGUMpxw(KkDbL=cifu&O>GP}vAtRx`H-u?V{W$?( zT220##?8{q2B}0Gia@sls%-I_RIf}{oBaa;EGe$sij`SSX5f=$(>`w+yn_e`KCVdd zUH%@7LO}re+$&NuB$oT!*XfW85Z$-`09*}MM*jd=6Qts^LYk!DN6O{sJ8Sk#QEQ}4 zK+FBagMP`BAS>ToV@jiPK(3RYaTijuI5;?`+RK7TUakolS807pu`4eL zK&|rTuJBZYv@ks9g>5p8TPLqMwrdL^i`xKdka@Wf2Y9eq*M~iT^0`*gY?*z8*qHBp zi}xCWCRnba4{O&pv|xzohVqLK=0c@cHt4Q6k^>WL6KC8@z8Ai5Dqk}wj}XK!a9=qD z^@b)om`KH$(JlZ!=3H-xOtA8u#m}MY=l=kP1yd4Tb>3)!wSO_N!~l?P@C)U}TXn<; zHMoj}C!7Y5W=#iXChweXr{Da*GP58Fpu|@!6LG>dd78P57w$20`+($d%|~Qh46a?p z!Q+A*hDc+)2k4pPdl*sWToIwixTpuqfUvBGuD#BFH)((+P3|B_b;2Jvn*mQ5Nv<$e z#3}y($cyemuP2N^hM2CmBuN}!E1&t?uVY34v_F>`$e72K&nd#U29w{Md0Ctm%(%W@ zaX@`Jk_j2V{mP-^pZvl>FT3LoGM2`;Pp`OkqIP4aV~Gbsw&A%vzx#+c&Ok?AedJMJ zxQlC-r!a7g6XkaxBS%#11u6l4Zz~Z>m-9!HXmpg7@7x$S4dbPy=EZ&Y2UI^-C_~2r1-U1Y#0Qw-Lu+k-A2pwMa^&jR zG3+Z&8cVhG{{Xmjbc6kIA=LWGDb^}Jj$uHjpN~@`;I8n6AsdZHWIKo~G9vjHiwWG3 zgpuyCfvrr~p&yuOuiw);5x}Tp=4F(wGZ3QYIs9gI-9a}WTL z`JJDPJQ&@GxubMJe|}uqFlSuDi0a@fGv+mGmq|&GJ23?w5@RWmb6Ypu0w&zh@VQhV z;{s2JIHg_IoC)Vdf4B+{CIlT>lm(_EQh~zCZKhaLWWb_(8CXK|I~|ymtb(mGV!5~f z0Ia!rkuawWzf;ze2536S1#Ip`d_yWg7>c`mvC|Sv(^@hGEGbq54f@E&m0!#S*w3%E zxE9`tLLQEADSChD;@NqVzjb}K4AJ;EF8p??b z!jtdmjZUP&=ut^lDtObQb#wRyT*Pi+~y92R~Nmb~ZJvxkL8=leI zua^J~FG8*{i4UA;jE-bxb_$_YNnh*Ir94*&)6j7bn1 zeq2JWV`szAa1g<+S`YkJxLx_&hM*nScp#fCXeRkC0p3wyc%EMvd)0jvAy+NpK@@!$ zV~(M?$N{huqk@eAn+0tHYwsb93OA~BI0hFa0%1g&FqDFlgBL|L^NlxPj1A@ij@pQn z_w~u}$yy0x*e0khBU7>}H0;ntb-xu6ZwX50@Zu|(bHcpr0RUmkL&tjgMa#76lzDWn0T?~%n7 zWNVa%f&T!Qw8RSaUER94wYakyWi9GTVcvUo%DZlqu6A9o7ZL#%%&zuUN)= zxMCa~r&ApsF#z-P0vgQ*21ew`ZZH6uQSwYk9MZ zB>w>5uo`erXx;@IjTx*#FL4-1cp<-7K`F{iGt>Kmrx4>nwYN4Q%KJ<#+a59Ljdg(6 zG-c91KbS^}u@xSPiS)~fPCdYwatsqkAmoGV2K`SMD*OOH4LGS5I^@sUYXP2q28TrUL__jm?6T{{XnbHVKRfSuqb0Q<#vG^A&$hWuW-5{{Y@QL({pv zMVK@jyl88Vvf;XhX$8T=d3KIE5!%Qofv?wCLY2HsjS&+5=H%6<-D@HNh=1PP3onx~ z2|`l;09;U4hyHqTR00vVDIsTa1^)nXxG5kOZR3hd3U?oOZ~kQ^uL+w)@sNS5yfx5N z!`3F307Tym4GX8wpVt^jgmorF^#wmL5x#xmg*57U#fHfCqdtZk3N?(CJD}e7joN0J zNoWqAX^fi$k7tm0@XJQfTEMg(ZNp)UAHu3M*)z$Zr=XCTvD8J(O{3M@wzbJEgD;Opx& z)8UT*eqG{dInY?!!0^Q_GOK7XPA)@}#>D7E8{;f-XA4mkTwESy#$Fs$!o(CLOl^HJ z+V<3n*sAz3u}cB7{{RL+l(NG>ji$A!DZ4FGA*o*1ORDGaUeEUOFA~)@-?~FafxQ zPaeCETJ@*nkz;TF06L~kc2fT12#(e10rp}dP}&$5;SJpIIl~agsM9%cvVS*%6zIc1 zXb71gtlymIXdJ;bA~v3kptbsBG$jl`zRpQG8<1WPIEP8`tNq5;@ykR|{#N!p9ajWJ>Qagkj(==uyGDgGA-f(kJ!ci!Bn^*m({ z0C|Ed6<%Q2)-$GxWJ(w$sJ?x;NhgsW#$*$~U0`TIbQv(L^}z*FWTLsc*}M4U#%Lhq ztJq${cHy!O4{#d$R|)l%J`0-*oWUWQu&~N>XM($Phq~s2Q_aBuC?0TyP;lnZC(K$| ztzjZPJ>w#`xafp&JY{i~91IKj9K>F0PEt3yR{%p8PIC@_W(xlR92z)R7C0Y1Or;c< z@UeM-U+bIkxeD$tSXS>W6;FAiKrC=HwM=T^Vn6N81I5XeEEuqR{{X>ZxHfYV6NNZL z8se%BfcRqqP1gVb&E$yF?pgtjMd6#4;^aaR&g!5>QW%sYu5>61au70e~&zT)+eOxRhZK9te%F;s$S%nGPI%8+3syGd|#b(imTlzX!r zR7T8$f?QI3!KC}blDE!i9aj&4$Sum&*`62i!fIS^sg91`rUWNvTt!?gC7!TF*?{L! z{{YOR`rCycQz0c|%oET35O9{wG1ez0JzJKAX_8fF?<>0DlGZXf3E+la)XLzR2R8g> z)0iNQ*6=M-WX$*+CJIk6$_X=2Zr}u$$&Q4FHoWrel3SVF!rC7a)zc^nJ<#SYjNpjRc6>@!uS zG8*m@d}?4g_%ISH-eEKt(#Y_b9A2{adwxe)hCGuPfytWsVFS*l@;40EANih`LsftX z`g0Kx#iA2L!~@ zF{$$khgh~H&U*Setc&>T7oZxLi0pNJaL@@@etB{O!(Ct&l9S6d4-9?(0Ck!ThixzX z#x|ahr7*x+Vlf_&^OP7@j@OZ8#V(W>s21(EZ8_ z9ZAOFQ(i%&Wd38*AV~L|GoWU|)ZAHCgBUp>x36mAwHpU%HkevONSZ+Q;DU&HL>>$z zNFfT4g_$CuxT2vOavTff z4HY<^F>OaY#)OeD#93(oz`!I*K#ReERzdwjqp-t6Alp8pLBK3aq$uKgxGoqpL!h3# z;1H62!_k1YtT#5yVL&RbB;Bl2gSoF+07rddZwyyn9TCG{E4Uh7tX9KMjc4hO5WTor z0#VI4zChltyQ!PYc|}m-L`}uQ!P~zl3K|N>+lPVRJ>(_ymkl-$|(S2vfVvz0*$MeL>C&A zj~tl64ft~M8#HnO0~~-q_BjDxSOS$zLlqTTn2JaT+PKOHiyWhNd1p(y96b$eSiJv$nU8iyq1uy_~ zy~Y_L9N`cLDdO3cP~(BIFcGHvm)NI_L);~58&<03IN+)%;=$U=q0r8@rrFh^of zIFj_@mBK|(-ZgCpEII2S#qQQ0aZ%!~68iI&D$D`yunqn=eudUSHRA`b>w*UH!z+(+ z=IjhaZxO@OAz)kuLH+*#;EA_+#_I;LM;L56n1I*&ga@&KSH@5!$XD0QQYJua9Z17? zZao+PW=6u(BrD!2H(8BynWgc%#tSa6lMilxu;3Gs-`rYl8=tuZdvGT3-G7*b7Gd6F zm);`i^HUoHGs_aB%cC@2t^DIgFaT;0L~Hzr9!KO7q*s^7Sh;27l57?_%%4Le&aGVRfDgz=MQ6S&1ZonXn~dz&Ko ziIOT^ZF68vy2+%V7bFA=wy<<`>n$JDV!|!3lb!fu4kEb6ZMl0-@Q5!JV#Y7b&P_;j>-891V8T zV54Qel1!Bg)6?64jSyLCI7;ivw^^woo*}<(0F~eV`^$h&4l)T{w6%=7S ze3)&DYK4w)$7pXE6k7iPn2QObPhG`Sb#Z$mijm=oMo2^~f4RAK;BUK2;`>Y`*uGQQ zrv@U9sk~4x-OQRdiRoB(eB-DMY3>HtHTrygOmXGrCEI#)aeMN z(1Lf~8C8NHa1e0}U?`(e7vHS4!~wT#^Vi;O5hqH#{v1H_gzPVs#UV^-s?Ia_CIzlg zY*T{d8Wd^ch}qbZ9DR&IE(d5ewDa#aj%veG=UT~HBHD=TSib{ZXtytp> zKy~hE?DqsFjbwOAhcn3sWNK)bq3--`a+$IaE~LbSq6HgyfK7EhzTD)AfDHtaes2Lj za%9BRVlt?KW81?R2P}2_h(ssx?(##xFV_fe#^2M%0B1aZCKCr5z%obk2%w#p;i-m$ zpu8iLX-q>4N&dfZiyLoBNEy#i`{f8WR0+YB@ zDoydlt=Bi`XE7Cw4nEwCo7!Nd>Z-Ud&*r0wk4zX1O5!xUaM2jVNBbrbRr5KX4iF-D z&&(Y`SBy~*ddL=cOrB|CWH~M0dT@=6y59#0Y6WW;fkOlWw485pVAcK=4vuVc|jx5Cl>jQ7q!3uLX zB+|byKzVR9g~ir*#sIei4CWNp;?N>tI`NQ1z*HA~GKSn5Z@U}~p4{0F7@usRcNay( zR3c^hp&hFi&!EE_u(;_N`{LqYQ2zj!j~t7%W!jII)4gP1aC~`jkT`P`6 zVyK1!zx?CY<6ZglV0Q}C`fi`G#6jxZsqZ)gMc16LA20iW6WfhRF#}bI7iD>l(-T{K zV5^PV?-F2W`!K;g$&j=xa8-N;0ine~{J?h1pgOo{YU?e==KlbghuJf!=)?$}guy1% z^Mjyy`~&%#3wF2ranMQSFxc>BXj3b5Oi%>JLV38q3+cg2amWHp0d2@&*eCbyB6eqt zQjKWVB{ApKztY11zR*$|syOCB|4yX8=@O)jF%Z z0DSet6zB){8$)A~40J(?XiR5J=$#m4B*4=1KHA6tE>Pa(#H`oMyR-7*)|%2_wey`6 zGK7Ja+tKd?VMna7xr-IWd76^y6ErIWz2K7l2TVwq0eJI)9Rg#d$XkN!=`lOvNBfXS zD^6-ue?&51PTYk%Qwyg9%mo+5G5V7M7Ln#%c?_nAUjATE4ut(rIbm0QFlM`RG^1QF z+E}?zO<+r^W2FS2@WUx0T9Z-40Ps7?d-7wbqPWOg1pFxB4I1zwn3RCKt5{Zqa2|pJiG;RP7Cgn?@k$f0PNTl?{5>^U~MuN z&|rlS%wxtn!F^QQ7^Ee_6uSQa^l{ipNH~f#KgNzk0JXkzxQ-Df%8Moj1dbE23UP3Xgox|oe#eCEc3vg9~)rTxWD*~x%Y zK$9Xt)-DPO>j12YGuwe>@f+P}GsG)7ki|Akg1yDwSpfTq(d$bOI$&3K7L@ggL)#CA zL0z+PgD|kP3Y5SbQeglSZZbDm4M9u>(8I3GVy~aq5ILcHfe}n=HB>p4T*IY<8Z_&9 zDK50(DR=V*p4S~s4!A#chOn~$1Nk~&X3PWVu2Nx|@?Z}cYmRIjrfOG)P`4i#OHVFH zfbTE|KX9EG2^5nPNrG|LHu*f`vu8PmHCbAD$<|>7%|#Oe%GJO`bzB`L5Hw#H!91~4 zPq>$nFZ9H@7x{xa;t>A;m`21mikU}*E=E#9^xyvgF<;zvKQNBEdHr!APcp~1#mbab z_l*I7D5#tl05_#_a$(jhXQw`g?Zcz93LdT`P`x~3YVs}+jT0~|I|lxk;3&H=3)B06N{a^rGnIyRy&c7> zbpHTGBN7#Z(RVN~RP%h|lXZ(|H%9(4;Ox9G0fczn&dMXYnNly@2Iu|5*!?C^Kbb%_ z_xMccAwixz;LF0BzFdh!)oOAmV$*ToJHZzjHyB8C2Laz*qr^y1}Q;5ku0Z zGH=ZP0CRRdCK=WJI+e8IimKQ<$Xs7=C#$@6eC(n6lOlUEncyrI?#Mp66fCarNps z*JzFeZNdas9)-lNVgTN6W>^ZugnNwiJuxF2lSvux3cJ9Mh7CyJ zi~}STo}AaX?0sVHvTfmT0-_hVLPXv(VNv!RV6>V;(PzA{VE6jQ2M||F#mHr3_IIvS z0k(kmkc!~oCWnw7au^`pvr)4Ui69l-KHPHQ1S^8!v{ccbozvpp4N5|2Mz-U4A;DAma3KuD91V7ioh#^2TVMW#pLshSK91K9W zP0NRdVi&se*V}^y2drq@ZM!A{5G3wu8&??D+?W)T?m+5D?ze@704S5V8-g@jUannA zv=AUYTsIA!3}9Er<`DrSL5DG%_8q;+dg}o~f+B|EIE!MT>2E9wb*9>5LP9Kbv3WI> zt|^~}2E~{g6|rlHYwU5nOn9$0SePQ035A3(hV_U9qK9gg%NeC5B7@L*#Au-aS<1ds z6(AFl!kDloN^ukqesPwIhEZT1FhJLe3FLw7#;O!TA7F8D-a13HNYf&itH3OKZ;UjT z1P+15v^wXcvCfI&4#@Ih2z(NGTs*vjxR_jVA|78m#Z!lHaC9AtbU(K*uUksW5h2i)PZUf^S73Gp%-9SgS{AS9O_$xX-34Q$*Ow;+~T z%njnSgQL-kq6^i{U z8N~9Y3O9uP90*Or8AUeZ;1V-sS!^Fx}srX^17^o>FAj{{XBJeEP*rOhqp5 z&Hxif3lA=8LAZpwTqM@-F(80a8^+F2xP!=765Wx)=B)FcRw$v=(&7~a^N8%+lI~>O zw)w=I^^98I%tD*o^N~yk;ha1;gd>vq1DKW5X56A{1NEHgG9r5Oi5P8MfesyTCyXFd z904JVY#ui*<|47DgIOY{j^&6q9lE12ceLXKR9JG#SFLh+#kqc+E_@$=TkFSt4UB`ty=K{J25a zazY(uz?AWX-ZbUM9b73)--v(AG-IAw2ITu}W($1V*-{`i}{9$xH@yn0QHRHwlEXJV&NfiXf(cawJVhZ1Bt2s0J&pc zKkI<4Ch`dyftLt$b78KR4m(^l$R6cUI(1a-Eu@!8hZ~o#;8NAniscuTJTBO ze{luZ8ud#ILFU*Q5UA7<4@@zjSNWcBua)Pws^C;( z-#w}1=af-Nx5ono0KgQmZ}EKGg2ec|)(Q|--U)({yI5mAo;DoojBvJ;#R~v-as-@6e@0Iv7i73-r~%M(3T5&zAz-`-j5h| zhS&(X1xg^KQm!Q4$PYaeJ0m_%Ofe%=n9({6P2+aZrlw(991fY6C9ff~TR$-K}wNEr}TJ{hARO>LLf_kt>!NpEdWX_W;aQMiumBZx+afEP(H zR(ejI>|xh;_vO<#^!oFk2~fE+!wnE*|#d6<;z=PU(+IRSc0&-Ix=4*gHF8k(YU zPk6EPYyBo7ID0hJt8*Z{Duxk;Z?<5U0-|IIoo_qF85P=B(v*9w} zS6+I=VKsdDaOk;#0u!j1SJ8B3B|c1-YxgJy#^nlUEWvF{k@qQxQu%Ue@sYuPVE`}u zWkQZ3;QFshTCrm^tk@tk6V|!0iCR=CV z#a-wg_;FdLP*L7ugei>#M;GD9ZO_CU&UpNL|0d(1*x zulbaj+pI24JmY|Hq`4Kw(*w$@HA<#CYwiRK8M0GTD})wh5vu;UCn1kA<=E54eDgGh znz=K)gRc)9B@i#HUW~NwnSv0P_k3))W)VER2Wd z3MYWVs)3QwxBj?dB#!P=OEBT_b3ofN1M4e%277pp3aGa)@y-K&vVd+pzc7n&gJjL5 z^586h3hQ?sIRg<&?Q``rQsltq1c345G)8~iJ2Ln&XFYEOmFtc!goZ6@I0AZ}GG+Al z7MbA0bunJC3GTxT_g=EMc=#|;yE)LwWQ5Y~F^w=L#NA2%0LG1n{{RYyc=Ik-nMP46 ze&W@}DQCP!G{_FH>4RG}j+Aq*Rsiz~0pC~*_K>)Q*G7lJ;BY8nv5-|f7%B@V90Tib z__sC#(}WUv$u6)fzGU0!nuikAuV1-!cbY6^dx88M2-*3a8o#*#37&yi6(-)}u#+VM z*Kn@aY_g2F9LcQRWN5@evwh9ag}H@P5KQss#l!UjghZi}@yOl4$7tmy6pkW@G{dr{ zB@L!GsfBve6p>kirT}i-uu?f?dN(m(H06+eaKt2q$9TD+QW%~5^@v9GTuOn#_Dh3Z zWCyCd$h)sIP=dTOfgGqvw+aX0<`w}A>Il>JOl>m1+mMm;Oc3b4oK`%!n%in%?Sou_ zQQsLEB;;|>ZN^LQ{BB+CQU?#)Ad`70T^$UGRTN+i={N) z1TBTt9xiXYujtL@(2GD?Q>?-+yWFZ)^e$+^r2btdFh+?F0MhR?1-s|R1R>_GL1dp; zBzdBr!~5e3@j@D-OHlG-hom+Upq`u+*-e2L%J?$NPX~oY+#Dc6jY6Z0=`10ygNHTP z4`mDUCo~|m^f%X>YIWi40mmNeQ(#=JI9#C8oseC-B*-vbu^a=cxNE@!sZ`op6UI6` zf^B@-Vp(9TMA)V3;sk+L2zaH$F7xKiRr%vLAO)eIPtPtfggO0}5nu3>74sTRk~WJO zR3?g(f^r$pj7_JSJX{@aws!#GcU+$l)tLiA%nhk+$bvpFBSpDoB6CKFCnVUFa8PgQ zj+6->InKYCwLDl%sRrr&#cybs-9)nEiM)eA#Rc#sxC!7x4G;GT98)HJ8k!a5 zh5*{AU@1zg`+`w!%tleRjzpz<#HlRlh-#((0GTQV5FV{e0iBi;-*T6P3*8xMN12RA z%$FubL(T*XRr1_41MNc_Mq75cs1dDwx%pT=>4pbc7v>2;X)dm9Ld)-_ODLlJFuJ&f z6rXZXiIiK%vR_|@FhIWU1W@vhCe1MryYF5Z2x+Qc$#JO6OLzYO@pFjrSn|UZVJDmz z!E5I#Hu}OlNWaCn6b4bV5CP!sY*?hD93=*AY)+b(`V2Mz-*Qk0OgN#VHX@cv{W%I6 zK*8S%k)qMSg|+VHFsUKu>%2~i2uD%&dv9|#mY_|uab{N#um0t*P#5{EY=Kj(+|0Ip8liZKouO*_|ef{i_WyTK9ftPKzDFe!kl z;9NjHRKzQJl;}7A0C4K)ByaZ%0UhGU;e^w$H-JH{I2*4Xed2hSkouW=1MVg(!H_<; zfIIVy{{Wc5hE=_B(bhDBh=J}WTKsZm4BlJ|*ty`uz}5jFjIW$z8ISFaBOVG1*^m@& z>S9;i%R*h{k4nmD+~!3=NMXrZFc8tcaRajv7abN{f%8~IFcF->n6<#EM~rXUbJhm$ z1|oqUm_+Yfv5iG@Sw;o~CBB%Vj0O#yOjl+KCH**$EE%Tes^Ab$<`i&k=(8G6m{wtd zEYAaZlAaeT=L{i>p_5;86NWm{;4V%aBX5@>4Vg$L5eb84PXR9Hy~+aF zgx6_`$-9QN{l77l{{H|RKr63VXnAwt9`HV~61qDx>^@>YjsYa3#4AoWg_XaU6$;_5 z%nBR^AUFDBkN*I+DX_&IHXHGcPL;d=05gd*(UoScJ-^wSq@KysH8GR7{{UthT{iI^ z9cETzenV%I0Gl(|#JK9JQHX9z$H?5 z{X*h5OXe+&?=OrETNlrd+m_s*=amc~g2ePQrU!wbQQjy9#Houdw$lS(DW~30%T#ED-;BUXU*7$RIGhqUN zRA5^*w#ZrXdBzGs{QOmX;&VluiZFAbF%g~8m?~`;h#iotq&b8yZ4#XV`t_P(1lkV3 zFr%(eK*S_r=PIixy)-M}#uNp#Pzk4{!Yr~Kln9bcC{paFyT;)ZfLf@wGf-wxE`SKA z69GV?5nY0t4|t|R1RkI1&FZ4?wKKzxKMFyBTV1$=3Ld1wGo<#dkaCc(E#fFVI4vp* zEZLASmfT*3u~>uc_F|+u0v;x6k*omY*qyEo*^+NJJlEkz5EvS|@b4(6hTJ^CL%_LB z(OzIFzI^%diMWnaBT=@%#s#1zAPMP?iwY0t`sEV%Fd-k~0qgBIl|btx<$J+FE0gEE zxK{~;+suJ)UT`{6FFxdL;J5J3D&i^c6uPl}49F^)*S8p=8?H!1%K&)5{{Ztcd$(91 z5r+L`Np|z|2cfv@*L%XP46KfW zB#JAcfG}*AC^S^&7Kx>}GN$K@RSCXwVPxwg#P7~4NKIr)!J=?(u^L7Pb76_3u@u4Z z{{Y;g1f*~}tdeHtA`o?MB1My7?ZgQ9GBq~OIl*E4VkzO|$Pe}Z0N^+;GB0o*Y!t*a zO)gRmK`^r53&oj2hgW%j#l#o6tRX#7ajtRxn8sB#YZ~Y?6DNiq5EC2@0hQ4QqsFl0 zPngu15Y5c04zxoQpjTL$2HVI2Zx-u>!i7#D^%&c)jsXO-G#jg%ig{oB$^tSDzT|=M zH-$qA12?7xLCvS!K*lt^X0dl%xflDI$4pC_J)FTxxnMNYh&d6Vw^+&-veOH12Xpa@ z91!y!f_lhy+_T%i4@_MHmFqUT@t1$$gVe-m+53qV%bnivr47C@4A8Yc^AQ`c!!?IP zUpw&OyBc)+$1uaZDA!L>EtULHNY-?*hM`GB*DJ4DeTG zi~w2l2V>yp#$sm9XB3(Az$lMgFbJ2FYh}x!HF9(s!jCR6Td?9M^BDl~yku)v9hND; zK=6Ou{Tl_*yb*x%8Fw@p{6Ug6H8Dtf)>*^%DJB6ryXF8OZ~hq=oR|S88ay_isg#po zdB_x#CK#4Ju!O=l;pa6hLXS>iz085f?lyu+gi;h-fXlEGZaq9)U{u0DjmE0fW<6Oa z`Z(d7iTvTo?8bLmcWpx?`5jDz3RMIzcWSU=N0FuuKCLQ~l zk&Uy3V~ku_;12@pAcj=bd4YNC%TY~Y7-?}1Jh)Z99c2MIh0(OL;g)Y{Us%9PZczG@ zJX;08R2Yi7{@@XJ^8{=6{{V6xq{j$Bpd78&p>lw3+zft(01lW$4bS0#AnrEgt7>S- ztei(ItN39$!dZ+if!+v{*K)YC5K`)80DN7VF#v5@G^(0NG?OBEj9AB;}jLHBYmwNtTFRrdZ==-_2 zbVE)r{Bb1F=D{FrLzU(T&AbNdKebuex?{%Bme@u zNsZVBHx1Uvd&X2yG#X)y4OY>1W7>PKN{AUp&K)BFWhSkR2L@W85~Ztp$R7;a!OfXcdX;EuaWn16|8SuHFIcOt8w+hmfy% ztOi|ol~4`Qf#|=wV7@F7glau6oDh;Eh9w7!;|Sgh+AFij%4s;VHw8RT7)~$DP=jim zMF9&);+7aBQG(ctY-wI`#D&9Qbo1_P9?0s~Of^u&}Ec>^{oG!qd>MwMH` zcrZd`1~enyKsRTO1Y{OZW;9}gmzBk&lm?6kk5dP&p1UUu(+IeyTBrt(tCXm&jmv>P zSeib}L^N@5$qZlV36Ao1<4Ff<8P(-enzH7i2Q9=wPH4trjZ*Txx|lD(`K@zF537i) zeFp%nKvTc*#4@{-q{o{Od3t07nZN79fxG$PHtTrN_~xPdxuFM^AbQ>y1VypN34sq9 z$;c|^bVC5pU|=9OjbY&X^SA~hu)&t%T}_LhuwkK%;TIK2JpTZhvtz}CQ_$Q(Arw@> zpiQ_*D$Qbv#X-9S`eb4zXn(x&3G<15v@s1)*KrQy5XRFTwyQ9}yml9fXV)o91ncSP zfTN@fm0iBsKqLo#;Iu!vQD)J_H{FSVjDQ66;*M?7;1)9MG0=44c~xKGillPvVwA$& z8lQ2JxbgVPF|G69$-HL1@kb#A!YNPgZWhactU5Agj9%bapimxR=Q%%SMq*aK<_&lA z5HJ2250e$a91+f@Aqenh$*Gai3x<1oFs06kqPPgxb6xqv7^5#SkfZZ9)6a|pd6WRa zBxFmu4R3f9MQ|q|58%%y%#Z?SP`|lBvA|Ssajndc?U-b9R~QuhFhW&RSnd88#64yC zZy5vJQhB##Brj$FM`Sa)oF)n)=dECc8AcLkH1(I}37bt3R5wmyDAwKFHm(R8fdnML zYM9H4PdGsXzB1sta9WMC7R$x^%taZ$c;UR?Uf^_Lk1#8&lqlwo^i+LF;se&HZZ8i6S#UQ9JwA?VAtfp zZJ3o=n`xw(qEETCc)-A-XqoH@{{X>GK1^_hsxBe?Zeo)obg;ohnsJy5y!H;}Q5+Pr zR~b))%OZ0k1f~y}goKe1OR8?jc1$$;^e-0GIa|bmafdc)yUQYz8bJF0lY`K_g0n zz3}3+Q{K*hSX>H=e=sw^Thk1-Fay2>10dj%ryNi*;~D&M1&T>V_T)QfFl46b?7(iI zB>`MC6RR3)y<~=Xu+!4Hq&A)P*@6q@s$#pucGtfc32BFeaRkzrgN;JE->1=v3#3Cg z6Q;aovA>Lm=HV&>m<%Y@L=uWFdw2=h&pe}g`^`u z=FA8KBx1*h;fbeeQqm;eP(<8{6YRrN@NWpGTzHIiF>u^k7Hc5QP#-?=u6ExOX!K?} zVu?aj$}3(|h>3t%V6i3u?Y$mxf>P;N;w@*a=DMeZki;NuG>d(?(+PKl6mU3XL@kMs z4O<3!e{c^)RC>nfrR^MKUCsnGc)_SOPP$|D_&=3qY_XTwVsW-@JH0cwucsg>v3S6uLe$; zNy2DCnPwafckIH7!0sZqFB@pL5n2`Ma|w3IV-en_MAxOq9B_|V4$%n5w+M$vxZI`f zR&HOzD#-qSG-J3sh8Mc3a}{Tsq`>PKHv-ZieRYKp13Q$5jDg?m!~mer1Jk&_ri=%s z)Z6C>3{YMJkOfaDmGi8j_$m4@L*eU8&>eljMgIWeg5%iYF-gmx%5xC#J;Q)mir*tJ z0JLVZK$qOYQDOf8`!QCM8NFZ0ngP?f3sZ)sOp^?u2;|h~zr!zuO8)>{2#4+ofdKcC zndchY+F(Qe6E1-BlUTeCt`3dD-|iah!!A>#aunb-aVaD20e2bGyzkQwW;Fg_%O>Nd z@CpkVimHm`hy7fbOUy|;yyq{uj@VlN0K>tMJR0r_z2V_PLSRxOFv9dEM|03)YYcQh z3=Wzv_+qG7o-jcZDU4}y0Iono3k(z{fN&+c#st4{{0P*-2d$jIp`@-29V?ott8fH6 zFhp0A5M8}Fe&i~n-;)K@2zm123@*R#yjHH_;MASX7MR=yoUJVfe{u*h%ho8nKe;t~ zjgrS19;P*lubgo>RXAQ8Yic;FawZw3O=KOz-aYQ{&_di89!%`62;rhUn9FCz9N1}a zx<>oNPizS7W-&qQ?{PXGFa7}@;`~h0-OAL@V;zG4la0Y9B9kJuW)6dNzymVIrn6AK zOjCvv8o{;8qZpLC&kbvM!G;`W1t|5w2;R7cm?Yb|fvsy8DBcr%tpXtY1 ze9qsm{<);qr*Y|sZ0VOsnB@zUf_S*H3Auz1c!XdQxSHI^+yQPcCOQ&lsCsY#?SW4W z&@J7;S^Q!p9WaXbd}hF=3hNXDg0bI>m#(?dGlz0h!{#clELCUzlQpU1B%oZPQIzg7 zXM>p6V|)C@(FUlg)wRF4YcH+{sm+Azuv1Rr^> zpgiFQIR5}prd_a(I6?h?xTih$me9Gdy2!)Y285AoM6}Cpuj}fT;2zc;cS7{ zSm?o1HbegaE?XX=hxuGbX{crC=OkF$90Bc2Bu%|y22B?mb42lgO{nfLEMG6K16@Wd zhzEWA!?_Q52rJpoxL2geu>f&8UoIxRyD({)z z#xi&y)&eIZh$F^m{$haKN;6Y#TL*&_&tZWy7h5-mukT+j^Kvxz0BNn5fO#?g%&jZ# za0X2B2q56ql8C#0nCe1+r2haFFsV?>`VZVU$7XecIx+yqaY2l%GDPIlf>eIxnAq<) zH-lY))qNO??9ewJmo-!e9xHPpTdecz6o`d+2WdGlY~LQ5c^_DA1suu9q5Wb|OFi^p zs2k&F=0P@+J#TIX4W|9#qKyoNfFsSK=aCV0>zGEQAuyu;lQt2&F-Ymm5NWf$9208! zZNOIdQf+W)3V31-E;~Nv#DLZqweADCsMpqKT3CuSRYTTL!|tZmZv9KRZ2lZTau9?Y zfsfozLuI7wr^~A}6bbN;#x?r6kK98(36BwoR;~6_(7+73H!xjTc zI;)#UB5ewygHC9+ptnhq$ZAf|N7IBNsoW&ej5-anX~`?u<0+dGkQx0B;8Yda64Y_j z5*-v*qPT(UcFz>GPbNb;G9wPw6toLNu(B8CHAkz03ee!;jhL%6JJtmT#kk3MSBz~a z#y*BfiD!}+p(UCbBAP?@AVOgwK?EsB0~Ey1UAQj zpIjA^kH#XRuFVXEUWWS9ERC_ztBAq@7XJXp1PF!wID;P#LjusS8GYbc&2+-r?JC|o zgwG(V7dCq1MOAZV3(HMW}fH05Xok^9kjc1b*T+Rep5J1!E0kp^AXq zxN&Q&+xH@s&4D%kcK{DuQT|+xJ_h%J+6ox66|IZ@m_$uQ<_}MD;y=1^%B^vRtI>pJ z?ZKrtGH&5GTfxPiQULJcNqKOR7gk_pf?0wT(ilK6#x)Qzy{nQY%u??d(ObM|#R8=C znD$g=HwKOZu>8WWf6S*HFr#7S0xFvM%%QdG$~XkAz+cRB4}`$)&JnE>Qn|1I!_FEh z(8M2|xly)Uv17m-2|C=Ehej4%F!L1NF=vOYu{0A58#u$eaXP6y9(_0rE2O!mw*c55 z)-0*UBpg!v!YMs>1&|j6FrQ0CXv9anhfCb9vVc2qWwZH-U!>L)J8~PCW{axKQr&vX z6~xqWVTG~6P~u?>QA}Seg5SUUF)1QD#Ng?gP}_i*gjAICj*}JTlTZ7Q1w78J=(m#Sw^1Mk}@qM}fwKWg_$^^|;GPfSBvv1q>pdTyVaV7#{}X9PSY* zKQe1J;4y1SrU1D4 zkuKZ<6DS`1;zY?APJLW}Y1ai@Q@KL81SLM@+THgwV^2%WMPsSw2W@g{7ZI{$lNXPi ziUYp!S?j=JED$fus6809Uv6DQ^@vj(?gX}mRRNY`0TZJg$_z4Ie)zhmTgsFi+jnYK^+ii4Yzf6e1FK3e|(a%FwsRR*VWbzAah&odnhj+D{yj1i46 zgZG#Wgj_owVty&(6iLGh1llOzn^Rq8!ca2e9Tmg4Z+Q<4M#EgiREG0E%q9-OPx#Iy zDvmhGe~b#g6_^yVVgs(Lk2j0~C+UDv&qh79tZgCkL@G$p!D`8wQpD#U%s1 zWiwpLqZ?gUnF*f-;4ti;h6ORx?8z`y;)!@r7tIG;-op82z3&CarW+X(#II2>iA9^H zG2q=t;`tnyi_Qv6QdG|lD*?W&!&kf4G#>ORC^am`2Ng#PYV)86vBZH3Z-g-t<~8WzpIjv%rhqcbS&I&;A23Wc zcCgC?h^f)9yh-*&33T|kF9hcRou8N1Q`b`hlv!1AQMc2;hp+BkyqBQnz;Y>4UqJnt zv0@07HY+X^4ixYjKGPMfQX7XAS*@OVP{{Y+rr8I~40h8_rxz_X zGXaWoE2CMq%a>8({JySXuQN(ly^AUQe z>gL{o!rF0H9%AxhseZQ_3-88wwpTX^g`P}~AI4KCdCZr7~PI=l4uJk31FVd%-D9P`I=-^N=v)n3Q z9&p59DB9trf)PsL7-UOuE6be&nbEvig`!6$-r$(_Nr(vFCM$^HVzL6Sg9QhsRTrlS zi#lM$FbQ}#Aev0s`Hi*fQv*l;00n4Q?kX)I;}RwB5JY}V7#eF5fI8&_yUM1RwTyAo zLtM9vgYPvrj6^th6yEK@CHa+KFcuCul*rLChEl)`as*bHsxHwnloiO_EKFgV6LE!^ zy>(Cfi&^ZLwQr^K%(ET{;0x2<0olRm7TCYTSv`%H`H2xX#}EPyVnDe12rz}-A`+19 zb>pjun`vY`32&wYSLS(NSb#OW3T|Ws@UAKzYlsMnxX4^ABl(bM%Y;W*09XMBuP`(rguez$ z>}xDSR!KaL@br$o&XdE7e=NgH@88a5;!F~qS6^^82h4*nJChVZN!$R@Rt6BH_B`m# z)A%28pTS&!>4$&Z;-Q8~oI+0kbhsok5;%#No{XwJlIFudc1q3j`r&-jhZWjeDL!-)A;8W=Wj<>8}vrc+4D#Z0@ z;^32t@TP18>frzbpQZsGiVOl!QTzkJ_Yx*SCH01BtrOR9DF;BnR;#lI;M_WLmjo)Q zqtl2YM*y{{tO9A${vzcgib~?!6ULD8znP%i#fZ4dt`=b-dg4Ec zhH3yRRv1#C4DK85JA_t-@x01Ih0_Q-Y83o&(MT40oNhsEVcI4Were|potvI=kiZ@E zrVCsns9=~$gdh0DYfd=$y77c^I?iJ;kuI{wl``>5)X7iX&$#goxsj7l^O~7*$9h?_ z*74I8y+YzGKdcnSjzX(gU{@d90Rme6#%T-h0Hob`;o?9W>fq5-zz!82GLsWc%v}eD z$#3QbXc_TL_XZC#!)^B9A-1{agE2@BR$$o;Ul_*! z0H*?rjHt?t^MsSh{7gv~?ZWzFqF0Y%3N`V!b zw3?<*txoa|>0@-{^<1+MSnYsjtYbNz2>$?7#1y%3M^1L>jkwA*@D4HhGT^HC%_>!M zqdK_irCfoLjF992H*s#4dEaOEG>h%d4iO%%5j)WQUL zp4ayW5WMA6icevQ52T5g=S)Cx9|jD7 z$_@b3oyRptnDOJ53}|7%JMdyrF|pv7;Yi`C;v;+D4d5K}#dBY+*Af-PHQ@(FXoS1ZHMxQs;GT}(=32+^1|<_r6>hF{rlXLvc*a%mVL^ic%vK%N zV*JK9q4+~Rn-m9uKU`8mw@ZjAPYg*kDRD|voWcsfFsU)XC%v1POgjYCxKamF{{S-R zJe=%#aH-O)8EoKr^BO*AmsXCldCBqo#na9ZSC;`D z`O%b9!;l8*;goI*V`AZ`oD2c%F;xWv`obFR{y7LR2;?Gc zmI0}ix^P4q*Dm`$WzsWKn!CV2*fvi|y8&gs1 zH6WW>$v8|3cw2EH;J5*T!>NJ<7PNAreQ*a$R?L*rX!DIry1Hd+;I}I(9Kbk5_2b#R zPJ$<9lioH6BQPj93q!{U?Ar4cv1hmU1K5IBIAhQCW^Gkl8u{)^5(ud_v-^P+!c7$h z0LVb8@!~#kDh&!LJWN_dDh7kV`E!2@X^M85%U*^k$L0wx)WMU5;`zog#?@}djApu; zP~IyU>jJBgHmXmI6s2g@s>2H$+^G7Ua!8<+f<^0ovy^hs(Ix8L;Tl50;Nj=q3%)jk zf0%&SERgvaJfH&nqh%B{jcPz~?YaS)oR7X=%2XQLw*AIJP+m~XR@F(fBAeKK@N zYOpkWb%j+j#^f#1Yb*ga7$s0w5lS%uDfP!j#{U4Bxs~e@P#fEZt9@ZYPKv1Adj%4qcHEPpeB4X!mpLDuHtrj7NAp~5*L z6!3oF9lJ#45C&R(rC5^(2qH-QP4S)_15 zqn2U>)Nm{h%oKE?0`QPU&Y?*O^2;H%Pq?nI+!tfIe| z#XC*Rnc*>lr(uA42b^XA$%XXJLgnS?ehx6K)y2 zO6B9|rY$x3l^&)5goxse#y5b&g|T@Jfdm&TQZxSm zgzK;4js&e-(MDitPs~|OiGYgM3V04>Qvu5B{^pusbFMbQ(~1Onvn>8~kY>VUmawp)#Cw3LY0CyFOVk@Dl`Z$P~A(BznlIZ@j=kqq8VlWKWX=C?4OZCR0E{ zxhN!D(-;g4yf_*XhB`3%)&N*-;{mwq08wsEeHch|KX3<-(}Wd9QweX1GFyC11S;jE zWeS*0L?`^l?iT~J#~K0|)F&=82(O$Z8bOzCy*}$=5+z7TKh$HY~*wUTIq!^P~ucJud3Fs~&l9k7?B``r=a01z^03;!l5yVK^Ze^4^ zlrxWvN=86s4e8~|A>gZxylJc8&2t#Jk|2_~0!H5|{{Y+$3HV1VcZ3ns8bn3GE$*bcxYn;yar25sw88{eUSV>)6X9+yv3@_y;+-p!Cdk;o%>dXLONo(aU06?H$E5aC1 z(Eb}TB1mlX*@_JS<`uXK`EY0kRj;)1kZ{(cqFl9XU=M880@@fAe(M0L$^Nfngz#VM z0RjsRPJ1$q1{3(eH46Fle&iEaHPM1L1x8e>S*AV~k*miRfNxlv6{4cP+l)_Fawr@B z0FK#-WY~|>D3lEbdJvWU=Cg>;K3Q?VAN@GylcQ*5#oCs8a_p{FzuABov9YH`e3?~2 zDBptyz~m`cFlivM1oC7Kky4l7;Ao@(1mw*pXau12M=yZ*R5PBOUB6g2C+*6e@`Ds_ zM+Aj}!RUvx7!52xM0k;F}KsJ0j)==Bfj^v(Lw5eL{3cNiGkKhV!o&6jei286S zb~#BS>O( zeVoKRGU0B73}0Y7>A*t`Lia8)G~C%v0&o2Bn-$YeG7432TJAhD>0SnTQJJa%;Qs*J zBre}@08QT!CO`uBb3{3fQlWuy6?udKB!5#AM)GUkOiK^z+@wzvj{*aKo6XX^fs%}9 z2P9n{UPn5<3@AKIpo96AMRZ`wtM3Aas6#9AP-193pWIN7hnRqwNOED**)SMJ$9~)! zEB=T+as~D{ALCOkKTNlacayJNLhT%2Y)84M8W;PLL$%f>9|W2J-a1noAZx*pM=AdR zm})uzC*y)s#9}!luspJkHRlkdFYe4*p&oo@oU!w)ysNhc06dh|1tRg6-VrGV*8y8? zjsdd%X8k^yk~!lQChRs*hiCoAo%q1>@xSH^fGstE0@=qqcs>5&ud$XX zeX|j;`owD0$8jh-a))=08+|jlmV>9sJL%1c^?&yp6VZ{~74L1j%CsRFONA>_+CH{t zFrX#tl25Yl9cBZp2sqi|^qTRW@l4SWDj&s|z7!1j=apk}ByJLhqk@ET8L&p+-idjR zkGO$njTlWU_xYLwVvl)i{{W11YNR=ls_lbVB$LF+<6m=sALeU&yjKX!3Cu=|iIV{_ zMlXry-Ww(U;k4X2GVtO*xdT#W&`3-Id%`)hWAh!rANpdlcQpZ#uh$|yCJ%T6g)niZ z@nxeX2Fh!h6jQEnt2@(E2|Z=B>4XPKfNGdSg+1g?;-9$2U`?==`ojWx=4clR1jt~D zZxD`jub(-n;>Tn+fu~yc1q6-AuEn{um~`8K4|C2V&g2907!6=I4VZyC!W!COU#38u zF>YucQ!TCwlGuN_K`~Ra8(0#(xXX|xdnXCoc!iI6@=Rh+tk{n=h^2YfM#BWY>oMFn zfM`183r94R+`{@_ID0JfbCkWgNGXY-WcQjN`_?FrMk%S>=ndtq69%m}E7n7ouHYgk zyn(n81}F|s7`A+KOe+?#wSl~B+dGIf<6u1A^Tv4YG>(%H)}HZ<{tqz3@bpeT>xg^~ zQJ9sKwt5_!1FGWheP=?4#zbjPaAFv(xl+q~WXMY!^5KihxB<8URg<0m%m$@^_`)IB ze>s9Eb_)7&1fbZSj6@kl!MffwKkj)0#c^P$sA7JU{fDeY7gm^EA}fAs7;GDUD~PXyK$m*8M$!@nKk1Wflk?;}1B7?kZ>e&ErwiVK8pU6%Usleh+~%-^P{zbgraDJ5*Je-C&KL!1s2>b~u)|LXWD>v+ z(p$Vx0xZBnRBFLTs_W#KMbw~rGW_Zwcn>Zl;jj?M=~^~CaD;V%6$nUxTmT45_w+Fh zxolF7w~+<~C`B|?Y*10$FrK9~h$30;Xth62{=d}XQV#0wzM zO7i0=_)mY#KpF+N`D1~z1PCFxubejGnnTbuhX5BHg23PL#0tV4QfjlK%qjwkDS?7g zh>X|_aM8gkqPh>vBs4as2Fl_Zc!GY%u*=yImV%%Lp_CP?)6W)s#wkb;fwdfj8x#T}43Mvmfh8dL*tKl#x zJG1y)4yd$iA_)e|g8c|#{{V^~rbCc`Aimr!*cdIwnM6Nwln%(^Ra(-9QUz#G3sI`# zY_jliYJ%Nngj4P!&8waU!eU4zLbo)!U+QFP#T6&qP6?*|;#&)RJ$%zR4oR9NeVNK} zktk48ZOALOR^-Wbwwq77Z)mhBJ(Fl1^XgQKZFW7WlV=*Uj{&6qrQ7||jAt{O067bZ$H$!9HJTy}PsiQ>d1rI2;83^j7?`bRZ? zTuzsN^}|5Na7&vGjKKX=l+n2S!xC^t!No!yG3<=LGl?CvB z@Px(V=NfukAs;d!F@VAeT&7VQ;l=al^O9SCGjbRUn1pCd0Q$t|(*!Kuv5>DbQbsM1 z^R6h7_~yxg0UjJ>JmeqD8Yi4e;Xr4T{J^>?hWmJ2De_!Uh0Y`0nX>7KOZSL*dL{#) zZ!pVoydIe~W-S9()zOur!c`1j&)iu0a&KX3Dmei#7TsY;S7!bIlOt9!@~}c z)*&8Ta@;kA0g6n#F|(~sxQSc5s8TR1eoj*dnWU8t;ih02mzkb2Z!NkqR=*c0J#P!t zGQsy*fZ2Lr?<=@X-rrfYUJeFO?BNRYDLE(g=4-StFe*P?V0-DDSGWSxi-pvD`OUU` zxHr*&0&@s3&tb}pD7*M$fx|QSq0B%OTo142F+0Z)KXSma!kEnw%8sU}?C!*&L zYMB?qCrw9A6m>@AgI?i^kY5;*;e$frOV{pIPL6)AG-%oV)yJ9Pw>pu@rr~rNH-M?- z3F&eaQRw^mf~K{Qpp8tQFCH-l6WNJX{4rL~H!T}8Q>1x{cDbRBMflVH;y#+q7hN@- z3hiSM(K-Bbn=ZGBA+5j6zu}Z?moEoL6F{}nVh7|f2E%;eG@N^c&q;+ujov@N%^7UE z#3=f4BI+I?OgMT!!`Xn-Mh+nvCwGM-rI0;xqxI*p%YBl~=`&HbKaOlB)2_l?8v|)4 zoNV}0<$G5hSS@?(^_A|Ha9u^fm3B|VI-;fNoN!V*@L{M%)L_twpt$Po3KihsuvY98 z^}LWvf4t4|K=F=*3bY}GIaFXe{%7z9e!Vj_9JwH^q8|3jsH7OpF5?c;>V`;s0#{zc zRl+z;zxkCq7B0isWr`JGocsHQ(RGpl$3tP?t{7U9O$Bf6I0K7xUO6nrEHoz2%U@}Y zHcAZ;J(Cg)1V-@p7&BB+3*Omq6r7O%5P{HX71y38{dNP(~nP zb&=XJ7J<-lMkrD@e?sD^Bp14dmb1nzye~!Y!crA@7uUBM63L1+gDN1M0we6$ed0aM zqsnaMm(gxFb{Vs5p$CDc%DlzsEqs;-)8h_V=kf4RlV;iCsf^QV{{XoF0j0R7LGN#6}U-65><}fuqN|<9EC5(0Kb_84AxBn=k+r6Sw_b zVJ%QMh0ue1q5a+hCsIiN0C5tTbXNh2RM_q~8g3Y}MNQ!5(*!+p9=W0OMJi+{&zbklQ((~IBL-7 zb@v!SJmu8K3Ts%G=;8rKF7YVP%r36jNgR;zJ?7;1;|L(QOZ4%8+odp%aS;VvhFanQWTxio#a2>47u-Vog>iYnpJk0N2X zZ{9K)0j65>5Hk_$2XE-j97*vzKLQvEPvu%-h|Js1@8OuC~{Ay(nLlz8^>sXrcmH}GUxB^(EQ{+Aw>DYerWGmkyMi{u<^X70npp7f8X|ohu&+9?nbBW6 z{{VN6yr7x`>~Jg`ijU#L347BR7oA>Wwn2g47io;DMwO11%}rpCqoEk?V;Za+o<}G| zflR0&8rWT?2^9!F6%M#MLG4;&_9}f^y>m%T9>dHfZ%tAOqlX1-O2;&`H=K1OgURyW8b``ok-3OnURB_2U>E(Ef4j?0N(=Or6N>H zrcR^9Ftmh$B-RQ#9&jKrPZ&@u0t0y z;)p-YLq!nn1q%6c&Q6SS6M`o*Tfjju+8-`GaYKnxP^7Lgnj#NDOZN=7*3!RH%sP@} zfzZ}0VXLxb$F1in%T`=AJz}(zL0SRfaINr1UfeVa#bN7P&xh^S9Xc@nOlA1STq&Yx z)Wt!eiei@+?u#ZSK(s3cIs|C)xDYnN1!~M1voy?+JPabErXS;X5JVMO=C(Nj0j*?G z`#FSCkb-XliFd9^{K*PU>SI(2?dR;9z&)q^hWOhMFh9lW`b83gaI%N^N}l0CT~u?I5eSc zpD`ACJjsLJ)x7LLM8u6l90|#*B7R$o9a;uHZ#myNZkR44kMU%uo?d zVnIX1aS7>M(*w&9!2=7V;^FyH>nVT^?-fzp0$_t(*AS&p-NRoP(zO(@t;8U|zkhHv zveWJy4Hk=<{LNrQNo})Pb1qaNm|a9vDwj0jUw;{yq&8qa{uj4&Ov*NjM@`G}2g2c}C~;LDzIXqn&dlLDCs ztU?+!<}Obga1SMOg8@-r?nb7waV@|GMalmFz^DetI6~I|56?zYxRD$+L7Kq2*UaKE z=WwKecZxo*hc5vkA~^w6vBmXc;KY7y#wKY6FadIHQj_s!48zVeOJmH2`IH3{xX2Es z9wsT0_llmdKw$o}S(s_0#Ve4QB1MFOz(i|`i}8#x!7vM)24hG&Tp%wKAU$9WXG2SQ zhzzT0AhK>DM^ZQ41e9INFF~p6&GlJ+ahEE3Z&?Xb7%awO?HrTr{9S;U2pxcC!{9tLX z+);u9bAR^=>T^C@jv%fW3@Ttmh(G)Xq4SK5?;yYwX<`fvFkRI@eK!D_dgCL=7UckL z4oPy56qs_Hm|(duME?NSTgS!GM`Uz!2JvyHMHd7=Cvc|IDxe3&#H10%Edw`ewzEQ# zKKqD)rNlfS<`CZ#6j68!{mmZ(mNQ2jQ5TpXS?c7*ukHe~r@RnvTvU0u$lOEggl!ld zxbJdiBYZI;G^R{~s~=hC0T)@Ut2*Rx)-=zMzyx<7qmre;thR@|0*Q`vVGVUMF+sIF zj>jq z)8iB2!~MwekahW*4d1Vl;v!dPZWQ&yV{W(i6B6qC4^9)^Fds%^+h89B_GfcNNP2L9 zYv193HiJmXPWv^AA&e3rbH)%7M$C*rSIbig2hide3wUMdLod5^l+nSG7UFpGazM~S z#dArl2Ms|?Q*;hG!?(E-%0@8{-zkIQ6A%DhKlz9W$T*0hy}@dMDRi2ArY}Jh#Q=@i zrV7avUq+*qgCTcuhldWx0R%RZwOfFCfmrtySq$2aUo~Y10;H<*P6BWVhi#D*9UjWy z5`xQRB?fphZ;ApSqSnKfkD6s11JsKO=9p|+2G9fP<0RWC+M?Ov&oH1AMz`!SSc1sW z#E-8INOTP_k{qGu1(u#d2`an{qX-tlXtSx~G@J<$5dQh~gkT|ZhLld{x?L+p9;eI% z8A%LZ8-0urUUrv%rn)W>nqI>;1{ z+zco%xSsl2F%O&Tt8g2EDz$Mi5xvjWD}DPg8y0{S@jT#-oRvL>03M#kn=VBjCdX!JFM{#%xf6Pa<20)KP+BEgF0oP#|WRlWFu~3*yi7B5ODQ z05YqnWnt7g_rr+@o;jQdlwPw9N~K@sAOP8h1+Q3>uRLG}BjGPSIbxA5{<*C}8e(D$ z1wEIJD0a_k=XJvA$`BJ0CEXUTeLa*CtE|3|zlHu_b1?!4la3+q_rx!d&3bA+;@mc3 z!x|LuRKfvvBkaRa0;4wp&6{?R_+uD`(-|?ml{=W53Wxp1G=^>qjpv0={U7c`j}ACj zhEk7JavBWjS{#HsX^;)g70nJ4(ZO8}7`2W0#8CSjev){|@N0kEV1mObdD6k zXd*C1%Hjo}A&iCfKipXq!6ax7M$KZNWH3cYj~M_S%$uQ+p3~nv<;M8qYi5{W5OE`h zm7z>~59@+0;6x|8kqB9qfgEIGaCmP9nFZ5Xi1*2yU=HGjAm1>}N^22y`nW=~-a-}c zDw#LDMu$zgx|oa&w}Di+*hjo59B?^7UKjxPW&uNtuuI&0=7}6~R}SM?jmshuWT5bb z_-hNl{BwuzoK%0Klmb>5Op#wWyA#H<%EeCNXtbDAVpHjwe#3dX0O^RJ6mIjya0q$A zn0wbC3>M)~40`&=A)gSr8!HWi8ygc^xcJ?`5X~7o_i{r774@40Omud+QR@wH0*&CJ zd!O9V;|ak)*MmMefT3^%_nb_E>xQFyfL9?^{$Nv>W%^?ujdN*Zl82{^a{Ol+bhukd zoe73{GMZw1Z?016Ey;tyy`295=Wb$NWiZ5g;8-Qi!=b~_^~@-~CJkj}3cA5YBpEA# zzZ-Rqgg2;Usd0pp-g5(jKoK)iojl`XnN*FxD0UwPe(K( z!e`w^?wG5XM1KerFx$S!TOa7`WbVDFV))nc$Px zrx-L9ZURFSWWu*8OmuaXq?^>kAwp~E+{RBMDUIn1FpA9&`-~man5zX?*I>6c`5nZB z`HGg8x8E|D0k>GqKw>B!M!7E_aKS5fU_j}CMlfsk=3jOZ? z0CJcDtVH+;ijQZ6G6ih_eoP7P6<9lfWD764vA(crQRzkSV(FU1rAHUzfQE(fH`{=a zdRrOySd!AGr7#YHC$nxv4+aDfo4)dnYh%OUOiCZkU^HY^#znN-!#;3su5Rt;j4gx2 zDdY|ypjXMaPcds?^5G}5U8K`ivd|W=Vt3lOD0x%@@kbMR1Shb0ywz>F%doUmK0h2H zEjzpk+URkq@gTR#gVD#<{e(`3pnHyvrBJH@@e`Oxs;!W)RQH-}s^SW?=(x}aXVi$& zS%}7<1Ue&D$>%3@gih@rZ`^X$qA!$OQ3#+4#yxIX)ML~J?-9()Kx)b;9m`b9{{U4C zG~{Ad3sK4+7$)GXMg}2BO^!e-_j6|H;|L{}MeyN9m1uexU|w}R zW2K^I1RlT3l(G5>{{W{VeU!(mT5}1np_E}(kP*cK?5DmwrN(IrCh&S4+`-n5p_MnM z&41=-Y6*V(Od>cAH43v+Hi(|Dqk5Sr)P?f)a|28oV023^Yy-65&$A7%6G3yc4xiz@ zGOIS}w`QhoKnwfaHKQ&Dw4aO}68n1K36w>F6v+cT^1j)PK&J5?BOUSu))8l}VELmk zMIi|Nb-W}S20U0xwMP2FN_8?teV@k>JnMhVZtq#MIP3h%jSb)T6l_N}21pBs5bvx& z1j3}9q{MkSfpJ3=(JaQSUbzLXy<#XY3GO)MGYX#03?VO`F*FsSE;NTGBz#SMaspFx z3$4EK6)V#O8??X-ZX79^1_-kt5_1gj%8kYI6Jj<@f>3S6O4L{V$4M}!wmdP|c+E?9 zc?ttZT+j~o<(v06V9@oClSXJ2;J}z(iqb5eUW~Qb-nT5&EoG0nR3-kW~FuJH*Y4~W!NjeylB5@irmlv z=Q&N{1(*oFOaTlyselK@7L%?8qVqVtWIty#34p@{eh2!dd-l%$OQtlZ~{XGLz4grZzfNyJsJUkP>ul;4I_ZN%<|8C6MvZv zF|Y+!3m zFqW~l%%eS@(q^x!E9;m81w_^=A32CsaLRpmA3f)hg@nO_vf-jNKk>r1x6TDvgbxQI z`CZKLS^og}zz715EW}^G{{X};2K@!OwMSg*i2Mgw&?16jQDUj}JWPEjSQy2veY%ok!ZSH^146mBqW zO*CnE%+zohh8oxK@z$SsN4P(aiaJ}iJqMR5N!nqj-G}o9tByc`oeeLy8Wd~4WTdzt zw2qu+K|H*-4qrnUyah0Ltqt|LqXXslTYv!*Q`DNcpFxp>8Cq}~mc4}eaOskSDRj_q z&37FDi4nyyVUbts`;dw>Iy9J*mJ7KmV=E`X4{aEPMO{sV4de)_ZBPCZcM7O=bpYrC zG&`l(SLdvyy1tOukBkxFq6y=hUl_$Bk#;q`iwa0-XdBD>aF zo@srY4{lDBmUbB|Va?@KHE<<>foZaxxFH1qwLnV00(;VWUm3c(5l#-qX`fLt$5$84 zqVEf6`*eBU3kQSF5fORZ0fM_3rq5^@&qT>$oum~DeEBd<`jvBq==uKuw*<;ro(7bR z0-piw%}ri=kMlMT!kvSo87w}q0j-z}&WbJ|6zk^=ZbgO)ilnnr{^N&IB?OK%D$jWV z6a+tTjsf0SeTbe7;DcQdrYM%cj9^lxn+3QU_tVO_q*9oNa@=u;yKzoaPZ*>tp-aDK z=28S(iY2GLDUFKn7}*A|aHhPp_>NL0v#E>(HsGg8zT$ivv~dPf8Fda7!UQ03^}uMC zy3L#wJmYChUchh8x5CpH>AntzV)KNje z>vG)STf1-wJQ%CY0*iLx8rEwiAKXtN!q{&Y4;VLGoy}T#aIgz*a{;~wpKfzwS1Fs* zvBU#zF+0pQ?`M_$UksYW+$`L zlk-`3u9$&)n1dpN&rI67)*`XsoI8m~ITr|@`IZIGYI?ZP4KKegXsoVnw=2va8pcfK znwUW@Zkv@0aX^P~d(F`L!ZtWZ4S*4t8ywu%^yG>#1Q$V(1~Wr>1uy<$({Uqa1x5Ln z+cC5LV{22@z_#uV{^Ht!nCw}w2Lx7oYn8!u*Ayb>0U*H+2zMHlB=efY*_EN?Hsb<~ zmsqSdS*%clb>jpKl?k3iEy5KtgF57fdki9aFrOf%Ag#YM^k5l7J-_3KK8#5CcML!` z2w=Ch%7A0jW{>sDK$j>dw-Fr~N%^x0UcF+3X|jFC%A7*9s^TQzVLK)Uhhqd7EnFu- zTZ#vx4Ih|Now>s)1?c7lb5}dQ5;;{G35~{A{G&+`VB(^x=Wfd2q6flnCeZWw~j z?+FY2$J5js$Y89U#2Bf7Dol(FW&}9kK?D6UpD1E6RH2$Pk*DK@RVd}ff&e>(pky(F zPs#TxZ^4KQEA7Tg>sg>H+YANl&9*BaYx1vfEM0HJ#@sw?+AsgC~E;;HqgNVr$Vt}CL3sm#t1l8 z0Wi1Ot(mB#t_4Z zMA^q6Veqz78i6J6sgBTpp1-(2QKi-_8jZ~st@e1pgsMTY@cIW7sb-I0?fzulQQ_;^ zjW-Qnm*2-4z#B0k)qRJT6fpC5QaxW21nPlAY_++xawAk>&bVV!OMVj*eE=yL;Dlsk{TfN4_a0vIBHu zFOA@;Lx#wf^LAw;aS~5WvO4x08Ig)uV=j4Iwk^| z6bw~RW+-0;B^%vftniy#i4va|DOwWy4sS)Nx6jiS+3QZ65Ypz%ix1?$Mbkn2z&6%T z;N*HqfhxM;=jT3`4rlQvn|XKU^*kMv6qW_BazW$#JW_Lo~a|m>=dRWpdFT&0wW^_x#QU zJ+1=8iGUys0;@1cHx1xfa1ge03F03z2A)hM6DnUECLj^pUxq7cIAgG3juicw7%+V~ zdYZ%}5cmH8cY$9!@q`B4QeEOe-&mS)7#J?_-DqgUG9eP+gHWj}nilS0gqV-^;R@yA zt{kCXI9)KV9{e%so;Q#i^B5SY4EKkVnB8r}LX-|tcHt;*sg!;eYa}dva8Dt< z;wbCJ^Zagu5kzqw#v94FAKW6g*NkN!W(k0^0T_mZ9%Dp1o-9(+$S@DQ7?a5P%#pTf zz{9HPn2T9R9!?~Lu# ziFW&h1bFWi^m~J!P^LyCF=-zeVX1;YF<5_?-48Yxr$c8kDBt^#CF^nzR=7z+##=`g zKBlvKKgXI=;9n9nFXJ{lrIT=D<5f~ zNMGhVQ)%ZG+q-|(;G%OkJ{+>7ulQnEN4&tc9D{T^=dgleY>7ln0p?tCu8b&xPdG`4 zOa!+E)`g}3pLlX&U`8rDpD;BtlT8M45V`S~*7q_Lz&3S`sRwJlORUnH6!vofrEUKJ za!3ga{mU?PCR)n5s1}$cc6!Pk%mL9@>l6_z!xiGWArdYtBWPn$J>znfAxs9eM_D8r z>k~sk`#DPoI?yK)e9F94_COr z;v70&=?3=M{YoG z1D|pyco?Wn{^G?yAt$xT8pU<^WGA5PbxZ~KrB0k5T?fIDRC8W*R@pb7!GgoC-`Bm%~DR~Q3VA`?qx0$s#(y=1t^jdk#Z5Cd^&l! zm02D9CAf*NU#<-zDTzdhD7f4N=^r;GZ8pAeH5DGmhoOcAZf>wnnz(S@Oc6jK-7JX9a#>D4no5`nLu%Lpzvc|l?Dqz`R6U= z^9HX|%jY0FM0S?V;sEEc3f-QtqHPpnI#CP~W}s*qN8`=`U1*IS<_dI@nl=j`ID(-f zl#^lHy+ge9d}^3a**E%>as;HFt0Kk^%1dPpY z!+3IqVOkhyPv@Y-RYU&e3)9{Pt2`;(0u~ea&f@#JHvX{djS@idk4{u~PcevPRG``+`1Fj{{V411f2f>`oc&n{w59TxT3yH zCWg5=iy6_y)X)YJ<_K|kq$uE*VJ3ZXMTH3F@nQmGoy3*E9zVGVt&{v1xKTqP{{W4| z1?6~qfJea$0yvcVGQgn;UEd;1WPB%cK+#Bb97G`eml=PGQqXZwJ)lqvmyqfCr>xWKW|BwciBJVdbyG3`jS}Bs98i7zE8I^^%0rQ^%$` zs;LT?S}_;$U@>)K`7nIl^V{2&5z_wvnOeod0)LpE98jU7TsID^#Rdcq!vd4bHHhW9 zhsOX|cLJ493{j$!xD^nrB9_67kM6N9ocEM%sz2wAV3Pvs;~m2#_O12JW{H}eK9lbN zw07c9r~8LPHunh%4rr1Ayir#b74A$j^u9^FP}jkl)~loTUEm&bxKya5$`3+JUHSEj zR_4Nm`Y{(haNmHTi9Oh8Nmshx^)nPvEY?lTD~lur&Z%?Q3~f?oqrM13ezIeN zJ~!hfVjmcZ3=)SV!%}mOaJ|A-{A2)Gfb7CGF#=4Wkc_l-IHKINTxxf|F=Q!!=k9D@ zMjbF%3xW!%SMD!9rf9r(`OImiC4Y_r1jRmCRpOZVhAUjBTiYcI7wR zP&cO=;3^oX^-g8hzHvbaTvvjIvi?#2t|WNgFi)mLV(yb3f)@h{!j`-+MoBYAT!mNS zeQpM$XhqISgK-i%slml*QfHWC4Kg#f;#N%^!lNExFdk3ySqSImD|+*XLaJ;+9@~N? zY_4oo`mQ=y=DD*v3SbB(k8+QNGgVG33aQ=Xp=O^MFQiivpbZ#-KQWszL+1b$&FHQN zf271jA;1-_!~2jR7r)FIUQJ>$Y+*xo4WUe&)%2J7asa$t`*N7z2EvlFA=NA3Sd&=M z9i|WR8WRG=D%_BU!68^XKU`3a zHjop0!tcAelaOU&&(`8Zi`f&oAsPGiJWQklh$u`UMVyDM8d7-5oErTmN7#38sImx6 zJ-uAvWZT7_!v#w%z0vT#3{dq)Fx+9WK%EVV3Q+lk%@ZnmE6kKV6IP=TT#y8_LBFm5 z0GM5_Ah`^AhKNV=A~aH9_CCxsandv$5$(iWtQ0!i45HKxgdW3n^NZ66ZjOoJ^^HbO z*xeA}gyB_HA?RJlAPg463#A`sSlmA#PKiZ4GDdwK1+i`&0HKX4_nrT_!f#DJ|WTqIQAjJKP~_+pmAS#gnT7~L&v5WVnn9E<$p zjF(pvV=pm+>r-e{FJu$oB|419QWKhz)z=ot+Fa=tnL@tzLvD>5hmx zG2QB>wG2s)?k~F+3D(*!atI2%=8-}UvTa&y#JSamHEsOLY)8gR#|E32kL!Xl>zE=D zCNNJk5tO9G2I&M&TqkfK-<-11nm?{05cAv;ErtfA@FxEN%aYL@IZa>!*b?7>^uOt9JhYFnl|PS3#^{vydik-~_4VxI}Ppah0yHG6RTaZeZxlx~3(g>l0v1Gd~C248rN#>2TAA63m3 zyQ`8>N&f)CU}~-=>T8lg_kyJI{{Zk%S+fAx#Rcy0LfW?DLXO-c#ttP)GgAwQT>MG9 zh=Xi@h5=f3VG(Y!+8Yd)8tR!7>2PfuTtk95uxpE}uUIh!%a2RgKc+ta0E?P$Y*&Hz zF+dW`kBoC7(DUONQQkOKVUX#M>jjrY#4q?{4c$!Nm5|oLZzQ|8$$;LWh1xjs$u=Ed)JDSAo9@;K!kQ-w5&UY(PUn8}-0I0Idu{V9;sJ!5cPSMZyT+Ub+r6s5&ta#w`7EeLu|b z3chlknqFeImvESVg~qH7*d;-4{KQ>`s|_d@xxG3WOtz|hV3=^hk=y{Du*r19l57S1 zTue+81V}Y*0JId9Oaci-0dZ8@wHKASV1jTGFIJdgy@H?2TwY3E&Rj|YeYC{WP_c4= z7nktCo6rkzgXq4~8Ub~Nfm>(YVxX`09EHaIhXz8TYawmzGtvVbL(GhXrN21z4C`N# z86g*E{^M!zXfJXU9|L%(k&IAACfsQ3S!s!gc?f8(O51_65^4nj(*}T2x0P$B`!J7! zpP8#dX;|W7S6j+-<_k;q;@CPh_L;WOoxu!B0po}OrCd8i3;C;+VKOjK#q2d;}6c@qnvWAUgZa-;X&L5DcEHGWr^XaDxf%7&Rm7WZa zakQZ(Ko59YnCO=*R|mLTM@V8~l%^NPPR8KU0rN2`(3zvG@&|4hpIzsI1|}66xY|b< ztPUs*(>IfM04vVSZ82%s20;#7ZBe;0nYT-o%4g29oe7GZdx2Fk9v%#57*a!bIMX5P z%m)xt8CP+$c}BybV-ClRAoirlLBOCvGQw#xif{;D7bhJ$znt{(7`Wb_bt@9a3gVV)pD;RFi1agFvXEUF^M>0r0kf4%RoM5kFde_z@Mf9 zn!lM8e3%&v0AM@@v@SGRU1a|NW;K#UFbby}0X(rU!+-=<5_RCYV0do?Q)06bwla?- z35_XsG6?{8jdD^uw#UgoM*Cs_{ALc*@ z6c6ga1){G88}J=8*Tab2H)qBGVl1rfr7ef;k9;z19^yZ-<(ln@=9#M4X29H5#jzEux6H71H40{Q6Y zG@v`rA?jdIqUu|V2|*1F!@0@yw^`RVI>hedotWf-nP6Xq%6pCzt*CfhA*Bd?A1p&U zFcP~(ILs{9aG(+|<0}$I5Er2s%4oj;YXF63bg8Po{bRFC0*JR*@ z@IBlfj!XHK6J^(T*^(eT;~6lquV(d*ZS%A1i)e+bue1A?SPDPatYM(;0Er?QH2MSS z$N7OeHva(TBgsunG>ltrYaT<+0ZX*X&~|S$ZJ@a*x(keEy3%0)1V|)hrb2IbAv6q* zjx!1mgM3+KCP5mWFb39)Q2nEX$YN6kQ*Iy)eXfRgZl}C~p>4n(DIG87DS zm&Y)eIyezEhRpC1Lo35q{^6#KG*h@JgLxPa2LMtW%4KXZq{9GRSR7L5H!d8yvzS3m ziv-d8gC{~FnZ2$1$&K^tH@gNNEzYCh*@htEkq<30_UFu_9%ag{l`C#mQ0vn`12!2Q2zi7I;7OV)<^CVq%%g_`+*PKXngwNh(SlQ z0i>(kXVLeF4VOHl4YL`dJ^ujV8z$)PLrGv|)f!0QQ9|MRPgns;VX8xgxlcC$!b1-Q zWECR5Fw|VXoO~Dzq*#7p>>P7MJz=SCzGoSQXyxLlZxSXw3}GJ(utUL$JB@dcR=gOV zd67)0^Dz<_1I*a{T-(!FC~I1oL9-~OaZhsqx4P%h%C2H<;$l$$07S>ClJDMLvDd5! zCQM>pt|R{dhDiwAcOQnXP@KHQ16S5qa);ZH!`@r~J-7+3VhtY#Dr^_d1lB1VyXOU) z*K;eg7(4L|XrA!+WmRU38W(rQH-xT0$9RaK&lr2tUa;sq;(=b{2i)_5mC-Xm@HtfI z?8HHTF+sS>{0{uX&YRF;Q?7WzcI$|A>+dN7^zI2M=9#Jt+qjywTo{j6{{S$4l))F{ zkeS1nh7d==?0#VUCRDMm;FM#BMhojf1_pR?U>*CP_y;KZn2r8o0Qut{I#oFUc~AEc zHX3j%g)SY-aX$kV4JV0!v!Sf9u-*{+!3=LOX!4uYNL581o;U`0p6 z2^GQyJt;#sJz)xe_*}T!(m0UTwof>CE2%>@8JM_aNv#7La&TU3>dAZDu7q@p4?cm+EjQp zM-&3;Zvi21g(ssZW~3$~hRgS)?~5tIm$3R_d}n77-7!er^9FVJ=FWpy^4k0y6qJ~S zhZRt1BA08y&8tRr1~5A!O33wnVVy334`g3%C0N&1`m#ZUtST=6!f?VwqajYCn_~$< zv@iicp_K436ncSlAJZ^dX%oOPPeJ3Hj8fz++waki14bPeL(38X7@`N{!m@2@A=FJ3 z#AA{7mII?7I6)nXfI_3#F7RFy83DNuXBPert~;pVJCkh0jU9mS^yHvf2Y`mU<7ap$ zM7J8ip8nn!J4{XeySZW05AxB$mZ;*pc_{tLDP?s&W|IwSszbnL+(9%St^{fC;Or;N zLu9ExJqAHX!TJ{kLoA~9nbMmlyXe3SleXZuh-vYQ7TbV^oHb577@lT>1>>*Wfw0H9 zxOh_&LkjcjxwQ($F#N)rz;;>tn}7)%a+KEnWO{7IgL7~90EcQ~8lk7R8h!=98p`YS z&9rccmvH=dkFmc-6^f;?C#1q@Hep*2ydd@m{pwthONRt_tCqWRi}K;<)@(Eguk$o> z)-;b!5FZSKWo5=r%yJugxB#mx$+mdGqK@6-m`ykZYa9s@hcObKOEH^Jrf)}8-XIISUcfkn6CC=R{vI<#BLf+9 zw-bcGFRIf!_OHOa&4ezTpG1B4>53_no96!j_?p;BiUU-+sxa@Yr~ET<(8&yxy)s1B zKySN>Dco`n`M83=KjtuBlm0j>8S2)!LqdL-(h;w61e>|laI8o34C`3%7`!<1PXT~T zNu$oD!Fh1T#B_=Q+&Z6u093kTcTh_NGv82odV|0 z#la%r!r#0qY2yVTdZtie(-6}a-Ym@6Qi*`ln{C8t*13r#^_Tf?IE`-suCDG?du3Pr z{{S=3-0^cm(~m?CtRQ)tSGA|dESqj%6X0Mi9<9p(BQcc^ zUBEo9FbrC1I31!&-~|MnvjF%p0>o*2%;FimI8XNl)!6=G>n;o&2LkrWW&1$FQuDqp zZozq!7`nFtqi>Ta^r9GwV(bgkC~R%XMGUoZ#8Y?`{{H~3PKzmmO)~1_E`_tmo^pWJ zj69bla0f6byY-aOIgOHKDNKNx-dLcXaaf=*aSpMMFd1Zdzz-pC5jwaRk_(cODmf&z z{Kk*3c}gt-beJtXu~QBJ5xQUs?4B-aKc*rUk;*$RujJ29DRaO&-mxS$*~|R6Y_jJB zco|`c+$?-)!l52%od9>w?(sIb1C4Vk=onkQ);QMvtBgP*m?+H*09M>xQZiLXqk#fX z42aJ+)-49dL3eRgL6sr0IVEKQ; z^Uf$`Ulk{ZakvElcw8+i0n~S_IPH{htmg80>SI)eXu?nvg5RMs#SIViakJM_`*4Q* zi{cziOeA+}%*DK*=mfJG)E}sg5P)J0J`cXo!9;>7XV@NG4!1=T8$ABx1weZ*&>h4d za*8{L32|6}sL0w!-?<2C=r;~|JmjOg-5k2^5{y6|$qzpB*<#^adaTMIW28fs)Vj)b zg1sOiLl9z5dX7Gc;|MrAP@G;EL8hf8ON&ls?F^8@r?hjCd0@dII0h>XNKjn~aBvKB zWf;m7%Byo|O}jv3K-6}pzu!1W+6!PO?9Je-kMmfpQcG;Ono;>}i{}cDZbeeose7r3 zp+Zgv5lmWfv^^DHxv+Jo88JNxMj>PfVD@=#0!6I!@q+`5n7iI-P)5g;)x!iJEyPhn zcW@^*>jpcfaABkro%@IYG|)hpAz~}w4=^-cRa_)d)w+Ij)}+Z zAiDt!NMgPcZNqttTm?YsD^Ik+1ooM9nqbnyxWS-I$7HB1{$iyi62BE5LZAO&Gm z8N(1nXL{Kn;AOrR7!a;N} zqX;#CIf@l*!WE7ui8{L29~JM$8V+-?vlzj?a`jVw|4sec(vcZEF}R-!jH$~V?d zyXy{w0>6#{Dsu=pT4Yahrj1z4+%sc@(&#$Grmy?=cq2%y=3En5Qd?618aJmg%2!k?qIA za=5pFHH%93{;mP%896Fpj6NmDL;@f9xiA8n{Ll9J!tVY|6NE@+%(>3~H+ijSEW@vA08bFl9=--Qj&R@(Bq7gC8U(B!X+@w!AK=F@m+tk4oYHt}89T){?J!H&8 zfs}pBmz%E{M_47A&B3LZ#JkpQcPfK1YbW`PJ3FigfP}%(Pe1vD4)usZj&3!I*0RAK zw*sJUA(nG^?ax#X+$dV*qZSKr-;SCxl_yL;b(Y)F->U;CKU|R9Sp{u}&Sjv% zgTl+432;HfORnLyxDNthKe+8~@O;F>>%0Lox0sfO0QrJ!-Aeqx;)CY{OXFF&_+RE& zd^aX-OfWzw>5i;pWD2A)RbhN$)8U1b4P7Wii2K|U*j z6V^q|M7lA=eJcn}xs6XBSS!l~pP2}0?+K7a+!{AeIjV8DU9bgsJ~4v&%1IXBVNkQ& zaEMQsMi5*hZ6)Ob7xTs<?U56NHeEO;+-T+K~)q2<$ag? zv-d7KB6_at-Z+plINvX+fS-gH5TuI4yX3u@C>RKAi~&E>DhHwYimIOXG$^S>$h%R2 z?Q&=kIJk_JHtip6?ZH}2X1g}m?ki>1RMA4T#w3G=3Jcs+0L4yd2iL4h;6Yw4^^1f_ z!3Pq%q(sEgTn)sVsiVTYGgXQ@djjGC6he#q@aG*yizkVOSR~-tLG-z_B??xzY)1rGt0 z3_?KTaN#BnkmIS4%t%O;;gB@u;8;l;Er20F2CLa=GeVW3Q zI)(l@+jY=mt2oH1M9)QHza}UZP2OCBhe0;V=`%uFk7Ps+!h|r&<%B?4N7%eI= z$|Oi)6+fgk)x-oKn#3zscLu~P_mZb*Vu!Q-nM^#2@2pJVDAzm^gs7l2B@0-%ODt#rTK`d?p0MVXxw!}Sd}mHSO!QX5X3UVp}CKz z%x|M2iEi;JR|{2j+Qbe`}dSg+<0tRw|uX>1%LiWPPz>PX;PH(X@Kot~USmicF1Zv{eV z#2{>Nf`OM&zUENA8ExFEJd79s`NCkH#+>q6nIZaQQ>-ioQMo%a`IIbSNMIw(BXzt( z!Ks$vki=vzy?MkucL5|cm=#6a{A&ou4z+(KA}0J9%1s$8XD~V8fg5m(i>TDa%_Jih}Z1J;hVy4&_TJeRPHrm?2ht= z-#%_Flo*4!N-IrgByDQrY3yL=_9plXkNC4drm8o(L|x_)Z~u_{bV zcYWMZvk-=fw-X`Z+=o6N`;Gt<@r(x_xf}wpHOEoS(?|Ztc+QN6<{q5P0T)BRC1*YXsoa6b%zBNKB^y1STVAGC<}lDUzp`8RAS7p~Df-D;}mO5$Oz} zY7g=EfnHPoV8oHeQG(dUEyrId3HgpAX(m-RMDvafuSP}98ew`)JjJXqAXClHTwg!T z_$nB~I+^_(r~>^wFhz7ZLl_#@yyzts0zMbUE}ChQnmA&BxZ_4ZlB&HNaR}*ZCD>^` zhMbs=h{c7#eV%iDh!qf2h$bmQw@KA$l!2&4fC;b6DF&6hFCp^y#LrB=$$x~H zAOzs()8!o7xbPkQ^_wlFu+%{v6Dt`>b-s}6?-#Nd+pnFpXOj$;s9>Bk6i?gI1-hvE zFgh_A4uUccD3%NfF5xF~4Cbn+GS}W}9XrMRNuB=yUh)8MQJ(M`cZ?irVs^lVZ@H4G zeJ0(%xG?>MtD6N--ai1dV_Z2g==2=g8SSPCpa-VlEp9S;R_i7RK~=hbaKKSuVS{sy zAh!vkns9(rzY6A~M1&ieUsYU@4cd>*%#o}Y^@J#&H^;4F5zHkPfLHUF3M+lMOd|e$ z#jIlBArLjnhyXOO`>csB)K{uG5%f8(Y#AkZ2Fm7=&oaF!LO4a;5EaanRKL zS&q2l+_7{o&EW=ZPvgTO5nVnXE(7pJ9xf(;x46R1KSp`PL*SU765_YnZ~`N!WuFvl z)xuVY<6_}-M@)1xgrB%6Fo|wdJ*;XOS`E9xLOavK_)JLLhrFC$SPw!Tx#^fK!x0e! zu*Q@?G7~PmXQUoOxFH?ZTqU5FSfmY3^uQ!}SMM;hxd<7<7TjracrX=KdBpo2#!$Mq z>8z4hoJF92{#hQhGxHS3BR2BSwj@?j9K8j7urZa)L*Uf?a6z0Z>4hUfTm@H$y-!8~ zSGiVtaA{9DY1F`km$wVtg-)f$iB!Ww)iXqpE(1ihaDb-_qNT_v@`v{yuJ0FQdK<&q z=0x0woJ13f8F`|@oR>BlIMLHMeK14^!IVAXcpuE@TbPo*b(1#*S^&;q4EAWj(@Ykg zo-o98NZe7NH_44pX0lYFjwy*UUp@1k6V~w5E4&(S6yKbJTfBxnMoz;S-L!#&eZxhb zIfq@`L+-9!Iy%OEID5Rp#7P}wzAznkfEdpJxG=7+T0xf%D}jM-`H;+5`@xdLIgiXH zUJdt}!Z@mczB55h>nPmtlMzoH#HDc&;x)(`wKa|FjFEhw9~?clQ|npsoOU;Pz(%XP8_J6^)i5 z8h&HY-MFPZvH2`>RB(3_jN~w_N2eB!uoN%`-RmRFbT8-oh?EjyC|k}HTh}!iuW$$7 z7Yo4(J;t~I@CF1vvBHHtznDlJmB*lc_tBe70d{O<%}kokhp@m;A9w)A(kg|X5)5a&tRm1b08QTE2g1C{XkFqT4b232GeIDk zPf4qkDP2zik@HxZ_XuJ~&1}WLj!ORkS#cQ{!nZ9n5*cI;CB_Y98{`n@3{oXT#ihpz z2plBug8{M$++ck)82Efl^`o!oEHw~25v}oF%u-nv5!h=M@8dlco=y<+y zmX!|%L<|8G`jhVSf=z=|9xvQOE!q)>5?eC4u_m1yIufL5ShE zZN(IY^k7FM>>)Z_j)Sj8Rtb(Y3oS_m*|!~&N3N-A;OM3(v=v_mtUziQ!{rZf3o(>i z+?m!pgdp_ra8T|V)|((>=a)d)7CC}Z)e+$X*^Q#&L1>f@ese$xqJJ5RLh`)DrnaH% z#@lsw7!#YyN&@l}IO}1_T9IR|r6X zj)u}H*@=Ntg;h*Y1y{W8D|XtO_F@T8@Xaq{Ok9_E5&$2@{mUHv!oc0V+nyYQK(L?V+DhRaD>xlmQ4>FW|Ft874M45`ArGVDB8K)c6L>F*(e zkRoA%20{4XuT_x)gG3;2%KI_%hqKl=^isbDlN5JJlshPHfEc?gPrdSEcBhx2#&<{l z6FUcU{{UUgB%+;$G#WpLExAvWao9L0u5t4dBELN3aTnAuR2tI}OKouILs%i&TpERr zA)_eoDF9kmm-iV@jHV?psC!XQm}%9A=K78!j-hA4|j70M3Nh|G6c{@920%0t1#1FkfUkDR5;xKGRlnj>&N zN#w#ygx;}1!m6p1iWez8RZQ+}b7O!vF$pt7m`YV6hS>}W9d5uNpggl1wB`xgDC7b4 z)Xo0@1}P1hK)5j*Rpth+4W^0J-UiX@n7FHQD}Fp?r5-p{qkePb&vR!P0YBW-*0Eup z6EUfmHqv@>w=zjbjsXGJ6(+Go6t8X%Y2R2|6va>}j9@NM5ST2}34>eI%a4o&r}2Zl?WW+z*-c4nhp#~~% zZ}?{c=UkNXX6x@*Bdf(sKf`dNShuF-7hbXjQ_ehxj06YHM{tQQT0Nx2XSMZ;2yk$e z$RNuR%!v0t_|R@`!mW7ZaMmh-Uzm_`!1;0)rwa@Mra@_nKr8bR@e6>j(ivi!&jX3O zl?(5`afht&4*21hP~kWPDU$crRwLFoKrHxSL0kZ4(Ddru7V_t2 zyg9J17z{~?ul#ZgG1iwfKjg<6-UgWkqWHjIH))3s_XDmD5|Plj#`DMAs+Y)_1W%#GU-yup^xzTsiVfnM z(A3T1`^_Y`?*%0sD;TN)klsmb2;!nFcZ!drSg)hFgNl@m`oy+CaauK;fg|q_ctIE_ z7ZjRfC713>?yHbdaq!C1#Ki1-#7yClYMPiM*9J&mU-^*U<8JBX`-+#PF*^4Vc-;Fj zmtx*bQQe7$udZlMOw!oomfKuY0(frbw>z%$YB?sqm=d9^76kmjI&wou+5E&;62FEj5j@VIVAGzTpSj&}eI4nJ z5!>fq^Ad(P397;{`|o+fRJ1VyH9{*H>@vZ%q$2o3KH31GFE1hBFfX z05EU3B{NauQd7<(NKx=yAW)Cuq!spKElx)o`CI{LPM%x}+QGO4Fw}X3h?=FX@s}4d z+0x=90cgQ+xZ(*URK8i2EW0{gI2j6fcz31skSupVeyU(N2@0C%;4}^?OT|8xlo)W)0TuVJLT?Lt5+E2LVA$iZF-DQisn$Rq z7Yc$>6}$G&IS4+40E%81Hc|>C9e$kJos!vn{Kh^6ZqQxhYvUbHvFMnHWL>>lZG2 zbp;_YQSl^j^5jB2yI&;eBjd_O$+VH_ir%Dfngi}022WP zFIbX{X#uRjYB0p+ZMS-}rUar;Hj@q@Jv)eq_qfBcz@#(bTuN&c6rgM7Fn)u)ZY`=T zUMKsO1TAngw^^!b>g!z42di<^bLoXWmk9g82pC{ePk*ir1C3s(j%*=2_clOV51=^YJA>ZW5;cEAGzlT*J=4t88wzI238I_7)=1JKjuJq-F}A*O+>H$xG5G? zmo|6@@?o*@8p=bhV{3YCK4LTxt-LN0j$=EZE1g5lE*#@#xFn#boTKp^P?JQtux_4k z#PY{%V2uwH$s#SB!nnTI0dniZJrVCKq!Gh&iGTq)|R!QU9MNkzdLICp}fpX-If%?jypBE1IQnPf!X;Gw^c z90kp|M`wKHKzbaO_?^fmvDMZCHGo|v{LDVX#KpY~739c+J@~~0Go?IYEKhix0*J|t z1TdiZw>21!m{w&T4d4NcW`H)hfnE8)5<*}xrb`Tp{i_M$eWO_-VvWT_*4X z4>`HJvkoocloresJ;5yY#2O)pX@zG6z(aMATrO~Vm{};?5KDu5#KKzWGM0E-1-3B{ z!eB|@!3bg(AX~l|=+^Rw+c2pMfuM;~5I2W8>lDAKia*X|#gPsn;_rBq+Yu6BjtB7K z1kYGz?Cdv>mD7wV3|DXao=;~}D7;I-4m#=-Psk1FX5CYnB+5s3TE5zs8hX7+!tcnl|6xK$O8<`yosjVNFs zPd&{`_XdMD5r}oI{{XI8vo60gv76>Z-mqZADLM`n3Db|BTw?Mwk#%uC&NVdiiUB+r zVm7awK<~+jSD?aZMh=$dz}@2ljtZgl%Ry;b5DtuXfO2m*?{I-!^@0<8F?WvV@kY!* zmh6k3#u$F&>;T{9Zva4J$I-59rP5qw7JghOzr*G_rp6*v$J!f9fie(rHm6X>KvAX3 zAzVWZf!+|-F8W*ncl^SJ0BYHQ50g*ffhiqa1wwht3jSvXj3K|j9Mav1L#`yY3 z{$#ywqHH}>#{jiJO23i#HszH8UO4nqUhq#-)W=Flgvmf%Uw88qbo%qSV#__P!cYzl zZ5>k-fjmA82?0X}jE|CGPhmVEDuWwr9YX5#Twu4NU@=yHM%HH<;*dz+3F;pRobLXEgo zJGaq2IYhrdTs28@Iia4|$swT_d2@2^kiZd72H1qV+YCh%X}WGf$rVyyKvy%s?uFmeb_Hp96v}!nYJM!usK3j}nK2URGjWG$v<6>=hBd)KJ?|J;apOu4zF;4f9GM|I>BUSpH!f-1*cSL;Hr?T>B`$0y35gwg#M_npat4s| z8Z}41Fo6hS>nM81Dl#BL8bLf`9Pzeu6C_lB++My(Gr^F2nEb%T!%wgbbQ_sd9fg>M z2hUHKG@i^@$9u#gPzV0dGm`~{BLx8MxG9SYMIjpz;00jDLnNf#)$VxlPU}1xaTn8NUfNv%j zf3AZ3NA&6JbCoeuQ3xEzROb{~>I{d>D(nZQL{{H|lUoY+u zSF3wv$Qv-1OOT@eVl5uhVG-AN zgd24+jyMlK9l!1h#d-e#!fGz27OsJa`!jfvS6jGWHwa>Rm4YCXHPZEk-$14(lp~M> zZSEj{F*oUOPy|N}W~jWzUW3d?P-Py1uCWx?OlBlKX2X_T8Q1Ly$04Cs?rK;lf<;@vE29$f+nF6e%OeiS{ zh#XZz)>3sI$HlTh|f;plw1Z>v&bBbho41j)gKL z1sm+SMXCZ?6Cs(PE0YYr1s*-7OChw22Ez{p=C3ir1NQOF000WbAW7#zoh$v#{J2Ao z?&*w$W!9~5bSB1J2C?V`o6=-KbQ{5@A?M42m!lIkK4Qj?NO62Jcd3(v3<7OCyerER54RSE z2XJcgXK5L$Tm;Ju>`bI@e6f%j(}O{W=1`JQhu$V>2;ZD@Sv=+QE{tu%JG2KWC_Q1- zoG`mY3hzGvDTp5|0GkrnNHeZiaxTn43GHDAp?5GPY7b! zuYn@hrV=sm&+axH?~2?~Al1o9;lOP@PHY*gJVGF+?ap! zBr8UalFVxqKki05J!2#SYGP8`lr#h9DmShEjF_0Nyy^PKEykhUUFkfucubyBNH*%h_8-m_Ai1~fvxXK0ll3&LI zjlqkH3F{71JhwXZlNcfSfcXqcmvaI~<^i{p86<|V0o>ChJ`>IgCpVXfd-LZa7a)HO zx;ER)0kN0>zAy{#5E(g?^h^WNvj~UGaBi_8>o{Fvik!H9emKt~8|syCbNfw-fLvWKzMa<3Gee93|&)*m_9qmgushV^8qx> zWJi~HSTgB%a0F%}N2Z_L58iLihOS84##^%JhCiUlHU5|?YCrb~;^QcB!P6;jNpAB} zaXP@7BQOC2&PHBGKIZ16&4#f{{{UD5DAC+tr?(t5)DsjArU9dSj0c2uj8%2a9*_OT z(6`M001J+J9$PR;m%PADLUWD*MCP&93S3C19Hkb)!NXvCn*#C?*tQTf@@e7J}z2s{{S2THdQ~F0lUfsV|?Hc zE)~a)B_t{(tm-o)l18TsE# z!PtHeTw5xH`X2Gf6Nm9}xq1i}`^;J(W*`FL0-M(iut-+6nxgQ;B0FHB+&x`|rGv$o zH@Rfm%M7z74!}e{F7jSzDn`996&x|N)Lyt>K|@6g6L>`s^&J*~IM8OGmx`YzEiuHP z)~kiWJw`v1pj?uIbc0=23TZr5H4b3YNPPgFOXc4yBNsauNurk49@206HK8 zPHJ-quGjMg>CGa`fQ?MyVtLL1MPnf-o8;tihJ+bEHuE7vcrU+clwjKb07g}%gY-J! znwStZ2X%20U5PU36$|w4aG?tR7?NyWYY*pX_Do2&tpj?_(hYv?h^W(ZHxwb~0=PG4 z3@q%$ucIIQOcq6)(`oKwpuIKgJ!C~hpVMibAY-TZ6{U&z!KKN)1}jGH{{T$11BZ3K zqlL0>3}6v0FK|Y7?R*{A?jjKBLbtk10G87i=D(aUEZ?R6Gq|N$gV+|i;R#$oC~Q;2 zRN{#|@?@zj1P%p3VZ*s;@J$bLB?@;UiU+VRAdpQw#Hw-@;=`N6Y4?t4Xa{o`hybwI zxg8hzhzTfY`do!$4P^;X4RRQCV*NV6LsI~nG1ljdDnw~l7!?j&Lw65s$ft^@?;)H* z<^@1W(eJHiSF=MJ2;yKa*BxQ2_|Ln_q6>Yv2m_g+RGPS*FiKA2{EM67J)W_;@Ur9q z7y>bJG@i3kC_2wF15+L$h1Pi~#}1M6{{XzpHGb$R3bwiBMUviaM@-A-Z0e5KMo0B%vRF-a*8ls=d_#8_HjVKajemEFRsI^Y;wI|k-J3yNzrsNI8~pJjbBMS}&LULRY_~tB$CP+oLSc{a!4&!hB&AKljp1i_zz?27CTDMOlDacY$hQl4FC$V<$%uMjD3W}r?(Pa?li~|aO?HW z@)di8$)-CtZxc6P9_2XPKubIm^<$xEkpZZ&v^KdOI|;d1iDEpR3Ka>0SEZD>f?hN*66)* zAWCTUBA)IP0JFvmLC2%8Bo2k(@X07h4fZX6aFVreFbD@w2H@C!;)yR6dGhp_1UaIE z_4|rnz3L}-gM!sm(7ytB&N+p2d=JwT_90igx5Z zS$n0W76-X{sZ2Is2P1v5@9TpakAPFL`H3>9fB=iWU|Q7#P;l=s#chjB*p7*lW2Idz zRfytkP|8YH{V*0?bHWX9xhO}2)0W3j{urP)?>E6vRQrm8Uxo!?$S6z+6{NGph-RdW zKmZUOE{tKJ`c)u9$GoAqiU)72Nr|cgWTj#n_-n@?0fu5i-wu!U9ki_DhpZ z9T9=zijSO_1v)ffjG}=Wk86o(n}g=9ed7cayVJ)KYd|=n*J(c!B03Bfkv*`K2s(ov zp58U07X&4h%lHDXyPu$>xn5O|sW8kaR$REKP^T9n(6|^Rro2;e%>;}ry>Lk3Pe2AR z`HxqB(;fsTiJHO%KsX3|97EHK29NUwV=1-GknvSC_8CQ;$YN=z26__YZZ&=Nnyq_I zX>lk7OBBi1)b0Q>isEPm#WIHBn(~~5$N|1`F<}}2GjX3r8A9|9t}@Y~`UebvcF$k9 z?h3s<7$&p@W+^qBE@@%m!sv}Mm)0yEp{7UhxZ$hgC_9AlkQ=n4lt`A~HCe7>p;+H8 zLP`WNB^t80kn6@s?{N^Qo+#x{z_?WbF{{_{2}E2YZ5c$EPqV`W z5G#iK^MiT~<^XrkoD5dXW~cWxvs+}rXCk0E0>n~cLTJNk1aL#=Sz4`>a)ew~#OgSv zv~Fz>eYgr7QpcDBM(#?&vdjcrDFy)bbmOY-Fe_19aFi>z3LV+CJWP_wiVIif2&Q?) zM~1E$4w!&HJmAACT-eQjaq^}lQ#OJ=vEF(-%v;2+DnB=rb90%pxdRrN>&`BdLngG% zgT}Lz$PVuUrUkdxGEoVvGyvio0QG>@MMl<4cnO78p#{cGpP2wexUegW3c?OzYsOPv zZsuGMPHa>C$7kFSt;Gi$X0Ql6aTPj$!wWS&;=(hY^xT84vO!bZ5E8eE9BV8}Hn&-& zBX?HbIe1Ze)? z0f{_doJbgr8Ak&BJ>ZMwfLH0WZT{jUYD{Nu^CBkaqX1V>!7v2VDKo)pv}*@I7aDEeH{Q(3bxg0+c=fFp6<9u8t)xKaD6Fx;oJi1~oC{6B#+hXYg>i@lxLr$EDj7eV*6|3I4Pr2L z{{YMsGRK@jeOK=_mh-IC9%c36%<&yMdbzTywlE}7hpdsHPj_6oGEe)(GTrVt>bo#Q zDdCoT(D1njmstXcb%vXNGMH9v3EIWzrq_~iz|nDT4P67wazF+R^ZSU*J8-D{jtWJz zY$Jp(TPwox<11LQ9o&I%*l_;PY+idXQcpd7LM{OW6<9d-=D^ zkE0b6zN$d>d0cH~A@Y7)RpnjcC?j#@a^SOlF*LU}6asH4#VDtQlddkyCCZzrZ*U#$ zFiajyLL?Kpw{Q#0sL-o4_*d3wJVi@vmIyX)PGmvJ*-z`<4p|tqy@TE)B%y`C8b$Mm zX6x5b(Gy-=H%MfYHuTr*SE+@f%8fk)K)hrt5_`#zTv4Rf2 z3y337{@c?i>OVg63dI^<5wT&uV~{WUq%+4 zf{zP`zw!s2<)Sb(a0BDr^BbE*B5Wtn&1`P~LLa%XR#}K8-7p-NStBxm=(Fwsn>1g; z1>Ar~%+NUyx0qjLX~maXMLjUn1)MUKC|i4{J_v+yig?VUeh*l98?STAuM_magtvZ4 zkpq{$Fk%!vTa0;d%3d*z`~7V45h$VOu=iW01`Eg3PVw5CC)tAR zRhR{~-;T?I!0nxaG z>C9?oI)OV_peEQfnr{?Aba}u>6E{ddG7HKBMAdoV&P?*55q;0C2=5o?PxtU?BpSinQJ0)eK<%xxMFd=h zfgIl5?l$M%Bp9s_ju=c|I7t_pUzrf_Fr;=aFnnv6fKKC#52LuN#PNoV>8vWqCtDoQ z&=&}4Hf59@rNjqGpZ++Zf@DbLz$jSM$`ZSA1Q~YzU`=o9fdm{h{{V0jNmPHB^fJz3 z9IP=&1!wry@k*Ja=Ho~Hd3=ldzFdznl5c|XVTQN~&E(!sZ!o(;H0KUvbLwm1# zfaJ9k9aHPfn?&`2K<&m*+G3kGyr5>+F#&Ss>Vo$VkE4MgHZB8UC8lWgy3E+6b(c-$ z4L1V;ES_^h-8J|9#3dl2d|^|xQvpH>KW+p(c4GPC7}(2uiGNG= zR=(U}CkHaI{{WcA5huc3gA#7GP4>J@w&T65(W^I$9#;bPlrOv&vrNRAKWChK*2OIr zGui7S2{0<-8-|&sIzZ9f#DMP=BFtnhYRo+4%qdho3-eXq5UfBz!BRU4zE#mp0Pc9)r$Q z5kfc`A=f1h1XBQJ`a@v0e=#Gju>l_ias*u!{r7;jgE0Xrf^Q2(_w$)-CtbvXpmpys z)oL`w2?iwV`RKs}yx_XDKX?!+2m0OqV*dbx00;o@klqoM@bmuQ5d>W%=0$Hc?c!jP zO&mqB^7?TSbe+V2zx#?M@t7<0(}WlF!b9V(5z~24q>h^G!l#da(+VDf-(HNORGR&9 zI0PIuiKG1Db4Y6$iiwB{UKgWV&37x_N~c_K6fc~(e>=uCX5)VTVn`Jo{Pm9p;JpXf zV<6i!vOLJI9=Ufd5AnoBvTow^CR9tNZaD1)mkvEaaFUX&pZriEg$;G)ZJIvdjKbg# zGa@s=jT%prxcvs40G3}kq;xAVYugW_ckV{ySg20K6%XZ_UI;O_^Dj)(kUKo46$2u0Q?wA+Vh~ zb37rDS$8tgeCr!ABpfZo!60fkR1h1j2yGGC?%rF}so%c;QUur7;G zUG2^T`*Mq1fTh9$nOL!M8ynUNZDJ8J#*DD~?gJO0vACT~>HFh1hK2QsTZjS}As-8p z8UFyshd41*kM0zG1;Rw-90?(;nM`J`7v$a=v~-3j`!gMz!s|?XQT=g*RJ8nNFvjl% zz24({dp`g&hLAOK3MNsBR4WhYl8T<+<>8T*Px3}6cH)*{u^Hymxm zI2wB}mi_+#xl}h;RB{i;4M9WJQ370nQ~8SrwBv(yBD}!OePqViUNnGjAScG*Lhj_L zv2Z9Gv41mS3(T`@5>#o!IW!1;b7)?F?lcc5%xRvFGjR~4!162103QtUyNzRUPZDBH z3W{dHhRmV0#APw7bf1$YuojqtF~~3i@BD@#+q7bCO5|RUrWIi}K8&JQyhAUBnJjqY zG>?Bm7(_jyQw8#!##u%PfIQssE`c!xd+SPIV4B!PFcz-2heYNwex1$mwq2*GiACl< zQ3jqduk@*eL3KU%7>Xg{_X1Kh6Cc>WkKx117cSsQCL?u z9f)GbhwcW`V8s50P&*lG3~q)YM`Y$Gk37m8equiB&U9>Is94e-8opNqBxn4}lx!`- z3!y4xQ7sh^!W|~h?3m%A71EH(@FTxIt{_08Te}x#Hy0Gg_3Ut93BMn6VL5zwa%UUV z3xSh}t_pQfqnike9^a-{SmGPM99bUA59|zp1P{W#8IzgVs?9Rk0w5dGHFKFtro2J% zn8=_(u;VWm#s;qB`IK<8lz>6C;e$RMf5y0DUs$XBR{~PW)V_n9NKvT*d-mauF0}!e zEnVpQ-ng1X9e)Srd4tSdo33^+7af3@ozaL_j5vw_q;nwB1}dQSoz0%-Ggr{ z=$IN%Dt;0E;mOTM)#-q7EwV}>3Y1-I5SbwsD5J{r2teYoo>u_gvXbi)faf%m+LqKX zoI$~=(`(>|_W-sF{0s{os|>m}Q*=fSrY4R2{{Wa_S41!X5b9#lPLrB4f+1hWqYX(! zxJ{%3PjVHBO@iamNg~jBQw6N32huk>X5Zn-fdkvcoi^cZPoSxYYyx=%FiB;0nmk#E z-_d?dpI^QJ?h?RDQzL>zOluggORrnTNiPy$qO`n^CsPL2ZT|pRD1l^q9C;H%MFE)I zHHF)TCW_fwU;wH;JbKz`6zo_7;vHvqGXTmSiXmT2($6 zln0wnc>$$?8jL6l++2CY5`}OQf;{?i1Oszeh9#3opweXZLWb)2nDi_7>xD<9wXAWf z=y5`ouYDOIuj%=Oj9P7yK1>jrTI$BgeqaTcWa*|28WU2uVg}zhD#^g+0YM&my~4(K zsq?cc0zh{9Oh|duc4D94$yQUCDh=(|<1ht{UN|b*VVa;~2!c9sP2{*B)bWtOXS{+? ztB3*YT_2y25H}{WD&=G84+#)vDf*W75dIVn~YBH z+yEX&C7&MYmT7M$2v9PiAGuK5NaO@Uki|~aX>s;;>a%LRVE+Jr7-VnWBBg?j!+}GT z8NDBji<K;wj)AFFT_q8S0$lLea{az5!FfFZ$s3cP1v@YFt3=;KvJx z#DKD4VZLGqyc`<@^?;E1!O=W-1dZb;AD9FOH@o043+*tOo4AMRlvW8bJTjsjka#%{KgL) znCM?_QZ>yPg~Q?FEThQhmB~MR;v*v?e=#pO2UvrR&xnUI3Bp$h)&Br;-m_@A@8dmW zp^cD}xfjL4yz7dOS;%k0{{YK{EJhT~;V(14sfYM|aFmbkH4YgytV({j2mb)lV$)iZ z~x zf?CO}K=E+|PkY1%#%z4CnNMqqgL1Eo{RrhQZIw^d!8K1<4gGRxnF<&YK9S9Y<2Q`d z4~vcE;sAKK>jx7Q74wFgb-)>h+ z)nF*6oEQ(xG7`A}1ONsom)*`#&Eg0lnXobjJ7Fzv5%i`YU4|w{0^vygzzkr2>xPl) zVnD3|WnJP9zek?n+p8|^CNTv516T-q!&ZFdqiF8& z!VA}N2%t=|`Ne6gk_T2tAK2I1FjNaS`YS_c%gnIb-WcEJ+3W8Us#%&Jxcp< zC8!KkgXFI+8WI=}7<}{Nc!vUzgSPp^HKiql-{FBIKbZxX(M088Nq}~Lra*_6Jm;=S zf`C0_WVUj}PM`amF+*b_f#TpI-8TWqmawF1!yU9`0a?~$Ad8Hb510zkN_#Lu^jH4? zTQG;)QvedyoaCg1)0O@PM1KBv1XBINB=ljfx0{jrWz7RzrcF|l{9WWtEO~+`YnZtn z76Cj(%{aSm{PmX={J|G(Oeq~EO zV-Lu-nQGk!oZSgmsU~pRK5;Dh36v2&vZQKPM9P;4rFAf-0Xdf#TkDZLCIV|cs(Ld=$85z0g{(vknv0VZ!XX2k z%15wukS#BFmXBgMO4S@3S}1AO4cUzV_SRquYMRQ{d1g?p8SF5eb?|)0u{H@je%$mb zUsDK(90<%;(Po?&*fwU)(A$Hsd$+VGZ*MG~g)Nn+MlEd&!yUkC6L_#K>eToa!)mB_dr_f9czNoxZsp|coud5gyU53C;rvAel)t;D*QB%bJ} zG>+}TFMe?eK41O7nhseG6u0$R#Bq0YT0y#vO%et-rzNd^qEWp6I9)#{B zK5<0yTw9~dhk>umAm;1i6uf5y!ZPF|4=fjfo10IVNjr(q=E9?~gh=XOkXyqwcmDvm zfb!rVB@-VT;KHx&Gz7p6e&9x*%p|Ajgx+w#IE=>>8K6snTZDjVk?_KXI(o_5jV@e~ zqt+6(VYBBdX*l;Ar*k$H-M~RLaF^ckfO|8t5(UXMX~K|pyvSJnGpqTQYoEY<2IORIfn(= zf{k+$aKId9wwEdP?rWn@m2hB+YBBsP{{Xn_FwIblT-`~Y@qUJ|L<6bJlN3ycXv2ID~hCF&0afEpN^s zP5j9%Iq1!;O8_#1=UbUHV@8}YF=38{q;4ZjE8mB}WHj~e6xHR%lKJzNnCO|>yf}p+ z;J|7gu>Sx;Q}Zme(&N{6V1d{EVXM=3o@Vsp;2rat2*r{e7@IQ`U%Rvc}@MJl43{o#eRzFE7|)W9q{1WFz~u1iP_jrI+D!Bpt+ z>$t`MvP2_OhNFuj2Vn06c+BiqplD-EMbBV~!A{T3UM53dTav&oBZfn-DgfJ-Nbk9y_@w*NdgN zT{srv@Sq?+eBeTL-8IxK7@Nx%VqZPR*=b!fx z@c0zUP9Ab8Bi#@)X6o^kf;}g7`;{65YU;a}uxc-b@;M3>7td$z8lI2bXmq}vNePOU zy4ca~)?>AR^Q}8`V8yqTak>8hFcacq2$=C4N`VXr35X|EEM>(IqbXX>f^Qt8BrG15 z<&C<2gVt;{V4z}T=vcT{2qQpz4g!$n3k(^P4w%n_fC)a#WOa~|rmK-5yIWh4A{M*# zg$OG|`G$pj{>-{W*yTjTRD*)Dz*V6SCL-yzW;zd5n2Icme;-)OpoK%OA9}8my%JhH39G5@N~h;1(Nk3UK!%x7E1@XR8&~P&K{a zF^#DDnXnlh{`$dXTEU^*PR$d>SaCGuFQw*DNsa>eZN*WO`UU=G&@#9U8Q?H_%TwHt zeg6Q%E?51=I3Yt=NM0^bQ{Med1E-km{cs=*OQ!9{2>5dv4T|75(+V{@z|GSKZLW+> z1HHMhsmA7_nFXhVB|lokiGWdsf-s^c?jynLD_9(J*02?3fkJ=W@DRmKSnW%r4yGkA zxH2Rh83(+H+*8Kx1iaxRkK)Wp;{45z#|E#~Xje1{p4U2h$cgJTQ`R*p*8~Rx2tKkw z^*)&0o;G3--Bid20s+89WiScpG9EO-9KZs(NOJZ2fgv)(_Y9;IaK8)+8I-_?wz*T^ zF*}>aX2_=TOmG7G+=!GKp^+(o>Q(05CQwD2-f zjT{i$vF1n5b3Ec0mnfr)REj1n9;QK4XERD#_Y|_MN@ju{&EQ3~!@Y=HHek)unt_yo zc5LQLVrt*I)&d_l5<0d1U}}`O4X*%nCU7IyJObsaf*GJt=;L#G+s-(KvdY4cZ&NWNGOgNHc59Sj0l#e~(C29xdOj$#9yTA%iU-ik* z!Ui5cIhpSh>z6fmm0OW8<||dP;AN<5*Zgk`-%YHc*?VM zn2iM8T%Z9Hn2Zrz#fLyo(bU9EEheTaC1;#L77O{ERu-?HII+?MAGs3)MEB{>4o^27 zY6mlEL~bC0k@wGTKuY?Q<=zcQy3M_v%N1_kV(Q#|Q!P(h!qX6nS4y52HK1${iwr1> z?51B~j369Dh)>*=!=&r&-@LI3v^4O{#s zoMcUuO0yM9S9covCL5z{)t2A+kwb7uf2Wf-Skiz2T017PBF2RdDZqKglQ>;$+CRVU zJwxZTa35armB2MYn?S`YHW(s5(R+j(Pv-Yo(23=~AYu)&V%DBET&N_)Ef;+z1&Xjx zE`YTUMit5#0idVZf{<3hDWES&+`Tdrb!|P&Vbs#8Q%;(=_cd8@zOfW^NR;$*2v^+% z7KG@oTn6HUbiQACt_s(Hnw~Mi)69~V?0j=;w380Qau8C5+{HFFIgAzzymBQtfPI+5 zEv7m@1Tqw`4s5FoO9BH%+yEDF(v`$P65np~ghtS8ztMt(HGKJ}VbLz|cH#2EFi9b3 z`R^-L^WgP>F76JoBT;>${W##m0M`A)q*&B=oiYS8iFHvqFjBAwaJV$qkZ>IUJ@bw_ z6@P34E^4RL9bPZ25O@Q?J;w>v^@;`N5Z5k(`U439i&33A7^Sp;R3-7*iPsb?QPs^2 zOCLrYtdKulXGZNu^?&JvESvo%7HBAu%AnAA&D3G>CGx`Es7=St;eLGy!hLm}!Ch{rOI7tc9G(i^k^9GNW-Q-v_t@(>!JwG4ZbUc&)05a9A7EM9F7d;%M zuBJyphJsx0uDP+g*NWnK9oh30UEer2wkUZ|*8+u(YY6eyZz2g9yN)YZ(*%lTfOeN0 zhm!;#SzA|E5!vxEN|lp`g~f|Y4*vj?7Ly&nMj~sqbn4w^iuG<0F3YS}cq3Q=F7{l4 zDfhGfT(vIU%IoOA%y5`JA-b+yPN$sS2ADoGa1R~&WTh=}$y*os-T1_*I(GsCb>E?g zFB(yRsBfGmr#OAY53_|tRWTWOZ1XZ}qES*C^MO$K%}Do+H7t9L%03B#E*T7&u^~(! zy%CT@1sEWO&5SK_1X>ryNsL`jew&0N@#8)$#TOVX&Oq0U9euzzKr_>d6GX_PgWN)% z{AF~x1KY&Pq*;RP> z`os;~aeTOAO@;?>;Xq!;xx;?(#nG?$GbNQu3BQ>l;G2F-9e_Q2ZW`Xl78Nx7B?%-#lyT(qg&Ndu-pU5}i- z{9A-f(LR+X479X=4{4es(4u#3hHi<~FU?$_(NU@n4w7vrD=QtM8yp!lwMw4`o#z=yC&A z?ZgCgquGlp=*F5)civQrzh*E9D&ar? zO^>WyiUYqeECiM4^k51T!;A~z+^&2cApXok5<574lini0&1oC}w5zNs+Q{K>xBv{1 zqXgU>l>-;%2)QnLCqI}dU7ClLZNW_l6NAxVQhIRMi*r^fjF%OE?bZZ4iXA(pafDm4 z{{Sj)!;@+T?}O>esupZQ^l*KltBoVN*AM`Rx-dpaj3!Aft%d~hA^T=QYOX}x?E2nS zuebPODK0dB+l4@me~v&9q5+Vy2X6uC#Zc(Mh7?#XZQmPoFyeZ#NS4eQQ~@#ME(}iJ zLK6}Nm2j85EZENuEu}PKC_k445+LM)P0ZS{b@L!=*C-W0ie(E{U;-q~W`SQMY;xh@ zZfJAr%($Wy#3M&f?jnW8lL+I?t45dwgTns+n9Zd5n+oszF;I22{$v@X-}4t&(COUb zA-mp1D@Qn^zH(nj{+Acuea_wrdcrIGcg%n2jKV{KSUqbk7`b zJn`H3YYMKZn|)-3Z;aeV!1`o(MwpEf-r%5nxDXFK7;YA0KCeG4z*X}3!=Wqh3D9pM zd|duzUG!hWPD(3dPg9c>3QuE<0t2am8amu?88P#3AX-*ol*@eg5D5*K=!M&e-9|X7 z=wmP`a4jI@qo4TcnYWQ#@C^Yk+cCQ#k;0OIg}xKxjZQ)(DM z!(wACd4>%>a_uAujw@Iw!wD;MywSV=0LjLY#E}a;V%dpnV)@4DU|YG1oAfzCr0xO- zS+QQG@&I2L!s{;T4DJ+SR|9X0nq05u3ogA_;uO%I+#M=)G66mF6qa|^A$Lt{3cC68 zg@dpz2*X>(ZK0rNB=%rwF+edBBcdQqX4kxF98(B-W@v}^2mlG+%!}F2 z`+^@*YZYwqogz77oXU?Ma>s%>V9y33RKQShf_cg4YK}st=zFFvqMOQ+E(T$n zeTl!0DAUtdp3K)FE&kwVG2FJDrU7rXbHoA+dw~;~bQ5e@+1#+6(9(XgcH(1(BwZbLb^MOFKv9Pp3zhq^(_ty&|5ZFM#M$RZqarS2o{aA28Jp7XH$ zHRe=shb!h?YU#$fjF=Eu+zM_>r>6}Oo2RwKDAJB9IvGUMZf%8BjL`X+0f4EIy;lPi zv2=^E{J=MFae$l6T8F6dOsGXd833hg0!oy&^m(j+iaWR^-K%&|sENAYugpOz06*GWbSEJZ z!nh@^MA3d@-SLdo#ITQXB5cMKv{s@R+i}DYQog$ zEioNz-wj|G-I1Oa1ld#;c$XjQyu|}*QW}R$DrM)5?-bGxC`IxST%?_R1#pqB!h~|G zFj4HlDO7aoR09ARB^y*jz3w%8#(4kR|j(9;#MZ**JgFv4CG8GwYLh&1p5 z9AD-g`vDUmCACM>vgax8`+}uNelG3=rJwvBt{os#2!@XRFN{HNZeU=<@vk_=_JFt` zE|!NE`GR54jGQBejiszkkYj}&gpYA)WUHsWZA4jveoSKmgBB2J3MJO>2>;=B1FjcU;&88{T>l_do7()tfnPO#& z#xG4LaTVY@{f*Cw+yEP+cIy{A=l=jVo7YDpxZuI37dijbY z=I;_-s{a7E4E{fu?vxxGs%@qkW}|Au0H&H^`fjm2Us+Hu>bX#Y*SSUIOhv>00MUm= zVw`^%B+wTC>&uJc9-NWumB20_Q`>~bh=1}1pO+K9Cgw%-%7Z1%E+FOr%s?~0zw9s+ z#6jj@PMG0tMxHZj;HWzc*5xr799q*D9_~BlxV^_IH1mj)CsO|aaev$~va=?~-K}LK z5}ougrYb5HE*(?Li}9YZU9g7P*CxyoPF`F}8iu9_2Mj<-b6S95Yj|Fh8t7wy&0!}~ zH~wPiuW_CAnjS{3ID@7|M+XYigGbH4IFSywdx{u@zyob?x=%iHvx!sNQx6*4Z`K0- z<5El&0g+fxZOxM%1}8@hP{O1Gb;1GDO9gh+J0O5>@l?Mh0p{jrGKuNEh0RtlaJ>dc8m;;M|Jt`~n1wpoDGuECO zIJyvD7^hAIB7ZW3-!4r?d&EI$h$y_^jhy5F7{n40zT5>v-gq;kzM8bC{&w!w|7! z(+vZ%rZwkS{{WV_0-4k^fnv|-Rn3tO0Xa-cQaZ*kpqZ;WF`mj=SitQYWa7pig@CWn?#E6(m9C~q!HVm)$9u*o`^NHU@RToa&L z<3&o4HG%FIXq9gl9-bWpYNhg3kOx)JCilxw}SKcJhh9LJ^i{~#El)?1OM99l1 z+HOS^*SwgyOGIAvCInZ&623vx5kSa-jeJPQhASjkyX3~gYP7mE!%(2AG6PN|<}Khc z1aGg$6FHWi0L$;rAyM0@L*k?yYGrMIgFJi3X?DE=0SDi_uwLz%uC!GgQbkS>NTJeW z0t!+YDL6c0-NdLsT*wVHXL8vdI;UJj%oTiohG-4WjGX|MfQPsHnpDi!NN9XK=81Zm z#&W=fd?S-b6vfPt1A^Dn1VB620C3w7f}zWlQnI@Q*gsr>_N$HoAQ0#N;zQq!LCr~R z7weZO47l7Ww_Xc?CPhcr6AqcYK`<|zqoAk&bdI+NLeUQP7!Ttw_W-gY;Al3r&9D(8 z?yN#Q*{gog2Ei5lcWnrZjfeEim<)#z+TEcM_A%pWoae z8SjjxBS%NK%HSM!hv}RNQ$_=PSX@fCojxg3a|Zf1gcfJye71`(?XU#rzh@0 zNoI#{hY=V?Y{dy0;HK?E0LDFHKXFksXIgPb0^04j$2X1vqR%1= zmKq3oTz-%S;G5SLdsU7gZ4m-%Z!)FvTlw%pT~%|E~5?4YPAg_la+yY_V z1#r>kH#Y?f>mX(oO8Cdcq%h#_Y;3^^EK>z-&2e7hKAcN@n}_kIiE_Co`;Lv%>GMql4-=3L!=Q^>HfQAHjhUVPP-;jXeAJ zEdK!YipqE~2{h%!X)#Vb+0Dqi{^Lg7;qx{DPH!xI23I>MLn~VjT+|RZ=b9!Oxo^lm zaAAki2M=Zu;>l)g9XuS}DkA>?t}-5I-0S;;9lYg!+@77fa8PYAf-(7)5Y76@EJ7I5 zN@;;U9ANsuuL@;~7>kXefJ%#jz_U9Yex?S@m_d^O5H$Ub45)Gid*c#(uV9K{LSiNhX~0x48C5e7JGC#HiQvbp2()K8yP`x1t1$&$%Lu|>O2DtbVK-LlmIv1JQ;d) zm;sWR`QX|dz>P{4U8{-ZZ8^6*Q)_QbeQ*Tdh6)HH&HzaS33%0i+^7<=90P*5or&UO z+Iw811=P7Jc>r7BF8B=Qad0e^@vKU( zrBg+N?eU2~^sn|e040|5c%WNDPYxr;RE~)umH;jP0PE<%DP%ko4S7aMge4d%MxH&p zxWFr~Q|p63t+OtIp}|qe&6jx{VkYo6n_3u z1jdq&*E+yM{TR@=6#dPYr}}1@OlcupAUH@*U(7(G>mo498P%CU*WrK+p~)5?d{o6R zHwm;KQaBO5?-6-ex!Hzv<7F*c$jxgs0mf=s_&z_^C>P>M3|LMzx>S$w;-{DhT(1me&c@*AN<`qaB20dQk;e;5y^ww zwOj~#)xtMfaSpnRaX|HiK1_($_F|2LJ$!J7-6NWhW(bo+$Vk_`aEAWmP^*CpdCZtn zqQ0`0hz3#8Tu?9oDqMg&ijg^*;fy)g{{RC4S*#NsynmQ*X0NjN$B&)33hw6NLK)HY z?g2-(N-znjFblhLmB`>OqYSK8vjX3D2t)T35R_l0K`wZ6u^bf>aE<`5&kq;}1;|QI z!xZW6K4FeinK*dHjuahXlp#+{uS<4d==H#cH7%uik`}z=N{(z)JBC@jOOiCsPdk6i zi{w+3L*=+tPYzs2g5$k8L$=`J4c9V(%jRG!} ziQ$eY0owBb+O8Bw;Qs*JAgi;ZBnkohqZ90r&)jOX8lU5GD7OPktYRI(9a0$~e&ZGM z{$t&cWB#}&#mh@1-%8=uXkYUcN?%O7SU+3`;AhO!45x>UIa(9g!Gv^}jYOObE)t6V zvvf}^x$&NtCiusl=v+i~HIxdIXk@K#W-1pD@v{Wmx-uJV$=6!uDIU_d!;uAI^=@2T zB-5+_%@TibgY0nC3rt>9a|T;Cr^m*yJGJ~T-Z&wMU2#(rN;JkB>-C!#TYG7IoYS3u zQibx<8bU1|L?|~yfFp93P<%M9iAeC;E?HVze7!H`F3Jm}K>#p~_8}@MIJrli<)U~8 z+vgDQ41?|nU4a?`@!T;PT|m(d3=l!~iCQGL&PX7Bk-5VW_VA)w)b_g$#&^JofiOh*e}?5om?QD57C9a&s-8Gp^_{D$vF# z)n2#8vW48jCYTGZnTiu14!`#Z3IJ2=y2?9X8R6<=Qh3Q!KQdSVxU+91U0IPMNiibZ zhTY?s!xr0Ie8+AHG1cPh)(l!7E&vfw!C_T?xJ6Za;Ki_r;7L7PT7#3BU|7@odU7>0 zW$*l9oAc*90|uL}0nQrEyU`(Sp|-#)?&X7|}J1rrg;v4ItnE zK0M)89{C(mLtnXKoXZem@XDcPjENpanIPU-!E`=%a-)+7{f>90!9T+#*f!fK|t6P4gD7;~M!}f(>~x zsGVK@V94~rh3nn`Uvd8c+|+6#VDUWM1UxdLrf5AK<*-6bLFTY)IvK%>m86<7sk)gU z_#MV-c>e&78UT|iZ&~R2G1Yzf{{ZG~z=Hq^pOwS{h+Lq8j1|C*55^$X_kaP1v!Klb ztHu!L@xd4Fejx586Y^qB??WIV@BaWY1oN67Fq(!)n?n%rzT6Jj)k^Ey;R73T1rnz+ zf;0YeBs#+Q&7PDscTtGtS81p*1kjB*-N6W*m)I8X5Bv9-A?4?q4|xiXU7c z10b67fZyCYGTm^<^nc8)(4HJpS+lsxVzmrl+!`~+>7Vx`3RK^3+{cg#Tc86jjA=Gj$5nF~7K^AO-_@8IB@DT)o4VAJn-kDI(ggLiy+zzV^< zs7DyQWE02y!=-$<%^9l5xNjIw#%UPH=ZPi9@(wAhffD^DAcUGGA_`;eFevD^k{2p7 zR|EIaheB7@a#T0#Jcb(%8WdYVF#!d72AK*r_{D?SKhDj0$}r#VLJ6(+avDfNs>}cg zO*^G#9p0Pteqh!hhlII9dMLI305S}bJFuV*SGYVG7XZ*ab4%O@MN9;W9f|dimY{CS zBQZ}*O+puk-&h^<5Q{}UVQ&>gJvgPuyh3|gFg%_z2NxR3s%^NSR<2;!m#z{Vr4T%( z4`dBfPXNLk&p8HZA}ZaNG%PI+jUe!S;0xaXN7cM=OpFV#r(1?0nhR?iO!r6d>`XKuRYzXc#ZKfOz{?Iz zOa%wq<--EqZz-SzY)$5+0lz@N-t-J`WO}T19toh{Y_TmoiysNF5i%W9w$me(snJhQ zBF9-0R5T$Ruo#viHdHZ0kR={PkJAK-RumXC3-<-ltC*v2sFSf6*^>%dKdX+7mA+8O zhRSJ2B9~<1w7T0SoQK4O#axhNGgi>G#0r&H!W}ZM1MQ1kvfH95&-+E;&X)uo9E+zb~oP-yO8%)c6!_=kZs{C#z2K? zddUwY8Dh*7cgrRe2OsFhxWdgJqlUtjzrHeFC%hK#X@(9J74!3W1Amr##yFu8;!`#Q z0)RUh3M%P;xvrq6B|cxwMBkdOQY$Z~_bSV({ls2P{5B4-fifKY!c7%>_vwP@Q0{b1 znhM3kkR!Y6Q#a7rk8;kEGO>fnlB8MguIb;y+Q(+q_RF!V0(<>+A&BLILx;X;dF>zWi!VileK zb0m4XMV5ddrV#ZqsfBQWqTXaYCAfCY=ETjM_blEJgn&QXMRm7~>`x91Ht!MzKV~%+ zU?^|~KLaF37xj`IT&F@;9h1?N=+b1*m6nE`slgJW(&pf9}OLHc0KNUd}K%SSRIb?B8*@V!a%P5XDg^oMHXU>5w1jWIAjgD zkQ+ZSRA%_*Y?G!1ss7*(puy^{Ao(zWy%<=qQy_3gzb1BVj0_9cj06C_F@L`11rG7K zZK1cpiuD_#CPdE}>kTsL=1o&v&Kf(+6GxAEpy^wMT_*hG4><;I_Q@&UNY)_Pyg;bT zs1VN)z)dQ!#wZ3BvL+(~>l##y(3Po)p%vaNPd(S~oTIZVhBL-V(OKspUA{3wE0ICk z>rXtHWKE8_U{MuA_{)NbTnpoCy!JZHh4S@^RXt!a4{45azOWnQnWQ=SiIttkz=-Z~ z8@CC(YT#^tjwl2X{@f@~HGm8{4cD0?sN(!l2B8_{`Q( z;jE-5TuK0K@Mb*aux7mAXUmIr`B1(B-JLd416=qmBCT1SPhqR5z*NsHMioOz?g%@ zcHT5PN-`I8%cmB?xZkvzaD=K)mO^7i3V;aF!0z*PLUcZl%!B&0qO>$uoFEV`lB7QH zQ^3W2hl}SHdj}b84><>dl?@b<-~p&$M0pPwgeJN)H>zNeoD6!JIv9tx;zQ98FrG@> zBCKn3*V%{?)PI?_ZF~|J=d2JZtbbjana6#&ZNw3cxy*_|Og(cIRRy6u`TWjQDM9jF zK%ofW(pk-Ye&U+w6v0MV3TmaV+PxAyf zYDEkHUyzs}x+i!gG@@l1_OEN>@W?2AzemVoXiuZel4+r0`An!yCO_pe2V{B9Vj-6i z1yXIU+lhtHK=WKX=qDIDn8h*+8EhY~3FinDaRg=W1RoXsxOV=AIZearxfZ|7OB}f( z3$lNhKvVgEBP zq4T+jtELNIJRegOC(KqO5z2nB7vJ|Z)?6C{qFIRjDr@zaJIRPt!v6r%7nBu_QJUx{ ztWr4x{_izucdToEcaR1U08_bOl&)m-^KvjQ@Wc!KWDN3eD0x)D(7ol*K{>F4`EgRP z1BI*VnfNg(FnyaaH0Qic>jt?Zi4OTON}Y9(!}{Y5HIr8^#MZHqzuAmt<^+86iVa;M z>;1zCseyH)35l?z!_sd7(<~PsT-TLxp*5#)SWSDwK}foBLuelu3cr{EKerBr*SMHR zxEt`89!r8!croEz64AQzh+uf&b{JD+b%}GPwrRkw)9VFb@Gy(aa6+a88McD5;?k-A z0LYPz_NH2DXH2M`(70e1_GH;{G(2R4!PZ92F=!J<38~5?<-tMwifoqQptn5^S|nx; z+rHdjM-dO5#DViUiE0B!yqyjfIF@g+PZMLDwO@pI^UV8Pd&hk#|>?{JPn>cIEb?q`IEnYFiGK<7op(9 zz;xmR{urlDU@S|vi;I8>{{V3%GsA*|AKXB|P6KKqf|bfrx!e}sRhyjmj+fhluQ!T- z2h6S^Fs$9c9!x-eVBA*gYAsa_FEPTOf3(Ok51Te@Ddd~^jxf!6z%G*iql3DZaY zxQ#unF#gEi;1EAp0>U5ZmM_C@1vZLz2rK|jdz8zB#tKMGnG3$-5T0g9in?&@66e6? z8YjJc8IB4TVFd9QL?8jTw8xqtf5#X)iCouXa$=P~Sn+PKWFz_+AQ*gdb7d}BZCSs| zywgZYpgOZyG%*J^A{vtdQi$8eAPca{Gr;km^&?Vs2#WaS_=HiI{IYm;g2&D(X<$(xo9;-*M1~7n#WnEc^GXMo6go;yW zfOPg>7-K}HrZ#?fGMh)K9YQ}ln%vJI)KZ_^Z86w@@|O}@06-~Og=vbA=CU}Yg%#){ zF%lsVgSTK9B&wM%6N2WXLL{yd^~DDY5s*YoMWf!3UI5ArKoe+%xRkI+5@;9JQUpMEj&H^x27#AE z?$O3`q2ild z5C>W1vX9@>7YlaP{kq0_ui2BRl^Oac%%q{{&v}7=Crk^?A?l%s*5wVUuG4I{x4=BRlz#)$O?KD24DCAq#^B8l^}_+W9f2c?Jj-e5bbnF;mZ{}^;tnxo*uya#hvV@=913HUe%m8FJa8w@u0PX-sFh6fhF9S)4 zS>>!Dw#WWs8eZ!PUpD|0!bN!C;YCS>h45j=)z5L|!BF*p6w6H!!5JRExwJN%Wr4#E zcpcTnO%mah^x{IqBzk%|uPwZoG#>jgFee-eZ=6vRZ=Vhgw*~%23Wz2nE*S9xAjyc2 z3_*7GWRlhN837B7gNu&`v0H(fQGg6EMbR3-sKJI89l{sAA5$GJh<-yGtqti+NSQ%S z12zN@66Tw%P{isAJ@+9o0I&lrQ_2{_UB$q-n#e9{to4;=H>aSoF;l(-NbW&(k77UCPaVikP( zg!PWavBI$-vl_zjAKcSm+q;7)X0i^2u5>c_!lgBq#?Y!Cs5u8r*(ryEX62oJYoa@pTzLwNg3%8 zj%iZpM;pnNRv5}meLH=a5FdR10OL&AH)`SH2G;_6jov3B?+AZWDH@xwz*@T1!rcTu z^ALe`Od}an`H2Y2Lm8w67N0RahIz#BS7r?qZ_KGK%ajJTbj2aM{{Xnh1UzcuJc5}; zM!YhJT8A%u!x4i+#sUHI2gz`eGW*D^+YGwj@WpLZ`HqC{B2#Awi*AXPgCl`N@?dR7 z1}{$+{{S#el=qN|7!*|ViVBd9C?cNn)R`n6C4hnM3+x zSWfxC3Ktz!V89ev{x~SshT>uyxqB96M{zZ-{oSG#}($l=5=-rv(8 z2JxK(kj5C4uDl|*5m&$Y+oK^_H8CSLAAj_40Mvb%QG*A;vxLBI@gn=Y zF^4o`2!75Z7lK{Eq-nzkTPnop;05^hBHsSHOqHqxW)*1jk{LWBM zcv6gYCKiMO05o7>MS9OO&EJ3`VYPoBj&KLCz@ekMIKO`}L0{wd9cBBx-3)UpzgsFra644%FI6(y`F%xIANrbo_#c-|h$po+FSQc5MP`xlL#SY*UIJlHcgGXI5T#@Jq zr}6FyRwYMtF$ap@{ca6mLVE558-pMN)vU$G!M}-+3?+Bo6HE~y{m2W8rfJp^fpQ6w zF;4j4rFV(3v@RV=ux%3-7VF8w(SR=>ShB0^%PfDNas@Dr{ltdGLjWi*7XjF~!VaCm z2(IbnUvmkbm;1DR`y3+%oKe#MTIkY%tfWy{1 z75@M+)E)_ipYtF$V}YaE;MIl5U6p1Cg6V&bBAqP5nAr1@H2(l_3b=<8jvkLJAPEd> z%vwHXNHdBbJB9@{yrYnTjp)^DHjk^B*PG0=;z2NwPMPdP&V-n9$s8*#H6e|}$J2O) zs9ZtsKgm_@1Y&H+(9A%90gqdUpFo_xe0KoxuG6f5OV4Zj~>-ge| zHyS)}Uzv&|IDuA%H9UXaxuMnu@vhT3MI-*@_&RbZZh!G3RK`<~%^pqXAjC~@nCluZx@5``HqKts45 z9{ZQ58snU^{KV8SiKSdXP3Xi!N9ItUrc#erDI*zS>N#J@g`8#<*88}DBv%sQ{{T6G z%upK3O=>Ozm$-vhET_-&2*JB>1Fm;BqGdr6MT>1^*h}>9yOkrMzN-vbW zOsdZB7KahE^^oOv&J;a~hl4B}tN<7H5Cg(~Z#9>$xBw$faa3tK=(3Rf1x3$dW<(-aFG>4XZNG6C*7 z&3W_Nl~)k1C~qDVv8pli=PZ-0;E0!CKZaCjB_WJK;~T%JfsjqIZxqiEakR(SK2JbJjX^4FQTcn=P~@kjbU`;icZtp;0~6u}5N9M;LkxOtLm9_VQa zfR3Wfq>}&`XO-`XP}2Tn;Uh=4H%#AW)yo|Lo*N>11IFi;O|w2qw~&6WP^UPf%c5Rq!j1eCRfvn((C^K9EUFP17pSm)R-P7 zNFL6d)@&nKBce-*0X_HQ=K#F!uq$4dBkhZ$HVfCrY)O$};~juKVTw(d$J;i^{$&E5 z9NOI2hvdy80n}u%uJAI9?f^Z0WGvcePj@IVZj37sTfbN(d}Agnybz~riP6~S3Psd& zN!AQH!KR~3fuj`6X+YP`K>q;nq;-r=VHG*R%35oG=0j_2z*VTbn&9qYplI{O255Xt z5Ca0P#vUE}z?=vekdOZW8&1q7+U_iCdN2-+rZ`i$1u1bCvslTL<-qmp9650Um`cH( z$m9qig;2<+iOlKwn;8C#6i30E^wq(&YdixCXp%En+bnh^+kp@Foy0oEi;)(H;E*^P zO-V7GaN;X1ZDMl-(p*5I{4;X->5IM3xCB$~Kp8g?QxgTM{sDT?jSk1!zhy`QPx1#6AFBW4*}x>5vK8HR{$G| zz!Pi_Fl36Q@rtbh81UyP!;P5POwmn71ZDQ;u^L&mq9;pK)CA+Bt)1Lmbj6= z-u-Z3KA26ot*Bpkhu|6i0Lr$Ruzxz9I(@NjqO=4G!y! zWa)zk3jYAO@-g;ch~fZT2U6wZ=HeyLf9rt=yvc>mtImJ+#&X9yDM&F`{F-GBqNaaWuoDyw*Vt5O5`WD}n&e z1~Kbf``o1ySP4B0KqJ-+B7@&XB3VDp@_t}V`~hCj$779tZxt9o;fbIXt>8LlDS$_j zE)~25UY-O@7V1x_R7LE>c?Gyy6WGjPH(M2Ufgc6~*#?i{QwE;dL8D>r9&k;C6=x_5 zWybWd(d9S5Fl$Z|TPgyl?@1FO$wff~GA>9)>YB3<|yw zddVGl!fQvW<;ELp;1`rVVXaKpQB?2Y;~rS3?T|+J-dm+x9z!fC;LM^Z(&Ch9DbD2R ze6V|09)#2u2x2_`4izB!aWGMIG+fpit$^HnT!;3zCaIyyhOp%+ffVRCB zjk>rE@gwkeOz;5z0Jxyszw-vz7J0yJ;mhK%0GR5k=E8d;)8250A%5p~i9Mf+e=bUz;FTg;f8@b z7*NxWDLocu=(YYBv;^ZX0j}HtuZAr5Nr|P{W#7zGL$@}`S%vW*SRjpO93jedymyKS zGF@PKPRwwaE2g)!~dvl}BE&Y-!(&^0^s~(N z9~l6@d5@T4Mokl0S8g{tV4|1qY&fXp3F%B54gzE!-}|g~D((HqIFBzra3`+#%BVXU zx$U#TDsgp^Ao1>SJccT^;$B0mu)>f1!ll79 zxR@b6+Vn^Hc!)JKtdcZ9!-;A(tE;XnF3#6cbasVj$ z!(ZkCEvK)TWnRgwnhc$As@E;W7QAZ^O|ABF&(Y{wAhEEW;PB-&&eWs8JW$b{b>Vo!IP#rGBY zh%y57AK{D+_X>qHxQ3;mV$of=AOq_A%^uSd*CHzB;_Qs)G6vE74p7l{*X{t)L@=<7 zf!tuAsuLVM202%D{<%^NPyiY-Z=MXY2SYpp?dA}7CBp{x?ZzHkg$7`Q+PjMUUpcHa z+zid`^7U2ZUi+8^PeGDm)Mm{04!BjstAbkD3?H-eCE{XWSv>O^p~k|+RdgE5p{ygg z%`;c-1K=(I(HQ`@K&tS`FF}32941ge?u2`eibOF~Uea$a6#@zYdi$nC6)1aUXAuiD zfcwkDUEygJMiJ|vTALtgzHtC*31hZ`$)VLz4XE-yGoG|#z%GM^P}QRB6HB{+xl=BX z%7u;)Wh$G5e;+ww6D%$SPA(Kh3~Ezgd&)8+b-wJ5Kr~jt>{?gWR>-b9#QdAfgB`lr(%zqrSvqP!PvPq%&FM$MKAW3%^&vK1*gyk7 zo~<&IL08A*!W^_DwC8U{Xq%l*V~vYWb?Sb*t8SoLrOD=*>P-o2HOjaNc?;+GBV z1TR@;Dj<=cW>^RXzLOjW1^8DV=}sTwo^pvU*?oO(BogoQA5EzKvwpH#AlZXdzl_2P z3#h`$gb2`v@mvdpNc!|Sv|vyV1#HNah&;yWTuS7g zCvl}Hto?1lq-oO^vfINDzZ>wd?+&UU`3xbFY$Lhp&0c^E#DdUuQT=czj^g8lpWtPx zv}H0HGNu7MYY};;hFP&zzYHpqJUIcotM|=t!L5ax?iEw}fPi-Ng z0u@*|1Hu%|xRu_qG_88)up8I&1pwY_!OwWK4eJ9iDogn0p-rnF4~I0jyp=vo1oun{ zT@xTkdENm&xB@7iuq-vU{$nHclpuG^11sX|E!I~7%-B8r!^x_+QG5F15-vJ*x6h-W z+(I)zGpX-10^c6Jt^uq5y~T*Z8o2ySjeW(W;V)nZNzjs4f~3tuLlz9H{KUH;1ar}#cOS6`HzZp{)_x-@%weKE}L4ptaf6ZiyhuyUHHqPMNlTRjxrbrr{WXvZz0KFjtY4_sWYfXq!4iII;#bc&6<(Uflt1x^*U5ko zugsuDDW?P*h`2f)?3k-t>SRk+$H2=WJLd+7EqUr&gZdaEj<}l4i7OcGeH+I{oYHN> zvY+ln_bHG}xEvIxaw)7m;GDpOBSVwL6>Xj2V^>xcqi;cgHyc)od) z2hdDMvhqwtS6je9uTDw#1zzg^09-v5&?Aft{2gJLVe?XFf#iFfn3RS>11ccV{{YO^ zSMx5co^pi_Mr5=L&|%R70ud?2$b;tVU-DogX!~FL{{YKcW|(9Fh>BC%e@Ti8Uk*g6 z*5}L)9Zm)qTXvHzOLBy#GEfN171@{BixLFHwj6R@)sV+ph5rESa5lpS7yvH$Y~E-GYY@8e%qfnAFgO5ONWvIR|?=hNzBDldYe8o2)z2PAgj+Crhw-ZXE84x^r zPdHE-B8$;8)+nq}qDpHF7^r603_FaALI%N=2e&6^ckg1C5FMIe2O1dYLV-F({Du^XDHzx*;NXx! zRltydH0U(BYzXX0Gq?d2ZN^y;WuP=cFqkjK&HZwWZl4XSl@U}GG7*cTdyrCaHchql zH5_wwBz>}+#Y6af|B;0NR$pycp-gTQg^x}R%a`076n3Phw5lQNpG!nnT_xYDV z+WtI8+lUp{&W3F2s?R;7{J^r>od&Jk!V43>aiLCxPs4;JRY5NhEV19d!1NHFZL&TBWSZLC6;fr&Qrw*ac6 zR{%6<_@k^fx=in18H6y0-NK==nQ#zYY+vSTOgyQAG)tv~9hKfkoOy86qXYzoZv{wb z?@STYzOv97o0+&eOD=8T1#mG^;JP;Cd^a}%MU3th(}bSPhgWmQ%mE@&i{Rv)AAR0pAY{!T%e?T?9ovLQYpI1%;J}1+E0rNEvmg}i z>wv=Y%xNF1kO06C1}H%;06i_j$!vU=AQPp{{{W0+q293!(qbT?vl1F#%mOS=I0TEm za$#z*Ei}KGyk0)=*bdu+uomTqS*0Ot$Ev1MkY_|Ji<-~+ai?nGNz0na!(Yb{%Y(y` zHcyzu>K;5fDK5Lg4JdM))8`nU+=XpG;^neg5Q=m+x+ z4zL&ZCONwA>B^p)%~7)ltzb9Zsf2wao*5LiR|qx!V5rRl@0_Uo&4bSJJm-LMC!8AM zLkZlJqZnX=?dK^AfIbVC?}mED;Nm#c)+p)q{CAWPf0=&cghnLS-8h$U z%rP8gLt55ZIM+`&puaIbV=tlCJRzC|4q|v;%wG<;Al_F2xV=AEE)jVC;=wZ&{{RLx zGX7+W{F4M!dNN=gk;*nUAAEFiJ8*#ea`9%IhC35K@|n?Xr1+UiFxwC_1Rfg<6NG@{ z8nyeHjCIE0K_|82{A|F zK5-9TfAz%z@xUb*_W&Y^#}%XS<`F~di&qjkPFz(E6o2M6?N=mtTon@uC&oD;P;Vnk z-IoYqOG9#G=)_=P5T%(Q%qj9MaDe+y{W3re`;0*rw;SBY4Wk1WO5FJ7p+I~`1+q~arN|AWZNSJ1b|ac6tR$MA*los#7}zna-zWDg46A_J8l@#5Qkap0BnMCS!0G^yof^MuCFiEc_K}1UT z2?U^lr>8>TBn`wQ_>SUGyM(G>H`z61OMMLPCIX|vTnSykf!vsZZp1V#Hl|3T0MI}$ zzrEJDTPyD>stb{24-~=0nMy&t`Kf?9X%?}hGNjdd!%)gJUavgif!vbg$Z)Az7Hi3f z5UZe*>oEivqQ;m2q#t<bPqjXkPjw!)UBq@C#;2@ zg$cJ%xF`!o-2_}K`lD&!zf4v&Aa0fMF;q$ceq|HBu(S1q$wCV)^YUC$cJl@RlaDh& zM^nPML9o(zVOrFjT3NI5VLdD|8%D2@(uu8Fka}uS8PxmGZRLTjtvMXdfS`1{3`->;e#+cS<^i6*GZt_`X=WG-jZj(+B`2qy6I!R^BQGF?oBNz|DZmk?f^!crV^N#r*HPZ z*PF02P6-D)^7zVpzDi`Yj_YX!IGItTQzrD&ecQBM=1OEVl z{oqF|5op%)JOe1i#s|j|rt2MHm?r!&0O4W_fm-p2gE$xn#CKc-Mq`8J%es%OsdUOk z>BE7wTynRbGNH4~_~C>oI2od5fxW{d^5Q?sGT(7SNLUEl#tj>{5MF-FQ4aE2ybJ=H zm~Vp=7aR+IvY)ZQ0f=*#EGN$~M)CY^Ly3gqa4RIlGn&ef;k%1m!uabXgvO)|Lk#xr z9+_|=ubfXVvv>_Ci_D5$tY}HBd6-0@Ff`6(GSI|Q-v;R-l|N&!BJazHAb6E@Y;^>Fk)925ucEm$Y45@YD*q0`mG)XgiFD@Sa* z^A+2SGh;qQP;y}pFZ9k)xx53f+w&V?f|Z-W3zabu?nK)YAtGR+T^A5ruDkOniHW=N3D?uc z4Eqi+EQ^rzK3pIrCydoR8M&dS#xkPOg(+QPtLS5qJCX^Gw0ZHoG)>h0CNoCTNrI;U zf9?z-Yq;P_(Y#BS?{H8RYl|P6kMc7h8bX;WUKkp7&Q{APPG!y6YPqBu4k4rQz`?cN zGQn|A#;yQux5iiy>&%B0Ae6YKo?(G@9rWQEL4R80h)|6tGAqqzfll~nwyr1@ZK5;F zFhEK{vit^lcD+50AOPCFZ%L9<6nbduhh$Si8#aKrcX)|xC{OOD1rm)V4A#prXsGJw z@;*2a;zk@+v3+0_MYXUJKQUAu2s|7!{7W73M~uk!)KZ)x6!ChIL6)%gEpDA#>>NJcaNJ> ziGwD~h+6i=6}92L#94f5ywY|V3_v@!nX>_fXUIS3=H9c^@Okm#~StF zTwMVj@XtQxNyrk=sFM=b67L74#TgEc?KxY8d#-8qj}Rt-F`{=m$cAn~1b;eQbrQb3 zxB^gLC45g)7+P3^8Qe4+L;nDTbAM*PjFhC)taWR$znBq01^)nYw+KCtUl%V>DSwVZ z1$oa$tPSDcc?8#{M4RW#q%Ie1sDwAj;KIUa&Aa~qnLwITFR_tf1iklz8+A#LTP`?} zm%{!GN4-M;3;BJX@=ZG|*S8D_YMl3i8rT8PSdaruWmnQ3v54~NrrGBvKL}x1jjhg5 zmE5QyM&0SnWCs&`o^XUnsk1cVrfoIt#*?K3$a?<(F?0is3<--lscROknW-P^h^zSZ zLjzAc!~mZAjB2<6biwDd5>wro1pq%Ys4wTEH!Dm0IKystB7yyK3Aa5%Swhj=K{me6 zS*W9PFJ_LRfpjoHpt!LvDN#$~$*mroqs>mQ3^d-&is2d*86l)-=Q|B#&p}f*jrVRE z#DY-pxkJ_l$Y)0C<4xD8jc83`mhs00-^@jI<-`aREwE59d{l#?nVGcRx;}b)cLg16T`Hk1a$RqE+nc7YiOt$2LJ~K+; zcjN8)V4UWt6K1(_YaUVttjs?#)BF?#<5XmFapX@PO(;I-e zb#tVam=(?pCB=YSeBc4S<>YS_Rg!B-Rx z?lq$xxa%Enn6*ZiFJoczg4)0=6Yc?DpX-(uE)+@Q2UamOV4?ou2xRk`Nhh}etUbN@ za2s>G#j=m7fSHhlP^!&lkT&CaXv}LTJ>m`KAT!H&B!9ylh?OVzBMk?jKO^PF=Hs&% z{W*oH^NDKs47oU5P^D>~Sm+3*JZXXlX?Fh155+q%8CJS6HIsDyX25cs51g4VXcqi# zBoe`6fj4;-I;)2#NsG1G;YHu(MAx!s3Xm~$#3XK$6-Sji;P>I4`D8G`BTP)--&R2|mLX&*B(w3c(Ec=F;lqk~HFwq24ERZN)>x{{Y;k zQj2gSy_kmln#e*qeCUDzIRhnHJ~6-mhQthe|j!Me$Y zi*K~?iWv-`nh>YXHBvJTgV=p|#zkJENu-N`YXq{_iQ^q+V1Gq_a7?VAX~GRK{f;P} z97e7p1azmv7(N80Lri8tw8zOaKWDUcGDfC1b`g$;{Y`7 zn5qC2`m*O>kt5#PXCZataG{xsk;BP7;!yN=2S_Pkmr`KTfZ48NJC;}Jg{pDbzi|_z zS1wBq?XTsmkl2emN+v<>!G3r}EXIGbR9 zp7PRfkIaES)AZ?{L^dBmnhxnNBrqu&d6@$!j<_IM+Z+TL9;JKM4qe-)9_G(n^vs}(zT!i)p#K0|l;lZI z%q3t2N9IxuuClm?BEqM&pJrKfh@YgxD-8L(N^iyhAq6RbT)dcR-8e$wlbD7cR=$j< zi*7QAn9wpN{{S$yU1QTQXtWz#7K&@F!lhYqs%6Ub9S0Pi@*1q`fQ+gKBn#Fokuyo- zE9J`u1EZE=!9$Lo;D(L)zz|ozh5}6!o}3GZaoSx5`b z<$&SlFIS(IVsnA#0@3xG$Cv`$j0D~YFYAgjV7Ncv#1Qk&QBZX;Q_pMX95TSbkU$P( z2(JGC%%Ti6NALdt61j=VKlg*|C#*hD%pE)#j4J8p_W}o;-|hkJ&SMLP=L?m)Fagry zXd#6S9pZ^yV8n!#`lC!Bmj9>jJz_R)+;H&10$v$Kyqy6ZMgQ@MBkl-g0qkxMC|U%S?c4?Bqc;JkDFJF}iI@j3eU?6W%*VCzU-p zaBaqpun1yT8D@qrS(7y!I3Dr@0>Z!|VsnW?sF`+JBpm{Kct&4ZX|yl)gP>wp$28U+&>Qf8C$ zKV0mO^}Ha32+SZB>xBdFm{{W+SbMIL)2>7jQ8A#nP#eOTJUFZB8^Ia1S&S6MWn_89 z28pb!9k^TeEBP~F>UqEw3!K?5v53}8O8XwM2xTxJbTz?I4B8x8^LU|rE)gA=94f>< zPgw;t%YYPk#6>i~I1#P_M8Ff?CX85l*@C68^hYh^vVijK{{RMLs8FlhcpsQxGJ^Y7 zwqR@IL(I6+5&=hP+&M&~MPfw`3?k@_lp%m^jNUL%D=I9ru>Sx{3tHhrS}PimpP06k zlh|~Q5FyZu9(zYEoKs^o4fH%>Lyw_u{{Sb94%j`<#K5N0v~d{{f+|mA%v=!^ovB^F z3ETyZ1q~HwkUZhD4a(((g^IW%9ST@Dg@ZPIDTq*2E!b!u%v{w_0@@0J>mC3xK`zZb z;#f!Nb=a2@;>1h4so;5nme0&jw=}WT!j#92CQnu~HijxxMAR|BZY({yB8YT;;Da5J z-7lzML|D{%aA(9#`*I=((DnojPi4FBx#lN>5!lm7kePOtwBQ2GwNtwQ?rs$c?E5@- zANeP2^_nIiAI1kl3=XTo&VwmWB!T8kZD9h_zSYO#f7hLNgj7d(vc@dzM1xG&N+={e zkuu#HsCp*@9pJ+N2ZorW62c#6jSm*bC=1B?UTY_Ct7O@N6Oefj<#Ld!vnX!*j$p{D z0pz((khNqOv^uDRpz(0%s!!iQ;ERJn1x;l4qeNAoOO}gY0HfS-pfy?waXPq`*Ep0*#6P~b5 zl)irJ503!A)UWxLY7?5iF`t?j3@@R@ix;;D$2jD&Dr*a3!#1YLlpJI|nfv*HMPVcP zmpr%3AeM%vK&q{;rzLr{-<(ZgrYZ^Fm~zdE*&Jw&0O?El!3a(YwfBMiCH8>2qyqn-SaI zacR3VnkDh$Sd|)G*9Yd2sgh_$a~XhYx6XS)f9r_}8Zpq>F-l$^{leq(1{k5I3ZS}h zU^@4J34)x!Qxa0#Ph;fDBl^Hxz}6u(s?#2%efYt?q2n~2(;)@-m0<=L2>|~9+_D|t z8L=Bv{25WM{CSwEIXw3wc;JER+-R|H;l_Y9RqG}FNM%Wpv`x*`5eh z-cmo@3qu|7kodVLpG9*}-EjHnRl-{uaZx_uuJBfdq;WzUe858a7dz=5+`+s${{Waa zQ=AAYPXx-s<0Kp!l4hwjdoiUB^)s_5827%h)eKG7US_VwQQ76h`oH*M+e~Nw04~|# zUEGUljrY7}!HgkWKlc!in3Q2J=5`a-^I&G+jL`C#eQ-eceB${`4P$@9f+8Kui^ie3 zfQIvg;9u@Kjj`G`&ETePIz3ymjcvz8#P5EwAiLGTQ|AC95@P7Wvrm0+ zfeYJmF54_JAqn|~$T!@R7j@32%nry531rp{u#oNmx zRgK@~2(9^%rT+j}61Rg~Xp@ie#rYhc$D9BHw&5E6%>!W!a7U^b>S$VF1vt1R#t;5t z0|ijNvV;lf7w&t8UA~_g$>Lj(St;We2FElG*|2RQz-t${2}U)498ZSsLwElGxWxwG zH3^O$LGE^33a1$05KM(8&p0OCeR2R@TruoianfK=*JcslFj24hmM3ss%1fq8PxXQ$ zO4;KfhLaR@d=_A5K?wAjA*fqnk&g#3H0|>Sv{>uY+;AY3DSwv-)5aFWZ;!ZQ>q7-x zQjPd9qMN`Lq1 z2ZewEx8dU`Vv)2#uzxj+Xi@@0VDfrk&?*WXs8Se6$-weK?g)g_7>m~dhLXWSlgK6o zh-Y*I+Gm62G)~1_5(a=|WE(1DKHODNATLjN=x*RIvR>h-L?TH&kY$}Dg`FL6j9eqg|byD@qtR|Rzz(XdWTtQGJ)nuv$LYqGtFqqx=t3;Ev>x}6$tyivOJrsbC z_tq^&oX|W$^^GVcT{N7A9Rqaw!z2f^A|UExHM-e27d(_m-~lp)dg+rbp@Xx*364Og z1Dy+Iutb3GaStX9)f)N8s5XznQ!8in{njtA&Mj%j!tT5G-VgFoQ=W1*Jgmhiiitm% z(0WN|4~=@x1vXFDG-8mUe82KIr4dH{T$*Z`Cy%d2l2=@ zP&jrIfa~E=7b$s;vR>5ar!Nq_kr30cw|H2+_74kvRn*Ng;48q0{JlAoyq%VvY@6* zOdwr2&rN2M58vy83OCLfhRd@Or#)e4IJ*AKbglf&=V)^{iJ}G|DAfL86xnvqR^>y$ zYXbS#{{Y;8U17j~zTBWcmjI`Sh7F$IICW|AnC&zT#OmsB&4D^*gu#z4xQW7l?(hIW zrW77WDZS@O+!AmEL%A)naUyKA$7!eP9WiTpr z<2Jco477FwtOy|pLo_3n5yqBZIjn8q-(SQxqa@V$eB``WGFeOfW=wZn2d|kmXV>N`7v@sqI{VW%hr8}7 zi4r%*b8G7o|#_NOtfEU?Ah!zR&k(SzH-f6NDO$$?4Sz;I?x_vg5WaD_9FsWZwL zX);em{!D{yEbLp@OJueC*oohd>!*crVR<;v%YL>bYk|me36UQE z00s>8O1ziH2L!A)83e&rs6f%xF@^aQSwQx<BHjiFg z9xuNbjBc?Yl}Z>z2NsaP@IxZ<-$S4mHYtT{0w>9VJ9kjFQ(R(K0u!)Q4}r{VlFAG8 zhF=3&sHxe!4>-7~NV*5>ic5a9+K(^Hp=wxh0U?Wq=qfR4p*CP`0_{gYRpMsMnn;t< zII1l2Ii?Cb@X}=<(g`g)!l9u&Br%~w)5uGV1pp$w9f^ZKJC*=MaWiEhF_AV$&MF;f z-S`Z42He?I^_nUQy1+`js)*d<5NYGJT1Ltkm#J~Yc&IcqL&_034n<%IL=7VJ}M3A!y zsk_Ue=y6)9QoS>FBfA8dCqwz1V);MjKukHHkO#~uMFyM)=*#!6Am~UF6SJWl5(wWf zocFX6VLN0$3jSkL!+Vdcj7sXi42)=d--i$)7Qd;DBl|r@Qo@M-4Z#P`dJX)!vg(+% z9nQF%3FCMGt;Yd{!**Yp5<;XL72RPj9+5*VLcPyZ9TEust>5Mhx^c})^~_7G0F}~WwU1w1kFfg6 z9#6i^Cw_qEr{)LDv8VIKFr${^DR$Js4|&z3jAoZftY8ZR6crCJ%pG-b!+7ONwQ|Us z2Ptxb%7336pjE-=)N=5%esBQ2e83dPP>&sP0FznfDqO1HyvsCQaWkjyoZYV8;fky8 z5D}Wlgcs`+NM0W^LC%e3>sTsc;e2Fx;)OL-!xg6$j9z87=BF!5cJmj(a!0)!!2Rwe zpyrD?n$1z01p|a~^q?%>S69ejLePFuCN!u<)u4UEbcaON3h~C3pT0?mEHKQ{C|9{u zHW^G|He>?Mc*VDWa&Qw1^e!PrZP|e|ahE$OvC0<)5d!2;+8F>00+#_raBpH~MP^4^ z#EzK^q86!|hT)Ys(>E%6o%}E$uk#qp6KRBzV>~?JaQ5O1^K(Ou8~*@IVEuD@XBQhJ z_x}Kx0QqYH4Up-HH1p0Vh3s(kq(d9VVL>OUjC6T%2=v{`*aN&`rToa8GpYs(G_~=U z8p}POm{5<5L_#r{0f%4)Y8QE>;pNKZ1)uH}S_H(1N0~r|U5|_g-Arsf_%oZHw=B2Q zD@4b?%+RF$$49KB3?M-;38Q|zrU(Viez6fv22^+tIk0HJ1hbefUPkuh0N6PxwOfY> zE9X4w%@?ZuaYIe7aT&PkrFVxr;K4FGJN#x;1#Uk%kd%#b%GMgtCUv0e&98s<(aXCOY=Hj z%(U}+jFtzXF>RN|JS7e95Tj_t#~2mY96+a|1Qmpig~&3IU*8FyaAjOzJh8|ILx1~` zPKTw2cOoKTn0bH=8~5`i+4(RJ6i*L0Xr?L0^|?=!=60+%%mA$g^DscVtK6sw4{<7A z+_hlrGC1e@=T8|@zFu>Pwd*xotaNe_^^m*Kj3LL)1%mnqS>rWA66K1x_`yxeLBWb$ z?<9cef82A?sgYIdW+H^ujszF5Xnf`im;(nI1d#5gFp~q26013iZ(S)~*G!0S8tegT zVnTcYE+7Xa{hAlt<|RSJ+d38D$4!L71@JSJ`!%#{DWFQ70R#4THYg#wFBNbMEsKV% zS2Rfu%62cTOEdxqO22{36g~2e5*f%+`woxOF1SqsfwvFHLj-&fmSdU*IY8QJFbpz@ z0tHpeLVPrA7OLP2CxI_)Fcgu!{*JG?ju$kzhQK3Z1d3Aw)+P@kZvs(v9$q@*qX-L3 zIgWzBjs*zQu$fcA-x*52sCW~}+WXL5Yq3_!%N&f+tP*#IRH_&ck>CU`!6wreS=v> zcmxW=6KCjzr{Ul}apaVvn9(s~g?2c}q}Dh-NQeAz2-ByQqLOgNi*45`749NLl_^od z9B)&f`<4cZK{k8BNlClBNQHy91v{mDhY|?31bT520DsWLq@mFj;obYh96|2|C3k?T zK|womnILf;@HtGiHic)y0kH2!$>SH$ha5N%Vn3RuAt}NbgY8^MmZUx_f0&8pput>4 zD7GOm0X-uyMl_rIlogcRI@|om)FIc=)*xPlJlv2X>vh_0eYrlX#|yz>g9-gIMYj8e zs5WmQs{a7W=FbC>Q}vJ3cb$utE4=amxX!4YMH_)IEhHvWso?(rw;r*#@&*Fq#&#|5 zas)6no=u^y3!?Dc+#e1Zo+s-A6r*96Jr(b9644JhxJ|gv-AsT`(V79zcr^tAOk^Sm z=v*=L1XE~p1=Y1)Omls5AcqW~6xD76)cwhdEpvd{w8u<9;FqJKYeAQrohwu!&@BdtTuDFQw5l84iRtt7z!s$E<~oaPi$ zhCmzV!H|K0z({0>ut{ah@r@44;}iow+yTC@AcIChpcoIjIOvYMe=a@XCXM6|WSO=L z+ln|S^?YIn(8M8GOfZY%6Q=ZLt7at|v7e@PP1ZF5TjwgCvA@F=N#J}rM1CoPsxr9L z>~f>Hxc>kQfsxa=AQv@jT~{CwrAz<=?3ucbZ(TA%ebyBIYz|W-biT1TF;vBB;j;)o z-0J&=CfZs!+1!KoxFUf^Sad4};LyW)hKNHTB-;}L1R=~F>&8XlXPnhSPZ`&83a&4} zHcwb-^nd$=ZW${8^Mf>v;!_CaWX55m!GY8}nv68_j)~x5*zrWgAV_0V4q@mOq-l0*V*cob1FN zr1A6~az!RN0%8x$GzIBc@b*1oTAa`{_S|=L%Bgk-4$J{W@c#MAW6@L!Cxag}0*jMm z5$+D>g!tvaV|Kw9au3`N`$c1P1M^DT8ewVa0zp!GWLsJy{{S>N8AY45st<$46&GdW zP7$`?lK2nu+@SKa6%_C=0#A4?lEr)N$w12-XjY1g)==>=s*oSsyxB*t zFmxq@l8H~9$X4?`RVsd+HfY;q1gJAfDpy~QdW zF1=;cWeu3P7B~iU*}cFEJohL%OaKFHAbCyTD>Y!;2@vZN7#aBHfF+x)52pa&+{08NSJ_(l$l&=srY1Db$n$h^Y;)< z);3`G9O^R?)tf{p`o88^m7LCp9`Y5lqTnDdZjaa3H7WXF00R?1oH8nujx8Y>tc%_- zAnS^eT6CEL;{`w;E(kKA4QZ$RjtEuMOrTA`{uw5uCp~2rPT&%okij~?xC2BS5+i)R z`j}e>t}39{a$h!SH1-=1RCB2bcrQ-a_ofj__!S zqk(*mLX6~KAC5f)2a^g*bRXs@!Z^y^F-TRxzh+Q$=zp#~_e>Gs4CODln%q0X3e9@rRSSq2HR}P`y~*S8 zoCJM_YYBd0Oq!d@I2RpWHO#WM;#tb?e)}*WaY+Y8V^P8^T*I)5+$DKs=`)mF%o9Gm zbLLj-f~yf#*ttY9Jj%1yIuf1tJeQThC|M=U(DrRMkj;W0CftU*(M(?f*AC{)oZv#> zD;_*#iYosA?tSwdn7sx%;1mp?)65r@hnyd-@*_5_;Tu{QFnd`rfUQ(5yf6$FZ`b*j z(}BYXNR#~;tOMP}G1+5+rBLg~C@{?lBt9>pdI*65=7DmZ0^C4XC$G znIfINV?4{#DzLi(36@2DOzn2&EW$g+3N+K!=Bwl85=DuH2HPtj)p9UP^B@5ZU2keX ztcW^``Ho61{{Z&|1Z*%^c3dNcLCiPYY%^*UxfBpsfI+E?zPl~}S{D8fZa^VZ*u&eD z$W74l-`k8?X0Ew~JsVQ_!D;WrmMadDioR^uor9fV%8C z+}XB>*kD|84nYnoZ_tl3m*ifQIAV&VQ~;0%wYZ7BcZsSmO=8G%K+*^U+k)d)qA)dz z2{yH)tAb_-!V!1#f=mQ$XSv}rF{lLyK)##a1Z9V98hQop6dYA({{W~@8M(}mK!|@y}$}A;Ni{qQ68UWIS{P|F2FcAhDHFWpkj;^0B}d4;e6si zyy9;Q(+7o*-tZdHDJ##(;DH0A~{B1^2&hV>tK#?-9- z>lH#aLn#B32Awf(!#GaGNm62PUHQ$O(7lyt?>RylNu$-;WZ`#c(hr;vo9_UEUGI1e z)x$va^9P9=F+g38+pEOJyp#GEm=GvxjY9<0r=j|X=158j{XIB~Ll^LFgAEbZ66#}c zl()qxGeoOQ14AcVxoE%^A~3K%nMy} zgt%xi69)+B+)SsIm;idP$tcv^^n1(2M(Hui%n8=@*O)%)V#(0%`sN0D%-r2z#6lvy zM>aGtswu{N#4IyL@CNNSo+r1QLXE{n(#%#8cw7rdhgd-t?Mx03ul`{n^4o|UByiMI zLjM2);n>6d82mV`hogjeOlVj`3X{7iGKoO(i8S5ZxhC_AR|=N_Bj*vjT*kvNDo-v_ zu$L+<#iDp}Z-)zVqaN ztYeTQE+jrj1jNNp+z7{9KBKO^%Q95Od5J|MD7YZe%)S?ET-0$QEXC=sJmF9$%x|Or z010b~Htx)cu=e1ijq?x$P|cp)#Q~+rjh*wJ4As0x4z=S|&C`#(Woh*NaiN$dE?XNh zOIyK1R}>=}Gjo)qkO=YCZgc~NYY7H8noXv^4dm_CyNCch`n|bQ#~7!Fyr?qQUfCP%l9vR~xNb4{J(*%U1 zt;OjaDi!K{E=?&5m12n}DS)HJ9Ez^4;*TCIFuO7RC#_*FjXB`f)zlscXK!ztEWRc% zG9w?oz{T5FT$%{NzQp-}WK>@z%J>nVSfOjvSPz&AnywTg2aaxZS)`oGK(9y<<#8;n zKezYRa8qxOS)d&pI3VtfDIG+(>Q!zX1Qk{ZMdyrE3Bkgm20(|yiU~i=CV-;iC0O2K zxrc#_0)N;#6CdD}16~Xs&5win7#4M&BY@zM-^J>Dj84*xK0ZA zak!HFz@l|Oe6x$SEC%1+0;2MkEicH}Y`g`bOoD?CxE@eBys#Aa+ZRzMuunXmv0 z`j|cfS!(*s6uttI+>)O`*Oh@%oJfXUkKthnmvz+tXcLOb7j#U0+oA|L--RB z0ygtlCX%$jGhXdUj|K!(Qw2Eqx>+MU?MGi`oy|LM^7FmPwI zzyo&>-$x#1jF%>#+%{^ILFs@c0oPxq@E1MdD--Vlu9|bqHOxj%TqRbElJ9ckuoh3Q z5PW}_LV+#gvPeYtF*xk3qf?FpA$+kA-Dcb{-OKCwiu(@!cPc4DtP;3#Pb&QPaZ1a+ ztMcM?HtR^`w{EwPWSY(N8kU9*fW|0dI=IEyZB)QrMNU)1#-_0-^NyH1t&-s9SKqAK z`!Ob*bn>3eQ5wA&-^)x?yv)$vgRJToc!Rrt_Y}Fn25KE#NIn3W;|IK{v5F)l$tXj2 ziK4n)V=1LxWssPE+!5n_$4cwh;fH`3lxIM1-T-dX5PUS|E^J~$_ZyLo@(wRY%u{1Hn=x!1hB;dAZfFvZ4pi<2Uu+h`ySVhh06<^r;_u#8 zgLs=qoJt-thhdCQcOC*qrUwO;sfp_s?&IZ}E9?ZF9m}2s>WQlwi^Ad{Si6_jH1%USx1wdsL zJkJ8dCW^k;Q65paTQkNDH8jB+9y^E`k3*JBAku%yJQ&+vZMf@ZvNeBZ6M5DjNQS~z z_!k|mqy0;~k~F-;QPyk4khNYFXCXvrw{WE9xrjD zeiWVzbOaLm>syCTVYiUPsv${+;M|Mcl6i8&r&aiS9Cvts+(@m$YW6Q&CJ0QT#vd>c z+yqfEnWh=-Tna-+oCij8Tuwq<_yz&6r@54SkVMZ8iT>o3Tros;zHTUJ5B<171yJTv zUh%IS-f9s%-19>M(lk@R>SGZb1;YV(--$4ab7m|MhOm~6G6xxigKVQsV@TE*gB#Vz zZxHrk9_`aU;Mt3|A_6F6Hm^IL0Da*rJQ#$Ek;p>(xDloS$A@uCx;Om56kZb#0O&C% z2+UaPUh|IL@hTb~a^qv7#SzHi`FU9lwC}}~I+>|NLu8)DcM{9#hE_(xX2lTC{d5Na zh(asF^8zPGp@}sl=#o`^c)`)35QRKXqc#L$fxiPiXJARgMu17nyi~%zR!60Uz=+~& zS*n@ucvE3~As9>PzyN~6Vi4|j8DTW?nDIWJju;DCjn!8X6QZ*c3X7m`5R4@?{K7=Y6Wz{(uU8=e*Gvf- zwZg4*#{o{v;4CZSDr?uQIUxxS__#qhI0B0qaBMX55gs5(-{Fo6v!8hunpYqaxMstmq#$u1B9E9?7&#x z?kEk}gnZ>`{J;kw2$*i;wU%H4%aD(+IIE&x7(qHrRij_&g6JD%TgS!;b=#fEtB3+F zcn!A)Vd!K3011#}dozRnV9|;1G;GJJu)-Y4yi7T%c9BBRyD7K>p!{o~&WXd8+ zn#5OFQlA)7f4p3RX!n8BA2FCo4?E&}e=${Rhxjq*CI%BE!}k;={X$?XuZ%iZrV?;- z1qCS0%e>Wm9x=Vzr{VhHTz4bol*YYWP(Cpp3TcHgHs(UBj^wKDG0h>;vl7-I(-(|$ z!S624c*sE(ddvR+p@KsVdATvDaV3O-?8UWV7sDxvz^W?av=QB3+|qUmyHerv6S>@@ zFYAFt*x^~Bz(>F4Q=xHPX77^X$4RsIE{2bASCFZ2pi7eLznQtOCC3qbIJ{65#jCKc zBvAo>@tGD=jQ#tXBkeHz{EgEH9^aFuZtiYk#3;M>Ge+(6*D;6Ti4OzD+T_)DT1`-5r16yj7C^l z`sT0~SN;q_PcQqIQ@2_ng2DYkz(67r;8pOsyau;$G{;$R3HBEaN4HptoEeCd&v9o9 zs5)SdumEEL==#m=+_|MN0tTvN0dtDI#u_0f=IfgPJ00O57MkUbph3lW70(6(1ClIkah7_C`9NmKG|+DlVw3-nA1UG)iKXp=?|bJcrm`x zffQKP@w`{m#9jnUd!iMsqC|S(;efRbXc7D~VjZQEqaLgZBUwUfy`RiO;EA17 zCqvFabZ*9s1JQ(JQGq9LV8b>tP8J28d@``6vZ0WGiIlr9E||8sN#6%=i~P<6s@|n; zC6y{E9?%~~S+FDY%mFqV^2d$BRZ)7O^Nd-@WAEM&gJPr&qc8yNqKr{qT=3@Px?mdj z4_L5dFFv0iuZ-CkD;No2i-#&{FU5Io1PLvF^99#p^HXU4VFC*{dqx<50^r||oGqR> z=D4f{OP(g6boMg_D3BfbuQ^=~&7(00qYejt>|N9S$AXnj#j_l>4PjP52gyeeFN%SK zR0T7N;yeVTko9t!U@o&}1Znu@hhjj(f}AfTG6Te^l>`A$(M;N?Yjs;>%CDv!rz+$` zTS}iC+{#B1mAhj+Ujgd@SqK{c0FxS;$`OLlz&7)U_5~ZNN-%8xUpyHQ>VghOl7pe)YJ6r+Oh=q!-fU zUm7?WUW%3)e8Z6*19tC?Bt< zEFU4nw?{l;m53SZ<}`Fw zBNHk5Jmn1;7*>(OO<#M$4y8AQV>i8*xYU{kjHxRjfo$8i`Ed>r*^D$Hl2GWjVi2aD zyzh4kR8aRn<^+$rlw37e`dGnPPUgF6u8hN?sUFB2T`OJ~5e&l5KurL@=A6clc zyND1OCTM}Nz%HqHWrsxzFosrQ>7qVx(5hSg$QVPsBB`_1HHgzUG8fNjjBlI>&bq>* zUK2cnLVC-J1>+?}E329js2J$@(fr+ zGkC@=R^&w-W(o#+#25>o(9U zsJR*YIf>%}O_EdTD53bKK*-OzYC2PxGln6~J34gaic6;k#B|6>%rx-i{$Tg(-U3esOwix~Wq;vY zj|OpB%qIzDxUPm&Al@KLtTXk~15M>{ERMZm!kEh2iA<8<0Hqu?PZ7q^T0wnaQs5Aw zn!gO@$3vFncw=i{%u)G>Lcllp=aXi1Vx~(AUbl@4Ka4oL537ulNSeebp%uhkOVQp_ z0T7t>1{W6%dC7~R$rrHy0K*$Ivq8gy*@&i#2i6G`(+E%@&zymcp})B%cO3JGDCleV za}v7%GpH7BVFM%mGRcrr5GWR@-x- zL)=1wB|XpFf;~)Bu~V11xJhyA3`j2hVI?)BeV7B-oW!86%yv!Jo`(qw$>R%Uu*wAX zJh+B=-T}R?Km8e?jJK^^04x2)zGf;zw=Df1&1TAt3=-PY#s#54<4zEs9$b@cxT=S_ z`t$tv(3w82%96S#F z$ct69%CI~xJOI%fI4Yn=<-eHt@L0ZFnQ_@7F@$IfN}sMg%7sKFvVF#Qr(eETHco6; zRg@+x+54_KqP55mMA)kPBDN zKuW2Tz{z8di6F$xdVbC2U|)V@!70;_1?}h#Q{D}+k~+ip1fDV6q@J7&AWQvl zV|op^A!h*oVJZ#Hvcsgk*D>`a~_D)5+&RdNKx zGkhaf{Kzhgd7fj>ml3e%Pi{=xZoD3;gkKp0w^^CeeGW|a-s zEH_eTP-?dt1gG99II|+Du>SzN&PemlR0|O{%3{|m>j%WzYXb;x-tG`hiA(?x8}pe? z1K41UhLgCuJ-Gu6u=^Jgw~zfXBvib}Ej#BXA!D+6a$zdGWdcVCHyV}4!72Qm#n28T zSnctHQ*K;r0A#oXKVFQqGv^$Ws1M#4iUl0HotSrR7nuf&`GF6xc3_xNZ*yUR(rDvJ zet(8H179&{S5FzR<6OOXv2g^wRRX%R{{VmjzE&+MIt*5hg}~d-jE^wcjSys{6lO*g zU3kG7nNmgoUq&DfpZkm{W~+$djR!#Sn+sw80GS6+GwO0fL@Z2G^sFdX6IBJkNNfi^ zifbG&IPbU+28NcHC=#KL0B-jZ{Qm$p;uGlY{#ObdVc}p7;Dfd9ZXupwIsRe$&5`|a zfnNo3#b0<4G7$adY@Q8cV%!#~=LP0ql)`z>F?obck6Em{9 z-Jtq2>#-8 zuURGmcTVGk_1;;4AxP%3dgdfU=*AhZ7-?~7JsW`-A{V%U*1zs3s}pgAXWsZI_3d8n1mh81b8#XMip?tua6l-{{VTW z@|QI(Ft02e+#WTNg?;Y`BFr^slMH?)&zQ(#6gXb{GSf|K0HSq6C=xJ~uMRG@ONwLD zkqqu_Y=9#^;31Jr1Ff(5fP&Sa!JxFh`ees6@wQwrXgIz5!r*TL+3EcjwZ6E1{@riL4wq&U8>5PNMm`)k4*)qPcO*gJGib8nBG%PcC zn%*JMj+>DR-4bLJo(!gl!G~nr4km&>Hp0 zhXAm@4|CY%8AgQ!3mb5hGLej3nD`g63Fx-fa)bctfp{Qc5-pmI15oA5pzbb)FV-l$ z_=D*q(er@@2qMD=3Cu)SNVS71^ivWH0+u(T<7Vdk8DvTsYO$}kj1$vplJuHWStXyL z462k23F)UurH>d6elF_8V!a$iD4+OR8RFUW%0XrY-i1lU~Vu5h+_&)a#V^l<7z@98zJMemFJ`BsIB5Sj021>ONdYN*cRU5rH_NGR6K5 zFzvIKHwETcE77l+Dq}_70gzi-ujB1825B$g&8eX6{{YiiSX(|D=;Fc;V&Q(})j|T) z_3Ii!s(?@S;wX~uH|(@@!_t50o&jor1lgo9l&Po6K>W)pC)jC-eI9TQr|2phPeXtz zFf$nrid#lwc+F44C@-g60*AJErYk0)PBT%mp?V(`@q;G?)w($3NuoRiJ>x8VJq6U6 zMZdX?KUWl;xSFo;Q|OiiaQXhzG9;%93y2t0bto8u4{ z%f>7tqrBc|d3Tf-*_2nVkI9d!NI8pV zwZfPUV<6zxIix~6FjRjoYzD@e`EgNwAFWIgqX9~a;wpJl`K%}@Va6cb-ado5AoDZ% zF_F+T`ew-WmEg)g;+~~)NElNU>vPBA3Vs78xxB+U-SeaD3mgBWKk<{se z#qLJbFZUD+y0JbtARFxDhOP}CE(QHe=)64Pt!*(?kj&W)6%mDT2aO6j`2m}QLCo5! z6A{W~%wTvYsyS_k#s`hufR26=Na6s^Meb){{h6(aZa_1mOwfE>9S@wPi{;0+^ZsWL zfVK`9wVTGdh^R{8qs}3)KVBR@glvIoxnp!a->~dVphArD`H|mql`^#ddhTg%o@~hw=K-{wvn?i*DkcL$GXsHicPxWgVY>1v!bH^!sY>yaSYS+D<&bN4{Dx1DjqwV;xYY+*?7moNT#0YIK}SxdmW zb5tC60NIrr32-R>3>it>l}hMC3W+E0%sUIMn~nzgfZPj^8iD07B59&wYp&d9R)^*I zV2`kOF8=^oo$mZGjs(!?)VKkC*1mH#U_z7!`Hs3R+JJcd$zK=Mrs6)ZHz7rx0)K`! zSq{q&in=jHrA7kp0MS~=oPbQZPxpox&XVH6K**Fz2u2B*0PS;C>3nBGOaZKR?}jXc zc!&<7J8_6A&f!iq)KEEi_>fE z{J_jS2pV|7(|kHnILrc0`RI682Vfu*5J>{&kZ>2VXZrZT{2>p7bsRxGubune0Mu8k zPLhvd__HP;#fOJ+#e)bzgVaze>V~ET0d-a1%bHDyc!e>}nhq!dh7&YUcnq+0^NZbKEvS1GR_Exk_!Gioe+;VqhbG&2ydh~oy*7yFF>UpU845BZFbOvNk4 z4BK*{;SXTsSI`541$*2|4eW7+3kNCyOry(zdR!KaH^*KxbX#6x1+{KP*3%Ls@;4Z3 z!%P;|PG_GvZLEA{lYBr^hm2*9@yLOKd%zu%b0kIn;KS>bMKCRjWMRPQE&$>+CLyR+ zF0{m3a*cl_&or^y2f=4hd{Gl%eFt4jE4Xj8hy5aeWDZh2{v0*8czuD8U%h z#uOR6=qPRPzvc)xHbo|IYGt}++VgUe>+Wc^FbWLWiG%3RDBa*i{Ga^gSWGL@?+R7i zz=bk_ZjYmXnP$9I-MOu8+zhHy1sxH}V2`F4qqXyhA3gDa#}=#MhD$h;xTrMUe|_bq zhc{96gB$+ocMYj!W>^>@UmkE-&8a{yuQq&As72{fknzK$p*DDiXpPDFD1P=WrjL0cvCdWC|G&N zMuS|zW&FyeYLr0^@toz?`H$#_YdQQc_a78|ZGls++%nu+`-61vs^^Cs}f zgMRPMJvqqU5gwC#e48Bu9k%h$$I}L^V?4Hupj}I1igW+-QW2z%&h+ zT@Cxlt$}RK%@Ns(_8I>GxFp;DnW6x_uN)Od!0sU1p@)EJr21nCym`zjydB1Y(QuqR z6Z?pW*$;e7fmCaaLKT{P4mc1AQG-GuMRm>6Vu8~r4e-`cZ;$hi2w}TiL=sjPD8%Z_ z2v_a|7ds3gspZL`W2j&y1^o7kOQvdopBPi4rB~3rV>?3yxtOrgyt9xXEB)BnnMBefC8WU zTvOj)5ut_v5Z&wK-U$-KS%gk8ysUcKgfE9E854S|5iuh`YitvtU}h?I1$8=w-UYZ2 zf?Mm_;=r|=0C0x@McZ#CDsf@iItbvfka7fIr@uH+3RHs~h&Uc{t)GHZjzN8wWym z3Csvs2_Qf21ka78+SL+&aLf<9r5=W)euu@qRs{li=VFXdAeSt5=; z8-X`sHe|&&0Bqm*m@ywG-U6gv-!BE23iO=G6YM+Z?Jlv9b(3q%Ng@u)Tj3@moi!eh zZ_J|E5cY`Y z^!M8_rTD?X2FSPA%4xcX5rsqxBNCnpFidxSY8%NT8bS@=f)-2a}>yp>TaH_bvXQnWbu8u1rgMKA}i9l;!eqg_|C|`&F03x(^ zaUyvJu?|dVF7w-52%b$a4{QKIZ>-w1(K5Z|ZOsL4V9SbrJa7TroiNy&xQfqsnUcbr zd1Bdr%pj}#lBJJ~Un>;yFXWj9!7FA2Jz%YTTtx)#5+;oCkJxucBWuS!<m=~i!5*>bS1xF+U?tU+NB&GNx;7-Z45P8ckXm_H{^JdfP?P+`M_Y0P zCx;-LCJC*whMdX9({~->1+Xw{Ic^q%X@*J=#}NP&JK*Bw&@Q|=N7JW|+n)lM0H_Br zNJ5)p3Y^m54q68n*!pm!2sw-tP=e*yc6In`3-G|A^58UTo-2v7+Q_m$a1<`j^u~&j zY^E%AL<|);frU0o{!QYvSuuN~W2@5v07C{fNZ-sDB~yfkK`>TF!Ey&q(DpBb711ir z-)@6w73PDtH3m68Ed2dN+ z?ZqKd25;%`#gH%HM419Zz-526&jtjplJ&s@r+gLt$3fHcm>URtl(bNL>l6$;&~mO* zam2`n6@z3P(!wSy0RX6bF;{`>KCe~#fQc1aiiV{+xYvklzR6GAPTrQ?%#3he>4M)U zj56%e1gpxIc=kY*MTAVMxo%)$1Hl(U_`?z~g2F`jPZ$U^APt*I8-C<6 z9%3pgemOTSAFw!Ok*8uaQOBXw651*1W3+;)tzxfN#t?XMQ={Yz00fb0)uc?OVhtAi z)(k=LVbiHvO8Q{98J!CJa{A4MVme;W#FjXO0i#%{K~X8_#R3{d@XA-ifCTD-91S>} zZvEsMO(phVl}6IyAZ#zI428P(z*`(f?a5gW+v5jB6C}KMgD=5`z8q%D=o9Ag41{4P z%p8XWBH;jg{{Rl_lEYHG$P}rvPPibH?q6Y(K?qDiuLIz{)y1GJl6RQk2AFpOLeYv; zLXn6h^mB9+B085mr5lU=7mgbwolYmT#(0#!GJr#Ny*UCnhjU7~CBi#sH(5Y{1-Cpv zUssg7nK~N}I+;UGBm*HZMpL?!9e;2`(1Glz>5w$wX!K|trsn+r0CC<9{FxHM`oO|S zfPFa-y1A|VnXn*4*x5z(fJ%ss805NJt#LzfUj7^x1s#e>o5iIwem2#7E2z!Rh{KHu&kPuOq1l;YLGizs$qDflpQb`RCO!wMmYF341oo}@Wp`Bf2JeE z*St;Xi07Ke#h2Duu}R|qAlmrIx-hc3z#l$lqyGSzO)gAsf6RSLjSKx)*pCTZVAo4> z0cP%d8|ywt91;A_0yOsksS_zFh8_x-0d`>(#?T4K|^yCKvMxQ5Z-8B*Pilv11#r*l&N8oHp&XkcL#zmE-Z=! z7sbN?h6~87r9_!g4+p+*46TpxbKo!k0GLQEW`!$lh6BF0Cxac-o?fKH(At^oh@{4j zS%GaCmXJ{j;hk=~#=W5P6+d1tjL?of!69h4xIN$o%P~mP5Itk52)R;%8ZrZC_XZ}m z!6}WF8TH+3UKsR%)=_95LF*w=tU=Fm0ae&S!LA325h5H19?vwaM+I*t(ABK`Ft~44 z#bs9qTrGZ?t)YOgJqEY3s^nVPr9(Kjt`m{-ic~tPiP-v7%8i1eF>3FFf_RMRq=KD8 zE|O}WAU&S(LCFDBEPXx3=g4XftL4KqST4ZRL#7Egf82{2;vizcdmI8f8YuqL)+^$Z z2t}klNstJWd=38qCIYrE6~>u%bYe&#KX_$txR0C(DQPkwm%%5CfxnnF=)#Jq0{dJ> zAn8c|0J&+g3x=8=jqu0NgFv_R$AovirUJOJ$<>l2px#!4;+-f@Dao~ zxuC-(8P696NQj#?)WLc&U|$AJ1hTDD!r}*qzrLx6F%)|y2oBdqKx9|TmfiXUPrp+O zF)yyTi@DP@XJGhM42w+-B!(1`D$C-iSqp-25K|znUlWc(JO^IaEP)ng;=rg0Z^@g2 z<6%PMxb4I;QcY0SsL^p@FPvqGFcw1YN=%_O%!HBgJ#fJUCTTdNTuh?5Z`11{`h{=Y zLV#r?z~~9D`Mf0;xiRkCC$&c)=S+Wl=OExe3?G-y1Qc}%xc3$|&i?=m)Gc+VFI4Pa zIARW)foa>!05%nWxhe(D1S93}Z9lkc5Ewh}10g)!=9GL!4aED)8AVGyVrIO|qwp%^ zQtJSImGRte)h-w%*)u@8AA%SMj=o`8x$VXtCJe0GAk(DDPMbVqML(YMfbqU%p7+Kv zJYxf1SS_y}b3wHeN^r=BDB=&)@Ib& zU^Zx&Lz=`R4+bN4%3^8qoWdRxtXRlKLU`BiICMC1N-SK(Ar-kJVXrs|04*>s=)otu zo$JktL4 zaR(P+Ny8}z1j!b3W-gv^5BkF>y}`i$08T!mlKeOzp@u?z{#mT2ANz&V;By1fE)r2E ztcoK<(UNAWzmp|o7!}GW?*tSK!cz6-Lan*L#E8e)h8?&{&lph31PFP^UqaOTjuc@2 z;zjDW<_V>_=o&DPjJ_}lRGf31G~tjN`GW2nf@ceHyg zh9k=`pn%^oQe?R9LGzGq+v63W0e}7pj!|gX;*>#8MpX202F-6A2{mNo;}`GBM6ax-8Bgr&_D0%@;#B0dgR<{@CBOHJdk?-I>qm;!w{ zpa3Mz!^i82RCrwM^|KTTtrsn4n4gS$k|u2&P*Hqh3k;$%;?$;dBGq$XdN3<~t}{aM z(UK~P=+2bjVu1;iPoq~Aze|qnCN6`{4~GDd>wV({{zn3DAB6qFhX!f-ITtx_wfS)g zT4|ER^XC*nw1@9zNLJZ#6nytFM*=cX#=DMR{$x##XA-Cz&Au<37>EH`nm8wnr6s&f zpnIkPjjw{`f}V~g=!7uQbQ1zEiII(OJF5Qx!zC#CWdMVi18-Oau@?JqxH_H1g0bnF zfp?89Cf#LrMqq`kGSHV4MQhc@fk~0$%Yd!r#UJ9hV1^22>c1QI(bFHB>Vs0ZQP zXrwq9e!Fu*B$8q$(ayyOoRv^~%%V^pfWU=1WcFYU3ZMrc+mt;aaRf9un+63Y3)n{f z8No_33`=}g2yF2;h|CAwz>$00RaZp7+cg?xz>~fEp2DW(6iCsK74%GKUOCR^klkZK z`g!x-6@6rI6eU&daoY`Z{l;knw@uN-h{;nl_89TRv`Dv(5eZb*hZ6z!Hjq7~HTlyS z3kp(OOY11%C=fdYRg5Rg5^qa3SimKUrEj76f@p^yTBY-Z0N~W$K_P%Nx>h9Fz|sPC zDDt&mc%#;uIYHqV27b^$BrgXMvJ+bwA}$gt212MvzKjGQU_zE_1Q<0Ejx%bba2XpJ zUP`F;d8~6pN7?GQQV_nZMXY9v2NVjD@I{#uHv+O%=iDlwB)1pr|sgYrWsw4mXk zrC6=?gcZ#YM$x%4E7dM`_vX-|#$Ot0TT>kRyT&p_;%ha>MT?b0Z!&x)WdZ;Ce92 zOOSzz5CK}U0vy2`bdZ>W_7u|e&6ihwe~iS>b7A-3Tr4)NX;U5gi;|Ck_a`y^S_OV~ zw<}dvor|#j%PDj#>mI}gewZLZTD(WpGKLK@0|ku07GoDn2R1||#+@;5YWTV2g~XS+ z;|oc#Z}%xn36x?y!Aav^?lCI_aa0Re2OFz05Nq=+5F4`*s?xZwQAH+IgQ&6ZLkY_+ zfBYOkBTvbMNlN1!cxC^)8hz2!xfk6G(>^SCjmL?=Cd)6lLAM=hc zZwL!2yvXpoxh+ADoP|dcL$Ji~){I8LsGep>W!dI%SO<)|KYM_U-mT52dimB+SKAbY zH|HNvmn4nxA-=WR8&A1ZyXKiCOU0IB7`P+qYijU>Tzzf_+Vrt;VE4ERjL-!!-+A`~J*=3>_P9vngY*CLsi{<`@3rh2Mdkp?t9988-y-!nXqH`6hzYF=(YEQDKG8=e%0O=0e*{+MIKbM7?SUdYkj_c zVtuvDcxVqYB_#0Fz*ug~J|}Ke-fMPDtTv~P<4+PfKw34!CA#+307T(# zX*M?HZUP-4jBmpskFk86Y+!;{&Bh*e%M{ck&A**s@ZEKZH~>X( zXmd$j^O_minh_7IQ94fI3@f;PVu9$$fb-`C75u{g08CHL4Q~}Vi>>;{2&w=+<m65Z)%SymRwx$Wod*8^3v8 z0`zi0dt5j%ReP7)me(2yTT>d&ZN%&%p4cgO4gc(y3Sg~e6o1kB&5(LZO_nMpE{^hsm{v4O*DIYf= zVxfTB_ka?r{9N2P<>L8(K=^$0U@&)lnW3hU{y5#zqGi3fqM#T!3aqslP6q2Yi4SOU zQ(5mD$udwW{{V4os@D;LLuJPxd+tRhlpoN!*vx+?<~bOVXoq1UKCmjO+M7x6+Yd*R zM*jf0YM_!=wzx1(-Vl-oC_IL%eFVf8HAF6}8Q{2;71TjXzszTVieyH$)#erS#%vii zr5FHgPIoHahuB-P4>-XkC;(M&M~pJmpa9cx4Cq*22x20^9XzD{$rV)b3NZ2Yh@ZI; zc34H2BzYA?#Ht1|7i6{K^CfB*K*WISXygeLEA5FsU_uOWCw`}_Kq4s%3EX1|21jxE z2}fYlB408I;X2$?!Jxj~c*Y5Jp7by#A`rif(V1!P@ z#nSAOVR8pf5f;lG$f)2@;!}&5Td_`;LzFtd1};#pil%g3R>~3e?a8EejJ93i<2ez2 zvI~|1B|}5_;1?6@);FjA=ImAN{NQ0ix;jt6!yhm>ujrW+fUkei$~|U}V&_xSftQ5FATgkfJ-{>zHkft5 zT?bbXgQ(w8z(lL{mEhIDBD5FoWl!9KamNMr=O_=q!!#D}{K*2Eh7>eeA6USFS%2G< zs-R`(o;kEpQab_U)*7yWtB*h!W`H2?3kZ?s7Ziyff0G5RKj0w$08AJE0IUGAUN(^< zX86M31q8UBvQ6N$d@G%_-I)k1A&ijl?;1~l4+GZV3d}yl)5V4jwhiWz+d^sZw0yR(8mZ7=t1O_wGVydOw zlRMOK&>_8YfasQBex^o7({luXE0C)Y(T0agZ_7g_SJ8<4<#nT3(;R{J-~@^K%xFE_ zv#)aDCHaaqKHSmM0aDxpB{rP%mNl*a0OSkfh}r%eAd6G3AS;KJn?k9AIfAMj%o|~^ zaP$nx2K2-0%{d4!oOZ6yJI&#%=PE}Kj|20#hRh<9jm%Vf45=n6K+((rUmo!g>AY(9 z41qz;0Y&CGY4y1u`fl{g5@bSWk#qZa=FFgW_e z__kw}cvshqMEIEmFib?%=5N6(gNsJjDjHcZ$U(-_C2L`jCs)R4g#0pwq$UIieV8l? zF%hKOk_q*j-Cr8Pe@)1?q6Bdj7Hl)~=2e$RtbjD3fD(bs(XDR)8Q{d|XWSimr>sv9 zxL{5nIj9ED`+z;|z(H(sICQRzY>L)l!`i3jF3EY68-y=R$mkbf`^N{%kJl81UR-Fp zZzA$$s$weOBUpRGBX`@IBZC7xh)rCC3r+${Z_Ta(PpD&Shd;Tb(BJnQ2JaXYtppd# zl7wXWScv(>#0!9k>dYenPRvbJ6Td&ECbcBMiUA=tas_;iz$_}n^MHi-na_)pECQ}4 zFvigKr?UoXi&0(M zEw~yAMZKYo^nwDum-EBYgPBnCMoDZ%~GA zN%ZyOy)Z0$0$YvUo90H+><8EDhGuBa1fn8fKF+GsN55AN0jWsZCCZ8mx$0%&QE?by z38QYNDC^cyU|zT}YO%)A{nkqm0|Z>~Lf%kA7vQZ&1PSC*&I3WmqZaRY=BWgF@h$+B zS_88AauaB3dPyUUf!m`zIHN}d7>ZmaD8F2snq7T&jV0t@bY}2)h9S;GFxb-vTu!qZq5EuM4ic(=NpD-|oY`}VCMU+;E z;4D17t^_m->S5VGE?2L3+##_t{*O$Ml&$UnlUVwPH-HYq$J`OF5hq-lP}P2OH)=9{ zJ8~o*eEV~L4#Jq!TNq5RK?OAfQXcR)Wc7#&R54Nt`@n)Q9kpMaOrrX^$$hs!xR6&g z7=_-Li@$8kn@BDPud-+A$fYp|Mg9f!%!o9Xn6nBL`!NLviHruYrY4R(WdR<)CINypS?R$=-7-~6vKb)>a#!h0 zr@BnE4S}hW5_Y#CvG8J1@Nxq6)+Y}*tc+4$7^^3iECfbFi)M_*g!0^B4N*N;8tW2N; zj%*3G)-OOUbNjq8N;&!V=TQFu4B$Xbxv@JRtREcHf){mzF<|(_)i%hujQ+sMK>nFJ zG09*U9j7-jA`e>1B9Zf&4_G{ChA;<`V6zjH1oQK}60KTbgepJWfLsQSZ&)>J#z+TQ z7rdGD@rhA|!0-iub(4zV%t&c@Fpw`YEtrlwoiHQKCC?_dxP1>eB6|6ngyQ7IJoh?@ zei#T-ZU)|FEgwfbxUtc}Ywk>N1)K+F2mqbl7#zjaxvJHRF#3EVLmbUG>UU@O1lWq{( zi60NXVBDOW@ys}d$J`Y&V6vqq%wD&hFp}^iodNC)6u7Ms<029N0ImSN&z^r~CIlr( ziY0i%RUI1&Ky7HJ%HrMbA||LQxGW` z=hp>D65D`0dU4RS%8e)8Inx_SW=#|q4YV4>XlhCG67(U2Hr;Mys~+nTDG|k}BXDC> zwa6u(gCtISUd&h_C7Dh--e_W|RlS%}ms`OfWt zjaOLFLjQ+U*>|1>q%JQ7S@Gv#o&ThH?0Fcj9M_f_-F#}Pt z7&91&`p7_p*P*}(I@G}uV4OUPF_EUsNRNXfyL~ti1lDsmd8>&}c28MOJ9w>r+zGDZ z{Z1Gl0&68a=*1yA;0Ld~01rU_01Pi9V34-vx6X7mS)dF& zu#15KF%*Y4^BHE8_2URD%G=Y5=hrqDM1~gfP#Jpi>O08Mj}hBM#ievEPJ0u-ac^h> z6?{w{j(|VLvj!`95?XJLAX-_gn^4%+j(*^a01EE#YK)V|WXsmYer2y#P5$GkCl9|c zuTTm-t}9kimY6_u?MKGlae;f_3hF)!iz|FcJ3+%Cfz^d0w^ua#$y;eH!kKL`S3xxM zh&2{ngQFeA(5jEA@teN?03MDHtzd(aiUl4caC1V~1lqkgI901@1UMD}?*w$7lZWVF zwSr~rY|2b{o{s+j8AITstVEnC-`EjQTm=~p)G-}btYma>fatD7r@I@ex8%6?RboTK z?+`-P$F}042zZrstZquMjokP9l3?;ZmP|dyf|XrU3W^)c)`4>21y>W%MsOpo@^N0$ zu<+voj`O>;qm{rS4eQtQ3KxRWvGYSDSfdcMKIK)Qg>XW!JA%0nuQ)!JrTKM&LoA1A z;<^%tB)-fIvN9?aABt|fW&#&3#0S6Xc!Izr9A74WU;2?%#92(G84$R`kyhb zS^)$Qa-drQ0#9y8g##fjnMqO|G6ArN;{{c}ugm}wh+rEwg~2o}U#BQHqL7(m6w*I2 z5fKhd1ECLGd72c7?;h);LB5C2IGb9B^Yb(l0LHM?Ki4WIH&4G9K}asfw%uZ$llg%Z z@UiYd5$_;qzObS2Qz2JTyb^l$ib(W}G03 z36e|snS3l0N8KZjbI%wAVDGLY!0)3NfJ{gH?gnW1=6|)B{{WH+ipAFa+TfsT6-K#; zS7wY!Jw3%pd3k~=0W+dA%QBuF%!~dQVT*S8xMMTm>4F{(2l7lMe!GH>Lm^(4H{Dl~3FXfFlz#b7pun z(P|8%v9~x%KK;U?N550kfTK7+j`6C>owd=ZV3KaLZ8XS;JiO2r+4<)NI=eAqv*CjB zFlwSrU_9p!S@AiAF1f+qFhogAu}txf&Uncq#4wZTxS&V20zs}SJeMPTsOt&=3XVU= z72V|Er3CqoXyX(=OfnR&CPA~y1WZ}o8SrhAsPC8oQeZa?(YT8Jf71)Ay^agfmoBFn zVwydAzzSDgn1NOB!YLEmoAs;(Cp^sB4&2@Da$*2uD=`(`j8_jUm88;Ci1fe}BN!9G z`4<30+{Fq4^B6Djd6Q9K?8`e5%Zy*w<0Co~K2#14?i2$G)4@xee+ zbJKu9uU8EsOb>wjGu5Hz1Q~z$$xj(5D*-8w(We~gZ`M!+aG_Kuyi)*h0)piVT(B`I9y^{RaZ^k&CQ7Cyt+Zv`CjI9} z!x1Sr+*6EzXQMQI`HKsN1P00z5f^o{5TQG8Ak%pW@jHqQ5y@I_yM+ z2H*Y0ptllC*xY6!EiUm!^?_sOAQNY--T>b_$&mj5-@fui@iMDN!wD$6@r;yME?ruf zyL4BllinF0Rm2%Om;@Q)95Si?WL6NblYz9F$m(u5P=WQqNLt4{#>CG8MKN>)KQf*` zHONNmlN|o2{u%VV#G^Dj;QRC0fig&TVr;i9!k70D++Chy>sK%T395-!+OL5qC^Tivir@ zOe2Ql4sFN^)ywHKxWpuA(%@YFeshi|r#yNw2@TIULP9>_j9?u`d2(VLd7gVfh5%0{ zXabC`6-#kZhh5?Vvdoayr%VOkM>a43bfj!^Z9pDxGBE{(>#}mSnHWKNS(1Z(e0xU* zB>+@J!k9n-Yl2k;ksI@s(qaiy;319LO3H2o)@K*qHl5-gmJ0 zOe6scQFyo3Adc0m!tmAgfQ0~_kh6G<)e{DWK!77B7tF&^YB5h1I0QfG+kjfE_;7GY z29t>283LUkVus^jrGR($)>92KkS-!2w^BHXK~etz#|6d_U*DHB2rqQ;#5WD6S$@9q zP?AEu8&NUkU`FEYOk9gf@)=B^MFwI3fL5#Va#;^fMN(0JUu%KdNuiLEygkSdw|k2K zIw7Oel8|ojQ)Lr|6UP-ww*@Lv*VqH;z!qp$BgiJphBO;quK`F9lU^d}q$(9v`e&Iv#chyMD)ZAwKR)x)VYa~EP*nZkPuTkXgPenhwiwTeN^ zsV>4NTaEyjLO6rftt)*Vg9wuiQyzeew3x*}0iX=D^4u7N1Gmw?nK%VSdmZB3P2-G# zV{FMFwU0zVhn@N`0ud8$nWnZD>@nl+;|N+#j1y=Qj`@O-b|>=y0K01@i>GWZK@h7# z{{ZdC%1Y<%@l`fJ1?$O(r+g)FhKxrDe~W~qV|6+J%|J50dcdZPDU}WBu2nohfr?wI ze~W-p9s+xFwd(oCtXfR$E8oZ6zzt{z_+;sdCRIa>n)ug@JQouf?3pNjHaP@Fsfq%$ z1`ylV2+0X>B5PZpGKB4;a1@^0P>p|?FjZT}Wja3h3_9KBr91a7HQ>dMGes=3=cg0P zl9@pI718avLyotJM@LuyXc@7-d%;2A))Ouf9o3$L1PS}Ni42<~(J~LbNO|#x8YOO2 z03XuWng0NpsHCMF z0*T)l3UBDm0apM#3zkNzLl}tYD`rv3%u>70~DfjzuS@O zLz&f$;iZy0xMk=zTu{~Xvj(@*GzI}aV13pj^x|fNofu0W1kIszU1v#iV}|+2W;_1? zF&Z&}$tG{?Geg!zd)9Ol?pl`QN`B1vGZ|cKn2VSJx4B(5SWH5TbYRB$^Ok0Xf{*tD z(>1IL+;0&{ynT1qGUzv2;0hPr#B<&?6RfKZRmBxH;3Qu-o<;^Wt8ihdfw?hEM8{|4 zawHv0P?LBb&;CYFeZ%VnppE&(5Dy84!BbfxaTxv0Y_kk=a6k3HACBxW2|v-e!a_q9 zg1G!~^`!HSu&>NUS$tjKAW`oeG~s9i(%?3%3Bl34T`GwjG>Er%1sWVgRKxJw`==z! z?I+AaIh-jjMRFb`CNb&?=E$!s0a5EBOn0rhz}lIL!*G-++`;2 zP*LW10MUdd6q5!Z`P>8;-I$wvSMxX}Z;VI?8ugMa^P5V%CPa8#aRc{059%$;lf*GU z3AZ`{)G}!C#iqUN#5aHK#7INDl1DHP<4m@ITY}+y?;Ep1m@JvNI zl)#pGZ~z56$(0!{u0i35&;q!WUR{UV?+`U+Qh1I9*B1d10Nz>qoVf>!qZoB2m0#O) zqPJ*Zbn)XUsa;$Xp?3@)3knC7rYS{t&JbfU74HNRTHsc84W67>jIaI|0av&SE`>4* zw=m%chwd~&2kK+G4T*9aBw-LA7%i?ijZ)wJ#7eUyfdh5C#CwuOCJf z?uvhNL?Y7=+L!`Zyui<9Y<}eY!Hfup+*PC;h-hys0(PIrb;AKLcTRB;@d31-8Lmc& ziHaVa*bz1nRO8-wz*Om`zwp_Nu>*ervc9m90*#t&3eGt|65Y89qE3Z%69nf6y=+nd z7$K+P5kvC}ZdU*h>{rGdekci)qcF{(Z?>u-1wL_YysP1T8R|OZ3~!HUstyOMnkY8J z!88#CEG6`BCEP&;CW8SYC4!=<}()34}MvdXkspY4-N-v07Ao+;N)4;dP6E- zMj7C0cqAc$po5UJ-f+{f>1*;r;)`TYt2cypfk?&n;DyUH6WIE4!s4JjISQ*noGz=S9Sh~}^-R1hrVHe{*{jDom= zW~ou>q>d52nE7M zoK1zg8)2bwl6Vm}i~-02_O*8qp$KYVuy{Yz!(#M9PmKMaxd5%BajXjBjgWCUVU>Z1@A6-JNkcR$OsCf?sqQS3u~;E(e&g%Jp023!_9Ho zRY>9^z1&byvv1_q5~M&mj1kd4*8xS-ub%J%QsNYrz=Fm7FaU+Ppo~YHs%BDp@XR5 zYl@PLfSMG2`_?voV32FPAS94fKa~xRg0K$auzyVls$a)us007-7m?2f954Pnbg9U&( zc*+#6_m%k&3-p}?in%Y(4Wt2b^1jxbW6 zsgM9N&>f7p%OO(C3wx7K4>K!`?pw#LXQ!K#YZ1p^x#CO(&}5N(9MwNOX2JLT$tEF_ zArATs6)S{GS#x4Fln}TEH>st?14GrsSPQUlHG3X8qO*7?E`S_F)#>l>#j4oH(U}lE zIETlPgc4quiI9WFZxJ$FO8)?vS9ISqhupFWIp%t1E5$h?{{V0X-YyP4_QPSiFw;qt z1gg%^Fb7N!41!KWc?XQn;x}V$*&MLFsvxENH;vpfFt?{{ZpC5#n#+;Rje`fuOS>V;jp{npgG1 zUzZ8z@r}?1}+d* z&yKUqn6!&`kZ5eeeA>A46}6-|A|i7(q<@$boOb}|_3_B2E6?VfX;*VusZr^{RC>o0 zCJG!M%y&uaHhb=jTT;C;VB>sw#aO|+$|3TM_F)kp``ivEG8X>;%vrSwSZ9HWD~O$e zVciT4+3djym_|PMq`dzC_juJ2lMWLrorCh$FvI5yES3FY99?@1194|FMK^QZae(iS zJZRzw&-O0ihEwGl;wrslCct;Z$OL-#D?wwYA&4o0rZ7mpWo4M7Xh?l$BgmFs_Yf?# zZVe8AQ+YtCfIhX;hM*;X*B%8`bi;mA2+}==Faw;6!ge@|_IfUX`W#(wMd*XHxae{K zAP)`?B5zUDOe&u64T{Ho$SA!bEdW)(g3_9N{{S;%#IW#5e4cT}bRi>r!}B_+rMM1B z9eszuOa%2Evs8!@4rH?g_dq5A)(s$W{lLnI3jN*}Z&5{+2y}esuIQl)+YR@KTk>DZ zRd>AIY@!_rSV5u?>L}|E4uDs;8(B^-7L^=SXw%xZm3UycTyQlpl)Y3!H0!+DMmAOr zQN@V26JgCSR}lkH0~v`TSlIH$NGc>tA1ulP!jjKd1dE`TSX)5I2p)vWkxq^Du1Lj{ zPmg9Is)TH>vknlixBX0nvF0EN%t90Z{&{egDX>cma%fZluzu#C1WKeq=*=mHfMzz( zohW(W^>ENAODVg=af{^fMS^zY3qlETxq^+|w9)6*DghHq&%va3Oyj z<^lrhPd=Ymgp@&iCzm#~*~Ox8nE(d0LfSlK45pg2$m6b?6m8)!7v$gUkjF?t4FEF2 z?M@B5o&WMvYH~bJSh1>yQcS95GVKTx=|whTPBxTRpo> z0Gf~T%+!KFyN(qe^nfp%jZhVOe{hzBc|2h#C7v>=an~LiTJXu;R8(P*8{V9)d~XsN zc(UZuyMXseVDL$h&nXzhT&toMBY+0s3XG<~WSRna43>0!W|a~?b8y-pIg7P(pf_oL zw**Wf{QX=J*v|AZ1k{OgF~`bd;y=KPT&fP>pafI3}CAU{_`#(s`+aRJ%hw{Kg0U z{c!~j<`=<(JH_5WFwn>Y!r~x$XZIG1q305XJ1Lb)0!QIXEEK# z2yb1rjx>H@CmQja1t~X@R=kDF2dq9xz2^t(f*o$TsnY$)$`w3gr3lL-UhCdF`K8n6ZAPdw79F;iRHDtPNu?sxnfcknfriu+b#=5hZB@3x#JPp zcxZjO=b@<8F+?Oco)m5r$tur!Fa+$@CNqc}Ow!i|qT>}!+4*h)tIVdb=ZtCv^MwH^ zN6ucZ^{hMO^c2L`7#FA;!{7}+yi_TG?AUd}YIAyNIk{YzHXPA}sEuLyN8S<}FPu>b zyT+g88;sQ8&12z|U26n`ybz_(a3cW(_Hzxow5`faOH?lJpmz@0 z#WDsu_~ffcom{(7nJSBMaL}pnb7bDIaceno-^+?%bJb>nm5j@M-=y5gL2MYM{ANCCNrx{x_x*ZgQ?{V&m-F zbMV9>px~7Z6-)uG{lL(7Fgjn?5CLPBkui1-#H}@j*gv?*vWqi9LY__-?_BhPVnyj? z#V{nAK9~jrOEa+L)<=HYGF`g3A|ts8<7NP3XN*CxV1BqK9*(XwZz5sShc1#HW@s{( zPwp-F!4xl+8;CoRt=L}E5-A(;?gVE}96xo5fx6m#;O;(a8xffe@tKGxq3;sb5QOdn z){`|i%Yk1Ew5Zptp<7lE%OHJ+5Rl-1Gu|iy{;qm#8bry^JGJq>_qj9$; z?fzzz(_i;Jf>>Pmh3CiCT`VSyNftu^<*o_v43OcL6Yl~sZQVcZ$s{x@kt?PiFwjH- zdU13cf9`1ud(4o}cp!w|`>bJb2eiMrcNlt!55{BqhtgV5?=jBTuL1IdOh}3Xgkpbl zWfBXpTu){Iq|WVhLn>mQ1vx%Uu~<{J6fV&4;=!C1u_DoNO6Bzd9XdKbGpIRaC}H{G z!VUBcEKhh7R!YLqEhCCBJSn)tCtiPCu zke(O=Q)6)eH5CA?c-)U@9ux45#|mp4S4R@T776l~4&eveCAzUP&jdlmNFZT7JzN1Q zg+i~hA*8nKzq**CQHVlUPWFZ^7$B9U>42n!VBt1Ko|jO{y_I*OWEpMKA`Kq!&}@DF z(qISRup-X#2$ zvIyx>;9MREyq-O{z}UV1;fP0XzbBA!CX@h0hvAzI0Is9i3`Ihm~#ntZ87Yq=(r%Yx>+&HLq+^Cq8-d1NASm6%NGDH(@O8T8zPE5X3oI{ z=19OnZ+D!pwt|PZ?WPocEH6DYr%tz@V;nLGK2Qf&RXXX7r=K zb1oi7{TBfil=NOPmpTy!us!DDf|~Z{38?N-2~Ys?>mXHP_vS*s2!7$%7x%%CUk6zE z3{_PkcYhVe3omdb-#f<21|Ux{nFdmLxoP?1Ee5uKd4V5-;!G127-ofd$7(Z51HkL!WT+4t(!s0QZhKAzOetsau2X#uO&z{hDT36Yeu<>;C{VVZy^MgeAjB z#J_<*jx~M9V>T=Y9d8zZ2F$TLt1hro>*d0bRriQ!8Qdb91|mS6t{RkXzR5iI9*Wc* zg2mQc1i6iG&=64zRWs1PR{{Sq#mk(kv*j$Pl)WCcfDEAQW>4ulg zh5rEOuS|-j%-9hl9l6lF4k={4`?!E<)ISU@G5d}+ysqrTK)Be{9~8Ny^F3mrrY~x~ zv!W4p^9e3e7&S)YK=_~2A}Nglln2H};(0JS8y&{LL`A|7Rt~Wl2Yaw<^~yzmn8#4o z@&cn~v7)1pusC2~4VZ|B;~-ISE+0H(t4XY0Tm~%d1EY*`IdF&&Ox&l|2z+3tjKnOG z0{)nIE573sd)HH;m5kD`uZ$80oM?N^+V zaYr=><6^p3E*Pdqc_hY$CG(03Z)1gj`aNR+aX>m_zOgY~aKW}82#o;EiW{d6 zqKkidLjnZ@xItQx<}ZWCT(6xnN&qp4O{W#|c)c35)(^_R)gm>JpN#@^Zl+(RgDNHzc^!vklZj0U3rK@_i#|wh+_mBsAbl_To@av=NnUNa7!K0 zzVS5Su{{ieA}#u7!vG`gnB8<_u8ChUc1co~Z`1P#9dUYBWN5PhIVQkxTX5OcG0d31c?r% zDc2i8C@-H6Fo0W;;4*^JBf!H;4_gKZRG>rg#bMf2S%a>$BTRLg2vIf*Rs%5`#MW)$ ze>`JE=;L8(5`N*J)av5SwD*p(5)HE1f?AaG=)@LcwglllX65aC3k5$h2*^aE}so*XZjjs0Zu@MvH0kZ z*C_>G7%M!*Su?Kyg-;8EDMvZ}++RZIjY9@09hZ&*#n63RVY|f#fw^+ya^IW4B~^dt zgAPR~=1P_U6yw763;<%YmHz67p`{ltw*%^_M0#hXzFnpaU^8-bF zoCVT8)VgN1L9eHxVuO7~F;qdVwqwU<)+{|e;b5vtnaPEP^z z9Zh0j*=!F?auFDKWYI_?(~>K0ne=;bg9fO@BtC~SqTr^_mf%mZcK-lz0EAaiU^;>4 z3w3%v^3MSdy}B+ZW>5lPyC&b02&mH2;mk?gNRQOX!}B_9#8OtlLl_1gVTu5e-C>q+ zKAEr#eSFF;AmE6{kAsa%phq?|h`;7)@|XvKH;+_s$j1K4@GvekxW$Q(h{HN=YXH2! zKuCxZtGv3D?(<-Wdoa<0Kb^~D8$xFRPZ?&sGD@mn7(}Dfem|LE;p-hyHe#rP!x;Udsa3*Sx6fCcfwHA-bow9Rmpg5%Sga>oM zgG{3ZP~IUL{$d_?lIg<`P(+5YJ$M*Vr=Dp6gn5ip8Y%7|MQOZ&`-q^7;~r?>=AFS{ zm6l*7nsO6^ERLnh9ecrbh&43Pgx6}#T)JD5*@O?lxvz=$;f;?$fUZ|qti@=s<|{E9 z&gOvRG_TK6;)7+=FjdnqIzQWstzCESO-ibFAWccmV+(-|FE~n?njjwr>_s1u|i6&BD!)VSczp4YFeq(rXkOU(A6BX5Wpu zaeIw#gCDtR6^tgcqkU977UWaVnY1_Ijt|2j)6lmN4^kOm(7t?S9?H0qCyyCG7fLxx zyUc)Yu5v*i^xW=yar>!?HX;Sb#k*Wv(g8iLEjr{(+}HeI3i4<0!NNC-4@-Eujqh>1 zx0?{np)LX#MN+1!7!)B^og~b{F0OD*3^*!HEId=gd50KySur0i(HM+ubmhQLg8A!-qLaTBz09 z7%afDJ}104$=pmgn{|RvL_3KXvERoM7-^8Lcy0>7g2^14n~94fL8dk*9mNb|Au%sY z3=pIVCS4ZnlPWi6`zhBOuLySEl(L%Y6yoF>K+WyNFIr%6J02X zf@b_oR9r#yoW@DP;2{41MabGKHI+;y0#dk_Puv6^po$YIr`)AtVv30M?p z1DMTQ1#oR96U`Q#1ucvor-j7jwB9LcD*f;8L_# z?)^AIk3+5jOOodR?l~sLkm-pKR3-h+Vb`09YAz5juQLgYv2SYFGCMUWEQi9 z$B?I(<|ahfPfjQ&L|?dp@scvF7%(Xm0D3&zEtL$c4*a9`PmcJ;AVK`3w16kkEK0B=`h87^6TIx@OGs@5d{q zJxX$a?kD6-@u8t}KnRXx4FX>@>v0neOrQj3ObpOV=T9SywZU})xT&!m3cy6fKd$$L zm@0_4=A>L;h&vBhq7$s3e^)B}5k{C6gQZLW7*W5>3KZ_MlL_gK{=VU;L+cTE%@e~t zo^x};uW}B!88HMT{8wY7O|E7Vd465q`NhhhojR>4}u%T2cw7X zBUVmgD%F3}rYJaHSagjjV#`x4TpDfA{l*PIV)!r^0^NS$fNHYLOdC6eqe$S2C+1j+ zT~OvQM+ocgECsa$NaPXN zlPI32oI|ZZpO(0cQQX1kwXUpxnGsFm5O6Q*^Iq^tO1yF+y-Z6))d`bVN|z&|*dI2U>t4uZ< zS3uSk3GaAXttQMQL#9eNE(U|9*9>;1Gm);iqyGS|5?}PmEh?A?Vm;>0agChKjbBNnLxWRN=u7N?o$}MBdmn?g8E;X<^EyY%<+j5 zSobu#KK}qy4x(ro=QlZuEPC$Y&8n_3qyoMjOh)i-y)lq`ZNfkeyv3A1-)AtO46#cj<9&USYpS|$sf(4TW zG&|Fd#nk@*n8?)<CYiGuR7cZtsE8s3^xG55N`8v z?DMIM!2n#l-}&{N#2=yV$ArL|vd zf-nY-Y$1YvIp0*A&hJJ8&Ef7W9lv z0t%kJWPr^hlnOX8B#cEwhy24(I$Se!_T%dZb;XnG5{IZ~BI_~<4E36N`Qc(WyZqJ& zqw9FILWL@4TE&Xen1cA&;bMnakdvmQp-2%MCA7$L(O4$7;p+na z1Ow`FxT;A4fZ{I<5e6L9fM-G_8W@omees9BYeLMGacB*o*bF8g1Ri?uCyX3=0F(jP z9xfx$Uh)lP!2YS#IuX&72i^YwMaqDYI2t}O9)>t|5$fW`&fN>COhf(?Yr( zTY+?YgNu)|0FI`Wx`ni|W~0zxzp09aK9fl++m@TC!-eJuK7y@@ii7^-RUgE8mV`AU=H|plAb=OSup*eR_2)PY3=*-)O+DaM z1KElq&0%MeaCD1|E?s0-Ms#3%9Abvl!tbdS^kGxLgY&w`q#AA*Ktj4+Cuf*X8RW3b zxqTR_KCxTpY}D5<6y|Qx0D*{hW=Q`4nCbARF6Xlmr9+nlF5~7)`eKATh_w`$u!?m2 z$XL2lDozf3YLg6KX1FX<5DRxWm;-`20R^hh1pfdSB5ce50GNp) zg6JkxyS_OisoV+^0F1y8beJTi?l^QvVIIXXEF&AP0(!wd5Woq2c+D!Z;X%{u7z#jt z3o!&JXB^f7EF(LGJLVM)au(k>!4&3NtakzE$$0)?%zC;pMSZzjj2-oHU{A2M&4%G# z{>-6#;l&|8zOiT>yXz%N>9tMbFcp}NM}5nL{@+`{sM_x0fFL7ZGSFWwo)-Y8gu)X- z^YqGwy_gO@_wFWy1jM}KrU(*RK2Z-if!)QkJ>*U`MG@JQ0Hr;y53%kELPusL^jdzp zxFJHGE@?ky6V*}W1$pJZ|`K;S=z9D$T z3)UziuW^$1{{VS{4;I{lnOfj8;(5kEH|k)N=($ut88V{&1~JgoGI%p)@%z|03;-@5 zfsOToaD1xf#CXh_Z_LOO5S1w0P~aqby~-f9W6LlZX~I{GrUL%qdOMY&(;+SZTMVmi zo^TC3lK~}};+46%jUI6|EEagdpMj(@!8T1|w*LSa4Czz7$i+g4yN7F0@P%9)h27Dtfm76*`6jYrh5#M|ZhEMMdwgCIM5UC%bom zT5WIX`+%Vt4+oh_vJpc^ugn7!RAx~YL;d+N6j0MIoM>Vx$MeQkMAOfZ!jY?ylnu88 zUi!cMv(IVX32W*#YyVy8v( zh%XM`_TfC=Ra^;q_S|3G-Us*K?t60G9v=2}%0B^uQ0b^Z=mopysJ+QLew-;?&$bBQ!)ru{ zqemJaR*~>8yqvC8aHVK^@r;K;MR)8tV4@@nmL8aQ%=ge9+D!8-%6|f41|VUSfSivQ zH{e-JY**im*dwU93_$V6!s}v+vTgT;U{rRGEKlG%$Ix8gt~){dfCRd!R;B1LG%kjM zpcPyo(2QiN37rgys4Mw(JsCyT5JW-=?&4W?r|X!gI)%lVLDchMObw(0F2M1F2^kX_ zYm%1E#O15+mDc7A5TM@ ztLQy3LZHzPHyHziWaF>6{gA(c)p1a61WWeA5QUP4uY=HGfKa|KRC13CV?;3n*@qIH zXlIbQ8`G(Q1Vp#q2>P16om`pYwctMeGqMmt@8V@XuSFpv>BOjbxU4}7#k`mSS7@lK z?9JQrLLL>l=hxk}v&ITEfwM@xbJ!HI`Icb?JRv+_MY%g+Df^2MLvUdDJs6`S6RR86 zVxb`lr7;i+0zg3FjN$n@V4Nu*tSpGsgfH$uMX0CdEF~O`+Iv2qnX(0>Hp4e8O?t!V z3Vgw2Mf6}!ydcCA=yGd)a!ObvnWKbjJA!x#l%Xb`yj);^7~+Ye=+2Zz;u?t-{^Kbf zFZUGl%nYKZob)WS2SdWA_Fv{jVO<=hY{7YHkZGn_Y+h{3@WH&h!xR0j4vcO(5%CeG!JmB zSOxs4Us&2EuW*7J>si$omSVVDqtE{1SI?stsJH>sUC6~&r=kA>T|f@ z%2vs$moV05K>r;Ca6DjBbN$XpI=2GtK3l z$_+oPC>O90B zsf-Y;F$M4G?7>mhFgBdHp!>#4DeD&Jw*zTc&T2{1d00H0k@EV-vBVj5_anQAi=yQb zFC;R8@CCREH+bk<<0J>BB=cARe6u|smtWE8@g8PjnM;ByqH z;6D47>F)?o@!!?TpPnWr!;(Fx{+gIVG4~WE?gMv_Cy7i@r8uyp);fXBtB?x2Vz>#l z-<&|H{{YDJ5C@z?p);{^55F0@xcGYi0FER)$2CXpK_hSuv}GvZa03?v5%3ixuh(-y34 zBi!o(~fdLBw>;y0GLGqQgDLT5SM$TGfo3v zIau5p^?AiWSlZDY!xKxd-sNFPd6mkVeSch10e+r6YZ1{as>o37KbHm%34NH8a=;Do zlhLe25z}8mX7FZ>Ll7ZS7)x}ee@v>xru4#?s|Q8EAe;O5it-ExiTL_GpZegLx=7G`GTi`95KH+gn->*Li8>wQ{Dif zHR>a+{N~CM%%&EQ4;c3+12xz^`^^jCwQY?go^h4b#CV83HOzoMY>3cLg9@hXm(4BmuK6|6j`_dE`X)VDhL9Yi`TXZN@mb-Fb-g#5nv7pA#E5G zjwpu`^>PUUyFr~inRj@Ljr5ao?NKtX`-ILbAy<8iR{E7OaXZxLFmd_ zP}7JR5rGgmdVS=!(gSJf%Zx4CPH9yk<*xFShGl~vYCg$`%`~ArZwqW+!F=2ncKW}n z;*)d%GeEGxqq)W>g1>PN+mF<=bC`|jwaCbngnx5)v^I1PlLgRvXT-*M41=UFo-lQ) zUKvd$pUH@llu_f8ohom$)07dsxQ$B0&`{@$QZcL{ng^0>hS-@vY${)wylW>8>uZU+ zmFV1HnH@QDYXi^qf@w8-^~9(v@5XHJhc&05{{Xo}w{8hf0}~0XpIo6$mSc*c5qjWE z6)s6J+}9>hhjqWl2Vxp+`~1N)+Bp+rRzSagxD;td4FE6vcGDF$t^~V1D}ma>J>m%4 z_xB6LyxWcSG{#|VRfYREn!IZOJA|?ez8IWobssnLDNXQZo)<;@aa*Al;Ci$!2q{g1 z@!ka#+0VEv;J63W&0#yoHCgL}rf_jhu&6X#6mQ1duDOJGI9jWwP*>kvN>eNnEf(~S zT5<2vIFNR%#0f%Z`ngt?Kt{TufMwtyGUSA&_TmKznq)G-6ura?U=(tIQQ?o(Aecg1 ziGoch+yOP&k$`I;Be~(87>g@#nNf~b_L)Q3xbssW1xH`;96>ZI{+YE&z|BCXFw|0q zX>&_wdJ`B*K^)eJO-$H=hQm*jF2vsFlOa~xhlpT1bZTpi$;PNlgF}WTi5v$@tK5`J zwgyl%8-^8k-<_|Z=Jo(16Y*>msRj)*Mr^xk3u=_Oi^d)%T-~> zVwzNy$VfEaBTew>wXn+gN4ni#pA}bW4;I zy=MfzZO%Hsa-&kiBCpJ#8aCYWNUCSF{{XpiTRt*^ZR>}*(d)&SpBm#(Xhiwv4Vcr0aJ_!a})-xI8hCS$yzX63%emhIS>1W@zHg1 zMGwTlBWLq9FG}SGAYo@qX@Sy50{htvu|~|Qu?%jP7~pzU#?i0lCqY-vB7xI_uTa7- zdpx<|x?>V9VD6zm42dDE2Z@v`qxhb4V_Y(Aw%v>fw^QC}?Jh;xfdwkzFaX)(8H^|O zTs6LZ;u%VQfMEz+)^vfd^@%Wneoy23iA3X_USkwZ@Z|HVoGkP1SU|x|2lqU{9WU`* z-0;nJE&$M4_vQp2jovgRLyLxmrd9~;GEZMv1CF>BHW%E)HhFThXr8f}OGwWcd`1$Y zgYG@2hZqCpakEB)07Jrm95`6GIRyn~w)9qEBv3oS$rT4j38BdaDbUBe)|dLkp2|O= zgszxZ291{_1f$HVf(3tZp=?g;Vax&p*0EA?3|3pN%n=$)B@)i)#228&s(VTbJD8EI zL-0J86&nrr6+=l6&nNC6(@8HMCKU^#PbLDZZQSlH`CJto`+_e_K^xuNrHwzf=giz68)bUdYP^a7bkW^UW zD?&uM3Cr$Dfk$p?O0EnE;e%J7t^-}}1j>=zu>=FvG{{ZF0kU65u;SS?Oy_)I#F=`0zqUqD|mm0d@piwkHhZjS~fQ=CRkk_+nj#;n@DR`{7JFU-0F@jhUNC=&fACT7QLeV};cAxaC1~A?_Po5;hVd zcLk!=2H*H*@wjQ9vlf~VZ#CVH7uBzfbJ-q!oQH=42qeA96O*eu@8Oh{5xPQ|Lvbi? zgzJjXLC}uBaYN{c@sAE1+rkOlrzl_*Y9aRoN$WfwqlSnR(5HpVF{QI%4vUftUu{Dc z@IoYb^0`WW7Y3SwN$u84>Z<;RKz%(j&k?==$$*Q(z8wY4%v0G33yhkv zm}w-7(;yWisCB?fJqJ%0+msg6_B+KT(T%*Ea7_YM{&r%M+(DjM4E}j0R;7!NTI_Lz z4+V)tRKr0;0I&Olg@ihj`+y)Lx6TX@Br~-w(}J}`P|hi-cb3eBCU3W4tzi``a7Rs> zg-kHOsE+x;4S>R*E(#Poer0Nyr|a(*TQklI(@oqu=y(k9q*24fDv7iFW~5WL<(p{c zM$x4noIxC6cKq{|wAgdT070euOt>h~;fREi;1IpE>1J59d^w@TPFMGMEH9rW*$`n) z8!iR~*Q^^#EW!?w%@+{M(q@6b%s@BCKQIHp&*n)9a8Ty9xQ=QxW{&O{_xj@%G(7?0 zhulHRD zVv`1;*d@ek)bHkR5G~7r7~@9~sNcK@VpD1V0EQ=|8CUlsj*P4avmsYPA6Y?4rya~# z%dC$r%?DpFKHy>Cz&~~4C{E91FEpt*0Tp8{mA!N?>iKwa}$0!(<}LXTu~vRUlM&y1x$fX9_HT`%JlI&T0J zEXavaaOn4QQqREm3b!Y`LW*Vr8VRSv+mJy(nGq2_ul>etHYadYcdBETu+_|C3*)&e zy*LFz#fDZ7A&@J{#t|+74>~M#6@6iXcL_Im$OG>ht8=8|+@SQ!Fdd{ZJhhg;^5%tT z5Hbu^0PrRPFZbD$9&0?b^G+ylvp0+F{{YNdbRiFT1c)@)2O*-`;(Dq(#dnU={DxBe zK$%1H*EZm>Up_Dv;DN5qvegR!wMT@-=51zgO_LM+tJ#GU90XhY%&N4}-?tp-TaxNT zXf@56H_0VyAP!rz1S)RR`-pDwgjuP_5>KUwnDP)3{$&f(k|OF4F&0Mh{MJD!jhEFh z(WTz;E7@_n^mYBp0D2}QX(4l!%dhfanhA7e^eP5bWpxIw28VQCa9950NV_+hPaESA zMcI%+mgT`q_Y@?5Gb;KLd$OhwtxdUn`VS`4Fi-8Hcv_j(m z*_}Uon;~p41W`=<_e|-pP{kb$cxx1@Jd7Er0A}tOyMbPrJ24&MS8l!KW(xt<48T#y z#!WsP8+Sw7GiU|f$q!+1z_nP>h-ri<+2G~~fY*cd_6AjVz5|M{CM|(t2+-{=@t)$x z4kP5mM$8)DqLYRT?NKFIi{ET7J7Otso0_5qM6KAF@OFD1guswc_DKHw#sq-b;kNL6 zVpQT)6xPz1qA7>~lSBw>7lSDjczMVQ&teV|l>)&@&`u5@aY^$uhC~s~gda(K;v<>W zRq4-fc{vh7LO371aUn`+(uw~7Tu~n2J&W4(Lt( z`NCv`e!i0mOqLHcA|ryeB{BZR#~Lbx_rDla;Hda>P&J2 zaC7l-0Qz_^085Ahg6+0G%oeKZQ9oQofTDs<^)VS={R8`h3$*Lz0`LI-ize_jMk{QX zDP)P|y$|yN;u$Lup#Xt1*gT%Ti-Ku4Z)a`F(&!E5yoL~o_SPXt6b*a%h@YR==bS0A z1;k?Sc|eL{{#+i$2b{dnq6Iw(go+grP{e`ap0XrT6U{4sGeMl6h6w15_DJt;Ed^Ku zzoO}LXgAjS*0+NSpzZVW;_XZhnlo@!+O_?12@^X4Pd)>C!CmfTFlepd$QdEg>jsnY zj*W2t0EEc7U@UN^0$N-mo;#M32bb5UG%^b6@?Z%%Vzwu5F_t@H^D(0DG^o5fZ>3R|s+GxQcGDxNw;$+^%XP0CLjcv)16C zo15Nw0dVd#JbH1c({O(?Sw`YT5n(|oUpa9T?gUoXm@N{>P)KD*yda}@jbNFG+y3~- z1npnryn^ueln|XfWFjv4o4helA)u|6xY2{-zOW18{{Yt-qefhjG~2k+=`N3&^OeT- zX;au2HWh!l74o*?|rP zJq8+4<@11v2Rvc~fpP$Q9sDy&&lfxe!h}q1%6sv0hT-7Ps&LMuXWlYJTZpezF?cwb zFc&5wf84H9z05|hj^n=O&=_c1+i{98HRBQuei>~onIK#P6pcK?#h(8Fxkt3ZA!A&^ zTpT1bSv#CHfor+5Do}2sVNLkRUR*23gSdjwHNZvi<%f%t+O8JIr+H~s?*lL* zODU2%-<%LMD}Leg_r@ZA+|ePFI>dp^;Z;F8IIP(&%y(1r z++(~NG9XCcG})L<)2A>)5;rJGL}pon8i?SL932Jp=A!}w(p(i5crXnvAi)7Z`p^8f ze>070crYybV?@JdUwDxc9l-_v05EtpDRC(F+_4V00)>8HqDxO#1n=9UH?e=| z+vQgXk@EP$Qbf3+4X>6dC(FFzJv_pgD_=T&!*kOo%&q=$Fq3-C!8h+9hp|keBc!9* zkEQSoQeA5&F5r6(I~qxv3M7~)Dc1!Z1&qObgyICy1{`=@M*y=xOurCVVdjhcGJ&e& z5H93Eotwy&t6V8B+l0}tY$mS^BWb4rHIJmSE<;dfPuwulI1Q~YF^Uj1fpTuRg@%I? zH`n1@qIq6pDtgTG1V5{CFct&Qc-Ca9RY+-4_II4NBwDNnJ9=kzl~C$W6w zhuDwdjYTSW#6fSblLmxXTyI2QSQ<8D4q*F({zXsafsRVcA!9*@>uDHo6T+#|Ndh%Y zN>N5C5ZxTyAZzyGTvElON7TAwj07izg&AuzKoKoPf!rF_h$D4DvS5t3kTkI|P*rF` zr1g_2`c-&Mqk%X$6(DuEMAOj%RF4OocF6czB1X9T&I;g|`R;5cXmM8xjM6(rGww^G*I_1p$-_bSFaq04G)z+rEd4 z(`8aazKNPJb$$Vx{PTne&@nNh5n)V?I6(!^X_Ox$*BhxD_)LJP=2x?8U%mz;O0jm& zVUnj7=vPA=O&<~m*@`7p@;B${h_t}eiy9EXgE#^~aY!a8Cr+z@O_+n3%pH&Q$bEvo zTKNnILX`2i;DfK9!Ev8&@WP7+TgFlZRiYo5U=a0Lj+K?a5`=u1&5@m^$>S1jh=wW% z8&?@m1joID&V}M+J)yoL>S2he5y`TJusw`NG*hk2n*h70{tWC&m)JFk)(ikBSFDg| zF$?MMlf=sfJLf641pC~S@;h*gWjo!6%xpzLUxv=(%%cehnG67e`yBmX z4@L@rjJx%TG|nBNz@$Wrn`w zHvvl8e=)=W9WVKC5jMDktH}QV1~?fdeNq^->lWp9sV@9Hp^O<6etTvLNAH5*_VG`{ zAO}J2C%QP(4Q>2!0BhNX`oPN#J97TenB!KI>;?TYV6_ZOK3t8Tm}Rdz!~k9k88T6Shu5Ed3w(xTcQ+^8XY5Av8%R4Z|gtT1TByZ+)|K=<#U^MWAvHd1&pDdPZG{xeP}c)%n>*rih^9H?8N)<=AG1>F_NC(AKU=dvmK;2 zx|yiOrfdimvnwD;<1Ph^d4*X(vnHMsSS^P_#|k|c%#JiUk05RaRRf(ZWr1{($##SEqb z7N!Lwp@4utm;&Hv=F&P*@WW(87vqZ{t(BVvjA2RFDNhwiZW0$ui7nS=Eju=2h$X~M z>vfGwyWxoqDB;fGAVT$skASlQsOR^&F?l93&r`VeJX}Aq}3vY%XG?#-Z=f}K&IFP}>4^1dLYpc8o7DpXk7H-&4q|q#owpy zFsI^j(~|ta!3reR2#J9p%XufGvgc50*aw#e%3IDd^u~GwGjM|1G6e16xPW%C6)xj^ znXOQd@ni597k0Y!Fj6`-o27w;BMV;gTP{svcGK2>64(7xBO&o+Jm<_vW+SYrXsQRV z3-=!jfaB?DCqv_ebVu(EKZ~1WcYL@2S`qxfB=$Y;qbRWKr@SUvLxroQ2LgHyF|B$}@}V1Ra&adE4${LW?l z$%xQcnBGxv1o4frMctT*Q*(mo#k4yo!-Y|32du3kMmJxHmJloB&I9ob3(1vNM_+TL z!J$-JkimRlA}6K9+L7A?$tY^?!hN|^WGF+qvVpgM>5maAVpXtT$}tz_bPIPq+H)YN zQKk)t{Q9`1@rrk;j}Z#tT8swM<=1@xDOlomI3m&Ak+~98jwy7Dtv$Dv8=}ZESNPKFP{?Z!M{<{ zQaF>KmTN6SML+k%&XaZ4L>;|ifn{xRr~d%FDuhdg(7OKsGP~7g6ab{K8AZ1T^sLZ}{7>xFqh!-ThC3A+k z1?25L$J?-K2i z_lAtPt5XpGf9PsrUtqt?00tvJb08@G0|}M7^EOk;X2ICb151pH z(8?Y6oSCDkgao)cdoWO7{Aay%_`rIAgp)LjYT;u{Pt9!fU?qBFMj*6hOr}EBI7J5s zNnSXM$ght%R^N`WMC@5`1>(YgmBj&QCOBLVa3b{(kM(o5TEU4`a4jAm;lYlw;66@c z3gHPhnTbO9xv*`%GB!c^lNIYz1q?LM=vHKO^v^gT(7^&aoyJcZ#f!Ro_bdluEqHpx z3mL404&!!DDBq02GN0_n+5Wj;?JVXJ(dnLW)7)K!zvplQ2z}H^gyHWrb>k8aUs+A} zB9XUAhzeNP=An%47BIRUhHWNcVyQpy&5rGT=6Qu)NkX zB@MMx63Oa0pbqtj6&!>?n3D3De(zWf2CgpS$NP)~=;?r!UPlOd6p7;s(c#5P*l%gqjd{?}IoR4(=8}Ih617BL^AOSX%J*`d%}l{np214aOh45`*2grs3kRU zI~V8)1N6Y z+bQ#Ns6cOt=B6f7Ik?B*$D#10)*=gV-lF?xV;PW7Kc?aYl`=&Wf2F#}rBF6wq4EYD z{$Yu1M0m!NVp(f?xORe_!$D&dp4{Friq6%=grJJ0;U=(Xfi3I&aYaEC_4};s7z}>C z%phn2H_MA`l^JACS{+?NRQBK_rBUENm`hD%9YBUAR)JOWpLsA*cr{EX%kG5yF>My_ z_b8zAc-q!O6DIIRK?em#IdHHn0x5omb#w$CZeEtr4A22(99%s244Q=h048)8Z}SZD zPZ@o1$NPq&AtQT%v^9L?p>r0R5simuE_;vGZ-;RP$v9#{J`WcWvD9DXrV0ggYxj-- z4~vaPr>so_^n+ZWXI#Y3U%9v{edlOj%t3onjtz=MUOiQFP_P`*6(RIAshU7$5C|RZ zh;fns0L*S{U=9vk-zmR%lC{Cj+Mcz?+058hju1=a7|cL4#x0T21DJ_IAz6x5u6Gg% ze4nlio|XJ@=vJfo>l?%;n&B!B%r*C?)B_G8k58VHI1acH5H+k9Od06g>k32nyaPga z)+RVaV5vpxkb7v)2%orkK>}Zyw*3}5ZgrR^~adzMZLLVHV zsIK5~?UW{r!L>IT^BnEr=P92kQ@Dd7^5TbI8@cl};gMwkoKlB&g|DlCm&$Jg3LpFb z05ODoD>Ixq%oH!iBo&=v#nbB|Bw>_>7+plC?jkONC&8Fdyeq8jsMkE5lg3G6WL4W4 zDR6>-agf`Oa%GE!KxbAGd(CW_px7od(n;LuvznNiEd9?w$LbW$Q8Tgk#v@Z$r20%W zB?pXMfAAoq;v2MlsYQx^a@BwmCIv!b%yK`8i9IKo z&G^S*Q*vg6)_TNg-M=OPZzlrvqvi!40SqA`whkV~{^Qbt3#_kYf8h4zsqcrlNyqQy z$k^Ucidy0f0^v46?~F3s!wUno{mDvP;yDQi`4=_&nz6Xh35nwY2XDNn_5T2j8x=p( zxWS4-W1*r?IS8088-P5tfaGw3ZvS$yf$(mBaUX;1yCdT3# z93mba;_NYko^Vg;gf1QrMjKo}zWm|>Fi**YK-q>t70Hvh2}adu{{S+MApZbc*5Hq- zU^Pe>iid^%7z}xivc(G=1s)4<9KhUBo>Do%03H3+06;1M{mvspj++zJ#;U0H z5~%xfE%2_FL1-8K!USrx7)U*IVv3b&&4OPB46K5ik-Hf#exSW^2v9Ab6hpn9^4MFQVMw$l>khx`VuZwcfF#mFu0v_;9y)3 z=oR2x58(>5OR42^2})wdYOGQ9jS{+Q3B*qZMhM)Yrcz021OlPQL8NQbh(n-BV}0L7 zA|iA`@#Fh~7){I#0l-T%HVZIVlp#moS~3c1ggqq#2?mQaKyy$MzY6|2xk3|~8{Ps6 z+g`ml2Tq9|s&QrrCmylX>>20|UFL{-DKD2B92E&ApZNIU(i3E}P7jqxX-+L+-US1{ z-xv*ay2k1fG#qz-xQdMmk9wQPjR6|qHZ8z1gL~9h6lF|^JudLg9+m4%4}n)mURxgD_)Wa!m9%k)hLtm1!KTN_1NRIXr6o>OyC0oQE_Qk2#oEj_)M zja#nc&|!#sU5Wderf8;3UQxm6*hft|#}PzP$y*r6H*R)(;$`f>enENSKX>x-JT#XU~+u z@B^WPR!bnP-U2hGxKC;A!p)PS=Lgez$Y@Ci0Fk2KpO`A6NsE_3;es?hWn^?_*rN-S zAkjlfv6HX)qfj_4!aZ%8-5;0XsM-xW*qT!>onlivLfC~+hd&Kba8~5)BHM9Q!9bpm( z0gCXMaC=<7KZo3oIofpn1lLr|xPbZy4zR05Xp(!^!-aR5pHMkJQWQ zYRp1e%GxY|~Z1RfYR z*eQ~#7kEKa9XRM4hL2fyR(Z*V9TO3Vdd`NQFd%X(@y$9Kt{@%s{{Xm-clQ)OqGN0LV(7t}1v7KoDkia-yHK|TXpLUt z)O;=o6D-p)SFISB`a6U^2J4xi1yB7kT_(0=ky@E>w3fvH65W-Q7#$^(ff0>{@0B4wTkzX9h zK$tBDK1?AtTZ|G0Pve4n!`yM05WbAy#h>#AiBE_V+%Og?P5zi_*Y=`elUft;z)=K z{l~x|;}U>nH1oTff^WZ$wUA%v;KP40n*=th7Z3;wb$RJBuTriXjYoz|YN6eCDGTD_ zvIxgCGXNrws1NpGOQ5uHtC>s+R{neTWt2f28(uM@YVu+OpAuk#YIAv^XrKJnM#ZBH zp$dDzcy3FAPM`kd6u=zC0$+Z5_j&l|FzCENbBRX)9zL_dwetp>M$61Y<^&>Im+{R8 zLk!rWC#(cF)@j1&-_sFjBIICsOoQY90OKnNcbFdU^n{yI{bOb@?mB#{FZNj9s@i@VMal-@^@rXbYBZ=1(0gs@g*Do+3 zI_NtduyB$MI3-q?g#1>uJuqy^6BFV#C&_~fXhUWJ?{O|@NEpd5Xht@-HrjAS7vE9E z;3X1)Rf11=zhfd*wWm`BtqXlYn*uQ*iYRP-<7!(FmjJ^9#2Nt}l|3V@jkG$4;J9E> zM2IOUwm39A5l9R#1BD*?MCzSj-r@{R)Pr#?S+;H9Yv6lwJRz0kONc0|pR;cAF}|Xv z=@MZOp;Jp@_;agw`fc%qT|Y|i)yogBlc6*^4DfNLurzw0@Fi|-6|j6J6)J#BjODR) z?ZXtWw-zTz+=PKfTS~VO7HEmwbzNw#Qq>2CiN^ZDM0Vf7fQ7Os0A7M% zgP?e8P8iI_Q-S{gxKO6h8o0r@$aB#A#iUFJwVQX65Vh_Gy7#N~@b#4gVpj;9#iU9;Gm7gRkT+`0TDd^LQm~=6T6u6RM+<@yfMS zAe*~z&?B>me~5phi9_V)H9Q~Tooyfl_0`38hmW~n_b4*hV7yIbVv`*j~YO)tHS83{D5a<(lqPV~hCM0ywc*2YYdh85Mtylj5 z9FZzT{R|PHJ9c_Mas(f_IcyEBn1U8(x_~b7f~kMk435b>OxIn9?7lD{IoupH^8np} zGTq2^w|D}Rj#$|4G5m~( zxXjssLYlz}N|XD8Q$pL?@?dLR8^nzC{{RO8r>wx82eiuGL&hjyzxjv(M|fp;T#Y&@ zj>|n~>(1x*$&flZg9Zrg##Eaf;~vAe6|2UXoSQsT1R;y5isOk#T^8Wli%W$8hVu~7@Zzg#5@0|BS{$JT1O8$FJo&~a4kBQw zDc~~CwI|hii*l{L3&&xbbmhJ zFkPg@PUL+2%T~A9i3oXfac8#-=0GdnT9jx9%hkl7N0uTg>zPe}EW-Dt#)Eag!w?3O zXL;)p87Yn%B=K%WpzUQG2wE#Tjq5PrBy>a0BJFBj?U81F@s6}0IKU9 zfrxJU$TBo7cs+e_t2CO;)?nb3G#p>*WaY#NhkEY-1ZvE>PGFUA;;Ok6pj1(e={PD< zJEzV%JFYBGEpXfMs2a%SL8Uk@>*8b3s12a$CyWAuVGK==!+>;#hq?+(D;X(Jm_l=s zQ({hB0)jlkI*6<0}2FebWj~IiBpKU?` zNjy2YB|p(w?0CQyVj`q!b{xPc5GFZ2sgCAi0cgCJnYD7?)U3rbD-J+CJ*EIyEzdp^ z*kmhafSHEYj0b|7uK|vmkUeA&5A$2b%-aoF8~RveBo=hS&&z@ zUSpv*DtinsS78|`Xs*UY&9R{q`GjYMNPEZ2idkMz{{WX80x=8UQwqR} zbJ6c9a7dWpY|*73?7=pA)*ut7oE`DLoR}-(UhI7?GUZDGQF*^6UJ}$MG?kcvJM-fTz#!*}F!XGgvm2_yDm z6#gubttVR{0|3bIw^n<|8$7+hu8RE_4#N+bePstuk=6y2?(nYL6ecjE`~1L^1nOdf zov4qV!xy=|7+6QY!}B*QwOOc#gWeW9E6iw$+v?@ju=5M6E?*BORa*6x0A=XfdB%m< z{{S&dCr5l^!K`Kx6mC2WN=}o5h!vn9kizM>8?FS%d_s|jJGgTe;zbxHS^=ia(6`Bi zF#0C2hI0%C0v!YC#b0^vhE-JWn?CAd6uY=2h#oK8X6o0W?g1dyuN-!++|vrJKCWyg zo2Duuyid2Fp~DqLZUL0Tl(Tl_1P$_H1_&89rrbopBqEI9 zvR4uhmK9E!MfK>!gT;3i;h79etf@UFG=s+A4VJl}o3URw(L#8*u>Sy888{6m+=6Ok zHVvD}LT7+KxMuepxr65>JmHV3NwfQFo5GRCZfMZR%u!Q(`oi62kqYh5v{wDpWFJ>=6Hleo&TGn0OHWV2h`&NbtMjsa13fY6i$ z$fi%I4?xrQOOEAEs<`8x-DZl1PFNd70S%GNU1B}pN z8moDp3=vsIZYVI+r{FFKk#6u>zd`NLw-jmE z{{V18T44-@H>^RfQ2lj;a)oN@xCzm!<%mzD#%xWvs9UoL8r9wVhydT=GE>yw(8doK zf@3lM`K~CMH87b*k^DVx5UNYeW^R`O1Q>uu7(*$y@XbflCC8?13FXN_g_tey9rzg+ zd9gm2gfv*5@g=RYB7FA-gGx7vRYTbrUeS3nQ-ahiAm zKMcIP3O>0E9gVlIt^y^?19_`Dorf|~X?GM?2MBFZn#Q)$7w3#1G{(4N(6t`&rjW7C z07iMjeVR~wa2WHv04IzH3VFO@#85I7?CwIn2e_=eJaL6IgVuPszTq({3*5RILjYSX zgGu-E1*3@7GQxTFl0&Z2!nI~uPM`r~(#?Bu-8x4j5lT{LQbAWJympmxxP+pJlU`he zbWR2efxv*Gx>C?2+vg?{SOrtze>~x=pca5_C6&PkiojRMoL@M`%u2em?O#~Ea06jt zI41~4u&)cutP$a}zB5txgyy)>Ik>U`4gn<-qLEkF^MplZQ1`LGX6+Hnh>_LfEdbbU z*aM1ofNG6Z73uE-twR17%*pN$RTuXpT9(b|uJKEdw0L;RL(;#mw;LpmzHdzUW0XdC z_3g%Q0e=Q5s*3nT7&J622D$euc0_65kE{mfZaW=;iUZJ?Dd-Xc2W#lWS&$n+uVI1{ zY5~LBeB7?ZBziFxLzH;%OlKZjibCEK`z|#hjd+7M8&VXzR$x8(FT*qyWK_klYM$Ww zIR$N4+u$-rMMp?U!r?%J?HGZNP5!eJxP&UFhq#YhIy((R8C%4a;)dX06Qx}oN|axj zXJd&Dw2J}P*>aL~2uJ8F&=a-lvvkmn99Pa8JdoVy9Ei+r4F?7eNQevnN!7ALY&2v~G^i}nGWDqSu zz>2g2Jb#=pb~^KhMT_a6WNZywBdu7i^m@&p2LV$Cl>kp=$TfomDD)EJ5Ep)N4SOv( zSKcO>TQb0>;$R}^+!XjOP^Tjh$RmLx0i#X-00RfsA#xGXR}3UV$EIY$hyLnOG9Y%w z>x{$-@$vH_2;xahU(NpEJqWe~iX?55i(P@RG<*1BO%f;Q!5T^qC@vGrAHX3N1kq8q z1PR06jHnm29g6sHWeHXmvlmOg+(kffwthn%6aygL%|6%v0Kd2pYv}x=g~s!BkgU<< zA(dV?^{E`_wVt||wBj;=yFc3T!`S^lH#5XExB(hB`r$s!{{XJ*_W+_ZPv!+9w0cbQ z9?rP71DkPB6vJOEx#J75F(lun1tEA}=G-a20)vN>1Oh%L0LM{8a_Ms_xN+}*4k$)e z;KUm0;L?)s!w4b{u`>V)O1i?3U=@#L0gpc%EOqYX1#i{@TjG3u;C7craZoc6NI(R^ zuh2iu;?dB1`|Ah=Mz_631L(TOR3rG;0wB5^3W=te6jXR8d>E>j1wU{|^Snd~+GPz{ z5C`AGE^hXJ2Q5uVVjBZDp<-)B0(!=SRw0UEe?1sQ?HV0&3KUA1F{ySRKXNpt7Q3i>3`Byl1hDWvvDGa&sA;>(ggSY}vusoch8^-q2mb&CR3;!lSN9vx z>`Wo97$%WE<+`JN$cNmpA8{CkaWyzf;2Mf;hSnExab7*Kq%_gNHp5)Pw(e?}L= zw-C7KXO9u_^B7uo5$)Z?d$Z1U6Tk7vOC{zAS=S3|1TXn8ct~##L(>^_YGB!Qyxh`C z;g){lgCdcbL?td6ZjUBV4uqe#9g;=#9HXn_hKG!ZH*fqh!Ti~f?60*+h$^tCUq&K^ zK8?IF59PoOA`S@7Bb`u>`r!sqt`cw*q~;jHkId>rb`_jJ-T(%~NW@Kf@tYG5{FoaG zkp2E#b_WtTHH;-eA#U(Yc~HnINftrBt8(f#=)lEiwiO!{hjNIa)7g!t+k&$7KZcBf zJV0?kx$)fCM!y~DayDoIPqyG~;N}RgW6UdgI9fu(kvmL8H&d9-Z>+iPlsc;S^UTfF zmiSDHjtFLUQ%*$1AYSOgu}@Ft7vLc9aKSxXTLMQBRvIV4gM?z8`+x^Y%t8wxtiOV} zxUAf61wEz35UscH;XfPs7G@UKMRBr148mOz9!85$s=NLsXjBl~!y$4pxIj zT}>{#E-kbM@kgXKnR|96BEmkIlsmW;Ky_bOBJe~=#y2ZBlbRwYry3ZP6dMt{rdEXl zg*+x%FKjhH>Lu-teQ zmc*`%84m6nG<_DyJoQYw9_+nI{O55rNE{yBp zbydZnP;cRxvQvG-dMK}0RKaA0L>@6A2lr6Gkb4&fN$wH^#zzo6K>LJ-Do@w*AT;Yt zLZ_Eb9t6)Z5Y@{kM4we5eBe~zIxtcLU))3hk-Mj-7!@4^WFtbvXuSX>b9-~E(zM1N ztd&ANrYaJ^D67|*f7E#+X_7Kgj+;63G7?2?s{3+BK?RWI4>`C}%JO>*5Me`YVdxf~ z@GkKii26q%5j4exPZ$){dljGswZvEMpM$?m;kXTyM0Tt3O@BUzF)Q3kQEn!M=+<$NguX_B;G}=Ii>4~8v!a*u z%FBd7Fu>2GemT%4gtr!z16$2rK15XV&KQ6Rj4(JkeJ?|cf)c5)TKX)>4GzZvW|dz~ zGHB{Lfw~4jx?3wim-~oV1wXImG4NONCmaDlq4ec3mBJ|g_;Loq*lBT~(Y*08T73kT znFzC0#07$%_Xh77uZ9F~#x)OuCNKck>$$`JnG8CwtHI{yiUCaNY=6Vkkv&A;yioY^ zHugCxDicy*$#wUSfMb+IcP17Mz|+x`+k}%0GTT={=M;#ZLmnX_Xw_`{mT)jCCX)~W zybKv8@@=Ina*c4_Cv_0v>R?D}$*&kR&>zoS#~F>_0CsDVSEdHG;FC55E-=m$D%ng} zk_;Ba73r_cWYKwUbhIgfmVNP|YQ`4)BuJUbKLT8>C{{Zk9+B=l)3PI=0-(E0AlT!hM8aIV+0z4ng97gD$s_)g*{=<$vSq7J#M z-v&m%yTZCLU@<5@;>YtS9XrfW2F8E*n6mlBW{$Y|2u8RK4b~t!K5^1G9AkrFYp+nj zAk|*D@}r|=eldZ!5eTE0Dw`wBG$(_(JjMn{X-SE+OMK-4?;8*~T#)cz?hx;o5n<|K z0K2(SX$>)|q6lS3kk2<~+&zQlt}jQHV25L?j_CgYKXDed`f!LFJ@*+z+4IE6>j$h_ zA~OIxe{za4|dlWvReND>zhxR6rlyb%}hO3_FzHJE>WwZ zkGznIDTUk1Xqyb7KxbS{q_Hla5%n^%&M}r$hT>C!l~n`(09%0UdO6QD$xeV)c~cPQ zb7~ed7MqCs&Z(A|3p6zDR5Ew}0C7wb>c5yPb!1Nt4rHaRh(H6!ypCH>ECAt#Xn_^= zUoJ{+9eVMM3lREnG%<^+6GX&dKQQ9L=_O9pM-g>s1mFkfoOWv`NRMYpl7Y3jn<#9V zvjU`I!7Q&>3PjmNMiKRp$g+}HsE50(4!R=AcH?ZH!b1nxIe~Vnq#iO$=Ms<$_6%;= zP%uPMV^3!sbU2WUEcWjf_vK}pr=`FdCf5gxpv5pESYFIf#3JVjwk_scvsdr9h8oI1 z3*^;TvkNZNu>E~83=UdbjZ|F%kc=kSBomk_`-F8~5$LaS%(`dVM)mF&a5ZCu7$*^}s(;bP{2p66ViPtBA|l@_lAB z>eNag9s?*tK!>+AZjd10n`W9&$`vXv0`eFX0ssKci;at5D$soXVi5_zQyeU4OSt>F zvuXeuj#%)yrRSV{03qV{_Y5dUK;(VmYCsM8;DgKv^~IP~g~4iRCozy{=ye!GGhPt@ zKRx3}E6%}@Yw!cW^ur`kktyyNEp4C%!z(BrvF^$vggmkP#d4tq3SVwe9fC#tLj*#? zNT1Kc2GVK`SJR59aE)lXPniaV#Joo&nWJ651c?lV}Bgd zHnnqVb|$inGMNd`ue*ZyI2M5andRgMc*hq)v-?ggezu@pKvrk6{U0qeLt89{HB?rTV34Lac} z$8snOA>#u|B%*fuaUgp8#V+Gw_=YNtj3lZQd(_;)(xY{`Chj{IgCumV%@UzFNZ>d8 zHHvV*Z~!g^PfkTD%f}i#!~De^pz-H6m?K_C({MT=e&RB?H~~@kpX|!TtDAw-{{S+3 zg(Tc;%7LaZt@D69ahBA;^N4Wc6uT~e!mbdba#r&w1ag+@36?15yn{yJQj8tCQ+RMk z`-u5em~HApIWX7vESc#Yc%~BzrvNp8qLlahf&p~Rj=Q*n9>%vYR(I|wLm-Mh(Te&o zFZ%wl94YHGRB48UU2g%*L(xA638B;`F0JD};TtRC3~Fo4Kpi985d$Fmx&HvTh=1?S zFaqt!UoGxJuJX$Ti&qyGR#+(Msm z3UACA8;Yaz5fvUgv8W^O6w5gDoa>7xYY+*qnV%Qq5W=E;oZ#SGgido51*zZHB5%2X z0WNnU9QT0@C>lrJ2oi_4AUy$+k9*@02B@crf|=zRefgLwiy`MUi&dENd!T|jXj(xP zVBkCEMo;v{+pBE-llzN2mBgi{zlEvx;6g-!fxv|a!#s}RLk;U#bVVsUb5vn=Dq#S- z;!q4B=NE?>o)@El=&&(Sz&v>|7oeryA${bg{oX+@+$bcKqm|fIb&chlZrm`i{V}jLq#XWZd5(-bRw*e}ujNl&eHn@O-;2cPo_XK8w z>BiMUYTo?H2np+!xXR&xF+4C33QR|k5g%tTY@#zsToF2A1hdvK?dnSN03B^`Ocaga znN+G^NmjOC5X5(4i4!`Pu~!bw;=ERtoZQyx%1~QUpP3rK?Ig8zw-a#*P`mfvlLmbt z5RB~%yITV(85P{pGXWnMJ$TBAHd&!``W~?=G$c_an1?+GTzQ1jIXsweq79A27zGhk zXxs+UkD!(;uX>vht0zx+MNLiX!>B1AkB1g6bnXo-XI9;=Y*6610c1w`Z7?v=aYRlnie#mT;r5<5fnwD5d!)f& zREqIl>i`Iw<-`@?P*{JfhD4brCdk_1JK2Q3{p%5{7O}xvimuDETEH5HPtR<{MlmpGBIyk{ zh&ZO48JS4Oj5r1W*P~hT>Q>##?gt+@i zANfvVZ|vyc0WC0e+gASoaPHMYZWFsEHH}pMtqer5Bg=1RUNbAe!26`vWNeD;{j{ z;PDDciL^biZoY$_V`IzfAd6L)PSaSt`oIWTFhyj2czw9h**ky-=Wv6fv8jLc$xwKG z9M((`y>jT}xg&7N--%ZQLyjBkPjb--XkscTwqgTtDz|)Npq*}GZo`mX@x~InM}B4` z5Hf&io^kyR#Yk|!*)w4IPUqcRyc|xBH3%-SFo-dMrQ7|Uv4LO{L3}*i2@g;F42&95 zXUO8?`e6q3=L}g}r0*tgPRfoWP-Gn1D>Wtpw8kH>&*a1)1Oj&4Hf%WVm6rfn-ZFB4Zv`Qsa{<{2FU)XYe=?)4>52y44{p|Ttp*{Q!FrQwff};rtz=(V49lA z;$ue(JA)Y$eVfG+Px&0^HMTfD-^@xrK*vji5B0;dz+zJ5)8hm5Gav+*EgO{!51c~o zPR(;v2F93D1*>sjuJwXhj)Rg~tP_bNa%Rn|f~kO}cq0{FE(f7+4P&+em5(7?k{T)VJFGn|36(=dUPD@N z2$K~gFu`sCFoUFve+?Mh2B;6FAlf?X-$MsN;~&@F0IrQ=l3+XZ$_HZ(7{`* zl9ls5+=vBZNBy~^78=5fti+OiZiDs3p}{dh>DB;@`k28Z!82mnb%0Vno@cSZ@VQel zdbJL-U<5SChybbl#55e1M9Lu%xPcJB^feeeTb`D^V-e_a3#4Pfq{3IB9}XZF1+z#4 zzRpP)5#6!>0Q#8INeea#gK*n<@(}kF0Rj`5AoN;AFJp2yHtZ52^h=09tiVXpTwQw- zl~Sv4us-FV_b;Bgz&g2%xb9JK)Ba7Ly{7 zDkXh}BUV#C4KSWdhY54IwWE(t~NtX8B5lFLkX%^u_eyOr0Ew*XWusfe85 z4lnlBd$F2>hv*l55XcfEUp_G`I!BtGCTPG$?P>dw0icC3{7iUE5m)F;q@)uz4${Y; z+-RcLDWSzn>k}YVabRS+rxGNpS7pkHNdExLiC%I^hYV7A0&6JGfOL!O#QA@iE{fdn z%f|>8qX{<(tb>|Fl1I}4DkydV!Nc8`{{WnfmGW%>FrJ`hSPjIo?g5f^BGhI=MBfQg zF55BaM9R1n*3=J!E9`O$!0m+uNj%_%jQsZEu)c2O1Q2_LK}5!qoVP3rFFEzeOE4H? z0LCMM{@_!Kvlh@{+yG2M*+fl7<7YZ&+tx+J?+%gJTS>-I1A($Q(foW8 zBOBSw4mv!@i-Z8B(wH&Pn~pL8?h=|JKez&p?{f5Oem>w<3rI9eW%lJT!AJDPSvvL>`z{3B+W~C<0Ok(@ z4$NVoz6>?3u!0@NT$4xVo0M8KRHQHh$8G&_mF6UUAYiUSA5LgJO=M&F{{S#nbk^gG zcDs=ICvcm5{KV0hM}WcFHnosGNk6!U9SbwIudE3AW3jGMql{rc7_Dpd$XFzMSP@HM zOei*W!Wc?E%+^7^Pgda5N9H0{j~LKZF$TB^;Gt5=`>7W9-xVv zMN+KwgQ_iy>x-3NzzWwJKrQ_j65)?AwQfi1P2<#xM!Jq{D6bfhrN9L#{{Y;nL2bmX z8z|r%yJzc&ZSFIODOUhb0zR$Fj>d*)01%zPwx+v*5gA9|Hr(Y|03UdHu+2 z6r{xoAtS;#&(hp9EmHm&R=|A;!v#||;sDuNeqsnm*z#{T4~7Vyw7Jy^^}&Qy)UCr} zZ!8N-7weM^3fi|;hWzBC3ItdJ%$C&1d2W}#_31;{$t`cZZrllTy`7R1UGyD_Tre2=dqa} zEcJ+&wZ~&gz$|9i1HQ*tYMcn4cDTXw8&*sq)Ij+6h}29R<85ECdX zV}_YOzHkr%5?1&o0E<`!of}Wg=}a9(8sbSNQP+A|E*jA2slK4n7)lQR0F6iR;4gqE z8Q@JAc@@qfx;?WHC(9ceEx~}u&?sFAvB3)z5(MIFmTkAWqj8O-X8HmJ(AGzi$B%?b7C) zFSJC!kw`2@zYjPVC{v-?KIYdk>Oecb+=Ioolspr|i$owoQ1!!5yNBq-%_&b; z*khxb55bmP1q~5$p+Tw~H*hR%G4Ht3QUW@c^5JT0wpj}74=nvKd5i}V%+O^cWPC?G z;EoJ!YGR~`((c^>nlEMN(9Q(B!FP`n5x1HA{{S!niL*3L8D3tOSY!%Er@vTeUV(p2 z+)GzFN4Qlrq%cwYXP_oB(dcf!#^4P;9+MNiH@sK~BbB2{X~-ZaNr4FYWYSTG>G_91 zP-x0}xe#^5;rp2!YbP$%-u~ zWO!f4x853AJ$TLp)28Rm<0KpZ0H-1d2mpcdWIDN^ym^s&9RC1wxsWCV;v;&nz$+!j z1-eHE6j+4HrKC+$plLR`H*9sai z;C1f~o^BE{Xt-MYVn9#V)*_36R0My`Hxy)r{{Yu`ZVv`BU}!@ZLG_04{{S3l!C5oA zD!vCCP%)wLhi*0)>mQlG*w~a=g;MT!6%y@y;R_=79S+3?byd~~*%^pXA#ebMIe;#U zHe<2OCjC5Q2KoD=4JTSpw&E>S->g(xi%)qlNku0{al%sUALb})*!j#CZhA7r^E;9U z>w=A{w8!d$6gV8j$AQWw5lvEianV3dNmq%RMQZ$Y>A_GYy5to>gcvThh@s)lf>QxV zh|?bY8MvwOaSJ?S6-M4KY{^;czm91yw<1+;Cw#=X6R2T@0{q5-Y7pAYTmgLI{{T)% zZ@5q;3A`Jb=tQ`I8|M)KKX5-TE%*3f4lDB!5K}K3rVyUyuthE;61N}Eb;`sUsuMPO zXs&Dq@4uN*TEI~E;~Xm0$kXSBR2B$h25@EPFhMEAWl^gc2+euIvZ`E8j6h2+P}!5E zGr{KgOr%s?2^AO7F!1b!K735rHt2dVvD;$!zOvFcu38$3-~v!0kq+W?)*^j&R|@C; ze8I-Kf(N(OaeucM9s`KenSy~!TvLPVv#*>0HKBlXk%A4vS0hb|qT>Xld4T~^p@bqS zXVa!Vc+h@5#e+AZVrch(gfo1A4%~(A1}zd05zR1Srt*nWMu5T~V9w1;aHVO|V29gR zPEseI-!&Qx)A)xiVJbi(5w zm;(*?$rOdQ18$R7W_NmXE~n2JRu5#ylBKgDL`ugu8zZmg3p$$o1~nZC6CEVzXWfQD z71XF1Wl8GdyD4le^O1!#^OM@v$(kE%{{WsG0gRGfm`oju4P`6VD?ep1MD*(tld!}p z$%KTLPU0D49BONhAz#b}ja9FwBbqOqjFZ{;;0HpU za3c>sJvremCQxWVn3P4#Vksy7>pdb%aEg-$quJ{ic)a+@yP!>C0I8xq$WTB~QkBM8RSMujuWbB4ec(d`=eLXT&S;M@(wbjhaJ1$j z^kao7=17{=i78$HOTauBbxWXMVR0zs74aVCd2WUD}W z9`a~_R~icKRbFR<13j0>V*8^YjUT7ZNFoR*QIz(W%{vnKGVL~KjhF+u}ZJUFK zykY>7PY^~=TxD!*Puyas9%yP$=JHZ#q3Ld-6=1xReZF{tTT2LYmb$_=AG0J|^0 zI32me(_rIKRsx#SfmZi~89H&GMHa!ht=od=)GVXOkukVkBuA<+Vh|j)&`ADarq3Oh zP>TX!Y~o=9(OI{DS0|_tfYKt|1Uf~!OAOd7zXZyR#4=c6bhdB6`sZmQ$2GC}a2_`c zaC>gwVeG)t(sFRt0)z)24}{890ZLb5rXe-~1*WOtJz@Z07W_2;!cir*!j`q(S%FII zb102#UoZz>z@52a5di$@ayk$sYrz-Gfq4QD;YGPXj|ac9x!9{f7o6rHqO56%bvQYV zz{*FR;)zo70NU4KjlBgw=00o?!aP*Mbt+N_QuhG*hSG_VfE3QDib9G!xmDyhZ690| zY~z>}HFqPFtQ0V@c1AgH(L8!F1rAqiiU7PY!H1(P2wt-q!_84i&p4Jy8(dvCkw7O* zre6a+rNpMQZ_^ta7aJ8dVUbG{)=rsB=!S9m5paALIt2+2yrv)$6_`rmlO-Y`;Z$Yb z6d)QzIt~ae8qFr^-4U)XVV+n^UInL<2t31%1s>?a%mdKrkSEuy(0Z^O}&QRDvrOprS6<$yGl zy*-Bq6e->iUfn;;mD8uEms`eA1l=i9Tgym5Q_OL!2up9H2$i;2IWU-4lIfH4!{v zK%2Aq*yp8VMjaJ&i3|}(&y0IVdiyR=6PCX6l%N`9sKf`W-7ra^4{j4GHt-mcq{WzS zGUoce@$bi{6WRHV@Wx2g?l7{1dj9|}Yr?NflOt0A!48 z@-v`T03&$df>w-6UA`Ht;&b%7{V)Jqcb`$-vMef!FvKgA5Q^yha-#k*0fZ@m_+r&^ zWB&jQUF(=Yc)mRtM>viVMiLA$I1zQ6VKwFV^B6!;>sSgY`HQVFCy(wDdLa8_wxD4( zyfw>w;0V_o5kXSND07}^9kz2LO5kq|Ym63Qi`IG2} z^}!F4zHlI^4dP(2Va8ossamcObcXkU0(0gSb5d{CC>@B_Xbp|yhzPXT<^V`|!q=3< z-Q!us-y3y_F`D>s1a^^`09XUQu^{Cf0Y+RP z==C$oN>i>vAm}OFX;Sd}f{1YpAVk4m<{4WBhw}&|O-Y@cAjE0sNf;2kJ#o*3!XfV; z_r@be`B6OFXwd#Me8~$!e;39eJotIU6tCb1ZV~De1On5%vw0Xf+om$A)2W2aVppuj zYs$h0$ufl6gG2KdiQL4<9%sA)6;7r?p9QWTI;3`L*E=UNE&1o+!xXM+%JJyKtb*ET zWb=iruz?`-<%|c>MKRQZMl&1Mmn?drDVZ1K$$<$vi9~vR;4X$F2)qQy;1ru%qst-8 zYh*=vwH*D1)jEf>3I=&-Ytir?aJ|QO68z|x8H5rDUAACLbdqge2u69uC=y+mZ`+I| zU4Wkh)9WY|h{i2p_W}f!bh!;Rlr(KOP}BX!Ozuews1b0D;nJxg$l!YnA^|r*!r@@3 z02QO>DMN>GI^PdW+%!WqDRng*ISmq(;K&X<2g6xQbX~rei<$z{pTF?8qZO;o2rwbdZZgyfCk5F!L7-i?0*>T#|>ws)7ss!3A!hNgTPQ7@&&kKZl%% z?)Gz0S(1Qg8t5{=OaX4Ufex5dM$ffm-d1TX4@m&(j)S z1lOk_(r81_U{o~$`INC;n35H1Rhb9HbbZ0lgrTc4YG~=hI=SExe&eAnv=ye#XHuci zSQt?Tlulf!4GHL2`OK-dA2!<4VbI*c3#V__Uccv4}w*rO2_$jVxj zGC4NCO52(j?U_g?VOH63sOYl8Hwr^_%kL1V z)0;tMaWRI1IY|8`8lc8qZR_GdBS7)`# zCu=cCakz!hoqpm`O6&Q&V{e!400@-az!1Yu1dUcN7!ZJdwQq@y0o$w)YCbVhpUmOo z4w;IaOTFNvoIA~-2~Wm(uwig&qc`Tug&v!5(a-k-yOaL_b3$EN{oW*c*K&bV5qGps z;!#>Tj7AUh#!=u!9+1yc!3*aS(A9Dh(OFXv$UY$d085$@HjSjtps^44%3&zdkZ+6A zAupVgqo12Fs(3YKpH4KDX~Glz%n%4WREl z;2@gunzvrC4jLnJd@LUoE(VS~;=`U+z&f-D5d~ z(P7W8vnW9phBSlo5b5Xs{h76Ij~KQk0|XFF;U63^<=6KO2yvR*cc!t3=pAr2;=V8Z z%8*jIxu%7wf_WborV<`Nax=^6;}HrS%&Jrm!-!23zZKe+yn~qgM-(T5h^X= zFv021Q=x$nh3eurW>C~Kdi3O=jiHDrNk6#qzD$M)q15IAxtm$tc-9p?(wSgF3jE8u zaoK|qCT$o005Hh2<_z))Tw2qi@3SjJC|%DOB28e`8*-M0hM7WbVe{4{fVgdTxEfcC zK!AXnvjC)({g`d%9kNppsgk6L$>hKoU}?E3y|_@+1`TxI7(4nVJF|^S{J4Uz1O00d zr%oi>iSY8dLWCf{rce;;f83V_Gh_b%qTopypWoLYO{p3>@j4ed+Ecnp|OEu zgNh0QP?!L=8?&C=4GQr+AGziW4j}Tur=nbDnsr`sgy<3a<&~=G$?ceM0f8oX**)U` zE1I!OG60Co$$wl%2qv!+7g#B%-}4<#AYNXnkbs~2ewnC&-tkCCB~KSvF(sZbAmgdBvav^NAw2QRq2Pi{+0tm>4FL-V0i%YqxsF z!>V^En+s~b<`fRC4w-o&H_VDG5AAnwWj9URq+)WIh-q}KzJg(eFwk3W=)(e-fYhLj z*^a!eE3*X1Yg$wvKE%RL8OpW&o}EUNIe|g=ycF@TI3J;MCdt{>mdPc zK{CP%ponmjFcOtxbBgz{YiO!rsN?sN08`d1r_@$KzLA$7fY3fXzHlTK0MNpU_d-q1pr1;1d*62`lofa;@Vm$9F9FI)CA!6mlW*MDdh2Rsxd;; zTdB$86x8_8vWhM1qc}Ln8j^$u6vSY%7$NYuToVAJSI819$Or5;grjOWk%mFlj}h393#}EO~7L$ew zppjf63O2CB9@*u@+H@+xfKMpD%S;is$hbVI6Zg(m0nuB6*4ommdrWMY-btwYc4Znc zZwvDLca1urd8T=zPtKlmS`-iT#di!AO`n)sqJ7OOu6jS_ zF17yvnY?9;Gy<-Xhte=^3TVZa%rV2MkfNnW46rOxY?D zgXow6CkRpB%!L8uC&w36d5IYZBixWsLhp`LaiJyy`aiwIlcd}6$%)8it^-&}99PUg zzVQk!Ff|iFl}4#9EL$IVAsSQ`u~+@){{Sd+ID+Ad_MMy#8noNP*W-vukRz5Q8vg(u zjN7)uO)}y`__WB9AY!Gj80?=dWZKr8l!M!(*Y^ND*Ng(G1ckvy*HhOq0o=O6qFL`K zAZZMnO8)?c0wb+l)S;)GBd)c626O?dmRYzk1Q+)zo-Pc-=QYSQe{q=~SpgqoH&VmS zSgpbfJ!cHi`?wKq{pEvQhYZ|JGY}qqu)Xx*f|F#x)$MW6qGrz^sq*~9RvX&?0FEKX zi|0Bt6Vp>PDw1sbf$kPK0!E900_wP1P{sO5Tp4{j(aP(&BQiQiWR8$|UmSe#{m#H+9SbMz=-Me7hkr7mq> zM{;0bhk@Vkyy)EDa*I5sQ3v7o2(C=*!J>i03*Oh9amhU4T0^BTj)Ja^@ z8oqJ>?4EH#VOD7-02{A~k4~G+R_-DCWLIm6vFl&B$WZl=#3KYHa2|9+xwWP7b!IYn z{$OI|DMe@dtR`Tk zkNe{}xqDudD2`*Z0#O*`V%ar|5u@kZlS0P;rAx;gfxut|W}z^uiAiHz({~60dT=*1CI*D=lMDw+pSEHn@w{+VIk#urA~bLI&KQ$yV$0OhH!Om5 z3??)r6UcC_0xy~MTyI+;e@qdYm_f^c0JXgT0Nr9#kp0boB^Bn(X#==hapwZ!oOeKK8QNw9p+XufxZC>`t$ zE&*dbdM)2!f^%h~YL?l9TwApZBmxP{kd!l3unz|h04lB>4&5H{*7^wYh?`${wBQvI zX$$K(ONA%M>nB;;=>+llj<72N{1^my+1HVM5v+to%rcgV7U4?i9U@|b#K;M)wYcjx zT%llQg7n4qinIn@$BxE;M;_Wdd0~vR3JQzn*CCZs9kdndV}`)bk473_)f!x9wnBS? zaEbVO!1%fl_5jUV# z=vw0UgGfXJqs4N-CqU8S=EA~XT`FKm--eOx&uX-Sinzd81C&kz?6LTo^ORDhgLZ1J zFjx%t{7#tDdL~klBk}IID_I0cR|>+0$F<%qn@4y=3lVF7DBq*rG}*IJFSg?DR5bf8 z2-pMI%5US04`dCO+c;wm)zGLiu2muJK5Hmln(|L(Oi|c#c`nG z-k&sF8mj*Qq23V+sHN4y2CFx2uS`x1A`u*x698@6LvK*ajc7b7x_j#^9_zOi2<42S zhZK36Euwk4OnQV4{YeaHrAG`*#@{cQK)T9)n9xv*{ri1k!i0!xd2@v8>q&-$;3Gn; zLCe51#wgW`SQN>O4RS>uj^m-d1^nJr9&MF2Qz!!l85|P#Ln?*rF%P^%2#)MEl>&~H z@ZT9gNb3Cf z*JFYj>4(FjV@GLK<26nXe8QMo8hmb3G&GPC^BGjixicUPP-||m!ue^6h`VkWgW6)~ zrd|V^$AiuxODby->P!7&Zdk2YM=#fCR>RSZ$k?mVand91frCLnbSa1$2p}r*T!8AU z9%(EkAVxED}xO4n2}#-u`5^?GCsF>ss_^p>K~{Resz0yC7EqPV325mt0pBaUK8nzCEW=9n=*7|V3>j||872^RfOmnXK{cfhE)X3td}UtZBfNZt5TAFR4u+3$6o+?$ z>FKy`a3sXhh~Xta%mn2=R)>CE@E>(^HW5m!s`qZxTC7(Ztwun8eUtzKkQIXB!tQXlo_KQPleG zA~W@dJMxK@(sgmbsn%T@RL#U_tMj3hLLvNO0O+|{t-z3g%7dI^=q3)HObtDDS#Xl%qISiPTaDHD8*D06B}cL9S2KHy8im`XlB@qFU|fc`@P znV0K6Tt(sveOatPyyJ3s;0tz6;7QQ!hkr4IFad2j`G^FmO^tIm0HJD`0G`}coV;mD z4gj-2)3xaQ!5in<=PzV+}T^%DZH7 z_81ZrJx*U3tT;hOz@)zMpcsTQ_Kvs^%!{inMIPKh%0V1KUm4<)C5|_Ha}Wz1CdSwq zQV|gz0@;KK&>LV{U?J(haxqaC<^0OWYeEDbm=5rI_M38(E5U8<*Mb$*ZH4f@u&>7NfsmpQ-;5m+i||K@ zs$#-h*%#OtQ_$}!;zpGTvPMwilo{_q&2a` zDFA)JR*4>4a5E4p+TB`bffuB&uKyF<_z8ajG)df-L<^DB+Sla#t08p_F2PE3|l1A{}R>!&#c%4}(hg5fv!sqlx*B z4U=WoEy|vs1GhYLQ$UUg$H*UW*qY;rBhjt*)ycwW=e!D@Auyf8ZYToAWTYJb08E+& z!7b1bV?0N_xoRpzu7I5@iw6e3?{F!`47218n~Q!O0~W0f?D( zY;#mxzhYA3#S^@ivxfqrF$Z2u-3^VbD!>LXL!u)`E08_>#J+(hX)R}QJ4dXtk^}uP z5)Sf(Tu_(T)uJ=;xDcQQ@0DgGsoF;ck#v}$j}5s4(KmQASR_(1yL8`*{y7HNZF)>0 zE`Sfbm?W&p#dEldSsKouFruqxpI?Sb5cI!%lM)s?3=?V7mR3~WD* zVNt^R+G})ay*A~i$ewY z;efE?AOWUa8fvPN;kY7u$ieFZG%x}qpC5R4&yHfC-|lV{h3+7Yqs&79v}$r;Q+19d zdd?@H{{XI8Dua0B1*}KZ-nLzi8^C$z6lK3{g0R zzkOzmBIU>dgg@JeN7IffqZidfER5f4A)Ck#`Gi8bVABTNAXg;{v%x%Z-IRxnDvFif z7IN0StL@1IJ=~+Zma_=m!(W@nipXqmK-qe@x1{xk(8vdOnkw|+-|h-3Mu#vpR2%y) z9UF1?CxyWdH@z~#0}}-nq(3qOJkal4Wx(J0k_+=9DFwm5`%B93kkJlPKLj;$T04Ij>{xD?)^Dq^hg`YEOXs$INf{TG(TZR-OOr;Ah@e~^OxCt(% z!s1ru=2WmY9dSY7kO-@DOkMt9Xml|F;~f=B?9GJ1ww!WJqSht^-EOc#3SMKvys(u@ zqk6fj&3JGE2lq9G-MKTbW&k*wJ(%Yf*^RuU7Dwhr%%S4AAc`;l040t)LCt_iHISJP zz3=lMfnWkb6Eq#;&p_F9=71-IDh^v^lBR|H2Pgw%n25B3x&M~ZNb+76?-mh3?2?3l#DKdtzf^7CQL_j>xq%DV;Vl!Y=x%UoO(Yo?Gd}G zVQ@_-y=f+}fx5wCQ1S`dWRj4CjDw6VfJzWijt1gf zFefDf`f!E03EVXcYGMLwR#Q!Q!^TyLr(iwQ^Xna=wR<^=0GBc#-H6O|tych;zrHf{ zh$^6N*Sm^0TcNE1Jz#y88+0Pg&v@U2z%N8=@yiaHM(A=am`I2i!IqzQxFc~*N_8~W zMw`lQV1PuK&)}GX%UO5E2O6n*yuy;Tfi;&ECxIy)n->tf@F5ltD~+7HK~3rF#u>AF zH0>}4;B@zd)HIy;kO!1J3>mC@{{TT}(0JrylnT2F!%;8-t`FYXK$akW58&$ODzDEKgs$Mc{!(SK;4^6<_6VnynF%yy~m%YbBORV%HGfP3I1waHL zAld!G$Dl_;!UNX?MpS$&FX$6yPEX z)7J~w0^XZS$8kJ({_wyst*iCKf9Wxf)})0V^IRnn<#1pUP@WEhniSIC)1xsW5;auH zFUWha!+(1t;u^&PquSKU7STQL8Y&$ZCKPxU?rJJ%6^a&ih+k#I=ud_NLKOWBt|AF2 z#`pLcJv5394>y|!e%uWrsJVL-l@}W$4g99>_Y)f7y9G{Gm@%>`24ObeUJO)Liks+f z?n0p@D&g%^-k4|$M_goWrUIsiA0zpa!ux^`r9TWq&Y?%WY%^$=TGM*3J!XlJ z(dqe@hV&qj%U}hd^zi;*8`;EFHLP(9pqJZ-6kS|`-B#vJ7J14`(Su)6lq|&RpWM_z z+oKV9=Q0HwieoR-%`OmxM4Aq7dGslW?m+7vU?b+>_9bQF8u^)J4IMFHHqOQY zyj^94L|m2NlhWdXWIBiaxk^7+?GY6oG%+eK^eeGuIGRLFzZWXg1bG(ZpO9|y9G2+W ziy%wkroCiM&Hl3{-u`4#5GXiCu91h4;u1Ig!txN89Y<5fCyOdB3?M1uzt<=$2LS3K z*U^ZWYZe4Gg!k#Wx*4%J25qlSuuDw`>xv{ZJ%BQI3_Iw-wk<387~8DG1$Ve_SFbsy zZvu+^@&4m*by@Sn`;4)Z5J(ti3a2}|J1@MD@NY7_g4HY{pS&6zj09m0e8 z?+{9}6%)p3bTDAwIpstfkX}|d1Q8x_$+x6j3N)skJ~8w{bz0$}C^v8s2s1~-H~RBg zu3I~f1>7(o^Zx+yVI&`Oji?{{ilrEN)@U|wn8-kGIwM#h2PP!!_QamWe*u8`GWipi z3<1ODKg7UM`K(Pgc)Y*?VF4>I<|1aots9M?Xc7G0K>XR)c-^6VLOUO%GKs`pXC)>=LSkP76!0?0j^lJr;{{h=9~@xJ zm`i35)2W-ZjOGQ6O=~LaiiTD$$2JAYPyC~Tl?*Y$5byI6fM_1m7b(XKGN%w-;87!B zV|^xQRedfaAZ!K115MiS&6-Lrn2@#t3xy4t1q?vH?paL$MqomlBt75*i7txE%uSS! zBbe5R<)~az-D z5eiyF-c*JF zTfP{Ns-5s2oROMe`-t#n1B{29QD-@dsHKb|;r0Np#G{@7VJYuB0V|9Vgsb%Z!#AtT z^A3onC%0wLf=%Iy9b)lP$@2=6U!>EEQ?23`A`l%optzn}ef-9Fi!KgIhYxb)#vz$P zNeAZa*@#)*2{8dCB%nq8MaN@o&7ySKDtK^K0IYj)yi8;qclyKTg6*<(F{A8SZIAA7 zqCmO3ihuVgJgq|pxyqt;Wldc_+=VrKU?oJ1sJN`hQi*~u2n#MkUm@l9m8h)5HctbC zDy_T1EkU`3_7vK>vSUCMhC2wiqPsB^wvmuAsY+DKP#W8UI~X6PFjt2f7mS}Dc{sjD z-?J#roB$-iZ}0aUZI8-5xdhP$7z&N(;H%p3QEF=?ckLA&h;q3JBiEd0ad{PyPhpLrs$viz(af?cV>@c%ryxXb zBV){P3^$Qd0ckEeSCrp{=CV=EJpAm$L?D0V99xT~fO#0v1G0?J)8s1Thf5 zZA~7=XmA$_Jkf1w_bEVqMXv`Ir^|nmX81@DG}IhH)^fxnFcX1m+z8srj~J0e3c?9* z(|3kMNf%#kaDea=Z-9PhMwLW2sAGhVw*o@KX7(00$JZ#L0sL?RiNt40;Toz3(8D;_ zc%DeOJeshzh5;-f8K7~cpcRn*rd%S+f{Y2#e=6akja%u;-j~*I0*EBpz06U>pHG;T z1tULR53Weq&`dp%r&izNl|fKDqIxsHpynt|J=7!axak4c4Nc<(*?lR4cP_vNls59@ z3eZIIP2x{6ewNQbX4)->#k#};RFlN)m6n)fv^<5g>4u7xlugTA z31wbJ9`}S-oh$N8)CNs%m(!Z57mhjOH9oFs>^$QGeP)MtcP7=!JP*u3D^#c-$%qpM z?cm@7iVa#gKXH-J2}O%jG$$Y;A8CNu1ZOmB7ANgpM41o`w7-~|T`T_jjtUinQAM^I zKe&qYTrFvhVZE#yxRFu;G`Ao&^>CvsJ@bo*Y$F&5HW%06;384B{Nmw7e?;}kJ!5)a zoXbEUOg+It^MEiIHgvN%MJDk^3ku*;K$yUjd|Y5aP0!H8FhV{IND3;u%6X6z-Z+iZ zn2X%3_+SEgh0dT>-$b~!)CdP`>YZY&QDnd>i2g1BE}Dm@HVWQCcpuo19N;zJcw$${ zjk3_&=(b=mr4M|DP7|gR)hVpoZK2l9=qWG{;9tw|9y#?8lSMGtA=KofIny z;%apDJCT4`cLy%PJuVPROmNwsaR^U3z+9^T0J0gf zO~bXzC2N}z;o}91oFd7MJV9*DEN*V)M$_e#5WBDQ^91QPPwudRdtbu{t~S5%96h(H z9}lxC71YKLVSy0__GZd4^8R2Vp)a(-4Um%0_jyqyh53~55QJ*sQR)@JR*UNrE#7Ti zTma2kGgv;G`G!9HVX81KxjVuDkjMMt;fZSth)OOvNA{${VXp$=QfXj%M>-ImSQ`_j za!}F#05YL)!PD5~4=gQyxKd{v+9COKWd~Dk3t;X$fKVBd9|4=9a}h#Zzs9j;SGj04 zcZ^kudVBuksLVKBH0cY6(Ra8Qw&Ijr-Xuyf{z;F&Q!QHt?nop&e$15-rQTMG4$S-k zrR-*1SC=;emfpNk%9SfNTZW}~H!0@p;fw?WQB`qZt%&-@>@&m~X5+ir$(s&FtrMzc zc4!I@?Qoz_kQX94POGo;7{qq>7@=U;wf1CVN1RzzX&$f+HpSLTRQk7hu(hj_D?YL& z#-8`aF<}1y1nn}k?ucf}t}Pe98e+^zfMo&Xv=}LV&nu@1ce{^$X3f26b!Rcyl z_d9^|QaLG*BWZ~($z~RsT@lCL0Rix`wGeTnbnNW&ymuA}(qTU!8*@t5w{y%4(Q(}% zAMY>*(^WhjXSB`%oBsgA1`CJD)=pU5eKE*41O$#nHD562nB$PuUdK9=5QR>-;26-f zsg}_4(vEcE7lg&cE|FM;MS5h9VN(1L@1` zrI?G}xg3tTmgJW-bV%?YxR57H=Nx2um?DzUemFy%P749pqh5>dI$R+@dfw3z%Ia>t* zw8XpvED}H_kKNx`8IkHi+WZ)@bWh0*z&2c%*$5_!yMfq%8a48`7?Lcz*timKZ%t;d z+lXC71t)nqirc$6tNHH;VIsOyg!SVF0tAb~IlOon1b)vhHaAYp*8c!T8W0XMDRw-O z(6@MQAcVr%KMa|YAws!cFvYmnSQzYCkhtp68LfIu(7@zr?zr$439Hp-T!rdTU|Z$z zX0X&~N?sZ71aFW5geBd_Q@Jn4DdX=0Z%;J%25aa8g*L+20tmt_Y4;bQRbkVG%Ann- z4uc&MuT#F0eZi;;3fDDC8W%|nQlU+qjn zIp|eYjxMj5*X9afvIpwIB2JLIRnv+6tPr(;k*qOT-w;*?z38~o)|El)I{<(co8L73((xcRrGvo zI9!~N5A)%Xz~McE`Q^Yii|j%2+^igm$#izW ze=Cea+tvR7tY>sWOmz(DF$La-HYC<)P2#}dZ}8T!V<|VKgLFRfBfF^QQr*ew+l>IU zj=Zi$h--MWuX&FJ5?65IjtWbr3Tp)8LCkxESJ&nq(@t-LW^)P~E8N_kt_t3l2{fo* z#}F;UVzCQzRR_ifYY9LU@o;KRmTfbKsS7^-VBEIPS>P}WKjPymfG_Al)(sH^UwpY! z%q~{hsfLmRTkp|_LrBo9mKk05(`2MDI2Vz?k=(#+Zn^gh)10D^CgT-$)nvgJ73<8c zGlVM(SrHy}a0PBTqCl&0AwvN%D&2T|S15o;cZs@vA3t%`AWT-%BT2(FRaKC~LiKT+ z2B(aallS|IER}`$OrQ|e;L8l|KrAqX1uSQ;cp)eXbEZQAR>K+))({=Zs(-<_1%A-U zYT)k!0C5@!1aS>L{{Z8F^Y4+uZ{{Ft%awDu1Q+zi9@PBGLMDykh~F8ZRxH6sfW#+p zqf_0&G*kJGf$LoCXwUnVCE6}0M|#H~24iTEXNN5+O8)>ct9`y-A1)}WF$TSPGj2OO z#7x%j@yLV#PGtbHw)iB%&e0|~T~rak7Dh3Hj56v$+>~s_HS_lhx$VDK5~ur%6juSp z+3Op1HRkvEiy1CBk_$ra(M?kv5=Z|ng$RAGAT{J$s>4|s^ypExTTzw3$zdv?iGrwmd}oj*y6 z?VFe|hH9bz0J+>-SSkHyObY{U2~*%_8*U`v_3_OQJ8~d9Auwp5;sQS=Qi`gii5HQ;UTe5zD7)E|fMLJ3rU_j> zt^-^oKD)Twv_~?T6v;zs}@(0;cBvmY)jr7kJ-1;fP+ z&sf4z))`d>U;}qx6^>HPX>OchxY}<4jw@s2)(W>u*25wQklEmu00>0#vsZTn5`r7& zE%z!g?p8Wzzhd;G>W zXupTN1qV?705L_8z?K^GjbO}vCR31I!+3(O2lamE0D~w?4v*Z3dB5<_LhA|=mNwi< znm7DTnCOB>$-pr19VXu7K)JvIfa03R*wL%=9%?)sJ+fnvD|>a7Ll_QLn0@6pPG zJ2Cheg=mrH%8Q9ALk=)c4gjM#O3vgEDGGcB(Dvr+JVY_XN6s)9k0=VNLYZg)r9fK0 z7LZX)1hrBWt%tMLCXfI>E#ZfahO4yNOkp+7t~TlDwF;vLqAb;y8ltgdvTO zW9JKb(4_Exa4;*BqR(0H#xy($6$#6mXi6dU*y5#jhi;O1^??@5R3G}hQR6u>f^T}@fezEC@#Xt~!FHtcUpeQ?v)WO_3JFS%u1vO|GVs8F=puvd zEN_ee2G~y>6A%wV!#9#x%xZ)YM=Om6s}D?o1}-aIu*ofOAl3mndWD%G%c)pZL`2=KrQ?#RCp$l+~xCl?AV z!h*bungQk=JGBGJgODZQ$5FDFVhfe^hxPtxW&=O7i0or z;S)lAaY#TWnH-Sly)n{Om^$Vqb+gpVky`Wb*75{R@_{e~?5+aTgS<2B5$l(dbXH+K zD%#~DtN@^4GzFDAeK?v2yt6=iiT*Zn+M{@;`x&`pyx;S*fn-x#! zWGH3;RcmjnaoPkOoXr6)(J01X`6%A%s`iJG@quuWCeiLGRpQ~5_C8C zVO4UA+kipvKfsuY9%%4&sfLi(yN93#@Jx{Cwcpu^8yq7tklF|Q;C|xNJnPws*gkO~ z!va36vtWsi z&2(i6(`S<+J0ATRpmg2$+=FbY4L{~GnlJvi(n-3*Z}rIaYlsvw7nxiz!&erKUATL} zko%0XkCK>{Z}#I2U`$%6{Kyn_sfaM!aBMw{X{=~xhc>Iew~DLi%La^J?A%4R6CkwH zc%XeUX6|Aq10-E!bam|;Qy3z47|sskodf-2fiWK#14kTpc(nZa!@!OVDU(6#cn835 z5)@5rMvgG-Ox+$FTL1(7TqFqp0CIi`c3{w8U(4?q0KH_+p8o*rlDj5M?AiP=L_THt zj8Os34%03SQ8r>D1U35aC@A zo32XjX`3;kWB$OH#=M>~s7**X5vK2q2-J;Sh)K4%6uJS{BJ?7}AuyUpBaPPL|0P7JI(5=mH#-r97XZXTdTgJVhLa5Yjz#yCSw2!8Vg1!9?Xt~VAo$Mm*tJbIuqCP4Hs7m5C_v7l3MI9&Ri`DG&@&JQCF>D7ZE`k-|khR zq`3e&5ye?3{hLggO`@0`B4Q>Q8x&_;)JD+$81W5;j-C5} zBSKgk$yo5L^Dsf8(7sP@STDu^>1MD4Kr^^m5Xj9_*!#+gXl4*lP=ka0BFaQTYpWBd(>+j!5j43;BwYtM(0Xlde zE+ecLP8k3fXPEI*TxlkkW&{LNNs7Z8U@KGL!~(j%6CDMbNsSXib;nhZKkDl(j#~Kc z5DktBEFtfB82*eT-K7%x4%NBUTRQk*4qq_&Lxm5BEI*3*h>=DJFwR3+%>d z`F(^OX>G4O%_>iS^DGoRe{!5%9X6iKDsK`;TQy+ivi0c31M}*k{|9gEv?Uq?$uzXdc|9z=k9e2e&*U#*3DxHD};iZGeA#lutfHLnaq7IN?0{91DhM(nrufr_BW!4)4^K_AhD0m;nVh5{72b4@#r zz#F;l3_Jah!vb1u$jvJQ#nxCQnNSPaF?7>B$f^!gxjeD7Rx8MBrEA~qI-A4 zUh!2FtujFd*?>5|Oquw&y&%_&f>3D0y_+xsiK7A!Z~p)mhG`?E+?NtpCtrU_oB~hW zQ`rKT$7DXdF>4hIivwxPkSA9ZaCon$Sl)n{U+)_rNtANn|0M?uU0tl~T7c^^ZCH7zyw(nR2xmCl+#Yzh00kx%oD%_)$P!_V{ zIv!x?thf@rPu*k`@$kc8GPV!&a-i6T1jC_bK{HUssVC(d@XhEV7G#poj6f@#F_@cs zWYO9HX8Bg+m9>e0dQE=nZw4!%@L_b-!ih@DGR8nq9F`c;mkemk)5;gY*h&fmwYfi$>A!Pz^HUdP?ta;pp_i0r)C<0jN&SwTMag}}3Y z!_CB~E`3Je`}cz69qUcL8_kq$=L{i;DhE=B2cr_ig%S&XcQAmvqLC8cP5=}aRE+R113L@eYE0Hi15P+@5ZRJWrX(V^XLobmB zv5G%|79k(o=Qd?YOewYlry^2UWeRQkizW(FQoAdbi8EX+C~-_ovcCJp281tRfAGYi(|1Na9M5}pc9yHc`>$6)zokX(zE@oJj_>A zPZV0IiXxbki_q>(U^qJ@RC$BTh?F^Fi2%sObKTN8w#K2rJ#e%m3+4_hQ)yCcG7JSE z?`9$nrl1ndVT1w&j4eXlAZQiDx{m!GOyWR)BaZ0;cFU1P6rf=ng`f^qpEw^~ck#Uv5u}a(k zY%nB;KpcPw-Ea6zrqq_Nllg$wbbt3W3QONkB(r*^-je`3hg6OhybyouSkbMUag;<` z`g+7{@I9vXIE>Eme=boS9VGF@xe}*dXAL~VwJq?Odh){em?*C>R4Lv(^|Qy6nItlM zfO2Sebv)M=c%q*)#3x${aL|KHa}H0gzA~6()+rZ6&C-b7gus9(8yk>b9y3?sO_-Tl zQg-^nhhJ9-WC^Eq>fwN)>6N2HUq(nyM{X*v1y5!SJXPS#00722|c0$Xc`w z_@y&aXr~Amt93-%`kpZp!Zoy!7xw@*AU~&uIkq1V@0ENRMz`bptfTDniCel%6o5!4 zM>LVtF;Kkd#43iH{rNGu3jlEW`ntgf0t}2tc?8Ci!Och=7tF*kith-Z&n$$LdNW3) z`|!#dHGmR}o@YlDmAYV_2Y>m4tLctBW4W6X(*+7{vmnv=iy0ByiXg3?SuCi8Xt*I) zuB*mtC`cvH<1K}KWC&`+V3^(l)wmexPoL&ZBM^}R)9uy48yI(C_Ww5(dg!_0sq(3cV9W}<->;-6?Y&B1^>IKan5-m(kxn9v(6KQKWN?&5)1b%;vz z{{YNP2XmM=K6QklevG5LrZ@nU_FlLYTdy%Q&b;L>=W%@!m^Fjr2r=FFn@~AQVEx0h z)4|}xLAXP(ogCeKOfVG^S}z^BO!RrpH&9{+%+qpcc>e%Y@R*7p{KN*O53iWoLdP2t zK(D+`l{Tdz;mTH8GJDEF@#hF=F0ep4oW*a(Tb?eiL2i>*HUU9X+mc|SBPI!{=MwPz z##b|Sia$`_<2l~Vc_C=mhMt)deXaLK){J@drZ<5bAuLAhZ zLX9q*WEL$`^$akEm44xYG~D@!*rEqrRK6Z?_*_d>MC+@lD(y9-O!hPT+`#YmCVVoA&Z#SO%z=5l18Zz|?Ku+!9oinWUPh zJ*El}5`?_S`8`j!CL>7RKTq6nC0_t2rWSht0Jbj_mTT5KCV@i%QP}53(esGS{{S-D z7OvQzvS)mwmmA7(wv#wNdT zD?`b~*zX_n%zc>Io zy?OB$G$zC)A7$jgC6{~86fnX?8>0%4L_x3Wn1!0E)foqg=M~7&B0^DK^~0gj*C(`i z$RVmi$hM~#0VEN#eV*K6XwoU<)WWPf1EXTMFF`Y)i&hr;&Boz^#UfX50byk=ie2`= zIzh(yto4CL@_<={LI`#QiI5Yc1_#uAxP%IxJHeRkdj|`Z%O^)QgO&hY#WA*2%$C9! zB7%lN{x=&71XufTVHKp#;9BdKvIwcvRzm<0Mb-`!(Oo`{xllR@P7eP7H z>g?DuWN>Lk5w3U_^aOot8uAsjYn6c?Blouf3=kt4sdRrmAGnlBAAiQzT#B(VdqUvK^uhEd9iSjI zIf+S#5O>(&18C9@7v2o13-Yg_O3Z2;<3bV-IY!w{r--FIn0?v&7~p0Q`GaKyn7`tAJ4r4G0io)yVx1$-DjRA-stg&Wt_Fn*{FapPg4D&7- zASu&XONd7*ZzKYgz(GRL;EJ-F{lueS%d(ly5Q09;yAM4u$w7A9)lslV0;|%j8R}|6u>nIE_<$MX0Pv`ylMvd__uGMJRhDE2Zb^vx7O9B=N?#dgb>44F%oL|^ zXjgADt`(uK;%wYbhvq~*Elk~6sfZO?<^c#EU`SMw;|Nu7XkY&F3miCarXdZT9#gp% zkQJx0FDZU5CpH2vRrW7J=g%8f+g#|w@ zLKF?+sR=X4VK*_V=iX1Bntoq zT;Ruh$i$M?6A?a)G|DjOn$08zR||NsOJ0QbX2g`#`G5*G3>R1&xCQ?JFWq24AeAXk zOv*%uN2}$)OsIgsaW^TV7!9})O};+wEGx@8^9&t$)*(O#sXmzpX6H|RaINy^O~6@j z02Ze`Vgg!l#fTGRXqW-I^!x7^m78mh$H3(xY~4;QSZG-F#yFI?M46zz;PAY@& zD8ZV!U6nf>Q5>U1h=Uyj(%sw*EQ3os-~b7~urg4z9N=&vPlOo1sON z&K(q?g)7^S2)jNaceOCANjNc!2NyH}TF40{c*R1X!bJUX0TKrk2D-Du?aidxrW>@8J5ijtCXCpZNy1+VfTTW{I_+gWg>%YAami4FYCI&T#~y4}PSrw!nYE4&af*}06XiGb+w z^D2NeSB6MBEaQVlg2P&vv0mAbGs0sm)1S+cg?p8LRl*9`Y4kXfO%5=P{BsRjRthr&B}BAWgS_a^*$2ilMM{#L%Soi5a>XbnIY? zwG8P2>SPn%1yLIG^^;k6rY#7_wDxNkZ0W3_3};Mi(+9k)T*}PwvkW(=Kh(-Jeq|pT ze{$g>D!sy#{J*PfjV9U&j+U(Rf$>9@qqH%X@GdTZS6KprD+fPeciDsn+(;zRjFUxX z`HbmConY5xbbWV;6>h|K-{vy5hUz!zG2JRL$0bNw<;9g?-&2IkPQc@me&Z<%N6sXF zL1rN8Ds8w*J-l+Lj-GyJ^yW5*fq(aL$qFT7{q|yGO{M^SuzN(=SuFz{U{ED14>Dmv zIH0noAV73Y?~%j|EG}z`dAhhREd{~-5BmDV4LGLDfXKFGR@>Ju8$sm;+}H-5Qxga2 z-VO$6po{uk0%w>BUv6p>ZS&kHwoe>PLYS+phDaMrFYW`+UBtynGQBp7g07Nt-0LSwXg)xp6ys=Rld4N!*OwuD}z8HWV2QztUVz=PpB|}igruZ10tNWBi z7dT+AF%VXyHUvDl4I*tW4k9W?=oeEF+hbD}>JniV&nbYPe|~E#1TRp)*mS4l8I6{; zZX}4$e~vUAMRX&}{PUELV!XH5`^3@N1dfWhL%`6HlCC9fv6($L9Eu=B9aYW7Ab^+z zw{Nyp;AExW@kR=rtz!0rVTveHEZfE(N4>G#)35g^h@T`aZE! zOxjxM@QH}-B@i1@wTOJ)(0om*;=(jVUi4n*$^>IlDB*LFKqEcZ*zthF2BL(Hs^uxX z=pqF;;T;H}H|K(TX1@e#oIO*O;azO?fr$urcz}tw&%B(iFX--T3s5W!yFAN;fE5e- zAfmirta4Kv@j!eIxTUS%Ig0B(fsC6Npv#!a2G(qhwkxkDHxYa1 z%mj&Tt*n?eOG@wF0iZd$PWo^_56&EE(3MKz&=1$I5Az@vA>vWT1Trh?hBH+rv-&Ll z21vgB2I+BKm>?D6D}dK~STKj8Oa%L{`|ll~Pwx){ zmm#&qL(I6E(VgE+Cc&VbS-S zB>XoJ0JNy1aMK_!p}@t6h&v1?;J)4s;8Mq)1_d`q4c;tKSV+H-r#+(~%*mw+Bc@E-KSNhr8s?!S$ZeM;l1vZV)6|5yPqwP?&)T z#s2^@906DGa46t+8XA1(O+O|fqPp%<>cv_p;)YnlYVhuiNVbV3<55d$t%pzt}%wh&JyYF0C^1l8L=48Helm%xKMSA zv4keVcOa+|V3L<4JI9ADcm^23sl4bsHsT`^oCA0Od<-NDZ!w&UvjKo1)xq;4BZ3=< z(EEH+Xts_cHb)XZSF=RpmPw$2h2SzwAkt#ssY46~Yz?0r z3F=vv2A95$TZG+!^vnVj&R{kd+_+d5D-+X&hj(`Xm7B!_(67JTNqF88qwY?5v&bCo zB@K5HQ$os@gvN@{jw=ll07Vf? z>fnH`Kn^2y)Wig~QUw>Waszm4o4~$4V%OskkZ@q;=#3#g7(OksTFp!d2^fYc8>zTr z0JYD#`d1*3!I@?yqrrF1Q?L8rq6a2%Gp)dtYq>7nIWJjpP%${ z@3`F(E*Ejto|nmih3OmHkUc~jfI(6*%>b+)++#gM@W4g}NIb$-k*R`@_~os(DkU30 zIypfVt?MV80>7C&7>Hva&~<`xYpuYCjNDp6|$@Z+*7c-3wX zykPCX!ABTm2`c(ABPR*~q99ccN(`+4o(M2Bt0zd%oFSHJZ5vU@2oXZ3N#f#HT_^+fkOC#0 z6VGM1C^YUPc)y37(LdEIqfV^Nr!b%=r`zuV;sb!2qwU102?!HFH&+G(GP#`tlniLB z2H4+KL9OC6zzhi}d@2_~o7exW^!NOX!L89Si?nFVd`wVjwf~qMo(c=Xm zK|F7V6su66Q?XoN)kPmz26M}2csgnG$cOXmkKCr z&Hw}zX9IZX>PYv%=G_W~clw^68K^J@xhJ;-WR>}UeFicflX+=3m%>kWD>h+PLXVOa zw;tpShEgG8Pd6)zLf_09lKG-#gBd*uit!o^Vm?e)P31#Ab#gHXuGS^Nh0qnCNBz7-{Dj?X~IlMq>iGClrN$Lwv=f2~^AUUa^>{0CebF|q+=R8iA z#wNn|D!nFBfunoydcb%RLb$H1nV>1a7oj8X2W1pBtQS}>s^g?;Cb9fO_^(4XK?KC5 z)h;Ay2aYYps3LL6DM9d1WdxyO!N~;x^VP-ars1tT%a$r{|!LY?h@>%x)H(@&g>)Pi4q0}oh2(3!D%M2jUOjm4(4k(k9t5Ne0%ky4-Z zPZI$!LCm6`pZkC{XKhL7z)b-*|*tKnDqi18Lc@ z%v@4T-Qorb;lDDALQiAdvQHjKhTs%jwIPbCrOOm7%C8B65T;UVvKLjzE6;}<^HPh1 z9S}cF+zkPzqrBE73g0q`;dDQDo6_HyfFhhRP$;g5hlc_b5aU1YG6*jq@8(c?DVqS% zftG;t6SRTr0l?TpC>497^AL+m#-zC0%T+w*rLAVVD;+d2C(gWiHI9ILX>q;zo-hec z_I$ia4eo1JOaMGAxGD6X=2R>CvCy)SO(od? z;Q6@{Q^o{gsCWvaBfhKhOz|#H~QLRvf`gDvxZbnqd)Y`j%P}gh9eypA8w7{ z#d^M`0V$|ijFR^kT;E7u%_U03c{C zI*B}FkwdTO=c6`I_2F=%0xnH0;S8zqU{#-n0H}Dk56eB$>QeW)2!HZySOO&xg9H8 z#o2kQj&^`|DM5X%ELEo*75xovRW{dN1}PBe=4?h3eB(k0P;w<-2EE`-4`x(Hnx15d z&}#~Xc0rcH{&u@T*&?f~|L-%oHvm@TMx;-eA{;Gx?A& zW_T&x{$Q^6eZ~M5v6gupWP5M=SK2VFG5SB6{3wTBeq@PHm=EU^8rc0@^`_DIB;OLJbPO#|~iyU~g~yV&a=s)6vMJgBg}*hyLp*B%$$$nF>pQW$}LZNsQnn zzVMrgNsa+xc{*=@xDcfx$_v6_(R2=B0f%9`{PQuc0S7{ms)h=xkeftgpoy+cjv)tD zF1G7)SxG@yA?m$wl9x2y4llf8Lt2YLTH-Q0=58oR)zIIE73XRPb)RD#K?x$&rKyw? zw6hImNYUU32^S&=3Sch9r886{u*eAE4626cKYKHe3YM$mhpddSiWIbGw+jY<+p)5? z7$YQen4o~L>syY%3oP{? z+)Xy&Qh&jUl|fz2J20#!2HzAULk~L&Aay`q=ZKE?2hz7GaU&zA-^^1fLX*mX?D2^V zVpMvPkBjF8Lk0O7I@~xDj3M(Es4IW<1_-64VhFUEIf~AiI+?W8+&jJo;|n0TvJkdfdE6^ zC;*U!e+|uWnxcz|+#1v$Xv${L3Z)lJJRIYMMepjEVeMYju+17Zh00-&D|lr0iK(QR zo=LPiH+T)O$n${G2?!~aOd77K{I?J!$RHTh6mk%M%oLXG-0};75r*ui+l>him)C(Y zRVIpMy`~YTi44(QNO)vN7*-z|ucrc~^v_?=>F+HH=^<00v@ykKO7^(0X*U2~t)>Fg z;fyIs&7X!JBTHPWh$sR3uiQ)`4$%-ymXrf~9Y{EmAiqLSf4GY)OXA+P1uEZ=kd#NmAN3#x$aEcRj=AflB}sLWI$ z!vT|9{BH?)F@GIkj|r#48m(x<2=BLvfkw;IIcO5Dc=>B)Mp%3PVrbxxz~hJ#2%b>( zTw#z#dl^728kEhbUL!&Dj&AISHt2M4RJZ_Wm|CY)sP(x)2T}2gBdsKV-Qh$#H}@nq zUmdun*rj2tXn$^)i-LMTaVUB?Mh%9sOg{yBDTxmzTiZiNzn2m}4xRSPfKoNAh^EAH z4cmZ$75@MaSeB~jdOPnFOZa1wwNgRp(;6-EWETGbSV`oY&QHVgIQHsc1r3|abE3t2 zY{tlFYX}nEf7}Ugll)m+hzX@}anXP#C7}&(>*Fb@tK-p;MP9iZb}z2WkO+9X&^f*< zcF3xx3Yde4@hvgneD^&&rfrDu4>*WneJs@BjUW_8>m)$+&ztt+@0F_#MVPo z+khiN_`-+@8@X}NcyJ>-TW25KihG)Cs1*L-LInoodv$=IkFR)9ZiW$Mg2(>=Yn(6 zf#P8viz$&6;USK1czAn)0Dl;)j{IP540I=x$%hiN78&I9-f7CXnCtTk{*KH^M?n{N zDFtNsN?$qL9XNG+PLsG@122aV*&6el$(y6Lo1nr?#sTFbVQxqwU@Uukj)^iMdBn); z*_|Io0M_gO0Juq7fMA3|>uIfG8(Rho(_@Z;R!`Rwi7@!aBkW`#;}P9?OFx()+8o#$ zyIiun3Yx$^L3`5E-dPJp{{S!oAsdo+U~<2amWjj~&Le4Id0*S!3Yq{mN|cc<|wD;)X!md!&b}l#nlVP^Fl_d_E3lmVhaQ zVxefaX)qEXkw=FK*j-;~f=cd}vEiPuJuxYatJZ3f^GCkva3P=>_kLUupcd1?gHnK@ z;bj;*5z$eo`na&2S9n$iqRxI9bGUPuEr*}Iz+CBp_~2^9wK559nK}nd3O8=RZ?2oLX|#$Fv+9^CkSNmAyOLhxfRr{G(AsOAS(o~%e<ev_4Ah+Lt*XDMhi@6f?kR`IZCEKP+%vCf*}&hCFCYR#{@bGC!oSt zGE>j8XQYl5m;m*M5;Ou!UqOO~v8Pg@#5`mq)2LR|45C4xr|BjxwA30C%HV=Vn&^QX zAgCOLL_b@B8weV-e1;yMcF~nYsv#a`6K1iktx#i81tIWV-e3rwL8H~D12hZ*RI@~W z;2~JAgTg9NF*7<_4nc&QggZn8U74UCNP0e~&NP~f-^6HpO3 zBZjp_0R1-@*sanBTQIk@5)-dL>xu}GsT@0v4^$nci5x9kM+Lxt3;vn7du@$E48)B< z@JM2@$Ove*H`K!db{0Io+>etU(-jcX{2AjokM7qNz^J?#Q$5nHAvQ9e7$plprA zoy)=c*O-7o!S%#IzskbGt5hPO{{V3mqKyUda4A4kV=;PCZ8E)i&2w)>BFU`8tIJ2g zWktFP`J4h;=$8o=YfILX8Zh?y2PmkJrFn6eBiQ1A3h4WGZwO5Yz9^j>3Um)J-PhBU z6v?=eeIBwNkstCg06ozS{{UR!i={q$CVJ2t_>*~jz_G^-NY|JGZyo_~27|Zq7&8L2am$EU z;%Ir4_TmLVOe8iE-B?6EIEFP+`osj}v2XxTmSWM^c=dr#SI!(@_&BW9Mt?V~x>#eX z^bXt^cB_i?mAHUb2XkTYJz|oa9rm*rkSD$7tsN%Vpj4~)Fj7{Ya5|pY&m{0mf+E={ z;%dWqr~%O#J#7fM-@sJP@Jqh13qT}aL5V?Hh9dV}{K~Ty6J7Db+1Mk9 zt&n?)rirrz1S@&|V2Rrf%V+lhpilLfrwP(yu+mU`F@jW84ht?=f_Ql8I-FoUHW1g;z4F^Y2?QYm(s0Dy+30a?C$OdJBEfC$>7qGQL6MD3hY z3Ps#Ah08A9_XG{F#>wp;PBMCO z#I>QvQack5NBfAO;A+LR9QEYL3^codez~YTox~+IHGt4|W4xhHT*|6o*FwvTjG!(+ z7xRX|=x_)1O#cA#Og{77&iEi(>mbJ?Rl?XG)(x(k#wOYAa^xX_=4EsYYJ4cep_JGI zqxU6)uDZY^56mJVa1;!V>4+0#Gr<){_XtkuxM(&)vI64>4Z^_R=NAZ!Pne0-j8=f` z^MboOg%e#cV`(;axX}ZcW-&}qQ}^MF)7Ima77^E24~!j(vyhpny~>OUy}A6&5;tHs zAMcC_3Ocz06#oGA$IL_*r8ZIY;la3dS z}(gm-Dd3c)WHd0MZii_OahB5 zn*nPN1KNAIXlei~LfCw9iVB!S(*!Y8qHop!^3I+>gfS0gBG+pSnM$e5G#K&4h7g7L z+$ik?25F%5!bOemaTdONf=ZreZ;64NWlH$XLftb|6GseyS*9atDtW}U@xW1`1j6(X zcj-KdfZz_A#P+}^qxS$VCy$t@Qr{L#CI-h>;g~`t$Npf+gg0^oJL>@Raz#@=>4+D5 z91u5Q3Zf3y_@k^$5SQLu_!@B#-egYVV?gtcr>H5MM&A+broz2O(zkse1y%j%~c+#;B1+L_2mY$1JKTqaW2q1V})&6Bp z>7L_(h~R-ichbJS;6q__!M=sSddqBpq1;6PQ~l6=sfML5k`fRo{l|_NpY1~`3a1JW zfWQ-V2nl`TrYJyQy@nRNP@4rLV}(#1AqIB7N34*t@fv8H!VC8M>2I;@#sFHB50I8j znD_wg&5gHjsp4QDiNvCv8tHKWZ2=I`duAl?F%+km3=|Pv0ZbCu;NfY+a2gQX9RaBm z1p%u8BE8=e1OSaKjFoZD_Ke%3!SB{N3>au;N5*2{K@UNu6bMUNktK07c`yPaD7D6D zONJvwY=MkUKr$8L5081MKa|nwc;Ez~26hr1&yYb~13{9mIu<#B0R?fc)?ySqZVbTX zfOX}94on;#b(-~>`8a8<@!`NMtOg*FQQ%}{JZdO+M<9g&PsZ+29->({$&RYYh-&ft z%SuB_JLx9OsTgr9A8sIOl040qg%X-{Gejv#r#?(sN=vag;K3?v?w%MJ2o%zxD>2%6 zGfnEYcOfp=CW|^@Teb?<0T_c3cYtQC^csaO4G<^@LZ!!DFp-}4V#`B4s)wq~N@6B8 zy$kS8D~?6}&e5>b7(!Jo_JZIpMMu%tUhzw;HE23*=%6Wb`B-E79fba%N%qb|#Vi_n(FB1tF28Z&g`I^3}1IMQU zR9Jhy;hS4Z&=Ef65P*@4+zAK}3`iKeqbUSxsC2?403J`4=UgOyoI~>fR6pX!34;Zj zo^cE$C|ZxM0hM6DudDAgV98Om<`9%GiI<))?7>26GpmOWz+)Ei2)hZ5A_mdg-QiJt zQ(D48FDdzt<7Y5BppLMF0*U2QHM_9ACPRk&ei#Io(7@m`kF3YiDJK%-e!&o>yf6xS zxCAQiA|VVd17Y&G0t$yM&vb470K3RG%}=Z(Pu#6Uev5-hY_HN|gas?iMIuvJ$Sc|I z?(Y{hq}{wRC&8>)(y=e`n05SSP_w{G?DdIH<=s2xjA{z=_w>rphJSna#x^2R#jp(7 zi712Vae#kDC>?ny{{XE(QojJ_aTlc);DiM@XN%5w^^JrftU!c7VpJ?R-kNX#w**3T58K$_i?Avj!7JgvrY*GeTnT6!1;S9& zaH7u!{{VAy-COYYh!Cl{?9CuYkj7>AlmanW;gCs4;%Z%`JOSB=ifrb0@rV;78TS#g zd1!l2I0jP&ogQ55GB1?J2ComyW=_Z4hD~Z;ITN+(H-PqGx(H33A=exrpRm5%K}cyQ z7tx0z4WqWf;|H#7uhul2@6D+*SAnf8b<#|D_918fyR z5{9GQhHHg*Vg}GE`o;#2zsyy5I174&KX7O)E^%^I#RNQK0qfpJwbN(ZAfb%SC74G9 z?V>)>w>PIuP)bY#TYi6TvbvcFQk?tc#09Rf8Xa#aTAO$|7%N?jP?jo%$~tKVD58r$ zxE#@W_+SO$Ojvx3e=!o`yLTV%P;6$*6-L~D3%pb_Pk6ChPJ&-i$JCT;WH40q3;~Pr zajAYMtCiXjP(HYIKuH>=BYH-g&JH(*2`FMCb2Cl2xNd-R4Fqq_H86qSPFbli0KT!J zP#bdZ*zlJEZx=!jM*$|^&mOQEd~XB=XmW=MmJ^OOX2(zIW^O^8nY=AdV%ON1=VXT> zjCj^NY74w83ElqYfZY<{pwqSAk46(6hm2DuoLG@2P}FTiT496>1;8zB=4>cUGh{#~ zaOACdhzOwL*5NgXM;3oGU|<(v{EkO$I05yqej7~Q@+5ria2_gC1|2;(U@1@gmxl>Q z(~w`IfwRXk0hBDE%bx@c(iNcN^rx2)C#KT~55;jRDb=~8^}njfW1{ZY;JBph7trH& z^WlWjD_Wm-kt>^L>)2SntI%eg` zFconHvbOr{#E5J<3wmWR(kJPS50Csj zM!5yp{{We!4(FBNX07)&CMTNRQ5$ID;;jr-6tB|`ZI zCuqq_#J48xlNPff8I9-;FM+Mx-#CR9p*vJCQ2`5BDhC0a>-Z)M4ssOy4>aY_+1sLO z^~Ec|N6F|kePV&UZ6hW0GeN|N0zMoeZb~3d31!CfPz7Hk1oO!wBDV-%cUophnIRpSmfu+ejt>g42l=F|lOM*uk>mEu5N(NM&L%7>$EB5<-U=~qJV!<%pkt?LqyFJ1b;=?q<;@}0*Dnpga2SH7T zn;6n1aAXIry4DEF$-EhEv={Xpu2l&i8#0MUZ}4R7x)=53{J_J4sMa1#jclB`1c@K2 z(*j7VC?K(l2t*-CA>Zqe2x6XtKU9g4*HXK|O)4qz zV&ay>P(!9lI;hN2Ya;6vL7NhBWaETHWiwQq!$9!L&{BTt%Z8W<1L9p^0`kmhZv5tjPe)2+z(8$+i*;U1JkMLj0z_b#q>(_!P+XK}b^LlTDS3qs zK|J89^9WQoaIsB>2aE;!7=!j6oYqun+llNGrgMRZ6r@A;{{ZC3Wlu2xRD;hKB^T!m zClrmqXbLjU{{V6VnZA23Oqog9iVNX+jzWK|0WfUF9SN2+@-78T4dX|wBI_hqyN+j> z;~gD}_Y^~*;$IQ>;f%Nc06xEPNku+P3f?sT05KiFe;mLpe=r6pqk?9^%%Z}KXN=ei z&mJGl2})4g9PM5!lz;_4mCA{<;KJ#>ea+1aRn3If@Kp65^lIzW;$={Kz2tU+sSHIZ+G6ON{{XoutFs#r-4!R> zj}1HH0zMAE+>*;e;FQvnE-VeJr!EZEPRElNU~T^Z#F#Y-!d$UF?;zH6449zVSLPzv zmlPiFb4y*|pr7Lw!E!SV%o@+}X>I*7 z#Baw}JO;2J4wqO8v3cJZ1n&hqE>S@@)FXOgNcNc_I{3j)A;tv$F@e&BGKPOr=#E8C zByt12^!co4>|U%0pqjXm05w-v*Xx=H{{S)Be8*|R6?w#T3GBz9bnLlE-7tKlnM77f zZcl#txEI8X9J9S0aGNnf^4wLX*klqq6zM}x2>D@wcfGCv%IgpufzbZ| zgEnXJT<~G0jI0jMzcA_PEW+}Nj~Wg*!h=+vF+9QkyE1CPkQd9WxInEbUxpnuT%xef zddW>lf$e z1B96fgFF6Wfuh^%H;+g2)67abQjRwo4jCa_U)Qr3waU3Mzc-0Hw?Xv8ps|Z4-4Ef! zmVk%d+45jqJ$-e`m2|YYvh)~szRaj}52u)J1VcO*DWw64iv~>$a@QDSy(JSA<8W1@ zwE@CaynR1h*g~*c@sMJ~4h`@3CL|Hz?Z9=i z6Xm%V>OBiM72@_l5<`>_yx}NG^*t z7I1uIO8H)oZIsE|#lPGcu>zDQfN;Pe1p*<3O-w6chNHoX1*25!QWF8FOxxjZ5t)LB zs~93i)l7B0dEknHc!zK<_*k_(Ba14@w@0bo;udH`p!AurQAU3d^ZD9m^w0ZH$|yD16H{vfDI-KWS6O2Qq)=p1|g=06U4xFjV1zvO8RU}3&NE- z3CEbfYS%qsb|5aP@iMfg>HG3!5(?Qhmiwf?Ci~K)w+aTXx zr{}DB^&_|*t@h8pFuskOZM=p-zK@JgvN%orA628tPMtWvV|m=H26 zS6Or_)ICEcuHf`y6?~Xd5*9ZEmZCU+E-)8se}+Ifa&>QC%n}{r{BvKp6kVr`;numN zBOQ-9HJ5+PL47kkLl9a_kaVz}f&Iz|lqmLkz%;}WW_XyWy7-N5nBW7}1NdP=Hu?+_ zD{n9&9X@ek_Ys0|XN*$pJja-k1Ji|bHzc=OdKl*u?!PrTXh_P0$C4UtBRBn8fjHA2BsvX$zxIou*pK)bJi3> zq@5f9Q?}=)rLI2a0|tsE&k7WuaL)e#qdk#?u@UYeXmHL2Z*_+jm?F)BWmPHj-?t#~ zyor2l%DH}Ev>JCN6GsNO0V33fy>9@D@wkIxV}NK6>w^+|;^(Vm3gPC|z$7NdII^>O zs8ZFhDeDu7XW%kwAcoj?`;5ePf2@uiDY#>$_3Ocok4TTFHe2hiIEQDC7^L(}0+;w? z#2*;3)qY}A+T+>ZICeVwf(TjZ$429R2Dfm4Mz9Tr{^V(cG!SnP5Z)041I}W^LXF=h zX;=&RS16usCd_DV_(v%D$Q%5?Hi~-Wa67Vm#|MJ^896=- zSxEJdQuO}-a3KO-ITgU&3;=JzfN>49%NNB7^~%2be+&XCuSN!dojVW; zmo(FDE;L)AS;#gFyec}B+4-9qxoBQ*4bxsmI}ivCueHJI@|9@%!WOE}<9J)6us%4v z06t8xf>#k-+Yde_Fp4$e;?VC9sC}~$hZS5z5P;l(wd2kM=nP2sp9ci)wIAj%-~mg5 zxGXz_^k5d(c>@LvxG4ZLSmboE^sbpW9An18Tp(0ju+1snJUU(NMlXeg?=joW*U`w_ zU_!5Be9}x`4OEUYotwHe=V}DPNkdElL~1!e6HvL*LOr;~vq9xeV?gj1@xaZKxKjTB zAIE#ZDl#}-{utN*RxciNs5Gm|T$Wu+ko`kbQTCY(sQ06oG^d62OS}lQtz*tAbsIYj z4XrzwBAX^#ltgwL-gXCxcWd!3-<8UJIQ~Q=MqTC7h zM2o+AxSmfhaqP{+bJwtmp}y_pgCc+J#<#l``qUi-@j zHHl8NL>ZI8>(nrgG%A=10D^SynRXsU)&O-CvTN+YTw%iDo3fj@AW%e85Tt1EY;d=> zt+oFECJ-lzCIppjyFcp<2_PHEBr1h;qc9r*qj(5bkZ^|J4V#D%L>wlNG&OKb;f@n& z6ZO@?L8jY;aU6m#=Tiz^rSp&oBUQU}xD2T};~PFzUzc0g0{JeT%Z^o2)iM1q(KN%Y(W(}*eA z-(vd4Vidry$B}V^1=O5WtL_k^a}15`{$t&rAp9rpPyllQ@M(r)o~Q#(r zcn;a+atk6oDkN~~S|)@LuXD$Y^M%yJFcVqpCF*>_a1rOg*f>fMX&5k46Acn0!V$Gh z-N>eZh;U^iya_2Zb@zveq%X?q7X~Q36$Y9dUrCcIqKgh}Al67hENPb`Fd6tB*AP=c zg(#nRxCl17Dz#Yr^N0|dZ4);}c_4_{hzUupwJnA)kOl^p)#);7Mkl1!N}8^YLoFI_ zQU&HTjR|UE5(t;G54F~qgABUg%ndNYgkmLdQZRH1^ZA`N?MmOw5C-DLr!hR)Ul?Hg zLD0ko7zqJ93TBdF<6(YDiHk zDfD^Ag1wvGw}D$bY~=fjMJT2pIdXEyqD9i2%P)vPGp8(YpqY?-`qpTGmIHHO>l)xf zz*G8XV?>yw1V`#+id|heZBaYJmdmD(-y?AYT}`KhpWL8+`j6p-1AA$}i`Up(Wj_4l zfgS$vRGvh8aMipU zoC0!XnDnQ=7*Q9d7KG8JjUE_2aXXZObK>E$2S6ab{Oz9UE@F!F+5$2nbLWf(m+lbF|03lEX|O)#G!U+ z1_6~tc55t(HJ;XJHM?&1%ZQY|aMFOYT?|1<<|mgZMc+6NP7Z;uELZ;kXb;B%poM-W z0`N9mE!&hJ>9)BC4ZtZ^)9lUhw8Y3J(?rW+`18|%CO|_TZ99R(A*6kZV+X88gc?M@ zMPVENBr|1~v9`6DLuZ38@gml;X6?6{Rpy zq&>=gF~fJ4>+WE{-qpCo5l5~WKCkWtiZ1n(;|XpBGxe7%61iBnd!Cw^O>^_fkrU~;dl>+NZwkDWw*i7pm`U*72N?vH8*dgs z$paev?jRNW{$-L|A(kD~fvbtxo2hXKp|=nSiQGX{;?Iszh^vK`B%VHH%0Q^6^9bH* z*;hKjqTjCO2q6RgOR1JrRV$o3x62F-9PIczxDc2q&t@_Kd@<7&UWCqobZ4&w-Z%vJ>lDDG7D=5g5W)cf@tcQ(5;!3n?eyS7 zFUcx?o7MmX2xr$5)Mx(y454Xd!PhOT=3HY_+U4~0@qhvcR~kWUzMs-yiX}AqFIN|T z4Bk=z<=$P12=l(r%o=M=;{O1d%?*ydb~zODNEuC6N9stSJurxC(z}o!6PUxYpyi{a z8Im-z6#XCOD>;L8YDMyS$~To1S5cVPlSL-X(~DF}1!6Odh7wcALyCfV&E-spzZvPo zfy5y^U9KIZQl=OEFk57mz)&xj95CmdJPP%~NFY3&^e+rnDyjGnxT_~Jj7`Jym{`(L zPa6lP#tV|LkptSzVqJw!tKd_?;{`NQDibMyGn&=Pt_BT+fD+rN?>aPBz8+i($=q6p zlcn*GPzyZqD!3p!MPz;APpE`>eV&{jM%LdD^kA`SkPW)`iHJc0RTk>?z?A5Ul6)Sp zj;7BlCX-pklsYGs9zElM=!<7P+*(ki{=3VbhyEDSihy=SoPA_Q)(-k@C_Zw8=z~GD zBh_$$N)cQQNZ1nJ+lU3E-pJt!4^&YV9wr11o%sd%kU@9;u%s8=`EUYU=D-#R;YL`S zqzs@T0enTlC<9Re53VgBr>2eT&yHG`}rQQAG$B74%bVDiJ~{laVfS1 z7F+DcQ|tc#3xHcxT%ZUdla6qjQa4<}LtKC*gOMwwbMM2ID{F)c#m%Uq=8OXa z(C4s8KjjPo4I^Chy5Mm3Wyl9}UBnahzRU>OzL|HqadbfV8A{DCkE-{z2%~lx^dq)sfa~No6XJdk-f#EhwV&0v4dO66mRA%HZW1f5I=KZ zHVz;uH{5YFeb+V{%MJu=Q&V}!JD)I7u)p&KH(_n0pe_G#FLI z6+oOZ^aZQKDfHt$n_uoO=|%qlTb*2ci5C7OF-n~#o($j}3Fv<_qnd)jeuf3Br|S&X zK!39@x&gH{@x^KQhEqCIe(*6zu%$4Qfh(+v8EJg~0O#gxiQxYLt^-O#{{RnI6eCQD zk*E4Otz9L_;;!yN-zN&6m;ty-4j=8p8do4x3-<==w+j{0lPr-I1RxNZr*`v3?RkVP zAutLUBkFz01*n)r3M)C?=xVC#y%_`^KUlf2#! zF-_jD^^t)z#SSFRGtHdioL8WJn00^nBx&8&3Y%&>&Zb3XpE*D2iZ0JsJ{fZln94m|s;1PW;9((gQ~LuZ#N z7NF}QX#HV8n`WId;3&d|&~hqN1Hje?AY0S3)0+hRn#P@4CfxkTsqlYHC<)EJzjJv+ z2LTo5P=n)%;dEHVpjCGmu#*JH8tH-6ePX#fG8#YT6o`S152|=m|F25pKm0b(Z3zz7iCmGVe90riB@xiMxZ5Iq%3u7cjX3XJ0 z8te?+Ho9xshj|g^gK)}t6ZBk_joll2td?-!l{Jby3JBvs+P~rQVxg+zmNB5;o6(Pg z0uECwSPg5C^k5$CFYAL;kuvF)<6wGVOtw4t{BW263|*Q=)f^c<)_O7q0%@Ut9E`Fj zMO)2WCWv|#zOr&|BEVL|5GkTE7(YKbywcReMc^=@otgZ@NjE+E+;OAVo}P?=+pWaq zNv%niE(21O^Lv9~aIgUD^z(#)5l*hjiiLY57K-Z~l)#W(?J?#QPyyzH+2;+cP(X@} zF|&*otcZtc;}f~AAynGrzy-`5>lEB8Ntahq6GF_DEt7Iw*Fv8<0Cvr-gWX z3}tTt}V6Z(LL!HenMvcOX61`P9t0Nsv&f>0iDwqn^r z+fd<*g&N93MF&^jBoYNII9yQNs(_m}ugp?H5VLMVTs0#pL>dDkuxX%*!ObX$fwt7R z3v9H}B2gxn4v-WyV(Tyoh1gIz!BqU=b#$i=Es!Bb_Wi^vX{-Frq6A1Y$h4Qv1m?|* zAdas%(BT!K$z?mf9YJjjJUk`Pn=noifQBvFV|hX`Y2jR`j3Fg5^k@_a)<7`5j!_*7 z8o~*hwlAPEDU?l7RtGeBn(=ZG$~-|l zE>m@B9AO5ACt6F<9)1Vp z#F_1zK6FHYo|h)>EW5O)vCW|cRYDASb3m|mT7Kd}M%#q@GAM-fm3$^6^1eW=m-&EE z1CP&>3K3%5J3z0zZ1rZkDnl6~gXl|KitT`tW8`yV?Jlewsl}5j64GX@sRbzDu2mM> zNkt|#MEMxwfq#_qfJ*~+VMV~AAf$|u4~{6@6Z7wH>5z%WeL5x(>vazpC#dW6#ZU6a zHFQ@r8%`Tq3*unv!Pn8MW1v)C=E%gI5PzmeD1;IHw+Sgak6O3{LY9~gh=3qh6(zv0 z_L$^X_>OdqDw5Fkm)j|$>zzSW98-vqeXfk(R0ZD~gjLo@A#pd_JxtdrOY7r{DRMSA z0x%Mu@_~x~0EuyO6%q$qjk{OCqijr2+7$|y2}*-Q!$8a{G>5T#jyqyTq0e=|L5e!s z#{U40AkL*S#)#RYyk;!gykWo-czTblk4zB2w~H_`X!qYqjBi0r;W|{Tewx9RyBo7z z-Ww}Y9;QKxB*I}(f37tfF^xUK3?VWHvdpR5_ue@=laJYocrX1kU(Npj6v)Nf#%L8+ zGC=#V* z69neL)~(H)^H(SV2UOL8i`YZ)<{0+GvE9~O2PE`Bs z#)6Wcu{~rbVtv3NhqiPJPMA?1Oiqf?rw2poz$3Uc4GM+nlxt=cfl2H={{T#ydMDt<0T>PYmdYpYZl|He zp$O@!&I5N$Y-Q9k_w^SgI_roAgwutXXjRQPk$2nV&Xktq@FsE84~%OrGE^t)Is?*R z7`jo#0QAV^{tPRNdaiY7-IyYDNm1YK5Yz;=+Vsu&BdwzNrf59O{V)w2L^_@`g*8Od zIY|tPR|m$i5&rBo;bP*EGG8uM-J_K#Jt0INM5WJ9O3?%ZgCgUZ(ke_C)GxQm(&bGan}e_Xo1>KLV7`cP%f;pYH*_NH6~0QtH+s@4v>Mela@J{$QhQ z552-)XK|$*dxkbzKG!l7(vZkkTat&DgAyE@YZ5kbEhL3;V8V@lVKkbu>b*lEuu2gR zKcRy{09NpYCj>Q;=xwa1cB2fKFMyK`!$Gz&7N3IT zfC@^TLlP1YRkiX@W>|K7?oh9|3W4sf9bLuOynwa^f72`&6|O|qSwlCR0H%|R1vKs@ zRCSC~oNz9P)LHM7BDB+t+iJ(nfM%9Ar`Ee~`=zecl;xnN~ z83U@AK+XZVphNJ)sdKp6AO8T;jY0na9C0XYE@~aC0rv`Ct3EPkR6DeMr$^2RN}Ad< z1GaY{nXwINI3_{BSQ_K2?7`;|2rHWQ1-Pxst15M%-g2^|3FZl>5Ht{>5-kiJ9%Kkf z5LkO zlGijX3>rixA{-SiUG+B5H;M~ z1}F_?lVTomn8YX$eN0M}EAQ=nhHOqyDOFnJm0htWn!?Sd;S}1bo-5aNt$<_rn-W7u z+F(W!4A^vNzc4n)6R`G}p!ipdDQTk!deK*XaR)hCOe0c|%3mDN#ih8I*tX3Qn4Nu! zLN>yb+7&vY;{1)Cm&!RuuJ{~EzsC@O3V@teM&JUV(Eywj<`Pg*uwK2{<1Ch|@EfJe z(73DeE9)S5o6v=*e&)3$6o+gv!@V>p8B@~aLDb0g?7#sxj?l3QE1|x@;duDi_ZFxv7rowDE~(G7yomvYc~j zgSWxAX0ppl@YcP@3xvURXPhFUi3p=jlQdbNub-ar?_?|mqkGC#U5~E@RLKZklQDL# zY=G(x51eW@4am$aAabrC$XV|8af)=*qF+(U0qLLa8bBqdTNQPbp|I-_LFyL=2Sb2z zl0j`z&Xo+%g3i6bA^;k!8o$0W7Im=>mlW9o?Yw}I9_ne+%r0ths?YZnnk5UHOd1bU z2qw#BLr^8U9^9&WaWufD{{V5N3sVz^2QHS4isGb2ZF0@r>9CB4CNQJ29T4_lmFui1 z6hF8W>>R}0h{ZmpK{>Ge@-lr+(*40tNEgarx?Vr?5D3iJ9neos0XF{txT^y5aIfBa zLt0~0N*bR1;I&8Ddg4WZC}%}q`c~q1h@o63CA{`|ZWEz-`}5I&OA%9{RT0#IBM>uKi{i7(b|Z6bb-0X_c!80CCH zU+0$u8@VYAtl<&?gPTP*J^F@m;Nk2waft-^G+r6Ce7-&U{J~I+M7}ZlB)o12thl=a zZd|`d<~OD8Y5}ykTN@3Zn2o#{OhypZMl(>b=G4t_CMV1qfQ5!~Kp3QPBX5dKq=%!$ z!0j5|80&e`r2hcZI9lUKH}T7hvc7WqM}zdaWb#3jn+8LJErq}umk?3yV*;`fW+j)X zX`%Uw07)NJz+}DLa9R!wzVZNKtU#mn#RiEX(*#ltu!jJ_1v^WWCGXBr)+lrk2e)@L z1)g%$sr1X#aH8Q$#4uMIXLmBt3UF+{E+W&agYUN~0{{xvTri|}*8P}+B8T%H2rJwY z)|18#lIMLHNNHQd`30lk#L)Wu#ZL9cgkvA8tlcJwtg;&jxxJ@N0N*YaA!LJB7PrKlnrex~_~hwr*TWa2IV)*uk^B5=};py=V-U0+6YV2@yuY<{s(^1!)K8!GW zYueo5seH!&0GPAF@X#+r%3wfg)?8B#lv@Ti>4G629e!DsaAjYcb3@T<`eaZ!vS5PJ zzxd^%=uJI$HSm4^0CSfN+Abj*Yun>B6qU#VfpAz9DxTB9!OOHTsEmpSVKXlziQ$Kpm@h1yKjtKWau)2JBcr> zgs9Vf`J<`brc;VAKHeE_Y;qRs2tcTa z@@FSk@MQ>?i3hj{g2k)x#FnC8!Jo(!zPx7gk^cZ)rhg(Y8LtDCoGgET%oS*8Z{vk6 zpNwWz!&iI3tAZ=Qo-uR^cRDB~p%3nCB94KLG#zp0xZV%+!^Dt#S4Ft46<_mvF#}tF znODS*GCBsY%m~MfdZmT% z>-CDF2X(!?fr1RWA5PrX_US_TL#g8cC0&fX&2mcF!=N!UMJzgE ztTLiIi&Nwt!xXe5Xo471vx0aS@GiY^#avLwH8IxfS*N6Cv22)qwoZWN;cFG}_O z$pWN=5hFc5@-r+RT67H>#Dn8xddnYJA_qxA!h3z@iP;_ltSBaeEMg8!0v#4370T(u zUTg_Ngj%n>H71P(4$+1+Kw6QTb!xrr{$a=3_(lv|77NNxRgj&LG5^*pDSd?bo>~gUP z6nJ!lmx}2ZP7GFq-VGdb42+MA_cLnCw$UIbb3|^w2u1(Q5il_jHYPc3?n4=7%W;vmYd~r~{ zM&4Xki={%$C{^8DP|(@G%f}}Gw>8W_*+~-ICWbW`YiR(nED$JRj9tDkVMiCEea8|- z9Zx0>#4fd_n1V>6C#l_IGN))aEf)xgKEqfFK+v8rg#hEz*^d0(7{KW|as{8C6+$=w`2v|}p%@~8lVRr3GLbw0`*<4~K z^e_S4z5f7k#pFjm1on5k;g zT#Cc*`IHh^?TkOCa8ooiF?PgS$$ufN7FHqvv(_>+FAR6+FFLPg@Yg;aSA>Feb1@8t;?f!PPK?4(ngICkj zqVe2FSf;-HCPz>&{K%X9nWJ-YA}dIqaRJ&mV|5B^V{x<)9v{rL?$JTVVcFjNTY?4c z^P3U&WbKJL<21wwGwv-2jBYWHs-w||%IQW)v0+EIC^-KB-NaM=GTI(?8No}xm(%ws z+N#q%^bg@j7)3vF6@<94w@qAVR@2a2WmE|FzD%M<6HdIqcs}NqcPK|!@s^juOwjrw ze&Z0#Jk8`+2Kr9s=8!m`L`;7$0UDjTy=Rk|ZCl^W5I08is8Kb2jKH)jqtwd?E3Av` zm@UFL-x(k&4cm(7HOyschD=AU4uCHlP13yLmKzbb`zAsZ178dd#)N$v98w8DUa%%2 z9boZ5n8M`2K3pZzjuIH-_dXY1gc7~ z2ic3YvSE~=K(HSDDdPbUg0IM9KyH|-;77BjFQd{m!KErcrRkD}g<%-$7G`I(jxnOb zV70T0WEw-riB@2QUt+k;mn|)P9?X3T<`s4s5Oq)jkE3&8LAMpQhw}yyoa?vM#0Vf4 z>$4aJ)HUMcq>Lm6qCXr54w@pUdj=Gv)82hKY!kFA(DdX|n$J5m!r(mYm9E7{#w41= zhza~H^Kco2AO_Xf#wrRAr=wx$$_BfqDEf?Am(VSgog89W-I_n~b7^HLM37!5oJ~V2 zlhMFR(o`~kZoT4n5|+vp^_x-@%8@AQ4zpyf>QBGdBDgoIY_1^yK`d~LD8P&!?lhtu z7~hNRnEMUzC|30wISKEt1mTZ=6^p$PhW2XIi6U>@&s$?9LKLzaMyMPBmz~ z`ot0DvBs75Gh2$QW+w`;33qBf$0aQ zJ*M<}W!_Okc}auFqEQAd!s$LL0p-W64mfau8B@yQJ3kBn64aUFq*{)i6;?nwt< zd^t#zc_McRV!GD$cyaUq3Z7lpha5wC5&i=J0H%v^46lfN)&p}_yA$3!4)VA<9Et3V zNS&k|3Uhf3%CH(pIKbJ6$&zXo7x2zuDF?m-a%@m(hNN>st|%u0Ekn}rT!a)oVJT#% zx43~Kg&!f%&9*_XzxBB^nN5AhVxCVay~x9K|$G`Glgi95ZmCglejpKk;)#gX<<0^scTH5aLFW zbU3r*sHTHFq4Iy?nK2s-8%P>)K_SNclHfzkxCCX;*-`s}1t#=2{KSd9ANLfL6yK~Z zGJpPXT1u|&2nL#%ED-~Us*3XvT_E{cu=kIiUoxiu048W@UjC}!n*fFOY;fgl94`E~ ziCQEh>k;4uEt=iR9i`P7!)Mo6BUg1-&MGEsF2(Ku5H?}K=CkHN)i;k{4n03nhD851gYazc%wzU9Dk!7dUbxx4@p z1AlUWd5rSZWfIbVg{{X3tLiE6mt-IvtVe4r}!vX}BZV)9A zR=3vjgn~BdzKrdS1od%Y>MF<9RSOr-tVC15hhc({oRc7nRfen>IM%Sf^GSV`SY{*} zLpP{z`8#l^v>#v05Wuh7*X9jX*%R5Ch#tGY@x=|u-}efX(>G_A2?Ier4u01*Ye@Rc zZBS5*Ig19pT%M&b-8{3Da?@9Ho}_#3uQ?mJ+mE^riS9cs&- zNd@HA^J|0#lg=pvf0&>BF&|bHK5znemst_CX)^R_>B#CzbXO8qTDGWf5q@20PUNBG zcX$|pZv&E;d0^fD0G|zKn2gxy9m0ptUfc>RY>Z1y$&^MR?YP=`!lg{qDRx21PZ{F~ z3SkKv2LeTO-ofF7bylu4Cdu4@<3-*=j_ceB32O|{14kJbpvbq*Qq`xUaQ*4JVQ(ro z`((uyBz}C1#_!j4uH$w{O=1C2(3OoNr>Y;}fDj$WAQO;JQNR=K(-FJzi{Ta($3SCn z(@8r#KYwu*)z%0G*Zjn-RlVYD-kzSv974Ld*co|#IQCfudBF;{WV_9F8Ks~I+j4_i zu<_%)dIZut{nso zKI^Vdn!Kn^8C^4g2u(e%Q9?)I^hr!bETBltBZi|ek}J{1j&!I7Sv~s5&~E<#56^hd zh6)q1*GI-KU)Atb96D5F>*y}7K#A*afgvh}5>*{&m&h^R4s`_$^{vQ(5s%Xs>TSB) z`G#+Y%`a0!F$OHCWq1H(5lcD~#%L}hQmBW77>R;9kjXOHxOPCXZ$xhEof2Ax!Rp7t zxj-L*d_Ho5pjHI~nz}G*aR3l@9vOxpf*K=QR{;vozL>9hhshD(9xDX*fzdb!s;kdD zz%8^&;L_is!?j)pHql#inJ_nzJfeFrN?afoN({yoR!tYtaW?w&phIR7_fO)HeX=vK z8$kiOoJ=x11*%B2(Qtqk3IP|c=QE$=PWifUd}XaLscXyk8YscB?Osw2rcXm5BDaT2id_fVc04_yAi}P z1Jnc!pbi)nRq(TjG~A}9(hx4EoG{ek{_6q*G+3z{AKXOuO%wWDezuLwxy@hBU^)n+ z`<^hw{y2+OL$g>t2d$23Rde{0#%!vjT%kgxLPzcpqLvl zZc%_JD-hjInWE;J=sMgMY6PKw7D!@5#_RFW#Xd#qGtrwR392lRtC9vUA301RYJiaK z-a-T;=v;&~RRlh!0VD%FV7ACPa7NZb4iP*fXOC|1KS1+)j-aSObx&7$FeIRfT7w4~ zsFlZ~8LNcOZjIaCSY62f0Dj!IEZ46bn5>ttH+{=HU|1p6mlAn@F5JP{2~e&JjcbrS zhlz5?4#)NGxP)m(uyHbqDT&7?AelT3k%ngwoiXSm3&-%JzPLo{lKhUV(40=)&8>! zLE+=bT-R-TxswpEKo#?ZrMe5_7UHA>hFmB(1)$5^r9*!)G5~!qFk6gze90!ov(t$W zDUE5?D8xCPzOgQFdGnhstPB#91y8PSZ)Y*ACb|OOUycxt>ZR8G7*c5lWxj_cGV6Q( z;vQfw{;kK-7(ffNxXuU9-zFLj^nVQDZYOaWZ@Yn9X7B}eN&Ijng?XEAw|4$(I#YFm zR49Do;bI)k0*Enkw)9#3#cTP1eDioa_b4z?T(T=HGf+?-GW0*v%!r>C0^BWQA`d6d z3Bb`Ak~^2CEF?pe3Rm7;4)6vbZ@gjz_x#2zXyk}1PTCQ`Lw8V(*@50d3gEEt^C9p1 zg$fDh6;vcDV1vVfphzyvdxMW?WQO`&OE!inYQ14XHVAUhAE zf;-=CA#QN*w-dd~gwt!QiWAPYla)xn#~SbrXk4lm*@y(7ed3A$pFm*0gr+%Be=AUlwMT)8REdAtg9&l3Bv+=;78y+F8ue?ZWx0*vKF{(IKTL^phm_bPBpWHjhDxbQ-RT6M*cUYv8`o_6KvY)T_CJ;M4 zp0$;SJl(+C0jUhP7#cr}xD6GUS`EZ2sA6p<@A1um5<0h+#wfxuJ(*wQ^Oyt$ZsuBZ zXnsEKYB9Em1{kYj7$V}!ll50#d}`o`6ZhGN$xuvC4m})$K3KTO^Ezgp<b-5JAvrDz#EC=hW8LHCn!HWCKktJdUzrG=O9H4 zw4ipruq;Mx3ADr?rsFYKs78%TA8X?hKs3Jj9v2;~dj(#Jf*Zu06VrL46L(N!pcI6H z?)zVP%4j4~txuB^=rI*jt4sz|t%J9fJUP{l-GsJrL(v$%%1l*Ep;MlQ;8IHjHBBLf z$tD6Kbs4-fAVLO<(5Zn=;zBBtfe`bY+pVpgjqG`iSr)rToEosfRj)wdh|!5|An{#` zgSmhWk@m+h21XBV2#jwX*v@J`p7TTP61R|KJ!EK$NXT_(lMM!@&4t>=sE43W`r!ic zwhuI zF>G^b=i1_+o5~n2v35*oiWoRPYed4D8&Ioi7Xuf918?_N9cOj`z9PObCcQ_8Qw`wC zi74f2V=9jJr3Ccim;o>DE#pOe?7&hD6iuJZev~(UWiNP}A?U!76|$ zyrMfo4dvdkp?yn)tO4ZM#4IjO=<5F93MrB)`1%YHomGNspvb}mVfgvLfuQ*C`7uz6 zhjAgoD$xr-=;9W-t4q6rhiU`ia5O)zeZxc9ynBL0FQhBRKy*fM>l8AhN1(06CgZ`P z#jZi9i}GVYnv}st5kpX26~!tlY497#E#Te$h6Q7?fNT%f6HO4l*g}}B{$i{O8u7%d zYKQ6J#FPa#jHf7sJv~2}A=X+iNvyQmOH2UBWKvXU-?)kb4G6`@UAQb<1`++%Ad8C$ zrqW`j`v^ol-`scWU|ayy7z*3%rvyRK4^JaDtz%@dr7`_7DOF2V)3!Khk^5XZ5d(O1 zbU*sOFf?tm6t9g27z5IRkIRi1-+sB{H75!}WA_-Kr7{TyR7-<2*MsvK$-lqa%uwAa z2kpAS3S(ov>Fo67qSk5JGX<@V+^QZIStRm2jOpWiH z@k#Fe^>8GZYlO4Oh9EdpKe`S{2-R*EL2N%dxxBjCXq9Wsrsfu04r~E5*50m0uj~8k z501y1P{54_zl@=icoGkLGhVO`k?5@S7$j*~<-sEp07tVGe3^pkm51gCr<{1C&6K*G zlQdZiih)HNG858k1x;Li3;?mWm;q3o_*_+Pz!)wqU<(J0nR)}t_BpF|QhxUrlkRd% zQ#OBlg(A+}S{o{fbYR&CzLNrxw*6opE*lB@;xuby{Pg5hrNF%VaE|;uVR7pStW(E@ zePq`Nc^qYtV2^omFnt&T!6COnimVq119>ha;g_RFG#NZs-(itpFfY|GEq~Um#HvU0 z4L~&$=2njU&rSvG2v#SdD*pfu1iQ%$K*gX*fYMH`E7MQ<;g-rp&<<>gJGY%EVqzM> zh$Y;as4L706dk-`iGY__;0x`_Q8pM3yu}nz+|4~r7zL@jkwDyjJC>2I%}ZPf+|nu z#ZVNU4?Qk5DeQgsSO^w=GRQ+{l`m$x&MZ z8+PE5ASaSw0SmexkaJ)m82iXAQR}z>NmI@;#ZciePX(7w0C5gvM!K(!gE1V4YLMX` zHyQ}CxCFdLxBy=z$OvCJgbjA{a$$aBMD%f#bos!8R8xNT;3HNF#wdl4dau(X$SZ+& zg-){51!@+T@@ise6Jqwx80`t!p0PDt>h;!~0byx2gZ^O>r_J|~zK$Ykhc3TgIVXPq z0OO5Q@4hh^-Bk56=o11W+R)%+78p(mgFpq=*&4~;kS|;$(EV@*R&ycYnyXF~+f@9+ zAwnMF0Y_-+FvLa?jZoqhUF0#>S}BAfNstJskaW{O_bpV?rc)ac2f$#XcDDO6jPKKd zfHJ5<`g_Sj)`x+DPs0q=UNZ%^68ik7qYN-@aaO5|XuviH#lni87^5^=Fx6gMf`tPZ z6h@T&cX%{$LB4E;D+gn^uPwC<0g+x{5!@7VB|t8*rGV8>ctD$7=wQq!98dGk2Nk+( z%^v{Qtg*4S_eLTl&V}bTYXOr3*0seX8mHj(#To{~hbHsVelvz3e?~n*h9=~ZK4q-{ z+8ov14(`d+ICRFK@qCN#Ba%QLN!Qzg41{Q_X0eijDmR%xB4piI@u~v*Ej9Bu+R*(z zK>!n&4Mu?02mb(1oMg5p%`6uaU?>2OR{{bmt!$_4%Y^I~@ynN;p{?)Zfru``tG*dx z?Li@$y>TTDg@LK<;%1>_6R{O7@?z0b8bj25XE(PDsK|6fl-agf2hOG#jChLZ2e8Ko z+L~?cx!5!U3pP1|0Ew;It&fH|u85cxl#E=Vevr1fDd_<_UQ3VCP`YM=azSn3$0?X@ ziid2#QLL~QPKxH9WlBc%IyjEjtAIK9Frb<}G!9m!O9TqfuZ%qantA%=Up1%#t&Rgk z1JJ#ph$|HPxc6R|qNs>Nqd-*o$sq*lQ65TSU}^6l7#7osiJKU zDXuOF04xrOWReNx$qQ9MSB9jRoiHhI`=MPnla3;!9T>&$!(PX6{J^D;OuyIHycc5I zpfevT_Ihw-yC6RCA#@B-j;;fd4>xZlxU~g%njNzp(%C95Zv|R{NzufhELy%2mlLr} zK%a@4F7mrO`!b-8n_Wz}VBv}xLG;kZd@;$aYJaCU0w|b}^q8}-Rv2e43jh(4IEw^P zKE8fqBB^HHB_#KS2nW2;R=CO{&7mFTmJsO;x^WP74;l$7xk0p5`S$Au18I&#)njkW zQ9&Br$JVfilntcrvw%KFsWA4QTK%|hsJpmC)d2O24QSf*D1N!6o}u-{mi!;-i_v0} z>1{C_-Lqe_2mvUpVN|Dzu7et}Ci{x}%MV6nB#J|5!fO!lSx;Z)SuPQM9SkK>%-g<{ z*!hh_7*W6bgvo>{lR*vK%Zt)xr?f|@KXYU@p)zSBU-iJXl}@)10(mE?W*`L~{+N^o z_wr!0?uM~wUfS{)9wk%0Cnf;LTFp>eyQ_a((zPrn-rNupjSBw&MjQkF0{~DK=f}fx zpkaB1UBM6zRnVk8<$fMcuXLz4fyGg0)YJg022iT_x;CZfroG&M-ZqR zo{W?W=gbzz$DFm@W)!egaAM{#lUs%m)=@I}I8@}eXgs*Fp-o#%bOLL7dpl%XP~?f@K{Jmc@yvFxg&WB`!L zh!#CR69%Y=md1QBflet)gf9dOixq6ewD~h=>?G7qt_Tu{^b-Tp?f$;L239KwHn6+E zSLkRG8ptYBt~Cl)2#Lch6$pMDOem{!m4nB(0EE`PvU_rvcGdh0MO&|NuW&rtxTIa( zxY~@AuT#l_D0dJE)fXKqA)_o0X7U8{#cKcuzGVvsfHKJY{{Z1zrUXYuGc8j$2@(Kz z7+rKK;w2^h6rmkq_m zCIx8ncPgxc>BNWrOc2FErjzi;1S|y(NP}CLA1~GsU={vTEfM$_M0n1gTuxFihu5q` zGFFE1fdYe%&;$I%)RdNXp8o(bSy!=x+xcZs2!oo1f8t_o*0y2fh)$IL zIMCFmhTo+F=ebt^FpzQvjK)TwtIYIcf3G}es^!EC9q;{_0TDuh zgO)~`m`Raq(e3VRI44+e4OjT$k?Qpy&<|9h)gPMT;{_`q{FA8Bo zZPQ%j7fk(u(^@9FY_DEge4&N(aEHcg=igmY#0VTH0 zZ|(`KdvW@?m4m=c+>nvOSAf`jF%bj~Wdwkqpv0kRZ124Dj~o5gUch<~xY%%PQfcwU zGDs5O2%rs+T!aQPKo={*+-N=onb5AL&dlfrmi##HOO2IzB2+0JADA8~+z4$$>l;T! zzD;`fafBdJwdl#CDtFZMwb5^^V56qXAmjD~$Dn#++HY-iBnM2eAOmPC0C^l+0s%Cq zm!rl2Z&vQxeB46tAZKKLdCjwy@Y-}Uu6MX>^v74?OB!^zpfwZF8j_lF)>hex^-5qR z+X{_nbfG$?Ri>2rO$+eAz$*G&KsJd~Rg%73(#{5>;Gwt*`2>Jf50*aSj#?l{D}(og z2!-~oWq1bxyXpevGQVW z%in?WU}d5JQWK+>LwDrSa9NlMpkrly$>&1(g!CP;(q#7nZJVpbXh zP*S%A5)Pd{i-BxvS+MzTcvA=Foj-i%n3QN9(LH&`*_)3>mwpU##5#r_9ixgl>z$aV zGa<+8EXuN#RWKxsdkfKAEk@uaJdO2$z|jRo{+zhL^8l)N7mUbYH4wp}5{yAwB!nY# z^Tr%@h6t6T9h7$1_F!vhVo~8vMP*~ed;D-I5C8@EVI_6I?A^G`*weARLvR2~feE6# z#|6WP8trO#&^|LkP$89bj{vFX_%P(WZ0bL`;7tcdE<%u)Ks|$rl1PkZROxUpcm{yo zx{Gou5U-5}0=%xc9aGtWO14Ab^h_`y$O^^)KDdk^i=lxns-8Wp%7PFvq`>Ht_9Fbm z5&_?q)G6S|2o|4*cQnA!CNw)BV6)i1u|`(ofH)uQLIrH zL5FRV@Wu&1{adv#Y$ddR4DkSLkDPiTuOI&0FcGe1iVg9VLtUQ>z2iKTDtzK&YFZqo`G6Z8 z%LP&(`2Oz@WCi_p=W#CMMgZ7-!y*M+ zSTkK!V)Q_6Yg_~H!33;WzysIrHXbAA#(2TJ(@=6aIvX{L01|l05EpZK7CjfvSrrB& zdi9Em7HZ`~TNKW^0NwziJ@lCcWF#}G0MxxbWo9Mww=P*N&9mx?YI74}8r-Gk+`56Z z4?nXGtR!-vCg0nKlXZhwK*teZEs2mf?;sG6@_pA1j<^iOrQo_TB8zK_Dy1Ouck1FM z4_1aG6K+waB>6DeuV7`CN~d*GN?bk-mf+g1&hCWHz&aIzI{>lsW+}Uez0KO@>Rg84!NCSh9(Q)DB z7Kfhg#H}5gxPk=RP@X1OdbIsKgO3%YrjL9#Bt+12H4f{GsZ8cM9yw$9Vw+kNTv{Hb z)+KQQ50zmtfYmV_MaiEucgcvR`H7=as6PRL)2ROd9Bw+a7X*pK7)sry3rpLGn=C$j z_gENdH6O1W6|R#=XBOz&7)Qa>xD{c5pn4h=)gR zkq#N9rGh@i&oH8l*bNXkhMynv7=ngwZJ~&Cuj_@<-eLE~@C>gkF(P{dbztH>HRp`V z2ZQ-@ZZBlSqyy7-zA1<`cw(9%%n+;E<1!i$eXdppA{`e1Mb*d@qXhF0Bru_E`oV+;qdX6k-lpURdv^(-Vnl| z*S;99c8R1g6#=V|K_KD|_8i7cbl6Nn2nk|yBB>gQfUuy31X_%Ma(i$m>n))pOp#Os zOfnIq3Cv*f7@50|gC@)n{tf`JATW()F+e2#_#B|)upU25gH1>BdCHFEMEmavAyeZG zPf-|!P=ODF(SZ%2usno4Id#l7HW>vP zMQm%wI$VWNBa2AO0K}xeu$5Y>*?y)Z0;aDL6CouwikdNmB2p3$vm`hSMu!x@8LyzL z+72!v1?dknwaTgn9mk`a6{s``9dlubxI`DOQ7XivUiF)h$P_y!IshYT*Rwhz1gyJL z(BUM4p$HF6!BNcD=JG@YL&wcWB5D@j92jfGMGn}M#G|gFAvoaBST~Q;6iYyXfZb++ z#JC%-jc_32hqH@`NSl0WP~mt&f`Pzm3~hAgkaa{l@sam05%JGnuwPE3XmQC*T`W5J zM>Wj}5_Sk}_k#_K_%92PNiS_kHzpf|^3~}v5Ws{rsqj29fnBtCHm)%zqS9~Efm&_7 zgZ#$0c8UVJQ`Rvx0ac%eBtzI5F!wP4L)!QZY^R+e-$eO=N?Q>^;=OZ9@f`<&e=y`& zdh262zHtCa0t0DSQiEgI?U(_HO)qk*Rt!9E+$0gZM!p!3Nj8A>2g`y;IF#)~3kfpG z$||(7o|6egDv4-&?(mAms4K!dZn!W(BkV|`;HsO{RC>7##T8FM=`xBL^(9^_P5^Ax zyJ>TNS&cz$9YY6Lj{T356sSdZU_gj@I;gWQ@uVaCFU67cXIuG%H04-WMR<{R3%6l``IOmK2FA$2g zNZ?W;!k+di!yqzBgud4lJ@7_*U(C^zh5-ak@9fhfF3!5P%1!JORPa60BHBy zl=NkPe%xcRLiokgQWEnNLtCOV`JEL7#uxLLikFeM=?r;Vr5aDSCkE4#)(d8e2xBGj ztXQm5^!7|xMGugwh~U7wt8%s2jZ}&23<`+uS#5}ksNz6xmrb5m16p(0iQT5eKwr$6 zDu8Uj8H1xkwr?ziE90&=Rfq9mjMa+I0p&k4L28?dN6DI6pj=f{Urucqo2`L*;;aqn zfQ*(e25;5x>@Yyo@FE5vTr5&Wgutyem_;BTKX-uefxu|p;1O*OV<&!}_~60+0M;4g z!Hh&Ix>|m@AS4*h{ni08{{YV7N2G~xo0~GggHNn!3N5PQf=bkeBx)0eSaE!7d8UCz z&0*@QtQM!W!$7DX`G&sPO2iNF$Tj6LDL{9)WYxSk%hG-Uip^u;$!O zg{5`A_%eZ8R?)`nFUHH-<@+#EK%aAHSAV8avjoBbs=t|~tamiNeLQ4x9oGU?x+m@) z0$|8skE_=bd&V&<#^%8x=)_lL=f^6YhLufv90eZvnh@V}h8s193IH@`;fga54}>uf z%GC3K4elsQ>B5n*Gtq^r8xQ726#oD-Tz`cZ_GM9IW5}2Rdg_n7)ciP|f*1b)(BQ6E zaQ(nii+hO9Xvuf@Vw58IVHef0=3tr8S^bZ4Ca#WbAq_(;wAAC2Z(PMHc`x@1X2NS5 zsIa31@?sYFadF(;LJmDYOjLuXr-p8840M?E^!1uW+inaGMie@@v~Ew^gh6>Lrl!gB z9E8A8;dk!`!I!vb6ytNi4!0CYQv@A6j#BAu;{b$|If@AN!6Aq(n$Ij-fK9jrja&hU zxO6mL^-F?U!x)x<562mp9^NBJdvdhB6%w9|s!^I5v&F;$!}~I%(|ni{c&;O^n`Tni z5D;Cb?U*Vg3I70wJwQ+|lwSTB2p${gF=Q0HGjpJO8r(fNsQRh#&50#^mo}gecvVmR z#8M~giiJuZGNVfs@P-8)K*u7Eg5rSm8sk|4{T@;HHegglwzF^07l;Z~#1RukV?Qyi zR$pvCFn;GnTmrj0a0CT?{BW$J!PXFfuy*~qCKG6D))11Yw9bg_4*N2E!hg60Y2S|- z4TRhP{LLElj!P7v&uN>(VB&*V<2l~;F!E)M;5Kg&O@qYl8Y;m4ORT>D!%hKc7(o>V zU<%TOHfC*O(o_r;M?>fqDTD(WeVV{D8Rx-?Rqi+ui0E+{10a+fBiNU8J;7@odNK%Q3u3#Clj4%Ke+I?J8 zIOGi;&sdLRfHmEK_cfIPL%?wP;e-r>AKldSVYs9v5ys;=x_5ysbU3PWU>@dB~C=eGb9JZ5Q#`yiWiPu9KR! z=9Qtd=cm@L( zu&W$GqCx?)s(H9AMa>DStBTr3xT~kpjCM@Y1I#;fK~X^nfSh=^MbmTkQvU#ZiYPUt zSc(t4kw0kkQr2Qi_8&F#z8FgsL8zyQMR8J2>?0&jQJ}4iw4J-48;VuMQ^++N1d?0? z;Ytk}5;S?i!`s?_xsQooL4K zF`%iz1VII^amU|N2DgIxbPk|6V09@i7exqRq4h|?o)ajr3E^-++K4Ot-Y6w|v&@vj z0aaDs+@=`N5CF*OC?7=H{{V1s^xyT#@qw_r&Z*`Zq`7D~eH+R)NV_vy>ITo4pPj;P}Z{?imX zuFpmT>5=+k0lGYW#7pe-19JtQ#Cf?eEKNghMa<6vznNP8Mx13zuFM02MX$L@MU9NA zNo-tY)if9Jzyi?iYn4hW;Tjl$^vGC~y%Ks1xBvi8Mhu7th1Mlu`Xj%`3apP{V-yi$ z9F*lzu=5Zi*uO)(L?Mu)gQ93=%jor%8ZsWi#PVBPlt*ewGVAJ?yQ19Cx_B=WI$CiI^2blz=aLGZ zJYXbw1DOzFFn$?RXBqh5g)xK|QE7eoFdYP!&+`LKAFffFccNf0k4%HZCp5(lw(8*^ z&_IN_2|U~(ULj0Sp$CMxf_aP-74Yz4B3ilHU)^TL_2-Q}IY-k_K4$=Jd+)g&1zRw> zSzp{(wKQ9c1SL3jiNnqhK^VdJoeFOjXtDnQOkKluxBZxl&L$NiH&2b7Od9Pvxj^vW z`GvI~xLaEg-y8@u`jNnZCo}vA;(RMLNM!y??m| zpgu600kYnoICPuz&tR|tSJs*?zJkwIPJq(y5O9C;~<1uS0~L7*-tL!UBmb``(9 zGT@^yNM1Pg4u>oLt^ilNaf$*#{{UR1U6a1~ai&zCW9-W5LZX^6GiA^as<`Ny!v#|Q zPr2M2IcPzf02ZCR10a^FpA#?O*fQWmHU#|MZVvENHgY+=tYT)-EH@^97xB)86?}?W zyb2rP;G>lY6xboE`wVX=L((shG7zgvF+`i7X5fQ<*aEi5PX<;c8)L(C;A~(`qWIlr z$U}7h042xdrl~05N`vm0kkv~~xcdYP36LAJei)mIcN>e-w>Bt<)(T{3c^5T=2H^hy ze+)AUf}!caZs_^*aiG{S=CFHS1N1X}%G=(!*Vn8kFh=yE?LRV;#2dIB12@*MfM7q@ zak3MHxm1w`69HHAEQRsz?fuA5yRYjcTTx77IowNS9id&BY&0Oe-#W)!=suOlR)u+r z(BFBm&ZKx~HPbIaVKqNf5=f-FiI)P=4+G@Gh(k^gmY7i9M%I{sLf+suX8f46LWZ&F zN&GWN%xi`2BXkA0G*ipgKrW(yy$FUW29yi$4cC?=)C3@OLnKQmv=fFy$}s^6(e#*6 z1?Tb_0QZw#fWI!_`pXft>xh%)$Ir3F9YqG3eI_7K?Nmm7~iLoPYi)%U#Omv7Bs8+0Yz z9xsgPRy5D`F(F>Y*HVy|E@k7DG? zXsW(I^N{9(woCH3&LB{y1jP#iSaC@8!H&W0`Wi=Z&Wx|5>N4-mxK zl+ik^F$quwLcrWQOp^w`z-_n|6TT=9%toe0(XOxNG6AZp&EOQRqQ^mB7;VwkO8)X< zUf9&0yp}?P2;P?*Qwmirv`>C=b&aG^WZ-auhCS3b z3ey)4at9MkSV4qRM89zrXh90c#xT|u+^n21L?ZqH*Y`L<1q)Dx_F#Z(ckptC3JeoN zsl~%UKAaAfvt}o8u(9N31O}+rZmL{Rpy+`=Tm`7UPP{_`RuNYoh1zU1d4^OV^Spdu z;Pr0iAREHNCLYD-r`wlC%Oy@KbIbn#T;v^*m?Y~_F-sYZfwL`F`7lT442A*}v>1Xtf56AqYPbbWG-cnmU{QwD&?E-u zCv3Hl9zA7BDn{xaN?@yW3`7v3TV}lG)J3RzyKWB&O8(+3I`c7gu@J!aK9$0wuAKy0r#6td1N z%43K_CTtFaUydX#+|UDE{4fRFOCJ4T1CGJ}09%+Puww{0EYWK44@%<)K|guq%61~w zuOCjG3^rj>mSg~g3-=O;dw3=+kxS2jJYww#%9gjhB4|RdoQwU- z?9Bld7vk?DWD-~RcspVDID+h+Frq1w2`mdqot5$Gf6Sso{rQD2o6K^(z9RnsZ@dCF zZsN&ypNYJL!J>b0Q$t$BHZH)JB&PMERz*aYxP?;8P&G#Wu+SGR^0=iv45Xs<*QZ$U z2aaWrRiCC`EYfu^6Fetw9;b-UnH)L`@Z&@@h?v^S6rmm|J>mg|92kuWu`)3u&&HB3FV>cp{GUG6)@d#7o~RjzJGSm)nl1AY{BXaG~1);qtk^ zpLrwe)x?Lk*u;Arr)#wa+ z%?Udxg;7c?*!wOl8dkUb!Ab+k_T+(Nf37G7XzAi;&{&`4NPoL zS#)dT@Z_AP-yFe#J%8(jZEfci$QN&(69u6Q{S?j$tZepTY|grv;AO%;44O!bMDysu zv4kV@8igZY3FXKN2x`LmTY_X-cH@Ffv|Y?iR5ErWS#g$#@EAyCbp6~M2)$|bWN;?E zMdfvdHEnKKC;OKa=|>B+fz`ip!y1rNO`q2+U|fK&Y*r0hUc<_8%iCd7sz`q1F%+y`qz zC`x$xxpJBsnF!KT&_Cmv&=6jcc$m{oS>i15nk_O8i4kI%Md61Wrou(A zNVf^32&|gXqZm?(hsYD)#mZD_E1>wEWuq-?m>!HViKQr0+<}iknI%siH1g%8Lqo5K zcre;V#9t`ffY>Gt=@rJ-0@&ypi;9$LZM|3S9ipIK1-HfKJ?X$N`O$J92ohOoFup3X zcqd#9A}Wob=)%P^R{lbAKJmFmf)swt4wFge&n6e9zW`rOcLZ4iDoj$^xA(R5V5Xu{ zn{6aL;$*6yghJ-^8nx(p>mt*xqBQo*p@EJq;ARJCfO%9U%8h;MiQchoe6(Tn1C9iD zUcwRv_gs1%TaRBqh9p$~07iL_yaWN<1|?4aVqUm=a@9asbWT z23*5g4`s*;q)jQxzWlI_ffZ!BOOsm&EpZ#KXXAY zyXz6t7kd?-F$ALm7xs=AQGav4z~YBpX2u)~3WwJav{ytMhejF^B(%Z&#FB`V$oRO} zNI{37TCgFO8(|kI=9v_!bb}%4^}*e#E2bCB&jkm-*>l%GDDwg&(VO*(JGentXOk#2 zl&lP;xkh8)!EIko0f-1t1+SN(fKouG+uMdMfM1N-*&e=Q)=01EApZa|5fIA)#e#=! z6CagmnAwfGn~z2$2scvtec_kP^_AL41>W&!-ykFW-Xh+2kd7;D15hvHlbLN?n5Ybb zSHaAoH_Q>-8`3Q!g00e?RFQo?FxFCAx2j@1I zLW*qv0F0$VoXZ2Uaz1}CBtV{K&iZTQy2#L;rZz)B!U4-o4jCv_r}>-oB+)Wq`E-oN zsv|(=@L+gwm>$(RRfqE!5v`BE*I3X>#!93qfKtpj0Ee+~0*yW51w0YNSh>&4gC?Re z?e<^>A?TtR_rh#YqI8Kwinu3}K0Nrbiwy0|C?N-m%K zk)~oyV6NWR5Af@bfgT@0l0kmLrtyR}dH3qLD6_--&6x?MFkLBeS+uhQBE`SgOvF}- z=a34nq|FMS#tA_3ZlK=R6hbxNZ^~c_qif@)c!@4DhT;bZ@tCli4F)0W_aADa!t0tQ z?OzDFH(eb#0T$cew-!kk5N4Dcga+TZkdPS#6sB6Ya$*2puOp5mN|RbJ2kCj-3y!A4 zjzXrziHAlK8dprj2q=l@%jsxunYik4vez+u1%2dg5uP)_X{%fs3-lQxCBU-GMyRsj zl6&lI#a7#}?tPSSH0b6Ki?3J!3Pb}4+0Y_5s$k@M_+nMb zNgX^K473YFOaRM(#kBL60i3^l393&QD7y&v z3es#{Cx+tfnrFFna^gHd#iYJh-yxn)%D!__jSNL=qmvD2V{t+iB!#$<6K!9Zf$n-W zzvf1QYkqJ9881ce6W#!iXG0vtH1LO^xO7hNkn~%IudOZ?z+5$g-x?y|O@tEWn!BWz zE)I3$z~e4<2lwB(fPsbbWevh#SrotpR}EVb=f}y2L;cGjT2#fcP*)I3h#Z;}3WRO| z7q`;h7}U|T_fj}iL-U*Nu=*$!Zv6zp(xk(0Zf-sk;4z?%H>B?zh&aE=ngzqm#v@pY zHHa0mK&!2jHlYc3k!e-`0L;)m5BlR&7}MK0=Q9*(gh+zEI%dVu=Q(J9ULG0E+E*D4{FQ`Slf3~Df+=#l0E0N-Z=`b55_&jVpMeMGB+Kp)Q7B=elke%>j2uwB|e{;(SqKbK7=4f8Wje7Hm!>R#jvbP#E zZa-y(y~H5|FAvz}=Y*0Z`G{}<;J?Ij1j#H^>5+mw-QMaLTq+2EX7QyYtU%j+4idn) zZ2IFTxT~ulu~!E|itrtQJz$EokNTKZCICj1G4uGVv=b43y?sfB9?Nu zw<51Bd3-obSe!gOJGlXacL5*x;eY@Uwug|)WbGyK4MFpYTp=aDkWT*Q!*ci~fD#z2 z$vBMweSA3Mxso;nBGL1g7l0$M2ZJ>NnJC3japw9klwkfIakoHkrSMM<0l^?1mDwAk z6} zp&XZol*YkHL=4hk!Ct^Yf*mInb}8b?0g^O;e3QcEq`PV~+D)zysBhSzFe*rTpiAe; zk+Vli9Tf7$H)sw0BKv$|%L{fzUE-@+*S~WsJlNIrn7~I{iPA5;3fe^xth9@jxlKvx zOqk`QUpvE>Sf-rWPJ}69R6{poLc*?$*cmx^Y~YVi&lm`X9Zd8Pjv%!JAu8>z04S{M zm8>X0$buU{lD`b68yEoYRUr#KOpZqBemMz#qw9bGW+f#R5ynpn5Z@WI3^$(IHHtSK zAm-#ExAy=v7-+M9rOwM>+6}!95eHH;+uzd}Sm8p|o{XY5ck=<8vO^giNB;mZLq*uy z{c{+SivS|TmKSZoA)r_~+-WfsigO%t5cL=4eU8w0d2tv!1F$)pyOEkp1v1m12LgzN z1JM4aLe#NYflkhe+?!Ow=fG0`0KFFi`QN_##wSX&F8A*i=YblXjOofGRZ5{(m0~ev~VfIj! zLAWXDa$xK9ps0OZx3w3G>GJ;|BVw>ZDyyKK1Cb{qclIr6d zyA==v5U26`g;9(UQ3d&hq}UBOF^!CSm0vGsdydE^7kqqX5m@I?L8RTrK|E6wN^(D3 z+5mO&mXUey0SK#+M?`J#dN2WKiCknWwJ=0zDz|PS6ntPc1u&puR}i5@{{YOS(upw# z8>Dd?XC7qCfdXJ!Laau05|g?LeqmlsgFaw3!BYkb>2#fPX5!6z=JCZp{v1jaN2M_h zgMTBHHQV(m>jZ;A!z~c2YZ(st(Lm<$qeb|I$FGq5VNvU@7Z?x%&GE*;xz-oKlmKH6 zBVKdCVBgnN#{y{}iyipEKmb+3I&EEP*S~iUJ*SU&0eI2F0v_kh!F#SNkC{`WeNF!0 zkc7vq`-WMmxMdzO8g<+RZ0-HKz%h$CjQTF_Z(AiZ))+)$=SaIvYB*h0-V7x42iVL2 zE2JY2z{$6xSkMhSlY*2P=Nna5iI7?#a#pRtHGSpb8-~1&IIv5DV35;1IM9MAZ#_6z z(1{-0&?8(2P&ESpnjIH32#p$R2*?hx8R^ONxFBuU0e!vtVkLA#R<&*$4KHaXy|@B4 zhi)bP+b(V;tJ4#fRklDpw=L*7VMIi5t#1p8fSi0E=Ca@gaa68WJk`mL`BiQ>+lMZ6 zO9_1Ck(jAz2aHxp2)R8N9_Ez%$s52Uy_+#rz!Oo!c8abA6Vg0$C^=#u?o=Yq@#KYQ z9sQ|>6Jy|T){z5sZUff3{$~TSaf{)Fk@q#kK$_`8m;sK0iI_L?|x(k ztvi4!P!~?Qa)mcvIITK4C40Dw)PF-APY3Lnr3JVNS!0?ufuTFCjtb6=aU?-{qY|0o z$DbzHf`a*A3S#l=4g<7o@$TU8BKHGaPhJdq%|NBm>i__or=wQ^5~LG9uxB#gAn={d zi58R4aB`f5H*v;eQ1F*Qg(|rKK^Yq(eY{pO*9&Oo;f>YK? zLT#9o^$qx#odzgBhr=dPUEvrCw{jayq5--K?;?~={{S(7k#rIsa3!%iX6+2bG< zf-S^A=>9Hhgc;m;K}`HbAH2!Sd^dU57QlLnZ=P`=8&?$04|o_z0o7%*`<|d(=b)sY zbp!Z#Of(%AZ>K29_u)g!o3VJzl z(ZsK_8ydb%wwtVSZAt@WGf}#`EuYMY1ZtW=v?d@%Ru`=tAfiNxYr_%MU`EBK^u-cF zRd?yav>MCK*B1c}5;Oi_lB*pC9?{c8LsY)-0_v9w0BH&F(%~U3J9bHjffyn|s*)#+ zT7{8!%&fU{KuG#oLli4`*4pNPq!IiM>n0USXrr9;UJZP>k|5PYeq~s_qAUIwiRBSK zCjiOBXgs3)$3dZ|Ej`R8ffWQ4)JHZ;p>iP#oANCVLWt`r15fitI>H|3P(U&`2`OO{ z*}b@{e@H~q;3)Q~BxzRQQ!TV~&D5Z`aBJfV$~63KUtX|aI;Ujvr>_`+pN?Jif4Gs< zW5{gA8Z?G6^&0tq3xgC8WBSB~DZ%~10ikZ}6Nu@Uu7ILz*MlcVZ%Sw)m=fVgp$ur? z#Wz?eu8(oRgER!@#QnnDii#oez^u>wPtCVB&-3J9GSOTfl`w#xZ&?7sNPCnp5lizd zuo3F;RC#{56k0CI^xV;1Jf9B(B6nq?BgAJs;GRNk@%>D(CYRW$DS;iN5e@0bDQF@2 zfwi>?M#(!|rf5VdLq!Q+c|3F(R3t;u!Z8sRje+cOmIXp2Pb)(o)<$3xH=GG&cJ%zs zqT5pZF~AC~*E2&`d~mE%x0x(7T)pvctRp24ARb?@oZj!)juMnQ?&(7mQrisC4J(w- zYBChd1n(F)g`#&1+9oCQNhVvk&l+(Q)SChD`!Yo?vV2i`Ztx6Oq*mk6KqBQgLTtm+ zt<}Nn4LvoysAsvqjNHdW4r}?9qD0{Z3^LIpfQ7A|b&(-eSj`S%OwumGhu7w4n5Fmt zpFm*0k2$raZajVWGhPw-_aAk%oK58l9dISvTSkSU4+b$Gd||Q+Ryb0v1AW3HoITff z^5P?!DURt_Wz-x7P*eGAjLoWWdsSrlF~?YreC9U3Kym@afs^YHQ|2^XxJw5Lmg@6O z{V-4`Jl^2!S}g|v*n%UD2$%%r8fq9wUs^DkaYx?8J$S%M)zL|XvJwXNDUG^4znb8Z z$UKVX0tCVRe{myYVmZvKteHMO@YHIE$}Gu6gC34X*G5ijH4TQnKion2BY@pEh|sXO zLyr40%gSJqsMYBiyjI1~cL2O)r$56ZK$`E@8cz9TDNrNcGzYSNIjCrfzKN6+kK7{j z03aL06c8m~1PaV?h*3_2w8F)d94@pv_ky|f7db**IN;F;8;!Wc1IK+CwJ=fB;$RHO zG7IQ?_nZPY;(+LIsn@}b3haP5JzOPgusM-{VD-lDQ30JI=KR4!5~ZZCZUj*QOb>!% zDm|5M2B9o(pXI><1lqFb`{T>~%0fkiS24Pg^79AGOL zMD)Mgd}NU}@%NWLnk!M5HBPda2|bSCRNB43fXR0KN8M4{R_LYE60GI%%=tIULy%MD-kSks$A8v43DQG!LTze%zSSY8JkX8eu@veItUM zpSkh9p*DN$|c= z*)T(`7a#$&n4kel9V$;aIup+fPfyp6`GOXUzWu;_Kl2(`kr}M~^QQvTnlap@{{YJR zGji+9L6J^=G+XVT&o9M#dYZJ0Y{GDn%0ExyiVce z(-u%Q<`rvi==%)V61$2=sWC*wu39#-IBkdFkWWRQj(1T>@UAFAX^6ikguo;iz~Us< zdsAD&ppd;kwZH(cY*dHK)-zLbECjPQ0005|VT-tcOjTEaZqot*JSUvGB%Sp7F+iIf zeg<(g-NA@+0B5rcjYWh{F>pH^S&vexK=+r^gDVDCJ z>6p~rHwmFgo-?3;4&Uv?L?^%5Fp8#_B$B9b?gvp3txtG;M3W}3C*Ge1FfgAm%7%Ny zfD?^_ExYf-6xbAk)1GpZO6ZZY>@h-~azl5^#(Umq3CEYc?;X`^*_R~T&#N%Xg8{)s zI%aW_kBNZ_q(^k?n*q_Z`>aYtO%aF>Cs~Y07{JljF)NDy09@}Vsa!1*g9uXwo4iSc z9Wg!JB@aNpf%alUw~wb3vKBCuyF>g8WvTmyprn#1h)9a1c=sH!u@7gR?>C}CN7!bV zF=$B>BI>FBJKjRNmGDwIMjCP6AcCYq;u?ZGf*`JgU_PJR8wo0Sop3tHE(2?M<1M5? ziUfA$VJ%?&#i`9fbtl%HsYeon%4B!_Ygg!sSjof5EOg>^_u>#T~#V& z2B_@#eYl6iJSZFk1Xg5%mfjY4$^$?#pd|BG29!1mp?6E)7zz#mZLKnLkU$9P4hUzN z0K2uA;goIw^AEAgYB67K>l!DxYxmxC4E5-Lb#Zg3h|`2SJ|GB>ym7e#k7Pk<3;-@P zmOfz&@!j7DnqmaO1%!JXNk)=^tHJwypi2{AS4O=vt*kE|?P1?e1)LKc=LNs=^y zipHyM7p)!2i(YBD;Xr_alt7ITx5+S*azwJ}ei(+^s7D8v1r%v%v%#uiGLp$ODuW=f zwe5Rc(+$q;rmJ#=o?9_mHgb9zIGw5oaJx}-E&+ssLwum&nWPn?N=b1zkRj%%afP93 zt5$$|7-cP&Q_x&m0ZkJ$21#obS|o-8!XU>$R;9%5YDglGVZ$Va@9p7sf zDT%V&G#P|&L>!8>g@wNc7Az{Z5=k;7QqL-Q!%Cu%t$BiIz(Glt=)FgJOhh`euoHK) z6iaun%z*BAU~&|fp{hB0*x8Nx^u9561rtD!6bpu@qUj1LKdv|&V(S% zfCVv6bSjb4_sNIR!XAw7!WG%?1OZM6$|FGR#HR~ds9}-8-#x|{Xug0+4E8LT(t0ta ztQXPiSsN!{SK$m0iDm}Duzaw>1`saPB(osSLa5UQK98JBHZ=kr({LS?v|r6&&r?$c ziHmCLs@K+!7|mi?%isflxFrLxu^sMUH8mGdm$)c{tUU{kJ?CKU-+vsp7+{J&wzv}7 zLGz`}5gKfUY)jU&$Y7Wh^wzL;5rTyoy=qJKe|=|)Y-mr_#Ysxj_%3d*L?l9V_T(Ta zfQck>0h`wS@ZidoKptGJcQ^XDf*2j1@lYP3K&SV~nsh;;@33Z9ptD$XG*8S)479v% z0;_}EMw*A51cbL1_b%qyh|vo3?lrgmb1bN`-$pIRYRQ6Kmuo{Psdj@-E0mZHQfQlYe&Dw8Yn~tXc4VXf6PTkO}-rPqY@QxA#Ioi$XXD7 zZw73j0l>W4Jp0FDBYgVA8q>$=nEfAvm+CEb$gwdMZ8eC06iBY%@6RC4mKK+ zj174$6-0hqM?~SN!>%aNZVgWd!RH{*YT&CGe>`Q*&=tc}Ei|lhZ^bo~(;uvd5GMft z0GJ69!Z6L4QzIC_fDiAitBowc&7CmtJ;c?WAYvoKiy#11FqCOkQT>=G>r&abo47zV zV0k70NFErLf%D~^_~|t(Sb=uE^pklEypP8zNuTOjk>_+_LJ~jMlMib8KmEDj4*nSo zM2!8yQ(RvE0GBzfb(orIz_Rt>k5#O0j)HpqGH%|I{L?N=PsPX3J940TeHklWCJhly zOY0$M-Q$v)opp$uSCw*28+R6)4>K+wS!=Gx;edv~o^AwFR|qO>!KTgs0CEW-_Z&cI z{{R8O7qbV_EL-f>1%h|C!8&94jb^DHI6GxX?EM_-9ImEFDjoh}SHF*#u~HylOL_6c z4KzvlCKm38?o>fMW#_QTf~nKzhaD)cv#UtQ+sc?M9qaI8LzK+=6vnd`w**#H=dhH> zkd2O}CNK|SY%qUv!DGx81>5~`l$B?vEkS06Z&7!3yPHtb@yQ2gDeQ3oO}n@RC2Nd; zo zONs9Jm~Ddt#sOZpLj^Sv^5YarYEjBQx|fm2hTrA_=wMulM#B8I>k*U$j67&T$_h*5 zQr+MT&;*?gs$+cFs2!-MybT5!<9LH+772j|fQRXT&!@hfTxCh{`?BFRhll~>@iRm= zuwg*QVi8_K&0;)w7BcX~ZNtPr3}TZ+Ku}5y9D@V^X034JP_ztc0hlg=y}@WSEZJ?R zSI&81V9LE$rWS$%16l#RR~qOicjy(` zWPoUPTq0OE!qY?hkVXu~*x-1;iX#!g$GFW`n+@x%jn1@4-bX5JWGof;lQ_uX?_g?T zNv~N8h7R%m;ggiW{{RT%B;*2T)@oH3m>FZ&)(c?}L9*02iut4jB05LdV4>Wi6bXI~ zA_NN5XfZ4((yheQOjrgT%m-Kz3G7dK1lDxf{{H~n1N&B}nL;TAJ1mvT3Afu~U4~TL ztz6UqOxDpXn2?)R52F^s;H6+3#HgDwA|0Y;#0cnsn^<{r4*!9JePeTiDjtR zrMz&gY9-Eun39PCC8HbOQ^;OlN8H z5-51B2tA(tV&BAs*bIXx_D*>WR0y0X9M2e``JPP$)-I6#Kj>T=O25w?GTV6hp5${{#UZDpG8QfL z*}_ZnEOul&Lykc<1A<{1c4Nkv%#p})E5KK)hAk4WAZ5Vrk}wWTtaeJ@SQo3HSOnFjlnbmIgAk1@tQjB@wD1cNXHzw zjOf!&0!#@{JYlL>(B)qM>%J^)4=+*gAl=H~_*O!_1c+pp0O_huz=M zz;8!eFe?=lm>wyv`1WF2$-E0FgAT%&bXQ4;hw6Sf;wk_Z%u5<}$ke;Q;wkU?;H1hx zv%ltSY3sG(8R&QD54}`N!a>9eA&rd@H3kp2$xo`{x%3%~5otV-IFdGW?-8aMxq-^!P zQLqR1xQc*+rvrs$ z)@UE9$5Y@i`6gU7T@A#9YWi|Snl$4XaYKLOQxQh({{V1gaD>GhAGycDG7a(V&7KSX zn8!idpNzzS^WV~@D^GV>w4RQ73@DH<2fg6cK|fE-v;@~1hQuV8xw*jz~ z!4v-gh9MaAN3U6^DR+bffJU-Mf8bBkJIxG&`1^{3NaT=gy1(=mSZ5Lg^K>c@$5+hf0 z;5al&UwO(5C}l$a4yE;iNLd_DBaq@KdBgNn#Gn#fQOJ+8_t!8PS4FR^f@p(_Jf~l^ zmp{nk4pw$E5HQIuf_1=o*8A&N~mTzi@keC#m-NUt1WC*$!HPp&NJ ztV$>d<`L29 zjFH%458j$%ttDWP=iGoH*GyPmi?{Wx(2GwSrcyyy$%2VLioZ300@!~J?9GXG!x-O_ z&>_PCEoj3wKVLU+*5dk@)TKZ39U#)Scrk#F(-xEMLRjcC#Whi2QVmO5&IMNEL6!GbBFP%NMaLV39=<(40V2G~DHzxt7w_Ig2K?l=_ zE5|qu-DL-Hlrw5bmn06gUFjKcCk+vOaDsvV04_M%;yW>U0}qea92$Whisf)2r1E7b zJfk6v0IQB`(zuMm94sSCwjiH9C~Y~X&Mj_9D^GUhiI4~5q{*iNDi85Q{dz2t_z9P@?|;zHh9iC7=}@By7OZbs?S&v;EBhYp$N4bepbXqOQMj?z-deaAzkNPTI_ zO=DDwHen>j!%evJrJh&Tmjn?Jv$H)IIW$$lN08`Dm|3-j@*QOWUFlI@al#-5%erVa z;mgo?R>RD0qzao?0xF!Kqu?`wg1rmrA0|8^B0PiBHegn8B7bH&N@T1_!2Qh=cg+h8 zqU3!59!+T9xT;?fycoeqk3KS@?iuVfSukiNB4aABCJ~|CP_H!VW|j%>vH6xy06u4{ z*_vTUMe)1IN~9z$^&fez0q4p37=*+^g9Bp4U{4f=0UA)$c;#Zk3LS&EG-KUlR8yT+ z5ge4=Ju62F^b=uH&5VmOfMSA^@SaR1S%eBl%DCFsu7^EbFxb_HoV3lv!H&kut)q!W zA`N}sC_vQJbvnEZ1sMd0rj(xWBmf6dzLCfdhhFQ$7kfgWA zRCL)rVG#xj=9U)<3<7cP+)`;|JQ$O~gHZJ183dvh%6ANu@oF`XX{<4H&<^(d@=V%Z zMpF6*At!(vFjV}&ofZTb4-wsQHJkj8Pwqo^T7oW*ljZ<|7HBtX=*to)(b1;Kw;3qO zvs-SlvM^RDIPKO^1OnZWz*H|)e6hL!4);S7M#fi$i?*^jBYS!%Wf1PkcElskusdMk=0 zD2ezu2UiU%#vMP1dWy5WIAQl9l!6K-kW z!Gd%^AR{sAeB`&yGS)x?fvy=ajL{vy1q;uE06+)sQveR3&x{POeDjPE7Hh8n6lmP^OvvC!r!%ZE|wbZTxx^D<0M^_^T#$`qXUnGWa zL&MGim9y3Y4`7dkW{LAD!&^5q+dx}Eij zvna>&82%1~b%Qp8l-Uu&pi|!NHkO^u(e>`*9+sobn5(aLdKrbnL=DFh zBKeXd(_f|p8bM5jy3p=D3P(O+XmlLLSQNS(g%f@>gXO#)d)7%%R-agjv|VBi1yk7V z*4#xJMojG@+h+_Y@(uupja-!7h@n;L?lt3 zfs0rJs z9Bpa}oFVBcX>G%F8dzHTh602h8hr^Ipxj@Ig~%14*dfJ!0!BN%F zADLr9Bt}T)JPHOPq3B$6J5tRROAiKJl7dF7?817987VyB2GV%MaFekN(X&&>pDf0O zMS}xdLQ}&e{^B{~;N>gB9DtO7`Pkqc?IK(^6T1jvLv{wSiUXWgF2{_CULz@UgYx*b zOab0S>VL!QEaC-U^)ZOnm(epo1O=`!T__9^3;W|52QscO6GWrMbWhA8>Qo?Yatand1psOHVlNIE^i6zx_> zKHOF%tU)EJb0J6oaN3z?)qK!{UM#$2^7-kj~9dBz@vDwYC$g(nk^wqka>PFuZ-= zQsdboS}v>{Br{?@ozBiQ?D|Xs2SUEFvrAokdrSgQRerxFSvJ`hRr2Pdd3$gX@AU^^ zJea6}e4y&g6)6VOrXY)|_+xR7g)+98DaymX!(ic4bXDNL^~IFRoVz0w#sx#v0q52( z0g_UZUE>8{7KkrTv5QzhgrI_)20L&a1w0c2LIFaC_!iu+O!J^AC#-fSFhCyA)VQ*i zIG_&>D;?RscV2UiSdqT`rdcJyM8B`R5h-i4I3js)X-ET6@rI9>83d6dGNsWeg-l?I z;-k_64@EUFGB+1MTBaI~KuRH@Gkl%^0OGi2hw90i?IR9#@Z3}d4If7Jf|NrlBESKT z+_0wc^_yhyE5=aOx*0(6E($$@nWm7uVl@#ikt+E;^d=Y$ z88_8@+-(#!ITmXsDkJr&41^k<{@)MgJjf;FlH7n+$N0&FT2cYXY-ff2!J#v0Bo6-o zFoKvRe7;OUA{GAXWRKVG+yp@t!%nBY3t5mAFQC4><13msfTwK@GtjtB=#85{!Nnvv z$~>$Bd3<9S5);xeDKlgXg5|P6yW-?Q`k1g?0{7Qu5O8;HhxNePmV~gt;^ZEY^sXct z*rr4sG2O&OrmM#I-OnQJZnDn}*?>~iU^?U8+Ay{yo-**F>-1#SP`)De?QnXTT+!N! zOo*jcY0pjzKe!MA?m0wU0XSlwM{_`%F)#MyTeYObNMq~ikQ%AEh?G!OhVqQ&=-5Qu-mB06XYw ziE4=gSZ^@|cx3QN`PnBj(|ob`v6HNKBV0n{k*zgZSN zT!7YwzY=8P-FV=H=*1SZw1$Q@<*yhBC08JN@?fH%>F&&OkunA%Kwf%sjakm;i}#v_ zHO;NS?)KjFrsXDxmL;u zH6A5H4Owo#8LaTgHC4)L!mqSkM|q2VI~$8N0UT?;8L&a&>-IR|vvvw%xf-1Q7`2u| z!z#2UpTiKu;gSYCS5^FSW1%UHLTY!}g3_U+uv@&K5p*-G4H3EaOMuze(s>^YrWd;1 znyV&g0aw7$`;TxgfInvQZEIDUtD9Yi1}crTrcrr=`EYrf;+`!{;)p}-#7vmiaJdzs zN~atUBjudM0FJa|?mN=Yc~NC)7~N7$GH;o3(FoK|Pt2)x0jz=d4fh(u5~|4Kv1rRN zQ=k!YzW)F+3l1@`Q-<-3hO+qPUk4qdRg=ZaJ%QXo1ls{s8Kc)etBy!(YWIM43rh;% z)%Uml7dZ!U4p7LBZ6RI*g0t!{C>wGb%CWisL8`H@vUCTnOLI*ujH`$1~_(qI6_+n~zAZ=A^S#w5Cr z*Cr`jN2G5s_0dUC^x#H`QQP`@#+nqO&;!$EZ-A>y3__GBGPv*xSr!|g*xYc^?StZeU}$a+)2=R{fwQvoFk+-yFK2Uc16k+| z%-p2pA7g-|1W5>C2(m=|Jeja?7B-(tEXEruUYI`S7Dj203ySFyZnyxH!acoWVgeQ( zjHSA#GTjncktcTWDIxodq8abF&0q!nKQLH=8$9AJJkplrUBc<&({LPCAYVQoZP&)hG5N&r5pt}0F33&Ah%nh#q z3%PfJM@h=gt#%l4)?F&!X&p0@{ z3q@} za3l>VAiC~MAaCXRW1tb+z|bFEHEgcVp#eq5yJ(EWOHG6|JoT+}F<*>QbZ8bJk%he1aJA>d3|C2RODQGqz+ zTI9Mem>T&E3IGnCK>q;1zzw8qs_;HcMxG`8Gm+BRtF;#*sY_eDBd92_F~SfB{G8VD z(B5Xlh^feQT1E`vJJG3J0bWmkzJ zJo6yITm%M2nAgQRcOtEf{s$X?ZsLEOGAnBWcJ)2X2&9iBrcE=!KbS;-i6JqAu|REd+CY}w}siR=S?OfUrNfeTN}wQz?_ zD*o#gY@;8KMaM1BTZkl3k@gb}Y9}>ukz`$1ryGqTd^qkDUDy*y=fq5v0Q+;SsJagK z0aj5AI}?G4Cgk&wZ#K~~7R#bcLNN1jF>azq6M`sbzq`VnGko+pW%3Wt4o)N3Oo>7! zH}0`$Xh+ympSiF^@?5WbMfvh&0G$n14_63PqU07DBoV(1LaXoPz`$ydB z+Pu`o9WX9fRA{>#;x#nP4Z(LX!IHBhz z7>1*FmLbP9o(l(u_W>gC{(D>rtR4-!z%{|?^Q@veHQSQ}CIGWWvJGk+i2Tph-3~VZ z3uU+8b(RF@{=PVog8)@8yi)2caS*}Y*pT)6k3mUz7!-y^{{S!uJR@O!E+8vOKm(3J zHlTQy)0zYhfD2*IcvTcY!q>IHLQGLaw*czVr7}Sz4FUE;t_+;2^v7lX;6lIztk_AE zT@;c1%kf(rS`vi>WA6YFi#^~5$Q<}$UX@`~JT6+T#fX2j$xuxTj2AeV4|50eX@mkR zOYGweDSeZOez)EpfR=qb@Y#_iXSAt?2`dM)rbL_CZVPFbPFNiwjbr}+`92(uG(;2A zgL7fNxQcaYmd8zkzPL+;Edn-HQ_%Rug5WRWh7r)(B&Bc+q2NqgKo_TpH;4fcpUmBg zdHaN@Xr#bUI>vhLF$Ft|imJ)y5de?;G40LqfIzy5@myd-<1G;onc0DeK@5N>q{SrA z@2gP6Cpaz1JrMicjqKN?F$qyYY`8^Da_V_jt-y-|wATYYU~93f^P_;K#FLFy&sj#& z_(LAI5gl>}6ohkh7evVotcdOZ0EC%4!j^zYFD@J*aR5^hUdJsxFm{P)kkf%Fz2EFNCW9gh5c!m3iryFoj;E`#qRyHXBeRF*}rvF~kAb7mr}4k9d-zRqwW(=2@xu z{{VBKF!7@JoO4K5;){0qa3{5=bQ}!SG=+4u!Nw6*ZE=9!;u0&o*c(*(cx6Or)+46A z2d;!)SfEReA(8GTgs%m(eXlU@4ZO1Z(NL`Ext*YXj6d{T1oxU=sqEM7zhlA$| zKdUqYcVWEe)Yc_ij@Ts!h|1iAoDt~|uleT!+CO&A(F7(ED%W^T0-W z1=^#Wr4Tr$U1erVwJW$m8Vq=T-&oS>UX2%p%|tDM;c`dHsqKM@WjvS27yu|}L;GAW zDK+j!5&IVknvEWQ+lv7$(cFL*{{X9p!vzE*wLPzlEij^)L)Nf18WdlcOMGB{^!nc?K#3J%NxUA?qj{^~1Zmud0D!vF0rN%Za*N99YIDO>s$Rx{+0&abahU;`N zFke5I4@^P8bxiZUlUSaok65=hI@}*=jZ+2Oihz~A$T*SGT^X_0xp6ue4`A{xSKCSy z@R_6tYpGEjPH6ENgGpFTOB{WM0yG=M%2rT#-9^d??zTQ%$_ld=!y8MT3}iek{$*5~8)%P*13|DX3;{x<51YlP-+1V*r}>7g3~9bO zGeWuG0c{!pMSLs3z}l)SRpvl3VGqvCX2*okTg!k}BscuPJMcDOJ!-_-V1ZEF`|k-5 zi&{D4Ol>F%CLguK!nSiYC_nsRd0JiP`^-=V90zJaIO8aRFNXSNbzLX&;NvTgXj#)3 z13LCOP=$vHf~c>F-|i-E>ZCw8efNT)?7z1n5KtlQm{4HOp5(bj4?}V>!!GM|?p+99 zC%;Y>?~DHcTdYtK&(d>vNWf0oozGwzN@5{&^H({?N&PV=U7Rw;L;_^i7b-DHaS0H@ z1IO#1a#}eI1keWD9xa6Qz?ZqR&C3*B<%;$mUM>|k0iOQ=rdlfMZqV*_xSY5O2Cd#o zUA;^KSWVmtiyn+j5h`MdXvuPu4eg)dtQ`o!mij(`DBztAxIUR9;lPl%H((R@6{NMe z<6zk_py=6`xL1}>oz&i<;?-51!yr%&OGETBv;^0j@?MK0?|D;= zir0q-D`Ya#lD*Ap$1RVI{9eNW3QdD2_*>GSTpfQ_ZN7q?fkWLP4x3um79~C$6 zHL6I7>3EnMkP`XCr;_qsOjesjKCDw5ZS9T#E>l9`AzN&iOt7rS2(4TCYmPAM2eH5A zNg6w@7y_jCiBXksp+;{Jw~gneO)#p6OkAYdFr}Ab8Zm<2mpz^wxY&lUS6rA$#LL3} z0CEoEo*(yk!$*Q)S$Lis}sAwz}}R4i!ZLO3v2!+iU^L!h2q z2wS1XCi@=EpO_XNI0Uq>jH-TUz&HNK*yAE>5f{sh&3V%J!T@Rd5!Y~lJ)i5WS({bVp|zkp0RjKE6Iov8Y6ekN}AT zk1BVfy6bUis^Bg(lmes~jJ0b07o(50M@JV2vZ_2zu5T64&}_BBWJFImL_Jyi(&B^e zCz863C%6z8BQA_La#c!n^gUz+0uh<6q|^FwWm-hR#U|H|85<|x4_A5FVD9H8-}Ebnuz1KE%*EsA35k(b&%k^8iv* z=D^rc_2&WxqrnA=4gy%Xd+-$YrAXpFA{$5@_+8&%dst@m%54iM>u14M>cpdS=2 z>U(m5Lu~`g=zV0RyXwwgo_(0Y6x|_TFz92B7WoC%unT5_ytA8VzDVN1UCtX_F&RP! z%;M#CA_Ds8{y6g0R|!{*`HH?g{rH$#orN1cQ1^hUNY=qR37VG3Z`!Y_VMK(j29AuQ zK!z{S`k3xbpw{I0o^pEB18x`;3(`MWMhra-1T5XklB+|F47IksN{N)f25m#totUT% z+K6-@@W`yxgH0T64J>;Pu*L&hEdf`|a&=2}KLK%xVDbcfD{_ur>QI(?WNSA9T<{)o z55qzLbdIO2Mpk2Q+A1#?lJIm&Y!6d4I0*ua0zF(yi>|yWWx|MJb{){u6o6||#T*o_h4Y%+w`N5M$E& z#EI6g15;+O9q*Ryzw04vXxPnx2Hr2Bkiy0-Fc8EgFAH1)>G7AT07nAxTyv7D55$gR z5=x+v;EjQZ;I&{j>_EUlH!C(I2MWVlP;@+Cf`ig~T$5Xb1?BsSA{H>=-HD5l5%C9r zJ>!&&{-i0vQ7u3n>KLa0PM}uY6Hqg0OF_YgWQ1Rc;%i`(9mT$qld)(aAYf{i*Kalx z%i|Wsgq0gG)XD;xK<-K7o%0NHldqsNc;bg<@}Ng)rB6-;Dz&F?ZaC2q7Lz;!GOY6} zf|*C~9OJI8O^%hHG8QSiS2aQZ05;9s0Bb91o}(EFAJAr~VR+%DqXVnZCxgyJ;$H{) z=(o8sJP>@^1NCu2hl(2mxEPBSN`hRYby{Bu)aUkH#jmwauSJxPZDBn=;WTn)3C3xbBOkf4l=UCsV#mVAvlf)?BFJH*<(O;L^zp`z*_A zbiambpjyAp;7Htg^v!cXd%OTQPmG+2v;{{HXjR?-<9r-Yj|LjU)cbuHL`Qjv0aLPK zAPR;RR%mOWVhht|vyGsC>xuMgU=w9;fXx6PI<#C7!fHGHOduzmLT?a@jRz1(@#4l; zB7!tqSL>7-gwmVDOE=t0gK4xX=Ly*2+SLRA!rc@Sm!41DN^bI>H{t#Lz;%#BMx(EpQn-4x^Jk4(8fwW*?0;0~#KXHozyjT+Y zqXF!pidRSHoMStU5)+uz9xk$lN9GEH2Pz(|0{M@X42n?EBBJVAH)E(`-i1H>l3a^%zn zTv=>rYsA0Iv*3H;<>`#L2E~5mF#{hAFbl*}9kLg%fUw0yN{CfF6RtpcLA&pX?ZraU zCWe>+ww}@E0mQpCGDsR@RZvFAIB2QP#i_U3jt(jJMqIcE4ZuXu9eMo41VG@-YYRH& zx^M@~QjG`a9GW&2@sO+zDwIQ>@@TJ_CIAQb=f*^oh-L$@0o)k76J_&|Q<9sj(ACY3 z#`W;GAO=}zrvbGN>(f|mU>`YQ*)RfJRR`;EdBAt>A@z@uF|;WkoWurWL*plS$d||G zoEk-#4f4=sL_F>dDiYiVh4-^aFdHrk8dB5NZ3pWW23>#5p$Bkba4Dr3{K3|NrN&Vv z;gtttJq$pag5pK-43DxZ={9&=p;|%nb2i|(1T47nw<-?zc?-T89w(r59lIdw>p< z(<0hFW8mPQu34Q8w4dT+M?%+tzzUMSQFf+wR{Y!;fS91Z;G;}PbdwiBP1-q}&URlM z!E%5{X?+(InJoVRR0k9zh|pJAWFAxaYXkwM-_xcIh^jBCaa#zh3_+!ZF0;liuacPJ zOI4{$Lnt`HSp>!k`EfuI6ig^am5B^wP^Rrlqs7NhdEKeO_It{ZD3Tq-iWDkqYQLT` z0p$Tsg1sg!U{J+rrzGKqm(%L3`q$c40|=E}Z|Ys?cwi$@?KvC$giIvav2 zP2pr?L4(ozg$ydv@FbWF6_eQNkE}EsBu}qBG7?Mh&zBmB0w4ikSF3;&+F5WkDig>p%o{WC*7AZ9AJe&-93l;;HW{M? z7T*R$q~o?=$4MVpGSmiqnN!i{8NP)Wf6LPxrhw+>Q1y!p0D?XD`Hs-8jShmpzAy^B z!m}V0IC_V(9FW^|v+&t!GmZLCUBC-nx?Jgu=HBtLQ#hA`B640WPaS_naDg@V3* zpe8n)z;EKuSpupK^{ght+d2na*dWt?!YPqZC1OEUwrOhQAE9K&TVkDFxRi$y^Qc*=6rVT2vK&hqU z1olH}#^+xFh(lK=Fn4tU05XKPsfJ^ZUgXNOcYNya z7=EVhxiub&;Gw-JeB+#LN6!}>AkY%sJ%$Ygslol$05t0c741-8P4$6dZt3yBLKM-1 zV$fBo%n3KBOq5Nf*LpV>f>NF*#LGps4?&$WkgKAGHxOP>emEi<3pGB|0S}~TyqIQ~ z8ou3RlL`L-EnDf&K;!*jZVl(^%TIYBhf8qXR-V%!RUlrTP@Hm%C39@38Xj;Y0ayjX zioj}h-79XhRaHu}DjZ!|F_ix>GEWCHa`~ASk_~(+xHS@^26H zRBAa{2ul54>lJL?_~EsauecUXuMz7(aSCy1;@~it02+R{W7MVwk|qIyRl0}RbTg_J zZ#4S`>okpYr0ZgMlMO|o9z*5HNR(dsaaVIaoy10js&m9MWe{wl`nlPnG{;aE()R(K zivfS~%x>5GVgRWqm{8l%sACAtznopLIZ zN0Q8fh9cb?%!&D|2n(TAq3pt4NB(77w+)O+9AI_cD;NZ%4?fJBXihjZmXg;M+H&#d zrxqKow#9XiufF^jk$nHxSIhIalrN*h_nP)VfY?# z0C+e`MMS_wavSzHHZ{_t>hhUY;}IVuxyU6f!kd*-rX(hgosMnWmD1`|Pn5=scJ;tU zy=Y(U#FN_W4e4-V0|)W#Zcrd0?|MAMMtVJk$d=t-E+&t`fTKEMeI*UG^y1PbgoOQ! z%Se&Od-CHo?pcI8XiSn}=`jk^K*^W;`sN80poF*p*+^2%fRc!DzBVd_Z(@4HfTN$> zBrQSFrt?^msIXuL_Jc}V8DIon=+9DOsHUlLn^K30>qiquNPi#hDZl>W=w|idFiX&A z+$|dZDSvQ8NOT0Jrz24`Wh>%fc-goh7U};0%;bx{7zbaDAj_o>NAJ8lOHyXT1@Wo*C>X~2x-i%))&Y+Z4OVP#+$eZ@~9HO8# zO|BTQPsGST!Jd);gFt-0e(y09g=B3Y$6`=;M@D!qvbcDbpzubaa*JhrC2zaBa3Y zCH7_g?yx~W{+{24bS+PEFN_IB3u6&DYUTl;qkP#{A&wKTsf7oG+4*h?At-Txqtb*u zxouLIjKM0)HojaIbV3?FDeU!?nh=52^yC4GG3sOE1I*gWG2dR?8LQWfM5yx=Yf-^V zAa=5-f|6INFKxVBmc^BlcHb@z_3n04(Ohq)!df%xKr z#*QaFIKZH#)*&KIUo)m`B{sS$-1UqMm!8U8D|LZFLU^!TSOxU)`!F_5foAXIz*f<8 zoWMdU-=n?a963vcT?>F=sNd+*I)qa3#BDTh0+p54RZo}TaA-NSbNQRk1wLUZ5pPw- zF$~i~dgdTheao8zs{a67G-&o8IJqh_BHfRD}Q z+C5oLJR8}H1A?@+_g4>VQes2X^~Yi7p>S{&!B<2}dUU^-720Zj86c%XYbX^1#tpY& zVY9tPKH!GscC9;;s%e@ON@*7xm9Fjo0GG>+6HTDl*4%OAN`enhxq!efk8X8{H4))& zk;+H5@_!cw6V?vKYoM5mNv6SkC!oNxf~z(op)g0Cwd66RLINCZ_5kQb@OLBx7_I6z z2$&2UZ-?_K9Al?_AYu_HN<#%O+6`imIHGsXGZ_i^@CeF){hfg;dT`rt|2LL+BaAuTCP zb7;l{8_h;$!%&Jw?S2@{N{C>^QZrjmV~EN{x2M1uUI~r(z5@UbrPP@Cz#XVzo0v0; z&-mj=AL!3*z*7`TN_5CALNUReaWzP?{@g;%Do%d6qS6>;rrV&N)yEVe4!U)aWq-su zOrVkijX4L~lz_RRG1L~O)9Ghis45Diso95WMxn&sn3z#mcxD!j3=I7-YtqCV1(h%t zf<;`YM6j7A(t=3WjtiBg!c7DR^AbVhOXJ95KnR5$mfc}2BZZ*m+NuLkbXT_< z+O;-gsNl-#hYDa*VH;}W(K#>k5eK1>7do2+AwQ=Oq+1@9?~K?qJa6#fieqZv27uw9 z5XgFb*BBs1lT6V*;vNzxyzdNDNDyiU)^st{sc=u=xik=)y1=t|fWBTq2M}l;WJJ1E z9;V_D$~G<=n#84qC{TPj>8c_^f`0?XNh7wdhK9A6B@F76{{TiW+-w049uH~UN($^g zIDnQ^XqfKwQc$l1%Y=r(t%k)hAuF%v0k%|Y`kA_FXNl;{2oyx9N<*uB;Q|#D(XdSw z@slMBq79(JAKC%+xE~raS%t}yKPDGO$BL$D~ zm=skaCY%nxk%=)+)%Q3QBjDl^3DG^t+ zZWeqW>*c@;M6QhcMsvu-b^BooLg>DeDx z$f+tHtRL4x?(*P!0qplPQnjjj-cZ@8{<#3OGGwTwzU`I!8GC2kr$vSy35uYi zhxExQd9T+WLUlbTb#sv_4tVP}Y_0a`1S;eO!b)y*iJsY3LxA3#R!2!bKD)S3Lc1?4G48GLBSCC=wK%DJ{K1j>DNNw0;W+uZv)IY^j9UJ z3v8GXCa?H25eOse4JoEfj4L@VyN)UsSIBMY#V2AV^82_z)hdTQ(*khpOiwMIdhj#M zB~&SJ2#5*bRtE4intuJ>1Zox%B^S_vjp{E=G77+X#u`8F1R7Qm9 z{)Ss67Z$>xh4H+SJ59z3Z?r?sEQdD00Q02eKqX~EDn%zSNTRn;W>4hlpp;UgJ%< zIberEW2B2#?3PRt?t|ReG%5HQ6e+30xD;yR>OCKZL`ZYp#2PBZ3BCou6MASN@_#Y} z&=hUFm`#MXho84M2G+eP=*5jK1@>p336MAI*^?`l*9_QDGm4o9vPv|a2WV~rWl}Zk*kE9Z_WV}^2{)0w`@~YNu#>-xd0JXEYNsT zVT!x!`|CSzLLVurU*=Zg0*btGL(Mfp3eP=-P=P`6fgTmv8`Gj4=koTI{M~g(t2g z-Zbn8_zX3WgT0A;8Aao-?~P+YP>%&&xN~%c{a}{z+u7;-#~L}NhPQ%?k#~M zLn%fvg4=D6FCpx}5i~9+8M6Agzh10lYSQ8hlzZ^PEnTPi>-PXUQzkrHgb5Alh+e*M z2}|H|n)pnmT>_l$R?vKApuKC0(bK+HSm-zH+{11+Jp05IX!jDFW@rac?o+$GaG3*!0 z+=5*ms5t>FsBS~0`;>&;Uza#}5+q5K3Me3h_ZjRE1LVefskSw%McyGTQ@fulgl+s~ z8-v3`iXx{7Vk!jR`1H&T`C&j373kO)xHKmU^$%&33J9q|4z4!4@7nh)_XB_F_+lh& zA_|cbDd!MDBz=X&j}u6tjkfu~HL;L`=U+Wyr9=UC8iU*CA&gegqtktv>LM0eWef$| z@x`i9IWnZCCI%jqcF1+a1_sm27k{|zEVC2I3vsZN5*{62(;SP$7FLRA9MH^cP#jx$ z_l@+AFN_+4cF0LjraepL5YgW85DVk@#mEZK@kQcvaR9j|pm6it0PlKvOlm1m28>2Q zl#@F2feehIs}t-Z{lG;EucNpo)b47v(qOQ*40+_<3<;OfNyr8YNb%JJ$Ym@-JHY7- zEsi3MHU2Mfh7FhV0}o;Xh>-a+LARnwd=6402oeQo;N=Tl91+$i3#zRTz)S&X^8T=Q z;LX}9&4pd-1q>ZgDTVVKICNW9<6>0a8MXF;SV*& zfGZ3sameHPbmRxw%T*b1yK8VXLBg2Vu)y6OU~nB|I6>l>H)^63LZfp@3NF$g#$tmIs)734h}?V~f2IpA#dc{|5!Z+~BZf$)#s&arscDvAuAfOVjZ%^; zd?dtPDJ9i^xHiCx(SEKZd)^54S?0{3!w#_2(y2$ch9F_#aRuf~m3IhIg?vA_v^i0r z;hNA*IL8PM2pAmr9;LZbhJL{um@tMMgc;G4fWBLn^=w{Bcg8OC|o| z#Hxrum&J3!6fa!WFknR_#yF_1%Q9-7?)X=D8+PQ&>7JGXIB|PHNwi;pWIr$ z1y1(>m9?8L889b~nL-FOZW^pLOlV#9CQ%fmY4yc{b*u`WNL7!s5s);p)o=z~LBD~# zXr}j(8W27JVy>W8viryt)1PuBuL*mA(1bA58be?193IwJDg#<$1|xmda$<;}_(>dR3MJa9=-mD(k4ORI znjDNrLs_;k=w#h%3w~hP4)|K-4NexGpU*iWJqP&V3WMqJw*X5$ja<+G zc-%2bqTni{-V`&0jGZCX{$m29KXC!b(+*`wkH-M;O=%PD&E(U8rTDmH@Q&QCugujM zef`FCp`zosC_TqXBLE{&yE9DTuEY<_Beu(j>Vk-$rbEzFtQ83L2T7Y~LuY)Lup$Px zZ1r3XtEUPnJX?_Kj#Lb>Ap`4xGqQ>t{E*5cyRj3CS6D;^Q2HWVdkEAu`wBOe?fu(ap zxeXDE83zGaW3B*%AhwLr=uL1qQvj%N>8)Wv0Umk82wDDuHsOi$7_;{}H4lR)A{R)Z zyuSjAL6bZ&{ zTmd$Pn#B>a#AQ6V0y-nrioS`Qkie%>ORQR?+3oZOJ${puNoj+s_RR@vZ=rmBFp%_B z^ZTrkVF_wPz{m<0=bJN(d|fx~$N~h}@5T#6Wd8s|6%|wc8E-Un>^ZE|HqdSJGr(ON zwpq|{1xp&l1iNzkS6caW@7_U57y%anp~vWI1A$3}@k(cKBq4}}HU_h!fHUp_plT7b z6%b0kJ+J0&M9@(ulI$D-PA;ZQZ3CDPVjmAJz#0rp84nDlm`JQW-7m8WsvuqSUNBIF zPT7Q0cN(id-gF8TXFx#EFz8eo_+tchB;<7Ix4Z*FC3K?*0N0v1tVK;tcOg|Mk^HzQ zkVoi~7!Bjl?WPF?7tfaqP*<(N>W708D1|i*JWR4cD_QRnw<;`OP1v7+Y<^CG<&|x zst{df!gN>6%L-5<@Ao<>7xB^fae{zBND6H+kgYV>I;${J9Ekl}iERa!)bQkS>vsN3 zg-UApi^Bj+A8I!K4AR8Mk08@7(A>H$vlfBL8a^Gy3x{jh!HNMRh9nOdI$|!^wO7VU z?!$2E$6PY_Mb*H(IE=;7Xqr&s7%3l}qodMvbAY5Ei;>F%j{}6tHL!vlQ=i8MC1vSr zyZ|KD$||!FAr$8m)qJ=nx1bj_`$i8O6>*v%BKMSn6T~=yM`{k8hweZ=L&9dj)IolH zj&$3BAQxhLbA(|os%;QTM8gEoN9?FVyM?O3FmcV5Q? zh|i>NO+H~K#+8Al4&$07mLzOhUt#9~S#)o+$ zQ_cx!?IQbgYc)GrykThp_%lS7yd)jyaUy9uv+UL>YV9hBZ)Rd7x{wNV^k6aWSP08{rF1gWRUmbaOG zp53Tq0t z&!IdSBZFE*6S-*00zJGJE`*-Q_+ToVI@H5@VzUw7CT^9_ zYiC?H0MUO8TS8ou&2bzcj+2|2d9B0rmKkLj!hVO`)xlF<6FT0Gg>V$hlxQwP1xlnK zzg}Dmh|kHu4IqXccvE~1mlz>Nc?YIVBl(Ql+x$Z$ff4@z*)Rf7V9MBAG3*;snhX+6 z#BV&bCh8X;a60R_!KF<`S>$tSth5olF<=U9tmPeoU_%eUQaNG_0wxfv+1h*gj)4kp zo}6sRb7eDllW1X}BR6tHzsv=iUbqNAJ+bCg1P9JQD*2lPh8swG$U#6~m=FV_c#3_e z%#lT#GmD7m=0FO;Zm}?qi}w@o4;Y#UeoR_{)13lT>5(9jS%ObHTa*AX+(v;bPSYu5 z`1O-x;C~#cG*b*6p(a$logU{SR5Z#KgZtkGvKSWCe!IMvZL%xiOZZM0fvkhL48b*mt;Ey% zFlh~nWo2JTv7=&@-zMfkbc#GGxG9R!SJ{SSE5o$&g$NXD8jOtn(oiKbiw2C<+NXv2 zhY7qCbEI*^p*VUmA9GXS;!x-~X`RUrs;y(`{mxX-e{^sMwIC=8^;#BjI z)fq`xR5~R;GVDV<`f^Bh>xxd9!B&g?^GsM6i++X>D5`imP{JyW-?*cc5FZ@PlVmse zWq=g)4Ux_Od}0jWL1)rp05U^pZxL-Zyc7^v;0RF)VA_U}ed3QGaF5J6*Oce1g?G(Q zc_5;wex^{NJiQ(a3Am3iRb$KOR|`VX2gGtBFLnIZ0c}kH;v%pCDiOglJ^CgbHu652 z&IMG{lhbm>k(%=}!5T;R*y9kIvFk7aDQ*UWcbDTPAq|&L+-^hcVy8?rXMiwc6?`K#1|MUN4*xN=WK|tXe8zgGUuA z&8_|<_k@D9e}!G-xXb{XzObRMoyiWX35g9v@8$wm#CYYdBBglWtc0YXHaAmwxg`Zm zMn6@haHwd`B*5R~3S;Q3+w*MA>Uw{0A}RJV?Ql1BL*v3{LNFWtu+#y_`2EL*O&rMC zaBifQIS^qBgH~Pez{(*108X04fzKel{>&{aJ;Q#?DL^k?a2+v(2d!@y0B!HX`<5Ce zsWtESG&oSF!OqV|MfYZIRtCMa%w&|R1J8-a)*Cqz;i$b|SYCX(0$2%;OnH$!U!j&t zEH)87HC@XCCKF2mRdY{UayOlzWsl~Ipnod6mIXoP4pdE%8I#f;9 zX@mkW4A)Uhl0jiXI%(m;62|Ncx~3_m2go#xWb=UtzmX3Wvkedg&{=76Vv019GKXi+ zk-qhtCA0z)g}W|9EIAww0o95rX2Ukv#qtZlz}9sE z0!6`WqN?rb9CW?#(rAI`%07z(6I1nbUC$_dQ2_eO4{a1$)e|)hATE?#z0sp|`6Jgn zgh<*PD5xY-%l!402@|g(s=rLCO3ycpIn}XD5-+NS2(`)01a6l)Wh@D+HR6n&*3dv+ z0XX6Ez77LNamu|R)f{tgbW{$C$njyXLlj>L96%PWtt_GG!tp~_PBIxg6T-wHpo8Z> zqS^T6zQU*KOwtW>G}nXf17~F3@g4wWK9>RbqN$*I9@iu~HvS#P3awJ2UEsq_?SnBT z1cTpMvN#cb`kA`a-(b<-lLA~WyDUxRWNg1V#~M>7Mfgl6=wVt^2pC5ARIG*yL-R*w zJ+{zZ39;(=#FZ;4m(`g-prL|aB*jYg4+l&yp02^P>5a`8E+8iczgUOp1$B^h`;{u& zr;k?_g#?6F(-ySpf;9jghKV5JIC#(tx_$AIT?gNbF{q$MKJd2?D#kQz0C77tyg7gu zpHkuB`GRz=VT4_$D9@cN4_QJOfIo&sVCxdD5eddgGe8$GkT4Hq%_wTisZ0piXbLsk zF#Xl!&1}+^TzgM$7iO}BT1P-SgvKL9G&mD@(l0?a(JeDUba=J? zW0OFY2+RG!9tz4t>z;k0G|qpUFVA=}6lQ=$q`)3iQ|u;;0D`Ld{^tNTOlVi+`0F0v zUp_El=+%ItZ479Nk2{7TR97D+Gp2<@Ax=;8BrVXt?;r?E6fQNftd%qZ<%n+Ht1u| zJ-N~W*W5JVhg3G_+F&N-pg9?#Qog$}ax{@-J8+r>+7n$Sb)p5HtlmYKJ<*XMWuM(< zlF1S){@gVTBoiS6 zpy!2*$}*v8ZG;3c2u9W4w(mV3RbT;9X3<@UednAFEuer(u{>mr@XNrKgLbuAgVpIcqRe`HR98g9S*K02m>o4iUTRKwJs>5zENlM2qNQj z_nSwdr)St349H{+PDnFRFpaBT<;n6QI+3wYp>CI~#`1|D4WWA!B=sNYUX z>DXQ1in~tw@vhAFAbFMi#f#8OqG7pFG|(R;%B2v}mCXl5(6`bU9ssfo9;o91V#K`n z91Rde^jx~I%BVeDuy%z%A5H@*&BfqwF+6$&;Vd$QhzMBv9)Z5jOo<;q{{Umg zDSJdO0$0-FJ0u6F`j{A;$C_7^2iY78Vbpvjvjwma-1OswF%9y!xw^hH=)NS)>mtz# z^UgWo%Y9wv6D0voFbjzrGL+~n)>JKkFFCBW z9W^6vo@0Qqo^(IB8LI-)x84~flKDhWezC2V*5=yP(?*96%mAe=yGbw;s!!Z$uF_lz zNv0H!&|hG^T-b|BFVn@-G7Uo5-sH#%!{~6zBrPfy&sas&b8GFa}{X+FU_84wFm61ON(78qLA*vjs_szCp^v2&W-5hui{h z+V>9dB7v^{;?Vjp_h8^w5huElCLzNrL9_YA&B~qU$3Ai&%vxdGE>NPGuR3rn6jqWU zq8J7q(uI03+$uGiN4De99T)ezn5SR`2lx{YF+}4bcNP#lOP1CWqgbyoz$L7H7zt&p z{U10f52@_Jfwxu8mDf+fNsV#dUjG1bi7GKu@#;91y1o!CmiUKT3?JEf|5Q=R8=^Qep0xGJ5leBos!xUaF8#HOKFxh~ljsg+V zxY8?819&@-$wI^=#USao41tKkiL*cjsJLwk38Q_3^UgNWYeFK)h-A#92~bIe!9XBi zG4!4>wuacXNQdqy8+}w&sQO$C&_LOJkLGQhHVq_K!vjNpGp<;u4Llyqhm4*m_nKo* zo*g1008CJTG6@hYE&kSEU5#LsTdcOkL{=SB!ebJmHvQ$qfQSe6e3*a>U6Qe|VMr^# z!z>ihwCLc_6cu9P!7Cm;hrA;LgvmUCvsE%t-xLVn0Oy~`K5O1j3N&|KMalrM@hR&Pk_*|xE{{YqUK#I{5UrRchNtf}yM+sB{{T!X z>agk17m1YuD3PBw7$91yMLNW_FhGF+0Mjn{FqZ!IEBo;buuVz!s(@DmIwWB3o_w>% z>kuP)!)7B;U!}RZdqE9_^sSC{Bi0&ZfV?uIP>~N?s%3?xH5Jhh znX;V<7~bRXmg(rPamiI52)u>`JDWG|hnE$5h*t0(K=Fa8H$;D~6F_vWfOR+-u@TP{ z*BoLL(={XPa(hfbMD@jEml_f$n}C9Ai8g0JxCW)sKnv_L2O~q!`#pwegRp32snWw|@0-H7Xx>;KFo_9)&mBCzQekS6e2G4)25Qn8etenmz&dlyrqDsC03# zTMeP3#QBBluXNy#WRO!gq;BkD<+Sk3PwR+c!hk}($ZMc2Jv(t1J#M@w5!G=ZLRb{3 z$Z1?kqi_029NJ~0x4dAQ*NR3;Og!=JLH@PHB?JEew9bzSC=(Eph_K$WjtW^<46y_b zzz;(wc~gpe-Vlhd3n26}S`t%1Q)0PAb~;1MjQq%9}ffIdWJ@A!V3mP zOU2Xo1>(KHhq900$lu*to#nD6^R7pdj7=p?KZvSwT9xnn^(4oTRcN9b!N< z{=v#eNnB>g6c2a+L3C`8y2=6XMF^h5IH^toU`C5g9!?3@42Z#eCNdiC6A!NNxYQ)^ zcLLn2;ESkN%ZA^07!CCOXyBWK8}(K*9xdgfihIW>3}~?!HuwuZV?nZc-Y!Ezlbgt= zjAeM?P}YnD0ga%B0SL`FHf8F?0WDs7FbWOU4LehbkcA!cb2y|e8N^4J=KSV@S4J#@ ztPp8om+N;i1gQR#0tOKG=)omMXn{v-fUBTobk8nqNx8hC&u|0Exjl``=)`eQ<6Okq z&0xhxl#W&rM~iQyz=6IQ#TdR0^l$}xz~LkqMKL}<{fViI_M19lKm_0WlQjjjGs|fB zIugth*0~)xwKX*cOXu?mU=W3%n3O0)B^qW2++BKCrYbG3<8CaKD=XgjjR65Bc*-Ii zV`{`dSjZq1{nT)PM!Nh-7a?F&Lk9pC8uyZihuzwD(8t$hmUQ=n4VW(Ur|0Hr5X<8_a#n3OzVB5u`thas`y;<+sGkFSm~K0g02(%!?QYNBS{htI_P|oRk?Ou+-?3mJt*AOzyx2c%mG7-5|=zAYnZr5C<5LqSY%aqCI8u2m5M!SR(0aipl zWBnn54C1&uOen!2g>{~Rm|p~fDke_O;54GHEEv?vnuk z2^m!j?-1?6)C-TL#XAB$11pFRRwJRHm@fS|1pMQY$pBu3$O{{T0Z2OXmi3ym<6 zb`7?7XSh+p&A>vNq)6bZs3m}@$2B6rznn6n9ko;kyc(Q?x2@yIgpiIv zanx+WdW(C>_ny1_I2vAK_JEX=9HX$CSG(%Ez z$zLtN)0-=Q0mQ^bUI428;G{Kw=urOT8`xLXG7kjuK(jQmyCzdc5MtzY69X-POThij zVD}(2ue8b8D1ZSKF-o*ACc(gX&XB`U0k?5{+^^suaw!Z#AZcBTf1+Y5!ZlGqJ>bh! z@mv7SFcQ?WB~@wW#0^dj6DgH}F-AHXVg;;rqRbAmCzpLIm!ujq_~4+1mVMXnEf&z3IKr|ZgxB`tI7}-V& zBh!&7>l#omPYa9)gr@IV6^%4u2q}wK!F7(6! zL;c01BWvi(Ls~s1Y(Y?`tQsPL`x68}5Z%lE!TnoJBTB6ctapJkT$Wz zAqWN$;o#O709||IY((DxyPtA|LGSgj!Wkkaq0Q#5jNQC747wjCl{{w=f$=Cp%tc)+ z&ngQ+aPfHm00*0r62P3a_G2||u7->x#}APFt#RxHOACW(08)9H72?xWT3Pa)O4tn5aaXl)x8g+c6tW2$(4dp8?6D(I2y$$%Ji)sZB~@ zwgc`{$)Qh}?Ti2_gEHv61TfVaCYZ+&L=}sxoyiGy{{Y53$piX`ND&>#%F_8vV#ePSZ>K1$#a9$fiU;YMSzv&QWN=iT9|MU4 zX=TLtK#6eM6ek#UTk;oSdkB2OZ9T$7>6%0pwJ@~ zKI=TdwpaaE0gwn);&2Za6twpG;@L>To_Ugnfyq@DZ6{_0ivom&m2+WzJmQzMiAYaI zE>R3fxdB*8ho%z9NaSkPku1YF$#((KJ>2SSCk@I~5R>U~daJiPOkhw7inGFE2_QO= zosKcL1pW$43tyH9Z2C!W4{4B|!kTK?fWoliOjmRW*rx6XQ30sMXB>>dZh`?L`Qs`f zZ7J~jxN&+qRB<7VurjNVr1tU2bf05#=)y))E-dC$rK#5~N=Nl|97w7{54^Eb(Zbn` z78_xKxT3q{QzcIsv@t=@sL$wY1#i5?{EEbA#5clHtG5VD{lO5>Zec;vAN!9r=4izZ zSi8sq0EbZOdC15?6WU{&9Sx8%P|6k%>-_0(tlj8$)Wi(#Ct0w_lW1VJIGk^zniq?u zWG%}p#sYpgh^LUgj+myoD=5SON>m31qP-8SkqAJ9XR{K564;lt!GPOEX3JRg5oQ1Z zO+$WWl}KS#{$hlrm@h~wZG1Jz4Fz=dm~8yygq07YA}6;cK^?nr7Y6kBV5>%-`Md*B z-=1M=Brz>xs%R@3!wuI z!^MIXFq#uf`K*N;JU-)52cze_)Syja1Aa58H6y|1uo~-ms%n~ha9=UHoy3K-rr-O3 ziNnTHuF3?K;;n`U@Hl)iWGI~;${DDP3V%exh*)W(FBSxTyN+s}pP5HPQDmmyG0gzM zJ74{Al^crU6GS&h^krg{oK-O)hLHN@LrZ!?(DPjIo1$MBtP~ES>3s%7J3N*n7lsvD zLrE%FUl}b5*a-Ds`|uX-o1>ItrbD;aK;pu2pYF|Cch=1IA+8Mqilu{Av^#_>w-vySfF|% zM-1beF>pHxge8rYk+T}8o@R0aj{N$#t%5B2$2i%SLzvi~ zQT2=<(+(C#LXRg@!pgNMH1^yZENMn!bmppQ{KgH6dT8alG&=zq*ArDLPnU*xeXs*M zDb0BnhZw^LwHeNpI)rsIWcW|rxSFZ3-M(Rj06|8m4Tf*D)#7N6q`^GtApx-AC^A%S zq*oC_27w$2zb+vL1NlWt;wiT1BQ0%mMVV*;h+Z#@b4^)HryGHgPfiVsIb~_yn1w+J z_p-4-J{z9gov1^>*YnGYZ$!F6`o?ut(duKWMd`3vHG%ATu3u&WBs6Rx>3w0~#Vs_V z88b8>Q4fUT893>BQ{i&8fL%lkmtD$*IfVjneqgO{k6x6@D+da`-0DkABzpipj}^pW zAT6JBhsVyI@dBe(p9cb75{K_WCqwSX%6ow`R_`w=bLH9hE>43r(v)OXg8y!D! zCB8@n?l4)C5vo8j0+QaJ+klz9zlM__Y}30nwqJP&fEcKCV~)ZY1!wWcV37?>3jP=z zSQF~?=3OT8oj9bP;{AO%po%^Ibbg=@3K@yuyA*7+*BXLW)S~%mpCtKw%WLh5=oUn+OmLdR%j_$ufI_ym!rzOT8B!9k@)%pL7*B~x!OMMNIRMZetE8n65S$igHXscyN7057>Uh@Dy&LHEp5 zq*@EV%Zg+ky~$~Su8Nb53=Jt%suZFHrfeRGX0XDVA9lRUAc_9~P2(jy_G<|wM_-4J z+_Hi`av8gIwRsO%)vy!R9%=ZK#_=1WtWrMr;l@D)2&0((k^cbj)+W50(+5h~A^!kZ z0wv{UP>zT1=4eGXe|~|UV3?!f%{H;_14KJ62$H9cZBX@nUOBL9)G*K~gV*7Lt5*I8 z5z7Pr0AgZ?UiE-fdMS+Yz@B4>>!_!$Ybahm;0zQ6edF4SZvhva+uj(s$QH1W2de1L zDck^5Qh1A+M;sv4xod^e696s3L^6%#HG-pR#7rvHB(&F&#dvtC!q1pM4@CaQ?83LP zZCvvMZn73D#2hp}U``!d>j{+)7?LOh*q(vMWcH@Z%m^lFR7ZqvB-O3{4Kudkd}PYh zVRsw}<@@9NoCPQNa|T2W!eIg}HyG?imG)B+23rTm{zw>;Zn#ysSpXe;VQ9jSt*PL| zCzW(*4B%=zKD(v{ToG&fw=XD7nGk!_x6&DGq!TH14Q;|qfo=gGrNogcpjsU+Bj{K3 z;6xBXK0NCd0^74$sUc>*`DeV+70SJG;Q_1pkb*Vj#UivT9r(fp=@&u2j!Z7rQ?5lC zZ6AM`q%C;&a0(NuYxv|80+|Fu2-)ij74qj`1VD~3+g3MmPDezg)_*b%pe3Hd51g&5 z!CM63jt@WzwD&Plz&ouye&J)Ig`pqBJ!D7q-iEswi5Ed%7Q+IXl|sKXZ!Dt{p1{oj zxFny2GN{03VlDNXWrXxitCpEXDad~uX)h#rd7L7)WGk(I_cZ_~A7!3>V}Y_W4kc>W3LnXI%2C@@(W}@@ zr{wu?1yr`0eis-b04RIH3$TFs(YUB+kMbRIImH`y^z`L0Rx%pmK94vxwILck$2<|d z0;5Dt+!2a$!Zq4B8&QqdgBxfjb8YqNU_Fz5hOr6>L1eQW@I#9R0-Iu>$rKE$iTaqq zIOxC-hed!jNbwy?Ot@mB?tvkRSV1}G0@@%9-wr?4dCB2RPmQ>yz!C7hjwl1Q?iQf~ z!A~GK3u>2Zdt4ti`e%atLxOe1e@(6;36{5m6jSI1l=k3`nS5g8V3a%X0|i1LgvgNr zG_xQ*b=*)Bd-?aq22~nV#jzbM7!gi~4?fVq7TZQq9M%YG@CTK_mJm1H@MVG`w&Vcl zObYr`&O(s$@W-`1ZOZMbgawI3)_Oj;lF_1FbKSroCYFv=8w3=F(5Pmz6fsggBa#jZ zdT<~>A31P}&w6fhF9*4MC2yZ_k$m%bEmExSD3HFi6Y`Pi;@`RAWra{a%5H z9V^3MYmzpbNq~o73}Xcr08%W}7+_vwLNUFiTbC42TBjJymcll!72c&%4`J2Cx72~8 z;EP#-XfmQ~{%+#zSXJ`Yn5k?;6; zIh)2tiKqIRA`7#GA)+`-ESj2e!DQ*-{+Nj9tA!dmH6Jbus>rVE%9w#rfiwelley9& zvqeSYmpt0iTT48?FioU~+KlD`YSol!v^q~X$aYr2IF322SE?oDJ!8Kq(M9YZm=2)o zmij=$1yQq8_UFPcUn^Bi0LVU{-#;#IRZ5n79G0yV?oPQ+-5|lUE*Jss$M4TLqp?)Q zgUwLq7^@0Hsp|z>m;B8!RoEh9QP=|zKQXEnS!>l{IxBZ#`z9kzU&UN}2F{gX#+eEU z5gFFc?gD#d5sri~Ba{kKSsa!>fFff8xr!Nyg!zGJG#Cl6A>%_LWU5>ut{SbS1ktoO z-=!7RN7?Hw(KR+HgEhfr!)6+SCgT!#4zmK4u1rB%$6@7Z!vhv1?xg^@l)_5c9~TBFW!avR-~==S zOK_m0Vi35eu0DT98o9Amri1x_Z!w3H?aReg1rNK##vu?Z+m(Rb3goIw=9TUeBk)B} z=3Don6svq>z*cxX;b5qv%!pn7;U0n7;zeDzh9Iy)cT(&O)C$%>tpq({){B?wqwgk3 zAkta8FzI@0jUu)mTxo!aLBT2t`K{uYA@d-j<|?U;pE+xQrBI~yQan>Mi?GrnQ4`iM zHJm(5LM@g)F|ZW?hMa25sEedP`WH2-@ZxrS#RL?OP<%6BC26O!(9a}qoCy|fK2OF$ zEvJv4?iWUr27@|se)aW;9p!3s`HY;(Yn9&>?`cYnN-O3v_ zb7^~ zAh>;@4z$O^iixo>jSeBs^m3%yp{0v1KLua!MXd5TQ$Rr|T;bJ(c ztw0(PJeT(%7{;~7gO6Yc7(yW~@PehIl(M5s;lWJ`m{oYCF$U}na;akSm_Jba804)x zfh%oJCW4BSehi}+7Wu9=H|KDJBt!Ubc%2(f->0l11*3zh>a-z(^GHw&Jjt|jtUXD|>5~k10 zu%v=gKKF69idTq(vA9yKEBu}eD*zSRWRg11HsBmm0kvT+@C2 zV(CMHuez>UAiCxTPeqA_;Zg1DmyEov%Y^8T5g0NUG^h)J2nAbla>K$ak&|m>42sSG zul>QGy+12-=H$7ek#ePg`PuNFT<8ERvYeDQ1W2@=GT4m|3xhgBQ_0sTM*u!vpyl_t zFXu;ifZR6$LQUN1C_Z8koehfce&XsoY|35SGJYWQW@tsB6sd7Qh0~+Z-wqA{dO(H0 zy7d5frYL-FiyQ`0iaq}RWxb(tgnZ#KRZSWc8n>g4Nu~Ny0fp8kh=)uPN4HR_1jh}c zzBbe^QyYUN;_wC}Di@wd2Zx7O!Z`CB7t-0c9;sL!6?{zd!MEPHP}0R1XUvnZ>V7$1 zG)T`A*<#D|F@3?b8@#Sxg)XA^Ax=qC&IECQU(RHAy0$#?9Vme?lc-Dn>nOsy{Kkek z-uMj22pUT@D~kXy zuWaro1^({kY-|3xOjAI0ESs1CLW-~Lxuv2ej&m9m*Z$-xgU^A;RMYGq;KYPj^YYDQ zp%8w!pd##PqE{N}5t6l7svMUg!=kr_7t$ntITREPJeUZsUlT^IKaoE2f;{&hK$l?% z`ZAOxpGIn8D1e@cf1a>QUsVq#m@pta?iOFs2da zoE_i&d$*_33Up#^3ZJ%N5z=`w22Xe;M|kg)<4HugTu5E`Heoi0Qx zURVy^0e}PQqCwbc{K0~2StX`p;GbsiPYM}f9n4YX9HK4ks>MzyT2z0B^u!gBegj*Y z9OZ9rRe20eYy#;zL)v)2Q()iMD7NYl!&$b3^>srLUyJfBE(2pxYlI|18(5n@%!pt+ z(4*rKXr=!EM9T_|ADCW_Cqg4sc@MC~hN7($Zj5B7rBC5oF<_V^m=+ZLL4x zSWPW7hg<{S_w{<&f`%v{s!a&FuqaI#@ZeoQslvU&$+<)#xFsb~ABRbn@-4@d&U9TU zf%5}wRU!%D$j~IcCfJ|M79@lv`kWXGPesH4Dxdap{{4Xh}>RoMfgRLSRmgzH3UZGDJY>sfjgJ?h;odmcXp+ z%Nt>+@bcwk1ONaN-a4-ctK7cLQ~v-jjFw~&*}eO^Opyqb;sKL90dc5$(0-kl6F}HQ#k#^( zf}E=2VDcf;C^WvZ-u9#v=$%|gS<=L*7<>1CAOLiOMEGKhW2`R)nM6Dn#0&4n8wdhE zs_O#vF=JM>Cfp!}dJlM!o+6slr2gl0kz>o{!0>_fgaoY*-G(d$N-|_Kb>uubW(8)1 zW^XHZeGH})L8ixx!~5p~Cb6d9rut%`iR0P)^@D?JqJs7r9xaOBoy3M~ysu>Yj3akF zB;0X4TnWX+g%W?a2&Du_rcmQG(QcB*y_3 z6XfM65`{fF;)v3F3*(MMATz0q(UeNWZIsFYgrLTo!p}5)oCrZ{xLJ3(j=4!1QxQ`L zv9L9g3rZpg_!&~@c8;0s#Ari>@|cK4SULNPWMZdm_AO(qN{kH?S=|7tcAtKL$pr7@ zb-Y2M)bVfvvvR#9&j72*dEXf&TiQY{uK96^Bk^TC7YsgnJdZ$NbwE*&4FJK#^kyNz zkUq@X9_y#bm|X}^_OFWM&DDR4!w`;%aE8>P{bU04`8oZ;hQ)p=E_i!p3mKjFjtU5SZxfrlQHM656D)OyIl)$GaU5{8Uw$)I0FxNya zfA%;Xr7)xgqUdFb8ex>Ss`oC4E*HRf#0e&>U?><4{F%Gq1ybY`B*BX50(tPih61=E z@?ono9GcdCVh}35G@YbWJ=`etbv=DtHz^~@IK_ll?r2a7`5oJYQ>G9l zH$6K6`HX~6+9vSD(PKuwRKpSy8@UE7bz@hlfnNuBLMp&IrT=H zogv{$V2$)U)gspjP%1T$-}RJ0E_)}Dz=K^eociDbLJ_1H=N=Xbm#&!;!H8)^bd`EH zoD;~{-Wd@Fu8NFQ^!UUCX{B-f$aTa`w!?zqocvm%_5cfD~6{&5W=!TvNQT&Mpo_m;k*JlZ0!+{{K}+8 zZi2i`BZA~8ZiCi!sU^^MeqyDiYwmP^RU9&k2WN0wh@z44KTJuGm52`*<7KN`7^3b~ zjP($;hFvgGAnHx79GG8xpIE37CG@#f!bqeioV#g-#yH}XP`*3rVlLpf&0e?_iVEBZ z53X}8O*|))4B2}s!s_KkvL1JV0VvWdjG&?EdJ<&BerSr1?m=!alXk{qI3U}75{_uO zicQETFygNZJFvGmIe;}O>A^tsAFo+}ofgbc2p5H>5CZ5;DcPDn9g)Ax3|+^I1#8|H zL|W!JFip}W*{xtS&sYn%;`fzTolLPI0RrAWhY9K=8){aE3_@llUtESv1P_BTE^kc* zE+O6BU;7MA-4X^oxMcIVXc60J<8Db2c2iq{AcRXqurMKL4~P>Y4gqnd})GC1MQ8PfyII2J8&cDN_^wn?#8cjW`UwzIAc>>=F?ai`7%|_+lW++>7 z3ar(bLIiCFg?Cxx=>Q0c)%N2_nhCI-ZN_6cLLus{XD$*bRQaRxB#1;Rpccb4LSYtK zxz_GM#SZS4r>sbhlgMCJL~-Mo*(5Y_w|_8)z?@Jh)U+|JEgg#v_Yo6-wK;w`Km-w| z)u8o^ra{Fm5izjEJ0L%EYZWTvfTFLkL_IimE=L*fAr%=AV#PRn!;MuPQ^{En?=HYD zKDOCaQNTP)x1+ZzQB~5Hdp%+{IKV@(7(K{Z$zFqMn3{O8{&wTbgjR+2^rIsHgg-2uEH7v#sqa;;IWXn$)?fA^h1s)av~?E+kvqqz7#5x8;TK< zywecHQAGC>F3T65!Svzs;S@9nCPktpKxvhth$<|$Uq;=*tZ9B5 zR(=_y9)zNi{PBc5lqLMzJ(*0Xf1hKFcG+>Eym|fjWa)kL0V;?G8o((A!?*Fti)57Y z?o19w{?%t#D`_9#@Xrq;YLZE$5I3qeK(Fp$BXbLOp_;GMS z3uXL^is-}?GmEn2kL>_d3sZS@zfc9YXP9d#swCb;hVkr11k|UeGFo2N`Hh(;x)AM>;5lfA<`x&UY$+ ztzom(!9oO{CH{8f1_-wfs}9USb#;-Zvu$hVlkHMuU6@ryWuz(*ElN zmsW&I_s$>(_sXq9V-BxBm@ZGDaGem7?a)6AFOQK%#g{N{H%&zC{PAP_f1HJTa0xgK^I zRf#}>9wF}-5391U>ZU0i2YWi<$)MWd0VmK+4u&8SL~n;C0N6-cmNSWpYJi)gIEDL+ zBW7)hy5nO|6qgkkqIs=SAGgjBMEA1+V&afUQ+*FcY;50&llUD>tU@01Y&$QUg%mKcx-*cRp0O@0?X?p zLv{^uH`W?gIG6)ZztcLpZ7^HZzE?I9O2AZsh!}hk4x%3NkWDlo&kXyEp@a+SLBv+h zoFDs&n}!b5%GkoN8|1!I0Mm+8NyD)*0yq*#_GNlhs(Hx@!^0lO9q^2rVUrm^Dw>%> zpei9w66DZrS?>A3T1IngUFY1IHKoA$jeZ%GfB{o=Oo<3VKp@pj;&CinTmmVfm0OO} z(WexZZjDb^K=I#Qzz`KqaLFo%JA{sNNN1d3-G4`3v33g-cWf|?bkvfLDq~WCX^V)7 zyi(~R3ioF)0cH?VV`?}Od<%+^Bpg|6R`BPQN6WZ)a<1i+MbCOTm2>47B|QD>S=IvWvyr%B9A811W;}wIM)!vSSCsf%Xj;}j z6uV9?BHUXsA}V)$!zcoS!_wqy2|yWUum)Qk3|sq{6$qns{0uD%HkP)R&%8LWQHV3K znKXHdyxu(KBmf&Pk2=EQ#kZk~mk>tpw-Xu|=^n(>F*8FODcC3G@`C!%maJ}4qKv;r zDf0!BRovLxw*v-PIy|0VR^x#uJ|1zaqxBW*Ff_LtPhNayydcx$kkB~G69I6%0%Hf; z(Wf9hePAtMAtJpzY1U$9lA@qE z$6<<$2-)eFAp~s*TLv(gLh?KQ%sw(7L;N0HEs$`R5Q@ATB zn`R5q@Tb2RL=h;2*;G#loM`%TsU}2fHJ3sum%PA-W4n|;=71*u0E{c3JP+cgPR8d^79??TrQyk%LIRN*#_{xG3Me)? zeV8z%RcGr=vJfWCM3@7TZ@3a3hY}bt>)gT+C<2S@X4lwK`Vg1aC*Nqznp!s$1M6i@Rla{z!hz;J2P41%h# zAQ-TGlz+KcG|XQjsnNtB?MKTaax%Srhq83XMQA)ou@9stxUueRZUhB=VN57;g!}N^ zu-<>^HN090#(S3n6pWzw@}6B`uVw@+_b_T2L*W)zD*R`dO*-|0ScOP3mm0Gw8y1JF z?iC=s05l8N1c4I5C#PvV;De%vqu7>u#Z?<0+M)9s1RDd765&#ky&;!jp5!#})P%h- z(*=hHdRH2D2mXQsh?})|B-|K+h`?VfFnA4i8lIe3lB|3MP(5KWRvp%-WA6kVX+cK6 z8~_X>VGa|b+)Rm`kiAZWj_&+051{m5nbRTkCa`#jZ&;3yqTCd@E9Vm|AsB7(GuU>+ zm}!)OPidNmuQW0KdciTDub6=+NT_(Y0206ePu32Gh8H*Gd4O^$26!+LJTLi;WDzP; zhY+L#-fXKvFTP+(UB<%`K*cR+cqT)!4N~}<#UU1|`94ffz`sc*B_4QxQJwLb&*rwZx z?1;1+M-^zrUJUhuSs`%Z%fALC8@S-?xC3yD@S0`-AhG-;u0|s9(4R4GQ^dF+!4O*z5VEW5hZ+l>I$ySO24g+Tx?0Cq;5X@X;}C~e%u#5|Y- zZ5Wv`>hXe#e|){)?kndDG&RQ(1;5wkZ-g!vq3&Q7)vp5qriKCmw4O_+5j%UKHafUy ziUfI4T!52oh=?;&2PoJb%y>od1br@O_}T&$-*L1?qJ-PcnA(!X)4`544Ll1vOhYcK zeQ%=$P!jDs!bEN>iW}$!t^w>6RG-XrW7-Y?qL4)E4aj05TP*MMH}S8V0)hp0Ys{I}dR|Q4VzO4Uo_X zzsya84A7eQae;mV*^fg(;hq7&fIiAN*u~%JhcIv+)9o?Y_ZYT#nW7-5Zof}12XqKM z9kLjUU22F_L(9G9fSSg&?;pU{xRl`VJYYCA5|;xs1||``J{jeL6^CPk(_byEVTi?D zPN*$$YB9p#Hbm>uEDUxclwPr?LBu)}LG|p)Q*RkMc?{%8Eod&_xxd!MZ_Bg3nDut#(;0@n_@8g01&@4LeS2TpYLr(|TU<@>^ zA_bWu(((~e{KU45MxKEsG1=U{L<~!!-R9$jgb+ zfE4M6R54j)SrLZQu5e*^jELGkTshPie;j*k~T)aPA5?@eA-AMdyhC7Cn!g0Hn~s2U+h23MF(- zr_+iqbXgN1R$wcYBg^KGCT&O$1$7dbDz|pl2SoRk6hll8K*gxUD!gze181_nerDuK z74!M};EOf~q_DV#5(#;btW*tEE)llS45%p|F~aTw06PJJJQ#^rtA?OK2=(rjxXPb3qvXOey7+tZL zp?cCmgswJ-Vm3F}VF&i|EKp3PHYqfD$b0pGs4eCY5~)m#l2aIqqMov8BwPOgqAo~6 zXchKZnu^ZQMogvvh)8NplL(ik*f+2)a~!*R{U(g0KNIoGW|p%^U#X9gnl;>ndKES& zj7O0I$6mKPAi4)v&=^FFc9H7)F{NO=;fM;pYTxgiOR5T;eOwv!HZ%_vJh-(oi7Dik zV?^mflf*BVDi|18C|UwYVBlWOk*+@^k^FdYgM$_5#HJz3P+>}i7j9ArB*<-tc8k(y zRH-7i+;E!XU<0%=K62o;{r8WI1yKfO)ZFgWpYt5`!)CnDaEw^#i-CCx=(z|r z1)>k9%+0#Ntc2bq3Pe0#7a-yHRic+Jg5ebS3y2aP0(OiZxCShUNcg42MuB)CkpwO5 zM0;LW3rK6N+pAofNVo!@@SDJNBnv~^@W58PFN-nO>|%VLoTMVW1wrnyq%HM*+#pED z@L3#`fI$iTBIB?EQU32(K=v|x#6PA~j3{l>a)sEVY53tgC@l(Jkn-d7!Pl-w;fz8O z`f<)M2F$Ni%8d~8G=O5|eG&&nYh0Da^#^o0F|0w7ks<755gQa&(ztvAv@V{faFIT# zA=Ji!p(@UJajIA}7G;fdc)i3#?(X=mIRylM882F;18)S&S_GBGge_@aiV}InrDcJ- zEfH=8?u0MLE*U#6AL_pFAdP{FRh*}JDheD#*x_^_+XWrqXOfC#02C^E!+G!x7T^lDD!^Iy zxdMmT@qZkKNo9V6(1t;1B($9HuBA#5Mi_Ile8Z#8d?tFK~ zXl~f(57cqAJB`v_m^&6O$-w)7Dxd|^LZf=+(ICIGVi;L`8*?pK1P?FQtw3c&Yh z!0DUP04Ty7YL(liaEp2^jlB*u5hbVBk(j}qihGAo%qUS`9v99r!J=LR&Inmr@ZMhP#0W>T)OB1O3z!#u%)2_ zdJGna)QwzPT8)5Lye9&UeD=(N4~tSBrZgncR?s9fVvyQX`GV>-;w3PwjuP%B25JQ% z7w!-Moj@L^Rm0Ljy^(rX0t30AbUhfp_t*maFksCW`-Bg)ZO_%Rt_VOP7nGpgceYPatv1ZWHtW8NU)`?z_Zj&h00U+E?t*u9pjuZeInJqrob#Opqu8>y* z>J9$@JhKdvgEf_RV2kTm453nbJh)6TyB)$eRha+{0Arg1ofvBC-a{orrn%!6kswny z2yY$PYoY6qfj}U3I!p+}p#K0LnW2px!bw^edC?kw2`~r%L+m{=74#eQVRcIGXosN6 zBx#265G&2L~Gy<9UGk#kP3*u6AFhc+7xQ&!rvef19c_-WurP>m-Mf!7S{JB#D*D$ z6p<%^?e~jHl|4f(a3Gg-BlhAd%62LAKITv$jHMTw8;^p8u+y4_`-Q5KV>aNQ93nXs z6f}e4`NiD`Cp{Ai@WIb%vWrZ%r;KSE5P+9VF(`V6T5$u1tW@>Uni?o#X$VCT`+LW8 zf!kF&Nu7HO{2IVAs(rMfh(QjL8{%Tspb*X0%D%nj4DlnMrdkD&d3hRWj+^v;1|2bY5zwXyg)t%|d$S*LbRt*B zlHp1bSBN)f%#;{uRU|)hnuwvXL z@}@cnDS=G|N^{;x~zDQylItP?f zG?1h;QyiFxM?huY3auLfg-F!4X#?=b1<(bQm&|1!GMMzk{{XHJDu~BpxJsZ3BPhd( zlDKOW2a|Id?oy7-GrUo8KMq`E99AwQgrgCr?EGrWDSbPN8%J;W9`0xs-w`m- zSvwAl0uoIiTcZGp6sU&JFR7FYT_)09(J+{ZU$yYkT;LJ`=x~e_VLb`sn@B!-a3G;2 zC-?c360x(>FJmz+>z1r$Pa{&Wi}2dtUD2{<_U*RynGy8JGSC5 zEpJ|FgM+A6@yARCiF?(*O61X1QAFMrk9)w1m!30WmK5k%lEOw*O z!w3;fTiNPii`db#Ax9CHYDG@NCo9=Nb(0t_8S>&$(R7OVhO zl%dKQS_(Y-<-l-N;aG&24yif?z#!P7m=L5-}lDF8N=H?A<$r9;`+-v$T` z$=#S~OvEHTnNkU~d9x_e3CCH^Iy4^UI)RnZZkZeg+qCgpR~SBIQ$xfioK0i~&}rzI zIUPoq3BEk{sU`q4YlTyZHSv&IbZ8Av?~F~rEl;L~xpKHNTG7T(l@AO%1QkyQuW*vY zQnZ{(S%@%kq+oc1GS+meY8oaa{J?b&tAL5#yco=xz4Zw_20f#|n+=QPJmspo**k0Z zHS(^*8a6W^sl7DBgeo!X$&6H`@K4j zSs06(Pjp{vP;vo!fTdY)Nr_@e{-1Ez*hxuZ3}d>{CzZf6sp|Yf;}yb)oB6D)l{OgF zrkCZ5ABF`2(@G%0B86yr{KW1%iP@`eD#F?84@u$0grc@xi4pbV1tJ?g%jGqMYYrvr zc#cZwq)U(uud>e|e8e~xm?1KFz&cHp#4mSjVJyf}BDM9~kuNH1wDTNeM}`Fiq~GHZ zitSSK(U7jOhq2P;lSpZR#47?Sug^G5IkZKl1B*c1G)0HuTUna|K$Cg4WauAjf@xq~ zEa{T)@<#yKsU3ZuVHKKMS(_2mcm%kTJ--W)BG7eIScSPdUjc8xWPm;9X-p1_%7@oj zL`y+`xe}n1eU72|n+_@)g4W<9AQybWL>n%y;~W4czvadU`AFmdHo6EKwU`qc8$sGh ziScOZUWaI4Ah(70$MMP(RcT=Gd!BQE2w+mxQes6n8&QnkyLFxw4I%_GrXg%1{5LD% z!KbGG0O0h-Ne5PiUmvat5^wl%!GY;oo>s#Pt?zuk1jyuoc33(B+%PX~+Uetk2C|Zw z$z@CWW6&CChfC6N;OH#XJr1FQ%xYFDJQdR7!bKP4+-JPdDz8e)6VZb;A~V@K6Um!O zfuV|+LaCnK1~@t>Bnx~4vN(nY8e96L9Zm@ zp0FEmNrVePyFbSv8y2v`OE+>Q7 zQ;0T`eVF#WB`&PjF@XcizPV zqz0^NnRok(L91A`K#SIzM-inG-ubr+ahR7eRQ zcgdW#q5aiAFrPgIzTILLfoMH2#DFLvT>~2j1p=?rjJ$)rD<$8I6cG)Uyvl;2YuVNP z#wZf^qAbHRzy=f;ixbn45c+Z$;V2DUAkzeK-pF>x1B7ibwir;0wutZ;+!pZBr!|Qp zf>QY2RZ@UGdsh}|hL42|7(y=4YS6$#EvJlkGKf&Re{;T!X~GS33V6AwQ(ccT+mpjK z5l47{k6-)yg90e7Y)j|%7(ED}jvX9jUB8@7toSS(rV&V74>SwD5-Wr_2!9?Td&=zU zpka6i?k2mC&KE$RFc(5SG~z{qM0cZrfF+RUjD%7|FFY?)_lb&gsfehv^ZL1A94EQW{`5yYRjKm@PuAm3Ppw#IFq@q`#f>A-*PRWwJBPNpGkhR**0 zS1dT{J`ZD!T=e?9Pk!)oU?oY|28;?Lr2Bv89Ev(_!s?g;^aLnxwJ}nuO$gpNt$G?NtHfeiid0%7 zx?qii2#sBKt{63xp@2Zu$CQ}5AJSxV$bPs(K(O8(Fdd%9e48+_*#k&_ zF$7(TQCeq%EEI3K00x9jo)FJy2#>MAG;Gor2BqNt0L*&=rRkI@cEvvY%s>R8=<>o( zW56aND^Af@elP^L1;jiqC4q+0IJk)l1Q1uV^A-wF1HJ{uOem6_BxW;CLXcWC@VHGv zs%247uGyk0mzEDl$a%zq&bEK_dSDB3vjl1^1q-)N`CCw4AD zV?;6^W5C2DNT%OrZ0r7j;x2fZr1>0QcLxo~v*)ZrVM@@2eVM>jT8&?GBu0Yp+N}YW zvg4_KU=}4k5jwaSkYd?A?i)pOP3=iGVjP9J9*KE`J+OsLxF2>1Td!VZQOF>$Si%k= z9gtaz#69AXLcQ@Ah17Pzl(e7C@f<>hRifLA7QdnBGLR_%1X!Zw3Sx{%Bm|C3gs2dE z@?aC%V1gFmrBN2CKGw+Wd=ex+Xx4x#Fnk8kih2<&RWUQpaQWCx9%r3O&dDjrvcdl0aEao zy_G~VZIwKE$Tox4nrR%+otjAGtzdoO0K}Q%tO~9hQF*M|2FNAZ>5f)(9#h`^{3qWTKo!Xm3Muar1Pru=k~!WS#@rhq6IbT6xF9O@awLvLlTd~D_PHrk zdblEkjVvbqgUf>DE%xggDI2=BeauCB6nuTw0ZyCGM{@S9Qy4*M{mZor=-g0lSMFQ1 z6UUr{8l350ADBTdf=fcoCWPC6d?S^-q(`*T(;3)mbwx8gcc@BJ74DuCw8NFB2KTgJrT`?h3n$C|1(xUl=rR0{Gj_;`LfV+%YU2 z3J$`d`H_5xsy&O9SN9s@sR@VEAxm9vFaYyIG*!E96I0voH~@yWk47w^2I~v?>mlIA z0H(_cuXrm8M!YzNKqWEr7|+~L6HI7{!&sj1c7ng7jMEjo0p0^=PghTa>wp23P+|)W zV-dASO>sewPLN)q(;7Sojp$2~9~aKx9U+bJtw#>t$)V=#J!KQ2*IPQDxfY(J6@c>= z18T?`{+}7-sM;UU%L4Uv1vxH3ac==#CO0Pf6|CupBG7_N^4JcJ{kTOn%Zxq#_`o5= z2!ELmPMsu3X6LHw=>`s+*ig6Afkcs4q}DO?EDGXEkSZ*~bSF)2MY6~u_&_cmgLfL~5sV6=RF7B^09lMzdNGzFrW%z)?prJneJG*x z6alb{UNpEY!3Pgm#0s_@=FsIFSIdowp*0H=uK*iiV z6-XXjIie~q6@e8ZI$`UX)7i{ePHX;H9`m@eJ2ceA;KRFsD|OfB>^-=`=oJu!`n`J zQyC}FKk$B-QX*(|3uAJZskrHU1{&dQ;xc(0xq5PR#?VLxzM{E#D1a6CxP@k5kp&}- zP0D_=-m_R=4a1azbmPH2lmIGuTRa#9`seanGQ9{q#JiUw7s@lslirj_ zH9v1~uUIC_1opT)fI<&n1;myb-~kOGjCP4SusR(KiM7C)fVXRg_Oj3AB++68Rk%CFK-+1Ws;sy=jF?2|!A>ghi z2V&~>==`~&R|x=}Y^DJc+%!%Yim|6vkfJ7P_;8_`XeB>}FjYl9Me6%7tTu*~Q{2R& zrCWvIMI5i8o8vOP<-kkAJEi*%t_kZ6By!gC00?D*hl)(z(9~(bG?XJ<^yR@iddyHy zqbfh96-NBP@>~wJP(e2^6UYjZwv)~vj+=+^OOz)V3l*9i(G!!=)eJoNL)4vcI*V`A z(Q|H9KaY>hqX@tOEVlqqwOaSZZfZ@6wZ|(;CDph>h(DyMPE0}EJ{2B7;4Ex>Uq)O4 zD5lFj835E;4Y`C%O*F3ehTlhGb{IcW3L!&49`l_P_P-y#F&2tJlHedvlqex%?Qs>0 z9ZJn_4oDP@ZEN^qz9;MfrEtIsWH7~6S0G#tlgR4;9jHfxq>ro;XeLi#o}TeY)|L;0 zc!k+I+A9A3Dv?5Bk5NCurcG+@vx|bb}n7IeGZvEdt+$3=hWwR=uCqzswMdU$CJg%&nM|5fd(IV0&W0 zU%4VFPjVuBkNMur=tM*wkisG+1?iLzSf5K3o-xsdKq0!`U`=;g9wC(sCiMe`0y_~o zSL=I`z@c3Zz-T53*U(PAjml69V>B%csWlIF;(kq0p*${K9iqPdT-hd)g&(7itqlk1 zn=x4>%4^qeST!}j6Q%({1>gb7!<3(%+(23~Cx3%&@rO;LVnTNTGa_jIA5K6M$qy2@ z6AhIDDV^O^pyx3wgfLiWAKa-}K@-cxOh79Xfr2`V+na<`xfB^jm=sX!ZZykwr7g4h zl~-NAzP;S(Ey@uh4tWD58&SDzt||s7PN=T|3>LIaI4L3;{0uJoH4Gsx0bet&HlKaf zF^#Rf^zy6V!a{J1iM@--yqMnJq|;^&&P+2=AYGrhb4JKEG|FL6 z)HJLn5^_}spP1nyLuMYBxT+f`tb*+pq&atp3Ga+2m#_~^ML+_)qh)arA`}--TFZp6 z*_JES9>bf%oIR!R|(+My_!S-QY5H1jE3MQNG=fo zfCx|3#DY-*T8=QYf3L#?Hk3)@5*OX@EIP%8*v$w^K3FCw&_fiwD}xCMCEJwj#03c| zy-YmLQ`nC?VLT``LjV zE@-cqUohT60}HCWgv1S6i7?U&2)tJan$|v9)Atq{j0aS0;9{t95;7E_$%^0sR3M>W z@2qka5P(H3a$yY{540RjTQZ^c%ih7a=fEEr64eDl0L%T)92*XWBiy;<&xcCmr;d^H z$%s&)1HPiM+!G+x<;sa>1K+hUL{U7J)cd?;MS)WJ zPt0}7B0Qc$rN$z7#G{Xqd}C0ATCoVedxS7q4eH|PVkiWQn#;gHU8jkW1E{hZF_5T^ z6y?d>Si5i*)jSkpmVo899e;3PI`&nNnGiI#5BRwz;a#Q-uH0mz6X52@N>kb8A9(7) z07Ihy$N&*f0CK0g1p3~lAw?Zdn3hpJ{{Yxy&u2s$XH^hkP?`_fxZwieU<>k@N6b-A zuMx$N0VO;?xRmAD75@N4$hDE3L_jb?nGfKUG6K~>^G+WVdl8%qG7Cole@Op8i*xj+2C#A%lniNB16~K1h`e0>KM5{=v5Qe)6I4Gc0W+btc+McW1JKluxJq$KU+*<5~?3@C{>9605Ob) zAF4rcs9UgQfy9AF-umYOD<2?O`W&*Xl7%`Sp^U@(P)r6~A8#ORxT)7eU<#$E*Yo_u`koHj z4kM0rEstCC04kz1Jgy~Mkq5U%5CwsQW}Ypc%W6$1Vb619QM4q zKv4Is>?g8dC9(`98o`j62m;i4_f~K3Hg$P z_Pe3u0*eDW#Ib#tH)en;(mv64pAh=(!!yT z^`?_iefvyAqzTeP-!a}MrIAFbiA;ft@G~7wMKN4TP*wi`ytud)C$WJ?TpA0`25c51Ii;$1A>{{S-mY1W7aAv#KP3o29IZWY&$%uq*=>FK#v zV_+&uOT5~&4tAc$28B?Efjs0GEKwg0jNDmKXdG7xaTOhl0=UNtXeZK%h;UUaZ zGDiOZGoO@}@W6?Q1f`@elNt#i1gj4yl~*G4bzcqiP-|)9uRDxQCL|EFNreDwK$O2cjEsoYGiW#tUy%$61%>$ImUNv%%nk+t z*{}!|dhym=Q~`NZu1)1liuy0C5k;C%`4F_q*bciedR!37e4)Pyn}d}oFo1l@Ua-}^ zdQ%;CQS;!rqJ{YpI%e4WwH*_#7-L8$Q7MEQ3a5qgp5HhVS6k9tM}j{v3NQC5P?1_? zN)CO#+^uh|07t2vZH0$Jp$iO8QXsu$(W4_^84U&9283}CQIz6n1t=gK!So5T zR);m4)F@Nyz2Mv%HhbfoZ_vf@bYowbA&X*$6#P-~K4O}Qt6}WKgxq>cx#I*RP`u;S8?gr1T1Zvc=sTI^~+o-nn_#3Sr6)uTmz z?jh?5h>IRx7aHIu-Y$?_U>(Wv)`KDdN`l2SoNv5%0)q97xgVJ%kY&NJEEG7@AUhZ= zA&WsgxBS7BEDF>btA`6u8#{8L@^{#qe{zHGK^Spf4r_T6!rThk**)SkCW!c#1TE2H z&EdsSO-l3$ zj1)9>V95EO<|$)S0PDh65vtYiFbK51HO3@!Sbz#^+&vE4>W5|zJ@|b-Tp|^mV zt^gZaiaib`zy@p4;PG-}=p1@}6DxI+1;fZ>?k_BLJYrFZbO}+1+(c;89|XlT145~m zpImW3b_Bu#pu32@G6{ibr2O#Yk3h6uznP|D?BPnLGCf^vck8n&vXYRkjPhYyV|F79 zP_vPfM}1=1*W7V(fn{(bMxWh&kX(R!QbH|EsX{<1 zMyQ2xEQX4oiQ?nDsi7z7$yZ`qJovfB0E&yM43`B3Oj@>ShrCJ(L87l>;&$$bbCrg) z71#qHVqwi-hr@WGkfHztbQlmKiKR!$J!BiCPf_e`aKr^J$%&dIHhrbVQc6dBUAR)Q z0CanVV^LU1V!T{Rrs_~%FRTq!2j^KtZj}`GTufHOMqv~Pv={YL7%Z&iEs2{8y3kOp z45{>(*>@%coJN#su`w_vJm}*oK(9j3;rd;=HI$T6>1%{kx1$46Tq_Q+os=9PIni4< z8R_wYrqSnZ^yNWOC)#!y`RN+y#cs0gHZCJ)Wu9g!e2$(2+2F~0Sc4dxGJ>a}#8tT4 z@=2RjR)77uVA38*vv(4kRVq`IY8cZf3F1Bu3y}e$?ci}B^QhCJr@SQ~31o2-d?3pg z!|Nx~f`G4iTM6dZ(wTFXJ99^~0hQaNKd*)rAA65zT3pizJ$PSl*UlqE06#)B!t4nY z{#lU@04RCn{l!}Np_hzlupV4^IKXxw6Z?4iTtz$S_+)TNn^XM2t(7FmivTmpY{=_6 z*)+kj0S-Ja(2(Cy&1FenHQ|B~s3p*5uyAdsECZUIxQjp|psqk74?UX18i_fT3(hnT zV-QzIN4F7eQ9LP&dh!7>@PA$IDoP#2^KeHO&|s5dg$@@LT@8zj7eW`ac!UBI5bXUi zTf$1}El@bQq##NE07d-H+!1^yvlm3u^nY+bqt{S425l+>6?BW|%a=td#~F~z>^--R z315V4xB-;C?yZ5SV{;>|mXHjG$`M3LLD`E5*vg+6dc;nN1s!{E#3G@!423HY5D0_B zz(qhO$)kuSxEhUTO%7~4ZPi`*!&EandaImG@h7$## zAbdV2jE>8?SndQoJ}`OoTnDH#aFb$t%C+3RMA&u53P@tKi=})HFIHD4yX!Sg}3>&iThwd1L9B3ry;4(_C#5|`Eq=Iyw**~}@hSgvm#~QT1 z{1}dFZo;^Z_TUp;qo7{X0;(i>RGc~(qJ^MYcnqS)klw>%XxyTepdfWgHR~?k%0SKn zKpfczlLAL6PJpF2V?oW>QcB|0(L9VbtbB1s$X*u61rP1Hp5BBU4mhKU_&-we}X6TT)h7r-up7MObO% zSzJluLlkW49x!l~*J)C&4F^=|r>+@bYAvT@fcHoxTi|eTD83*-)Dwdk!SJYGCJ1m3 z4u=3%trUF`FliXjQ1Dzt9Sdea=vB62Xd(eG+=k6NGh_yUm?k=9R+EsxFH*0K&TaW0 zy-Y*`Q({`;n73du29GX}nQS%NJZMaL(Dwl1qoyO z6aHr8=DMlDE}SG~v_MW-iwjd@Yigd%g(w6CJuS=bXlYOf(}jVz#kA&epbXpN&~l(A zY5R&K4|aeRa;i{@Q6y6ZtF=%ROcszr0RVztxS%b9=qu=8H*7X~eV7bdrxh+%;pzHu z1pw2xv!j3%V5nCf2)Bd8vBjm**miJp#93CXwql~TPM%Cs-2{h23bF{RfkSC4g$A!d z4)ZlHo$55Q9i$vj1NtK^b@R7L|Y%}!thOelL z>%lNpZ_=(BEkl2}D!~`a>an5ZF3WtnxzP=m<+Bk?hqXMPh6E&lNlOpwiV+Rs0D7=T zQ;C&HLYqW=6B(e(w_&1&CAqRRp4#DRP<5w0V9~O-23qq3M?rT35Y+wdNCXa^jM)%m zb$S~3GC*n<(2ES%Ah7*%QnO!})9(gw0&4_9k(pp57!i;GIfN)&%hcO=$MEJ zDEpd7AhNH=p}?&`2?yQ)%o35MxBv+q9Bi}Z0MJqPG{())hu>H>AQC)!a->%F30K$d zS{_(ofa%S3^>V&y7s=7XRn@_a^iCNdGei$zfTBQoJ(}Q%Z}v%Cj9`!8eLnJRUlHwa ztm3d2Tvw)aAOdvnY{3=mL@68<28+&ESP8M6>glJ_~jOd1gSZcL%Sf%h5x$_!RJBAczp$@JyHjh}DY6@0?9Vl?9<_ zIYEQH{#+~>XaOP(jbhay77|;O)vy8e>iWP5hvA};aC1eiO1wi3fdDl<&qI}Eq=vzo znFL^gX!}Pes0=ibm2v|03`={UgzWo?IA6^Ti9O`DrCnX}+z5^#jRMS124#R$zqbY! zGF@emUtMqjJNZft3E**t^U6;km` zL?3WO@?xZ#Min3f>F>YX)n$VHdp|G`gL^dHu2+I!LLfT+;1F?P5%CFgl$Tn@dc_qs z>9E5JV`MO}N58*n<%Bd^$297i);~OA!;1+<*8bvwwQ{8_COerzz+&N+%kegGaV78q za)RpeW`x55NR_+;0)1n{QkQwC5IjwD7ez__&Faj7fB&fe6$a~y0 zK>E3}0tpU_E^vWI>ky?0@e#kd20S=ZIu!gc8qw8-=acsUI(e89`ZAW>R+Rxjm+lRP zN*)4`9aYZa06pufc=rSPEl55AjnotsqHn^t`&*i}i1_8UHqls5S zQVHnbQa~-GCH!y%s|vl0{{UQ#wiYiBlV0;{I>QA|Ppl0~LKIW8$i&;#)G4Tk92%Ah z)jl|^t74lrLlxm7xud4>nyO3ia0CG&Z;)ezwsD5t?qZ%eiu-!FJiR(VH%V(M*riG~ z9b0fRq6ydHxKu#Ezgj<&0~Qx)Lln7{$HNY;R8V)J9+Mi=iDu5rl(vD;TZ-dAiVgIq z1|1bDIGWGQG$bNV=vG*6OrLj@C$q}F*TIJ9MiL-xFz`ZjTemg>pcSvblQtE)0)-31 z!<2ksvbJ70MDl3c9Tm?QD9LvmFb$PbHoISLJaNDHg!*AG1~q{;978-_aij9 zdT_XtNFIlf^LQwLDuW~Y>n2|ce&ktmo91pM4UV)AhYB&IdcrpVA_5EzVL^M`C{a?c zYts-S4&DRt^7zh8t(+)~)%%H>rh61P!U_Tzd z7&sL{jT`C_R+$72f^KTTM>Z)2z6p6UpauD;I;XcZ^B)qf4LXOY zd2@kic)ZJ`{{Z~gC>uqJlNR3SD@RuN!O);41G)yk%W;@h*N|RTL*6AI^78)xa!O?s z9{VtlVk3d=aQ4L`BEdtT_0N7ejrt180}1w|k8?n-PJ}W9qI$#;aHOcDeHawQ01e)= z9okbZ&6$Fdz-Qb_153}W31uo2W9@RPclt5g3%`Se#8F|UY>j3(*nEBU za(Kyh?|5m%=w%|F6ah11QP+u!Fz`pgm9`=EW*IMW8hke^wFitp=)vA3P};|Pyj>)U z!ll9}y=e$wR;&gm4z0>V0+WvdKvd^ z!2&4e)o=j7Z6M;dBW+O&!Z?X5K;rb+l2-G7n921Hq1ET+2&Gb}1B!}y=rIFS@Z_G} z&?MwALu+(bXPDv8gx~ADWnv1n45JEJL61%46b@Bde&Q5O4WZyNsFKxrN)W-jR%zn; z+`%%TP>nF>Z8{AbZ7>^>n!o2U2!%w7XddoBF|0TzLO6m-h}1l+sfkGOG_USG1P=-) znaPIG1V|znBI&)R`S)0H28nL*3t|H?yrKZ8zDa|Xr~)lRzm9vzo)AlE zngWrva+?7Jr12w=)v&3x_j1q>$L4A!ve|uWAtcw>v|NDz95{h1fN52T9+Njn8G$Fh zNR@~e2r0c?)5(Yw7@L4n4RIo{^blTU=5awe{map=3b4p&NHthBcZ|?y{DCut#UIY% z(^muY5stIsYU0b`R-7wh1vFjl`HKkbCas9zve#ltb{Rk%VgZ^Ow-t>&l0|xY3}(&f zcDlrb1#4H#u*)N*7kr4}9}oeS&keYyWg{6Li+tox(H)1x-U!%>q(S=j;WAVxR*`|h z%_Y|Nk06ScDL7{cjC4LRv+cwrL#geZe7Q9vEWVBm!*GX)(|`%g)Ww5C$Bg3JJGxH+ zZgd%F$Mkh@6m4u4eu-m&1M17aj^l@{QaN*wTiZEsSY^bCGzH4a6Ow!=7;1@qJj*wM zi0#pTK6=4kNxqAb)HBXlCYh&@rA!Lq6d%Z2yiNLsgnL5>)ba&%_L!7*H7phvCAu)tjAKY!;eU1P@C`yeQb12Ei8a|3e@1qdl*1`?6Uw08R^e;{{&ip`#abB6a z0Q)=3M$rL34RAx~1wn>AA~gyKQonO(lmOMeGNB>}emyaOXwZqT3*f+1Tz(!23yTv0 zVVZRj!CMOnlOnc<4F=y@lL#aU_g1h769gm%M+zp|yUt+KLK?Qcakk?SQ+C!USF|FJ zL#`GtgQ?U!<>p4(L5zJlFg~5X*Xe;cMf9ClFB6~(6UchPmw~Awb;B+zEmmt)Exjt2 zUzxk8gnA2tlNU~6VdCOx&KcyrvQ~^IgH8M1KwaR{KPa<_OiDsgY zP;gTfd-h@}e?P7fp_K_t8BM}$>4^bO+9>o-ctvDcL~;x3b?bK+5;ecB6=`}Nth>m! zz?^Y`ItB*OfJQJ-(d0`L1$2qf336(Jl%dDVh*J~@*4CMz9zXTv#EH-m z?CRn+dD5TVWiW|eDNdIXlDNPLp-BM1@^+SPr;{LZpwJk;y<9;@NlnAamTteKJGq-h zbbYT3@ObV80d>rq}>LEYU{` zo??g4N>8R#J_kU2p)**iQbX9Mlg=~<)dW;0vB3Q?!8)j7fD1yO8#UUXV0txDd z^r}9?#sMX>Kxfh^h|^vm5Saa<5%xF-%)TRYe!OjPK~Sjq7dHr0_=zrE{)JL(s}L7V ztOkONa*NMLiwH}E$wI&jOoWJyh(Wc`xS*_t{V>G)0K$%I6Y}ja(T=$zbIb1K3<;k4 zW^kTN67LW6M&J3GACd?V_H%d=^9yX;#z}_McD>yZ2_X6P3ac~+` zbdm4#DCHKFPgeku9JR}FfGnkBj5q~B1IlH=s9N*5r;m94)&u}wYlf*zJB*j~^NQFY zW0%GnNKyR~ZsQNDs7zdc@G%w%U3);^7@z$?4`xdazXzqiW|U z@Q8w&AHzKdf(tOZON$F}G%}l+2)k!dNA>Rn3L(Gv*XAW{gyxZ=xhR2Fe=}jS zj$}%FFoKG{Pr$_vGL_O`5QSOu?ppGGBYGOc3AxcBmDU_Ijud>JnRJ2zEa$ehC*CL`kytKpsi{y%6 zgGnJ_C!9SNpwP(mCJze`Kq6>sLmo7qSo=3LA+FCJV}!Tkgmgf~rMpxP@k~aE4+2Vr z#uYPjM})Ly8llMm7R#(@UqnqHrI-O;R8CJ$Uba#^WKj&={{W|)s`;_mdWR2LEde95 zEWvJ2r0ce(8)Zy_YhT=?bTD}C^@1B@%T|85LPNTxxw{R+QtH&lc$q+eV3ffX_ zPg_Z7VL7R&Q966b4Nx$sTwJg|;IyZ&L!2iA>+QLABGdYljyFRq!uzuex*=CI2L+x? zQgou4YvGSB!FAMS0!HmDtBXCwDGLTJKH!dq&B4Q8haLV7Bf6HVX1G^hla3*fRiVAL z?ZFT&gSL#(kr1Rf%9Rzd0_vXTdGv$Li@dVZDlrx0a3Q+{R{AGNh_$RBqk#&k@{iQR zRJS4NcDMk$`Ei{s0yV?L{%4!R6u{pv)2lL!jUF7ti=&u%`h`+Kr#y7{RjR z))hfz3UE`v=HT=#J@Q~i33&%f&&=5t0G$HDMQB14toArZqqMPjzGfkW>u1^mZKx*NH8nosrExV}!H9M%pP0bD9E z2IIojfZxG#kh~*YB@L0xWYS>+OH=i6psF|Z`d|_frSmWR_ZsmvJS zi%QRI!+Q!JeM$E;XQ2HwtHT`uNS=9D#KY4furcf}tg!@|648R~!ttJ}H+^LTd(FHQDPHmNc3* zPPmd)9lLOJqh8vwcXq0^B-IPJ^6kgm&ih&MzY;w>xgi1Q_V9tRa#NmmIgJPF2 zjIca0wGZ_*h%HGaON0x_11~PF%+QQw70Me|u#c_ab_71<94WTH1#+luy@8kzSz0sz zQD?lI2mb(s#wjT@E0O858M!I`f4JpINFcdHO!5G}mjFvhTOES~AuWyq1qY`f1t8P) z#;CUmu{Um{{S${wJDBL(#I|WY%d}4fWLBr z*tUG;@hHa+woJI~U?B~x&50s3aXd zUl>GxyEWEqA30P2q7xq~edC?$;J>lR`r(-vF`7s*K5_uq`Nm{P=F zGGg#au%oE0r<@2#sUkxH$A)PiGGLKxPNY&`5XDd(JUAJ$Xj5;jkitSCc1Fhm8yYWA z;ampoHjcd$BS;D$-xbZ>>0xZCSxiU>pBz|nW`+P5qV~8_(X}CrM1OH0s3V*20qn(H zTS9uqK}k}ck@U+G0Ri=jhYEUUcaJPX04(z53c7!Lgov#gV?7fDj*2agY*tH9F2!UE zmI!uEJTf?{o4T<4nlWSB`LDMW*RcC6*SUa-%hZJZc;)ONs@jn&>k)v0BvvDL7y_z* zrg#i=4JC~oyUL70GEy2h-U3V5J(&A_c+#EpV@4$$GZc0Aml)P)R*k;yEsWq7gWSWQ z0aHo|x7?#a+ab6ny7J`*x+(+K7%nsp)vhKG0+Jj^2*?KO8LHzt^9)wx2uSfyP_@FhxJFelQA5A#@-5kI@#Vk5;haiXexdXnJh zmC;GYDW`)pE*csl03H!Cv7oz~_OSqh3OJ(Su7|LDFd#>AlF@QS2%Qz!#>2|8MB?{_ zlIyl(VFpVHxDQknT(*lKPV9q(PZpBAY(&nQkme&|>i&3+M4@O^#99u_K)*54))yq2 zL8-LDAgbMXe7MfSDj#0)gtZXe8utl+h2{&3Uf{)?68|EsMfyvvHylsEn_#Vl>K5>+xr?VIicog*@|( z!Up2P%ZVWhovcFsXP0C|Ryf3P&4lv1#U!l~-a6I56{f`=d&O&DN5k_HAclK9a8isq zMq_0Z85HfoA>i@hqGTO-V!L=p0ZUql&S`yN0yujIoF@AMF(R|Z492uf0JadaGbB{& ze+TAl3F6ExE;RDPHPEA}3{w<`_1l1ft7ld943tFrPd;W4ynR7xc8bCskR^vQG**MfZVt~>d-UKI zz+>e4`I}}VdSw4M^ z_#8}NDh39HaJL{ddMh7{#346@5*|YqJ0N`zPuv~^C|_Nln2|^=oPeH+eg-h1j)nBz z88PPBM*d@C?cEua(az6 zYqxh`<4&u{26^b>9c>4-EiN1pr;%CnER6vf@#F2p1vVF$tO@rzS|-@()@%@r3mz=M zAUjd2z0va#316G>F%xYHozPukqXV}LghF0@Ipzffoe?$X2)3V}6WZbC6(@xB8L6NY zg$pBrgOfz=2o3v?V#8?>vRs5sW+xN7$TCv!xC9kguN!MB2NJJb+hwkXJidFBI3^Z? zs+kw(BX!c}c2S*?1$tac+Aby>jSsk}4XQbLkW@DG#K>Z2YueCf4|y~p-~b{!EkgH3FfiH zrb4MbrZTVGLq|`{aA*R>E^I+=(8ZQ0rZ`y&z(^jyhCYZHUcM$dv8|L}r;nHlp%|KB zYXW$Q;an}CCgg0aagZK#&pZ{yQeUBg!98USrHtOZ4r_F;*crfrZI0d&;-aMB884Ib zCK5C@93>4v3)Xp3#**-%84|2r6UsQ~XtF2`BkE$QvhMKnb5*jNA9~Z0HjV4ft{zRR z=(~nUi_IQefCrX6_g{B4pzI&x)X577ARB-T%~(hB42cv$rVG0s`#CWLj&y2=J28#G ztOH`-2R6v4;R4h<>4_LQTkn^cmIYqI`i1`BCSg1ugOOnZ-c4lPIy(Ex6;ApcqJCz@ zK2>V;7z@S4w%8K@2O;!l{c!Gf*UIw}kp}pV@{pB(W?!rtD~!3I+iOyODrF^I%D%E_ zbU(Ky--2gSPK#vbARuWxrXe8g>@R)+-GomF#;gRqy_wX4ow*qb| zCO@hHu(h?FFqfU2Eu*h40>4Z&RRoMklhEj=u8MYTR)(}T}YAIpi{;@ZRHT&lUR3%O{ovaTxdX5mA=fVO`apr9vs|2C$jc8 zePas=c*CXO88PTVDkVB|Sp|>EpvfjKr(Qfb@tEf=fFp);- z-$^9EFgvGFkOgUHYxF*0B<()FmjJ0ofJmiW5(aJS5^5kpVppDZtUVl{1Ca5?f*lYT zj-i6@1#aN&^yAQxO0d}shu~iseGBZEyOC74-{vHxyELL?ED%?1x@NM;Jwv+U(5-e6 zCV(?WSvWv)s6{@?;Q)*Fe9+|y5h)8EwnW9GyJo)_%&J(!wcwd+T zE^1T~1KddoFo42DdN`Mo(g2oh$FZX8prje|1iBF381c$AAb7-CI32K8USEZ@Tb5P# z+sJ6;4lj42sN)GFr@?{=hLr2%!IyYoW0z;#3|bv^j9syIv9@#^gcT$Nt?-jP%zz68 zg~At*69Fe_OBL~zMQOf~h~bY!VfO==jt-OL$|z!jY9>-BnhLI;xu!rJ)eahh$VoT> z!NE#*FA>DZ{>EvjpjGndaL}tj8ow?PDwZFa(J`XE8Y6*QvzZhZr|~>w2)cU00!dj5 zDB)4SD9P>o@r6Wo)b&>e6g(%cql&TGF{zLv^@m$&hy?cF6w3Ea#6&rh(3=PB)tN739Ayn?IVDXrWIB*8 zjNLt&W3$jqfC6CXdr5+ZzF{#!D1lrm1T@FDDW+NZ5_6~Sv zse*xK0yxz+dS(OF-v$Lcuj>L8;ys=6WvCR5jPvd$lm>AI^<%dKuoRKK_b|(41MK2B z2CGJv*JLokKot0gH|rUG@j;CQwk^iV0Fduj6GCd5!0!mWU_PSsJd`}V7QlWu8!ZF~sB%3m02OUHM-GMd7WKf1 z!2}1Y*Kdp>Fw@C=jt`79u+urIrIF6NMgAYz6$O6fc=xON9Rro1Iwi9M73`U|S>(Ps@x>BNQn0AP))8*ez z2pF^u=<~06ONtY%lP=XzZkv7KA*CjupK%jvTw+yZ8({ISRWaBTL_zl8HH$<8!A~wp zCh7!wxl5_O$|iV=VxY~qmr;d&cYm1jo2|(whlB48_8v5^YI?^tq!V2d(EF3Sr_185 zc#HWOsyx$*94ajVVq$`E5=PDm=HiN;prSMeEx@a%rzPwM%$|lu(RHYf2)^(WkPAU7 z(;bOeSbA_HsViyda=Qnah!T4)%CA4T#||}5K8}uPhhCI&!sMwNA>)aHG-BbTlggg) zLJ_{|4;OL72`ox=wXP0Cgh3F(i979(aDwg^vl}g;9zbHvl6(~U$jpqgD@X@tEBn$lZ* zu19o^gUr`hLp_4<&5aT290hs?G#j!2jWv)4nOh%_^Bf35vm|!YE86KpZ)#wjg(M-J zp<8_A8z7`&y)Uc)L!clJ1@dAefz}+lD4RYC-kE)-pvA4h0ZPdfW>|tI+tlej;)l(R zPlTkHQg~YrU8Y#2wg^{~D{e50Th-&80;rIcX0d}nLAiW|@)-in!J5)|eL1A~?Rwy1 z4?+}L^lv+NeoQzKAE0vt1Gmfi;%~?A6EATTqf3Yl10BUY!wsm`YU5a2myL)xTUhyk zKz5f1p3^9|1SI#@ECRN%_cM(7JB4b4Kh`SJkx0t`LscI4ylB{Nz?*+DOn5M$$A_x( z0If#)bBCF0JL5H^lm0F{t!v6Y;23f#P#!SQ+z)f2;r{?NtVF)aXV2VPg`_@yrX@>Z z6Y^wPJC}c`d2m^T+p|nkKsQVosoPvGhxasx6|f6z3}8)yxNlju)UpVVOfd@#b6p#c zT!0=mUfcsXqIGO~94yupSZtzW)*(jUL4p$*%~96|ii(1j@Ab!M&K$3hrqRclZb4{} zjtx~#zd^$RBk{F&;GCH5RG&pU;v4UR9wOxEfT8oCwT=wEE5?Zn@WZ3nk|jMR3IkC( z<58*<3hVu3O3}H`9SWm9Ow=sBD?zF^(Gm|(+$H89Ikk(CcKHq^(WBTeM9 zP#tWZ?jZL9MzwH$V3SDy0Pv@$5Xo$T!R;^w*$HKLSOCCxolfr<$O!h~Q0z%!q-~*= zpAKr}Xd@J9>g1n6Pixf0sx*$YcOVLYuLc24qhCXcP({(eO}BLO4exw3psbLo_e(C*`nyKR7{fJkh;abPKloh@(vi}{V;qkchyr7Ojt znBjIFefX0gHb98%^NvFBQ{DlCvmT5d&}eV3WWD@S3=%>#6XNfk)I#Ts;gM60`pj{ z=t4jq5aU40z#(EdBjE!T3?IiR6>UVNymG}mMZwjgK#aJM=`Nl0K93ln6eo0Fhb}U) z6m=}GaX?H;D4V6lFV|G;tmn)_1SXAZiRD}&4v{lyYl39zm>Q^jPGh?op?(TI-3#`GkHAPcPi4nEce1-+XHcyM5146E#M#ds1z zt~4!1>pUxJ&0*hT@Kr-9jw+ym!wh^bo8)R8#2%t~!WPl?e+<9;H zl7+=yGAO#?F(+sm+f1Q9Zdx90bQ`5@!FUuhp;)j4sep-R&;W3f`lkHz1&-1G01Osd z4SP&lfNgWw&lo8aa|8y6gpsz9xf-ZYN7>>IAxP4Xv%`rs$bm8gi|wVv=Si2u0+SRL&|K2y*_Ip_c8J zlg-XX(SNVA9Y|o^o^r$>0*?VPc{W2^J&q32)AnMNs`>cz%crEbc?Af8fs8IA`!NL{ zlO5Co?_h2Y7{eZ%+5)sBhq&?0*FNx%1<1mN?DfBx_k%cZF)fZw>23xS{{UR{WFlaj zobFvzk|ZB>ec-V(ZA-R#TqK~<5n4Z{4qQVRi&^B#uq$Olh4G4GD3K2+g~+f0xFPc& zKJ%PieTPKBKom%lS__44g#ovWdbqK02@>5P>SOi}dm!Q%iL8pfE4hhzV)hrPdvmSO zq{_%(ZjLn^(ljEMKUrZf5!FuD1qeiCTNvXWq;)|tvK=wCVz@F?0P}|z6gN^-zQAIF ztS=Np93R4s>pE&Fl>|{Ht>)}7?`m$!jz$nh*sx?U2svhlVkG&4WFpT;JxrQIQa2gB zQ;OIJ0!;S-X>f&0P2fuUh7(%Bt9%pp&JopYVZmk}Kzjy&a1tt@CpnGxLWRBr_vax; z5floEJeVVVFx3v%YUM%{{)vhm07@NS1I{bTs^E<#5fzT{bkVVt3NkZAr$f<cs{#Pafm1e zf_dQ#t;uW^X-}+LQX(Llf}bAorDDbOBf-iXlv8R zyTK%O@?{9v5{rBX0ue>sw4}-df%}0_yR-3>QHV2Sn;eqArY=CYW79TZ;x)ieO5o#K z)Pt=t2WI?2uPza++6U{U$Y?Dl9>vlFz{Y-KqDT!3TG50O?k0rFD1EKhreIfwP}D{% z)7gLw8iJvWNvJAdH0V@ruN*pDDk%-cG1%aYBv3j%q{fA&Pf{T=cBYu=%=9M1{^bz? zq>})?iAKZW@W?hPWWoRdzY4Aqt=l{oQTZG&B8g_)`pzDC5 z>7D^eo2{-s+vvpwVmH!*iqt9xt@O_&E+aR>{iddoKS(Ju>tXO%u0~>OOare&fE%= zN89i}a0c|H(N3StPL|pA_TZ(<(75tu73{KNUodwF50sPpa-~p4yk@*6sOj3^Za)v7 zt^+SCTrpchj)-3C_XeASq4|$I(9x816qf}gbMpHzv@kRUQ#~e@S`|Gbghu(RK!@z} zg0_^jPu*0-H6H#i9*O4}+jbxkuOP_sCeQ)p!3sD%KL#rVZoq!2YT`u>XlC3mzZlAp zC4i?EBAK`fI)#;)Vkc9vY<=GuK@!QOPKskFV((35r;t43z{n*-_3mpK$Y~ql#|R-P z8oc2#v1B&SV?JT(j4WuZM3BVpR9k{E?pR);7UX7e6h6B=|nucS3#)g3HNrIxsRvyc17hRY_ zJutCg5*$-=o^wfPgYY_-CVF zqxa53*?`oe*<9OF0U<~>AGs+Y7a$TZyfr#%s2v};jwqOcZC5uQD378yc+`-eMgUzl zaHQJ6bORg&;gd^Sq$N(1G^qRN;ZGrr4$gv+q;Y8yV6-g%0ACqNR}U?Yg~A&|5G?-y zhsIDUZ8D;hJ5@h$01(^|CZ&3q7fk{S@;3+tFB1q!j=(+ataKwZ=jdeN4HN$LFgUVJi>jS`Xk&xFjDyXuZm?8#04yNxX3U;g-(&j#^M@@t~x^} zmFz-D5AFu>z&}MpAPr|yhdPw zArV2n9s@L&gL;brc)7T(F!v-Y=*0yh6O{G~YJj@9OPJD%D_rw3+#Ee+88mv-KQQV^ zP`%uy8!J=C0O;U5lEffTe_Q~AqAd8oOo)IGSV9YmeKZflM}v;-N$qNF;mrkTN-o;< zak&v7@1^v`)ibmWVDQ+)Jk-SLl7^5|n7AH6g|f&U4jRO6o#C4phHeV`aSaYQD3H#W zI$A1zuw67;8GeQ^4{h<05tb9?3;@cDc$h#=71mUMM&GJnL_{I@^?x#BT9zE6b!t2k z0h>e!^bfNm@03y&w~@r+_J}>4a!R0N4@t}jWIM=O7ssHkAQd>eHN`3D{9Yzslcijb zL)(G80M)E<9S(!*z9unr-ox805|X2{ZNLW}iWCm-5h8#p5gxM>C!<0pC-QO$ik9x1 z9}>6_*ra4zE%Sm?(CA1wguoBw!I#tC3A9&^R~CTtasaf9n1UPDB?zd$%ql{)&#!|L z$r0g%&e7R_8Ik~?+?L~HJ=>k(UH<^Dg9TIg_mlznBu5qLvl{?U;vGM@l#KO>r}Q&L z>UB}J&0?@sX>_q8FN|qYF%L%akh}0410EOiY&U~xP%t8h_IfggRPLnmC$;8olZ(rV zEXGtelml6-uv?&WZV-aRr$Nv$;?NHfh7iijA%=K$ByU(iT=NWby@;ST0C90NJVX%* zL~}(P5%9w!QiWI1z%YQt`ZAiDxx}Of3m6L{rpVkmsmmv+fNJ%KjcH$3&JEeRpbgUG z5F<{6z!#kbPvT9PcN%u&P8ZQ37#K(ichizv^NQ9Owyz)jdRMB77RVNz9* z)Y;&`hZAU8+05xsc7zShWL?ik)!9r(iA9*OI3Vy(S!mt}1HRKCY6nmENZFFeSTDzW z$D~IgTmdYQaU#@vz=V+!@(bPKZ3sJnEd#DB$wEZW$2Z^BZC0>yVlW!`L^uP53hG^g za^yP)O~s1U@Z{d0B^HH!<4gjLgIb0^ze%AluOi_6Oo3hr;$bK$6k}d`KX78Bcm}7m z^?{^*{7}fmev8= zVhi-hY9RK5s2)Aysj3q$)|SVXFmi}j>dc_TG5`o=JfmZk5(I0PLWoF_@TY?;q=l+~ z#!POIt(mY0s1W8S(vf=~Kh9u#M>uLL6qV{5m4dh{J&%4JYZd0#YmgF%J%@KHHQt(k z3=OG&_81#gtCobJDUk`PiGwAsFwlIqbI?l${0;n5jhpITZ=uni0sTGR81Ydef-j)Bl=x}N8gf$-NkPA) zzu}Y;*3xVliB@i&CIR{wij6NulpoBd6K{&GujXEDC&>&r4#|gv8kQCG80}hZ-;h6W z0SF@dauYwM4UPn^QiP%6&JG|HtGMPUfWdhG08R=0p|6=qo7M6y3`GI}9s|PRWIP11 z)i85GBq$}o2z0%vQT84%IN>RT8jeb(X&M_6rSX(Xbe0CqF~9;B{{YLHWmthfPAH^$ zaZAToigtMKq%qy^ABL}-)@WT#N0_03J4P`}zMAPAt==~OS zb9k}4^{-xx8InwWiEt(zA7E`C^=k$Au z19b2DV8B+P^9=~V@M7Z7m@(+56FQLYhn6Mm)p|{1RGkRzh$5-XdTJqp5c|!IHv*dL zz!oDpkb$bc@gzQZ=d<9RaQoP<2-pwD#zH19Q)Lq>kr4@FiY4Lsr^*}*+@>`6bil9Mye4t_~bO{mt#Y9apl|lWO z=!yeFzRW{0q0DT3pIF%zw_Y7aad8t4AQY6R2xuHgz=?esvs=KmG$FKHqza%FD0wa< zSxR^e(rg8x0@vKZnx#au#_uL5fx@s$Ycf}~Z`Xvy2!bk+sa!YIJ9}`Fa)JRS?_7w3 zP}0?y=!Tc_bWEVu@wZF}l*rxUVw~!zw_#y{nAOtxr2NR@mKroRDM}auJ(^zfFCPqp z%?PFs3Z2=>f#ho^)x=QD_sRBi4zD&B*HCM z%`>6&Fw?X>I3Tr&?Dd{msS!FF&ozu8Qb(Y%ajo=%kA=WU_!fT2;vPim4% z>jDP>f$Rg>fdK$Ou=k8wpt`jngMd9X!tEpiV%ia;kvxVJDS`)AMasHXt!iNR8cM5Q z23r7Qh`JBVrR=N1o(7qGqpu=qxZpB0xYN=+cY(%%4M`n}3+Dt8xTb?)tc^1dFoc3- zyk~X@^X~Y?QydK=)XN1A@F%d&vb;34&|uYqKwqOhWmRQEu?LvoC3+?c%8pF+WqTff zaN6LA*K1+!U{KMB`*t{jcv>7>s+%_D_sC2)X#q$;@Jx1$J0Ng+E2I4Z@` zOUZkM8XDRSC+Uz`#=;3jm5+>86?7Ub#0_!qrUKdmSnnd6?YBNe{LVK7M&g+jL__^B zLh2N7bj9r@F?a&_zyLcPg0N;uN?!^5GRa^Bd+>{iLN;t3GPev(37Vp%@a_rd0K)8{ zC+ob^n4MP_L=Bbn znL`8t);=ebHA7-|#hWp?fRY((R_^d7!O9o-ed6QvH(-7EGa9 zJ(C0jIy7te%M?&sZ`pxC{y5UDKHiyfCGh%XR3DtMApoTHgz7!wpa7Rt=aDc2vs!A8 zpBO`dj(Zw6Zx(AbF!H89z;fU+*gw;QR_dR3A?{&8$E+s?74GYdDM>)WK_veG8O`Mo zbJ3Dy!%OjY3YIdJen zhdO09b~OX+W>Q8YsaNNR1xTGOAoVkTbS2aWu18%5Zy|`W0H8*+54=q^D6p;TGNA*5(TW|eR<8VEhdK~+3HlsHK?@M{aC<4V zeNF+2rnt8!FZXW zplD|*>nt>Hqi)pl;S|s$*dY_%C4?MD4-Q8LsKIz>VD{PU2jjy8TnMnBk8uS+*rdE> zC78aw59@`nh}l8J>@cC+S0W3PgJMdUObJs00-BImLS5$GfDp6hCwxt-?Ra3eXu}q@ zu!+#~ldUT)0`bR~#{^4Yxd1J}2x6cmc_=^4w+YpX{64O4Ng8NF1N)If3TXoZO-jup z<9P2;@Q1?U(W3tV;QNTQ1`0fTPfkOQ$RzkniJ%HR+dLPJCeT46}%xdbP#IA}*ifHmiQ8MxF4;PK6+*88K4G+mt%;uP)o zJmiYPe`X1$ie|w_S+)Q|w&vkh^TsJKQ(UQp_{yJBQse?4w}uCSz-o}59D$`>-ri4m zr~*7i!Wo#3P>8!S9i(H^Sui0n0i|d207eA!@Auva9anj9RE5Ur+)08j)o{j#E`C~D zosN*s*qK#eJM#f{kVT`g4|#4yODdj&Cy<@=kA%p|%tA1h{{S#nYK_4DrNxD)1f=B$ zw-`uNzXvGvJK;|f9GL9|Kq2VpxuHbS zaiipyez1~?EFj|m2_shk4>%fsZc9>csyHDlztL)#P6Y}nqZ47ZE|~iK$w zh!56)sF0H)nbH?YA#6>45>!D|cp zO#;4SWWq}*z&%@r!Ag`UT?UW2BqBr2Kth3`BH#hC5DMy|t?P zo-xPCG*y#$Uq-?wE5ehDA5Xi31Bd}ZW(-JXcncgfT?|=SqtSaYV!EKC zh>p~7g$9Xm3n1^ik6Owt)<@~MX6+RKCwG*?RX!H_`;CY)HoRHX@qmUf=?Q6# zNDvi7(mcnT;|{9^#ulkbeOV8;0q{WGZoqqnwg+k%Or|cAHs0KD&_3Ks*p@E{H5r2x{JPi<$UaNo>L?|zb2Lv&owyL;9 z$pRzn;A#{QKcw_9k&*S`Bm)vw3>^JSh*K3?*9c3?1$h^EL=p{gdmO8!w-4gS29&BB zp9zEt+@D=;6$yvKZv*>_Rv;&}s(XE4J?&Sz#Arx5PfId^k&3V z_Z1sE_Kt3XQ20_EMJ4T1=AQ6@6TO^F5*iNQ4l&Y(zWzLN4A^*H zW8L|u9iAoz+9yS;(fO1cZo+E8Gf@QU!Z^4O)0&C4uSXzBV(Fl;GqwrdgVG_dG&6|g= zaZPMHEOEQqtuU8yxk-#}(KQd;G=Rlt6W;Q(u$!#*Ns!qwp>#}gR6*o_Q`Q0!Z&1Rr z+q$bHL-!OwJUFcbiQdlT>59_YVL-xQ0d@I;rzONN@o6`MnGvJctVd%DS>F%CA%KYo zyTypujt`2S69qIk0YxVq1z1u$Q1T8@Tw-zRG*=m21~QW9SHXh{c#`1FgVt>atSB@; zA4~{JoJB29e=szFA^4>|xCl~IdgkzOa?`$5nG&>_!YZMPs{W1Lo&Al6M_Yi3j{{T!|JFe#&mU~{=g+39liEt`ki#<;_1&LHg zxxf!2s@|A5-5XV3HsKX)d>GWV2Q(0m zf<)BK2vtD4eK3lmVX+(rIcsJA01a*?tcO;nq=N|+6hWZ$BaiH}Vz8B6FOIJ-@{^61+#1-@oSZWjtZ$~r&Qp`aX9-N@q zz=EhCo-w@*xdnLy&B+LDXEK@Za$*yh8*bF}CDD{ut>429Q8y_3^x-VnFnDmH#CpO{ zevhota0$1e=)p#&?0*Ef5kXr141^JLKh1Xh7{S$_<6y`fp>iu+pQP9mIVAvMedf{5lSp>%div4fO}H)9q)A{4I<8X$lt3ADfg-9&ikUrq~X)NL64 zjQAuDtL6Q~z$T_!zi!bMQI(<7$V7tNC#f6o~XF zWf7RzC+HtCpl-EN;u~~`BzQw+<3v7ws`MOG+TL)sR>v$DW&>po`^SJOu@xQp zFTHKVOGjvhlg=?$h}HQF5gxyg&q;4U1asYjpB4T=g7X%$1Sm{F!U)JEFA~G{im2l!TkkKbc2T4Bu z;179>1T;*1{DuXXsc?&+K4TnJ-gF>2wvIYrQ>hyJ$J(i=xS%lOl{cHRN~igSF=sHK z7PcCuP}LS@j*`c@P_RkTkwL~8w4>PdzVJSn&tA8WqB_5G(U_57#hnqeZ$_{opNFXlG+#^!(gvX2lE;i$>`sFWC&&c{Kg}#0jc9y zFbPn+3viO%SE-*#_BbsghHv_2)I$$*_W*gu-OSd$U zLD(Gd;wa0^zN0#4fly6&q7Ct=eE0C2Q$-?-Lt z6~ajaclJy{4*^x__8DPaUTODE6+r6k;CFZv5i4#O0_#j^Or*NFaRWkg}pDlqpryk>4;+&O!ntVM1Zhd3I?M6e7PaWWdx1z$5p48 zL-!Dqch+g)^x`03yP_k|$y!y^D1-$G@gP(qss1~J~X00#BI9$|;N zO=g0j(dof1P(6wYZO7q(wLRjSRhytt=rm6h!32S2)*`w^71y_ncsHX_4OZi+nRQ3d zoJR(N(V{GM&LaYbn9^^q2m(O14Fcp=qv99)tWK>hUrq90gZ}{ZoX4+OrwfFHT77bh z+zJ}A8zZ2B+}#|5W-q0ta}a>SHi?Qz3>78NRdash;Hvu?L_!RnFV-EP|AQQg!d zU6ZE;Yyb(mtOqp>l6dZqa3v(cQh3HoLao#;G?{SeEMKYI6G=Tl(}$6kND!*&Vh@>* zpK3$}P;;;VRb@w}0_A1Uv>|bn(wG^# zlBO&O71hyZ)M%!RatPgEg%@GOa7462W4M|IVEQ+B-j9SaCGV8PMv*`m`6Gz#Xok=@ z7=?2prp<2SCe+#K^?-n&jpJNg3Io`D96{ahzKc3AC@TD#>Ft6b z28x=(UET~j+87dn)CDfJjSYn2QI!Dol!}9Hi?bS%($HdbRL2-Hkg0j4A%G333H_s! zD_ztd?7)Lyg?}-i5jMsKo-Dk$cPbhmw<4*<`eA+*U7uxDC!xO+5uO`}0Ueb9 z^+U|b>6n&P6|VH+EVIYsHimrI7~}_sqWOD^uL(;QgtJlVMQ$4mo|&PP-d<#i3RMi4 zgcq6KVAV9EH znIR^L?S@zqIdTbK2!$pIJs7#Ki2F&-Z#0tC7p3k)0GwA9CS zF-`h7jA9Wb#u~3kOoQEG^c@v3$T_hOZt|!Ws65$lN)VBT4ndQnCvzTh5qKMsBXYG> z33PjdDmD>AJhYf&Pzb~~+xTJt2u4uX$0-h%?z(rdqL zYK2Eau7&%BkekIW%{^d6C>x8X`+-UVecu3E+(w9b7)u$Ji8fcJJDY!fpSea&4fTDP z;WS3Fp_+1ui48R3{q>840Y~*SrEL|k{1{$>%mc;%y~=)KLBK@NY*Py<09K>yOf@JK zX+wIzODW)}Zj%Ka^jfFpu$aK~Ivgc)XhnOp=w_!81S>4T3oKjth~FS-eQ#-i?3|;D z5-oNZ(x}}Sz6HxyEEQ_Q7RSAS+p*(~V*dOxuWRcB%m?&8rXyWp*ibUN01V9*%7?aD zfbMM+R%2gSjVN+QV@ys4;PLQMWdN)K_IPrFvXQ=@m;`S|00I@>%Krd-Whz5ee7KS| z1a~%N+iCcxrxEfM3_z*1hC>Q!bKC6nV2F#-0azuyz!y5Kc!Zirm_*Rgq|F0OF);E1 ziNeng3I4R;w)HnptLO%XiLE@%#vBg@a`U1TF$A8f>%Bq8*8CLjX$N>}@l z4TXQJfQi^_d2k}eK>it2ros=DahR=Y>t|Jrg&hiK*u{;#1FuH?U~`hv6JXX1RA5Yq z-*klA8-dWtR~%dA&B7?Mt1lB1XFFMxH9)EBmBoPyN*EAcf$g1!Q$dI;Q{_jzLuXo^ zQ9R%(A!T7ZtYjOr{XoT_jnt(70D*`u3ad9sgbL_2iK?SkXtp7RmUxj7l;tryx@;mpF@!C)BKo%k6!L~rp8Gzp zoKZjxxeWz9nFM4W8l3r;Tp}JnVd8|TPV~LgsRXWWPDmUvmA23m2L#*l;q0%F* zhoc=VAfX?jg4rvQCkOKi0fqns$cG{ixOFZoDMYM81Q5NI^Nt(>HPHG79)p|Z`pi#b zv%dI5!(LYFq#KAWfIdl#78@n1zv*yvS%X6iwy4u)A@N(%Vi9ynZ|+DsgC}3d<`Nqg z(TY&bIvt}&fZP=_mNpb5#=6P!9BJ#$JTS}CXNB#>S+OM5Z~F0wKrLC7qA~S^qAj9Q z)06H76gQX9%KTuFg*JiOiIx&j9Smwq)IlDw?&ierAfQa*;`3LvL3oa)@M|Xj0EyOfb za8A;eh%S#cF(-gO*BAw(-tf^wM(wN3rm1bokw0gu$ZV+w0b3` zatH}*H^It}Tw)Sz;VwZd!cc#3m@*3unIV)GCzE>pz$pr$d?k(|?F51!icA863!n#s z6hAO%769dahEa& z;s{>O!NY#@QA3Ko&;9s)$)tg3+uJN0leoeG=wTd0(71D2nL;RTs*Q*4cyz~iB{E`( zBwurQ$u5FZ+0K4e8l`TqgGE#iKQOVYLVeXu_3?q5bQjWZ2MeO1{I3iuMM6R}wwT*+Tb2_640>3a28ejb1-5S4J7mq3q9VSo zkYHr^1rGZ>j!|$EbvvNONjdCMZU`#a>`5=%lK%T5FWDiB6_HSq#E-1Gv;Y%&46&`L z6%v9thl9a2Jvg8^jYK$rFgNvP2!V8)!fYdpNzZA)z}9X(>GH1@JgS32H{J7v03sR$ zQ|XX|vDk@((*Za=t4xiVx1lYpE)QZEw`9QO8n>t6`-Gkrrvzdzvo=F%-D*j{SPhK5 zJ-Zy)_eZY|nYL1Z4geX~_;hn|qyb;w1||>y;1Q*BtY6^^(0v%86TkE%F;KuS+6cmx z$RDnZPQ-RhwA8J_ok!n{xpjuxJs+4n1uFnT5bh*t16SdhIWu@w7Sn@`DF(*XNA=?d zzyW`YT+$@jrbo@V*ZafoJ zsenLH>l>oA*NNBj4oDYaD0y)nQA5X^s8$)UtdYVENEgxfi2$5vlwXEYF3R1xy3=NE z?+b@E)a#Kv>&6kTvgV(K?lCd(fEjj}$STMDa&)%j>|;d>M8FF+K}h|#3_P*}TEqn3 zYDk_;V-Z($vqNyla77~wIy779OM+xtpy3F&Hci;-I*r9-0M!81SBs4f4FW^b{K$b2 zDODqHtUK0}V*`ot%13FqU(aJA?l6E0;uxruk^~c)@%I_9HYKfKjbg-+hZ8o;Br-+g?ug) zYZX*SF`^6>i{C7ve*Mq$xA%LN~Kpy;B!5n9n3T%k?Ty`qH_6~ zW?My|kC})(MNsRWr4##D%=AJ-c@jEe6uPWLJ(CD+Nv8^X0F|J0d|XlZ=zlS8R+Abp53;DYi& zYXSp+BLsp7doy;5tKo72_K5m&;5J=aM5BRv!TiwO_sw9?$KX>!x`r%C6H$b61P>8d zdOw*#qJj9xt{X1Dt>KN)BI@0b{Bcw;g*ss1U705z;m%?}MkJ*SwKH@*J{xV1So4aDX7LEdU|Dxq>eB}66ji=!wepESnzc)QZzKPx0}N!5;r&4PYAzvH-$#5e2C!B#G8VRsmZNlZH z6Jq+f?X(rn%|tNh-couo8Ze7q&t5B#qMEcx*vu+N3_D^ggOorM+{ApkC@7v4!Fy=Y zgyY+nfd{1Za-yUHLGp3pms}oh-Dg;1+a;O_CW3LnD7Dw zLSWDqz@iSL<;Wo-Aw2`3aW6^46wxOW4KPS5Qz~^b=(g-d^BD6n1r}8Od&yW})l{k; z@P{(sky+#}@he^>YGFl}6g7rUk#{vrHR=BVOYOtF_T@90wq3Q2JoD=s*lQhlj=l^e5VV+-`*> z2a0~nh=5IE4EIcQgrolLIjB<(U__$oxB}6jwkTtQqkf9K(E7khl9RE(3=$bb?;(i| zJ=8RD)-)?nD&HBBuo0jl!N3<+VOkM!J(9}t>b~+7K~?)Haj5{E#(fFVRT7DaIyRCz zTaxWX#HN$^ffJEZw`Iwvs@-7v9E7BrTjHYNmAdZYmt|0+op441awC0ClNeMnV|8ku zxK!X2HLB^~8L(YJr?DS69?Ayb4miP*E~9FQ;Ltl0%Sz0tjs#L1B5}dQQpEh;6?Kvb z2FZ&Ds;n!5R$bT*6A@7&?#?hH4Jx2t0hY!jp#~l1B~qG=I%s$0$OWUX`dqM7I57g$ z3m!27QAr1VS0ap$J};a=6nc6L7|^_}(|FjSTMzK~bAr7Dqi?TRz{El8xm*A!kgJd^ z3>|E8c92#8?z4E7wyGzCi;Qtlr&!^qBE2nKL?|+v&W#0&TUB24`2578-yv4}F&4VE zY4Tz%Xc_LEe{mf%RYmzGG|V(JmfhloRmQvGJ{yRn9|nUNdxS?LHb8##$J3iIw5Va# zw2?;@_3tP%jTz8%!#d!Bz3_x$m&R-s!t>@S!18R@=RUZ zG(Qe2$>Rb_fQ^rVy2`|XOc&7X^@zIQ$ArE*`Z2Q*wL9VN7lbQKoCk-3gazDia=_nA zEsW&Gy(kruK8uYmpK=n3ii1&`2G(s?&OmzX3p`I4n&~~JI5V}siHkj~^|ynDV?b`f zw~hH1X*`WGgx3Q}>wh0O z2#Z&#T&jPuw%U3RIe&ctC?_zrbackP+rtP%X$u&Sql_&e&R9NNJ5DNSEqEH56Lkwo z(81L}MAdU?V877AT9IHdU0RnEchoX~~KsxMgXV2~c8Zong4_*HNav61q?gxWA;M@W(!wmx}LIeqbqh##l_Dm)&6Vau` zI9gZR)9}j(FUEZY3ox>x6{LX|cM=RViWmWQCctE`F$${dSg1lz%W(t%jla2D^Z7D{ zE{ic&0`V+L+)dSRQBTtVRSHx_P2&7JNbVIzHeJQ=p3G8-xKk-87H{4%EFVGsCi2$c z8a_P6RH_UHLuYFh`sI;tInSf9!IrGf)GyFf|Ll z-egjfxVuXe9W88+sF=oOB zKFV|-+-@u&}&luf=Y^N`4zB~#VOvY>@z)i4n4@L_kqt_rG-(0TV< zg>Bon<`bSUcmm(!0NEtK#01OdUpy-B{%pnT588kZJl#(7$tQ zcOR*<0*pF$v~r~VWn2WEi-o<&0q=y$x0-RFcyeooM3k|rI2&xf9y3jyy%?CH$`}L$ zo_L0*yTT1e6-%+#+=3LUn)iYQ(wbzbclCB)Wi!>}c<)Td@URy{xR5b97cZ`whweZO zo?qg)z(-U-l=5OH4WFk|`GhFYV*1XtngQs@FAC4Y-bCDBbiaviREH9s2{oJwCcnR! z=wsyj?fk?d$x$O74W$pVC?+w8!$x#Os;v#0?do}38N&v`Ua!8aO>vtZ@3c?dc zo?Hh0YDh)nk|Ie>J?|44FDMuXUR$>)O+BA{atWylFoRLUykLG?TQW+4&1l%UHK`Ku z_-3QK3S`6v`-YfM7pU=EVW5je4%nn1>ZR#r4G14BYXK>#pa?Y?fEcQ1XvSj5 zG@xJ$KnO3AzNQjRQ5~9(w~Q@=u8c@<$VeDjDH51#+NE{@y2pr=^i5@RkRJdo{c*EQ zO_mu!+1tH5d~HyNAn#Tlt3k9*w7SxX}nNq{{TyxqOTmS{^c~m z1$c58mxeFEMLk>r0a53a$_aN8o{t5@AD~abSRRO0Zq1zY}oY0O4<^(WDnDw zB+%lzDujtOUyd@enj{QEj;D*`3Dc$pXwI&##hwgCf`maIzOe;cl6QBfvkU>!OejiT zCT-%W^17*vgTO`b2w;J=&>&z_)x?!B78NuP-#JP|1rR9F=HjOHO%-r}b1D*)metX~W)Mi4xX}3P#X9y)G_VZM(S=V+WB`!f}?SMQo8&?F-Bjknp9@l#gyI zT_13Z+L%H?(3N@KAT{P{z>vfPLmC5mm?CoN>^w=1gLP0&dkoN`K9zczw<yn>#d2E>coS! ztW35dURg0!)fBw^Gh4T0+O#i86xBdR6zkAIORK( zTy+)7IBPk0NAo%|tU-+9yr8uxeq&^jMWkOw2!FH-scO-NqD>decdoEFk!uL-@cImZ ze1QZnvS6tdQ7syVF_}czKRNFWXd*YoM9s; zqddXbHY2eyM)rK1WWt0=ZE2-uQjyZ^s2>a^iJ~@ch?p?7RuUYJcCf4084O_w3O2Y{ zb~_21b{qns#aRqz6d=1Wk`B{JPeu(^NxF^q>Bf|7{uCiDekYlO_1^xh9C~x39Dk} zyV$`FIxYl?qpBEis!=#2BoyWm7{X|~(&cjM8`q8CR;{W9w}un~1xon+z^Mr}3((H@ zVhSqTsN=vgUWzvHyqN~2|E@X53s1)%ZtxVW+nh+3M!3kW8Nk%-i| zNs&N5tj%s*Xj^&@ynMk@#Y~ljcO_94mh>4+OjQto!}{2-g_~fg6#*1j$2V30P5^PW7ngeOgX)1WcGL;h|Ergh=7^4pv+)%pwPd8zmdc0V~Z7B*dXv4)IwOdD32|+;s`JnMu{fCQ(ce zmHB`H>gS{P5ko+a)suN4Wm`OEfq`6z5TPOg;l-~3qc*yhKbFm6bGD6QDB~_m4xfE* zraFnLwZ{UnLrfrCUL%Y9+e03r$$zdCMPw7(?|23w1R=%t+^YaT-A!8#h*WoWOu?g}@}wBk7uC)Y^}q z8QLrxa5@0aiB|M=#5Ndh8FtBYZL`8;QqJ*zpem7nH7Jz zRbr>^Z9x(SurfW;aih}*u}HHUb}+h;xQKL1d`$GaB7i!$nKvVDFa#btWf?2Idu7NC zv0S0qh!J2#hcsY136_&Eft#tS6+MJIkOcs-{R@auu?!$6t=aBSmXr*=0dz;y#RDJk zGZd{SlhzJ^oFw$Cga>>YfNrj7RT)Crz{!$W9*(%N@KtFJ*AlF~u8r?3O$aXs@4?9$ zNHmsHAsS@fdK>Eiv`+=Bx;|uPUB!t&z9%Q6H;lAkdO16g#H{oa3Q^eFH`T%uxbqAM*e)&q0LI||07z^ux;~7i(gwY{ zOzw+Z%MYIGM}zBuM@Tl}6(JC=`k~31!Z1g`puf3wQw?3D1b*V{j8A1-iLj{(F!ypU zj?;4S2N^gQ{^qIw0CQk4WVpjd(*}<;adAShvMem}nZdLwHi*8w)ru0VEn?U0}_UDb3^29yh;O&2#;`DHD7-4Z_6J2r-&4 zKr;-W)ZPnVn;Ux!DL8ht=f?_YHZT1FhEcIbBuR)6_x;MdNW7YM{{Xl~!UJo9BfVJ$ zQB~KdVvwzU1nFEPctH8L$&IiD64Qe{;)}n}UW@?%6e49Vj<6;ra>d{7}V5UNC{1FL7J;T#T`9o z1#%HXkqF}r3ot1lA8`yQ)Y9`b??A?Q*IVZj&XCu*Go5+0$Va}EYh&EsmkQv?W*fD0nv6u>rWJVrU$ zv4W!5^ulm5FfG!7;L4C}6wr=11)a7-e@T>>w%ss7p74wN0goI8P?vOHW+6l2ZS8!R zmO7t^%R++81SBK3*IX1Ahi`r^D2In%n4yON*@Ca+fZP(!A*rERibVp2j?7t7AyA4S zAD9l?9S;_l_X0GEuT~^FRPrwtW8m-iBC@BW&Qnrp!*7qH3%7);wjms(k%9*MKQI{( zhQP^nlNj^gAbNel2iKsKN4JcA19VJP(1?PIx#YqH<6j4G6;U8j#c%~|6_uLcWJR+n zi3hws6f$U;s9tW-%AFnHK%Ec(q`ub{WKn=ncs!YO-55$317E`)FIR(t+1(!^O0E){ zCqNaa-Ee{cS6_pt?lKTeL1mh9ol^vbc2IQ*nq8F$mu#AB?LtS2;!h#x@D+!=8JpvW zkYQ^JQ~r`;^sNTNV`Bh`y8_yP983(_gAs1yON4u~Rd8us??Ieff>bj0f$cK+k2)Wv za%m1r5bzH&HfX~Af+Tbyn$3lAaG3PLMjY;bU6u-V;G% zSeLcKEWnf!_XUH5ULn%}N+h-@?!NFs{{S|X7H`k2HYB`#p)|fdVp97~HdrTzBm~tT z0V-=2EP&Jj2tNGeMH{Gs6GQLL3LufRE2TZS+5lkyZNYj(fmtp^K~Xw}DL?~?SnmDf z2H|ytfrt^^spR#W=s;s+XrCOc0wAqQ?HP7tHkZb5aZD6}6TqI^^Uf{0I_1+WJ29m$ zAgH&D!9gJqr;iLhWB`pk+k5&BNewO2aVZpVVIOMfD-5ut+3OSrG<>szgj6lm9>yvu zy1f2jC&c1F1zP)?6-1Rk(a0R_;)UYox1(Af8_k+`GJx#($d;)oQNC*ssoWGo&pEgNukVRBk}XL*0nostac^|9SmEH|lS_z*jp^yc zK+QEV5Eo}R-AtxboP2edyP6R8V%bY^$--8{3Byu01@15`qNW+zU}Xhs0wWxgG!@%X z&JqG~F>C-G#t}-cL|-@(J~rTKz+kZoYVga|An|{O@TF9Iy_?m=m+>!?7HUR-^nsfT zuyG0!m@1%0PzOgITR3}02)(I-1-7Y3YazVD{m6N|M+6tV?2^`;%B9O!9D>03_&dlQa#r=dXLfS;4H~0cjNtBh=S1v(~X6aN51`oyrYT0x@w$|6}UqS0QnC=JmSas#22Mu+^u+B}QX&(|iI4c`>X0%#rI zP%$WUzA|E%FcMhToIv0&9^h@`<_JawI0nOVT(KcoV}ED-%H8=gTA{p6Ag9@x6Btb$ zueTQ0{{Zoot6DJ2Txe5%@hJf`JY#&WoFbRQmLck5X$8Rbka~N`Ln(QUy&U>YD&_1f zis4gK5#X|8sc5dzbtZUtg}6GDK$q)$VMKQF1oBm@pj2ej_j#+@}{{VepzYswM zh6+HixnQrd{c)ODdH&)^g6SWd9I)7&=`pA&JtiX1=0Q{oaF8Rmzdh$Im2y(A-GEuV zU=cbn1+cGtW|4(rw?51QE3h9%^_>WsrWQAB55RQ7I*4m;jyrB?KmuWlr>m01i)Tmk z_ZVD&C=Go+Lp2#L0Wt@A1^5t5hK8T`CM(A8G?{`$q!*ub#;=*scV2gL2!EtnbrTVS z*n=Wsb+w_x8ldTMB8Pv&E281wqmYaxM8FIN3}~k?p+7l-2U@$BbYv;gt73SVtJft& z_(@z@l!~g{fS^lm&0!(Qp$lIaLSnHw3{6v83w4)$*n+28z6^b&1tO;_j0hNSMSTVs zF$O zdSxvjf_DVhD=_LE#Y$+>0F}l*;|dM10Mmv7Iw9n^*P^5N6uGuG;2B`4@6giB zZ}rc6$DPX3YhY7Jd>k0^cd8OOXhavsIr?HAB?S1zTt)?lpFA(4-VFU+B~)=fVi@~y)o&kiEF5Ym;-SUP(47r%|^BZlqy>X!ya*zO((?GZsvdO5CH<3ygN9Iy=9tD_|Kou15kE}?8p#fWc z=MGk;HvA7hadb%pK7*(Go~2X3>Ef>((AZL|@FQ9xUoMvh4E6QGPFIEnP{T}eKcQ7I_ zKYd~j>~dXQI^l!Cy9Wy+5UA$h1Or8Gk8AG`k6!0*AKcJ)Pc7l9OcYHLOXwNH91?8{ zZLneXzX5WTiptf!VStn!!=6_OLIf>m4{k+4EDyjYEE@?^;j|)V?*TiDWYKXq+Tv>R zK(RoXI*e!o#tDg#MHk4hm>SHeJg}TYG{29IqUM8XQa2v=o1XM`gNA64Qfa|zRRhL1 zN)@)8rc_mS5&bbJuX0{8lm?Q4P8a~NGKxa;2iCY@ZwJ!kYfl(@1m;+b0%Va3Yd{oK z+j2c+~de_j}RSdqv#HI@n3ZvfDILj)F5i<;qF0Rt$} z!C%o`cHKgBIF_UXRMTv2rpdQQKtJ4kVH6zFB(WC>IubTE|) z*TpZW;C%^bE1;ee02_(|9_}bat>6aOxQq|m3!)yq=ST-fpIIt5Jj(WuyikKFHc%1n z6y=>t#p#NxAf=*v_L)@Dgy+!r7Ar#Qz~Ls0E7=O}0#qlVqDJBo-k;X(>g3#HT6KW?X>!+}1x+}BNw6=b;wqI{sX9jn{{T>hziFVt(z9m_Fk7RI^D)q8zM(l>Q%zVv zFW=nRvI#r{2Ot0CED>07lsKQ(|cFRf~^qli<+b6BF1G<8@*<~ zaTS_>rg$Q+>+=CDtfh3IWVTTbuvrR)^gM?MF3hK;zy>ma4X(bK6^VYJ_5I22nc$xd z>4Y}Xeji^9@W@&$ha5&CloC_5Oq7O{2?lS{_{OkWJ3#nW+lbGg;ey`nS$<#y=pjmb z`r$2o0b8<@0)HYuN5c()@97^72!PSyrbKXSK8Q5F7?c`Ryy5^T37R4a(tx~^9L5_ zU4xgyME?Mu9OyGC7lLYc5er3v$}5FDe{zKDdgLW^!Af(Q1TZ!yMo60}Td>IHPI%NW}#KP&x?Y-s? zi_y(0L<1rOSHC7DMMv}J?jWa`l%$#oky?OrC^Tx9FI_iJ{{TsYzYU(rj};9$P7&U0 z8s63~W!P^3VpZz={l(FD)+7p)#Uh{wZ@9Tn9|ZK~%4ph(44;Nu>5ECS!`YVsrjT$r zTt#+#DYmzBrj(vpm*P9Ds!j!&N}z7Z`eXvM4fTkoyD$U{My{L8LPYNIa8mCCR5v`} zqmg`WIIs-{_RyF6geR%zBjJ#E>x*MP0)4=pDEW$)W(wRBOS8D?kwg&js>jAZoYE-R6>o6vY6&=ob7;M7Rf! zDQSqdz!-~@&0)dgqTnG57@wTDz=%>;m$3Des4Ws4sA9C;o1S`^V7&p9DO;8!*@ht5 z;JHAH5x7xq=JQHp!8oPZd&Q&8p)~ko>Le527X%UjPPO{t1S=KgcN`{#P!1xMzBT&gYJHhqk^Eq)1(?*+7 zbJVGFY%P_YlN1=KQvhw!uBG9Mh%|S*Co}kVHe!vKTJr|^fv>YH&C~8@HW0Ol6N@pR`^B*vWTk{sL)ityhl5;A9 zQq*?%4_I8Cl>#_UgXcU(5c7gT#XWaonDCl2r76(Jk)MGHxF!iOXorG2VX7CW2|au< z0z#V~(;^UrCLa11BNWZX{M+s9$#P0e9}wF(F#3vf#Pe%qn^&B;*gT#_*<(G6EAp$qr)Tmtes2q&wC5E{UN z%SYcSfLgL@jv_cBL>d84^Cuj{PebkkBcSQCtARvnv<5Ga34loBD%YGp?F`#*=4E=*vO6cDWr?O*AsSYIpW) z0^YAW25AWPj!-(nyx{YB2{stU8DTQ1WJk3+;1gOUiF%n?)PDg)!(uMGm`zjn>2q4_ zjj3#mz}cf(yFM}|gQmh{2iN>Me(N>bNRES|k^I3507O!eADhX!;ClF&)1u!#TvSv> znhZpsWN>(yQ7HpYS1VoYe6NATt;@f@c)}7AX?I??5O5LHFj74o7e~CHAc3)5zRb3= z)lHVZfA=>IOdEEON#hUzNZAVc7ulC}UdIs{KinKoXf&!ORO1G!+U{g&o^nI0oEAs| z`1y%)t&BhySYW_cow+o&PSxT0fT*aVGB*$!l(6Q@76*;p;OQhbAw4)(P=?XqI6{S-t}O+2jQNcZL4RDNty{gGLkQ6q>Mn;GEh=r+)Wu-rv?INJF#*+E%h7&h zxHM`F1ywet1D`8sX@#pg)Ijh$Oc@7c2pK^rI8+WaAjn*ffw2Gs-|$Q;n* zkd6jzvKd}FwEJ#swh(+s+@NXznxoOlJ%I>hdfrh|x9!{d2-owOlMKe_STP zD^r)7#=~i+^EDt}xJ1M`(vLXEM;F{M{eb$Zl(e~S?YvS5v=+8tOl^%3C!7U@wlEh| z22W-(Gw1Kd0s|lpsq0u2KnK2MyLG;m{qc7&Gm3eXK-21TU>-dK1B2u%vP5~3lA5Zl|4 z8Lv-!fQ7QH!(AjHON&#mE*c`uunIO8C?QMXn0OKN zro_vmN8A-cc`gC4XH(unC=8;jb$~kdtH1k^L7{Wb?hvYyU#xl%!@lHPRI6*uN}a)v zM3@ok3A|wdiN4^&M61@}r%v3c8~*@%nrQU%iQqiQAI9@*5@%mABTV*#nIKd57fmh1 z;MfniWj9`zm`JO!%oH~yHPp&%5;+8zow*!L1~3>!c#aJXG>%;K6H#OJ%4pJGq%&hv z4?4~n^Zx)c_q?6`&OCa|*Fu+9JOt2a_BS2Gf$x#aq#a)lS0zoILB?LR{62Stl|#QL zMV|5^fpR3a=?~`fS&hF4W493-uZZO_bd}w4S`ZWQ{c;-_r!WMTc2@6WiaJGf+;D|b zT0GpN@Pr4Kb#O&iXfeS9WyMXDz{HFR?{7buH7roYhaz`1fgXlIPJ&Z;d_JKvx=48u zF{m0OUQ7JL6n-Ew`pRY$g0*h`7z1UhaKiKmp23JY7pw+28oC)@?OkuQDNXY zq3pAv{{S%wbEKrm8L|RZx-IMQ^M>73>HL^D9{~$w-XHUbk|o0Aq%B?eLir5Q6Dz|O-9xjVvH7GHG!KuN+WiF zk&X$>+XwWyOxkl~@tY~ppod2;u%$?^Md}!gTbh1P_%2h`w^R_6Jz$BjFLd8*CS|)Iy6K=Pz zE)<5{CYKzBif!><7=RCAieflgQ$Sbo^)VSpJLMcv4$JHVi-gcgW5IA{MT%*|s9u%L zfIN>&&@q5RMWU15umMEa^zRYf8et(LoiX^fcrQz=M)8pTJU^KR0B(>!j`?r^TFO0p z?s)-(L2W>Cm=xq?^7=D)(vaCC5@wjwMX;jbhm2+9I${8f8k7c=GN8!!Ft1HHurv#E zl8zp*f;1}%$od>m0VL?^Z-Icolvy=*(ZB;pb`sb2!!k^eC>{e4ia|hwh?o!xsa2ow zK5-J%(9vE;0Tm@B>H|vzRNl`CDZlHa_Ku}4c6z8920WKBz^kE};q#!m! z-dGukvqmL)jm<-dVfhJnWi|le7_ek|0+GR3Nv7zHY{LjACq!%31%uup>Q@{Q@JP}a zPt$7~Fl|)jby2pntI0*&In1qiu zr*H&B5DIYy>MH|6Dzt_tboDi$LL(*(sQHKrzY`$=eK;>1B*yCn+Du4M(DoRM1cfM* z%pd^W@H`)`7}*uQEx)gv3hT2U5*h52B6x8MJi7*BJ5au#J=`LKr3nSm{Ki>z2Xpy@ z0_>slf#^VHK|rdF?Kgn32!e-h4V{V)QqA^EP4ximgW|ejRS-APv?nK=a&Mr0{XDLC zCOV7o&sYfK1utZZCRU5wr#YTeL7!+BF2*@A+xx_a>&Ie_prj#d&S15y3RbZJGU9KontHbe;{7LPrsf8!bn z5&(oF?9DiuFhXjl%xfnBYq0($Z;s>Nb0#D zI{;NM28ofpVKOr1Xf@5AaEU>VEv2SGToZsi0YdLHu1 zL7Ih&z`h(5g;0u*(;={kj6rG+8Js8sU2W?K(#1fbZ%n6x)ehiCxzlAJ5cO_HnMZ)~ zaF})YcJAR&nvj>I3?c5SP;Bs7f0CcT}=stS-Q#l)7t;>}z|uB#7Dcx`UIxkr*+ zeLc9K2t&%V*_9%zL6Hv=3~yiGIR+9ZODDZz18mGYq9acjFJ0omnDif}Xaeogpt)NS zRM&0-N(HwxD6~r|Va%(b0fFUl=n$`b=E({vDnP)c8y!arF41lX0XalFLSn)#dvEKT zB?GV1FW=l+777vWHEG0TZX__NRDKT|!^~wAXoP;bur2dGy~~8&crRc1fD~2xh!S2Ht&;B*$c`FAwpxQIIgrZH;3DN=7@Ko}9pY)>f9CK+6lZf*0loV%X#Z)*EEp!ZeKN(hb?KgijBgA_7roo9h6i(^ISszXLlsjhKLw zi}+%6$#mhRefNdl)C=(Z^^%kwuJH5-)(8n!qq*$4LAnW-Mmkgnh>ow$I26cVgvg*m z3mGfY0xk=a4L@FW&YjislB$5b!h{{R`YQZ(ZEgOa8xpN4_X1d8bN z;8fu(!USll>FE8LK|^Kj=d%!ygLm<6D3@DEIGIWziqeKSts9B32A2a6bdKi7=1@8c zZ)>#X@eHVK5@)lmuW1FwO(ZtW@J(1{5&>21Cmh%utDwX{0&I89b3kfP1%Gp(5)aX} z%?HSz-aEx)g{Pt(b%_qffsW>`2qo#qa)FR{4%$RO7a<}FPh8hrD3vM`8}up;zvfOm zMDmv)WViiDcLA$6)MNa{=GY;&+pZWwN&-AOxT*otrSv*3Xdtva6!Mr2LfW!$!Gu*- zB;TVn8dp`y{$rr%1IRj#PeKW461dcBJA1Fp1c<8WJ?^+QWr{%PdS@U?^%JZeB!jVn zuA)5w*Y0etN||H9^5mkaAnbQP9KJOHQF-?{BTmuRS%{gMD3@)AJCp;Cs6il@gE&$K zuNMG91<-WTV$@$J=$gk!ka<~|8%b=aK8yh10(x36GE>-mUcrda1NiV_3OW~x^_fzj z?jj-c?Qt&Q7QJL~hGL0Z58p;^%W_`8!3+qCu>@7dU;su0BzRm7wl+Wth!c3)cAI5S zZRS@REjCMhWL72LE+Ql#Alx`yfJFd&%;{ix67wJ_@80A-nF%y#JU4 zDU0Dqr-5`jVuIf6f_HV!tzDWYi}{-~wxXv{;21j%h6_g1mSv;KY*d4hSrmE=1sNA9 zT@mQ5Xy&KXRDAnf^ASq-YUN1mg3-KxkQ9bjb($0QQp`pm4_2IZFjwSoL7}8jrq>z) zZ_Oj;C`7DLMH>?kh8|yD+_18T5VJs-5P`1`;{ifau0UO1b8n0<_uGEKa>iR+5R@F| zNLwyZBBv>fOOk4%u`q=wX@xMA4$Lem0$=Fj4imGOG-Ompz$VNs z!HS(}q+ezQf&;>?U(8Wdm4Oti)NiN5(EzSJ%a-uNWO2MbRsdz`S!H!d8AV{VV5hU;drEyn-K$M-Mjs%cZ zb%qFa7r;+`?m`~w30Hr?lhllN#`iWfpwwaMih)>%{s|mvN~#)DUVND5ut-D-+%9f& zf}D;-3I!(z^UI796&dEK>vVQ1V)nE!jdr*Qo2->tzxqMOVzybL7|0b$Z|0^z+8*M{ z(@xGCKGK_!IUKcNa^GEB8Wp0 za_qU_QTIDyg0xOI6YL$d#0U=_gynN_;z0~{q%bs^dN`Crui^QU7Z|HcvUsjD6)#{& z^Mf4g0c=Nmh!HVp+wIWAUXOI1g5vN^3{9IC6byj4Db+GluA!7nC+<&^lrGRPK@cG@ zXn%1!oeEPPiGfQ*;dd*V&_EIf&wm#w`%pvmQwd;6xQlDsjll{%+O3jik!&uZ>{+KA z7aGao806>_A)wzbDiNs!3M##m1yyz#KAvt`4lPwZADLu}b6+ATpeb(MuPM>d+^HGqh*67W1EIQ19!$uc-}a;^UC04fLQ~+ zoX{6$p7KhK1$hi+_ZGQJDG?xN>5kBX^v&!Bw1&(e0X9GGbwh>~ilH1u=mNP2mnX+= z7Pg2ltoDk#keLeYIQQ2PG}fu*a8kq>`ejsW)$rpnG&)>NBU+xZJqm&+Tve}$l?$)i zm2N<9fDNo@)|194gn*Am6kF=!GJVMnWTMOtV|K6jqU&$RpItyf)G82cdTA~;(@78`;-^|0QpYL z2z5*X1}AX93*7Y^g;(Eki!2urHl)ON96}XGmlA|2BP2@V6gYtB2C4gk!|<{iyx*+W z=KcG6@`wY3{8xaP7#o z0ULGg#gqUl^?*pVA1Vz$+(jq=)UD=Slp5&xn6McZ{7)aa`+y0=ebWGdwL~2{TQJzM zxBHYL6J{?Zf9-MtyD^mfLAy%$-zGRh3$5S}rutmHnoxB6x6zygfqpqa7QE$y&|CXOhQ_`YTm~MuSFMw3M@c1aVEr_JZfTInqUYJRD}DC*78~zI%HZ3 zLF(tF!6woeJw153EWqw0R{F*7%eEKTJX}KqWn7`t0U;1L8j+Y4uHV-ogaNUjdmL$A zYq05RIY63t!p^wen4(TxKnr@^N3HhcSlv$wHtP)K3ZquIiesQB#wJPxCX%&hoClJX zipPPGx+M0WCe*n~7Nlg%j=v7D5mcidm@#0inj>WqPuafbUD75v%>lI;*oI z%y1}{J)7f@s?Z;DrZiP*p#n%~Gi;OI#GBoBsgK;GmAZ`Rfh@4~QlfN|pMLxsEm{6YJ&0nn9>; z_@6Q8ghuMf0`u+=B80YT6!`MNI>w3Nq(>MM!F7qK9}k=g&by&0Gl1Mli7)d z?`0UQoAU9H0H}b#(^CZd*>HkE!O*khRXhOTqN72nr8AgCOV>k$omq&Om#olM@)+d+ zNdY-eW0f-sUmBmx(v6})gVg(qnD!-kCBdBv2q@6FDY6G#qkhaPp00vKBuuS_tIKdt zPpsmiECQYd<1r+tDYxju#yq=Cpb9Uq+-XhL#XAk5?+Gj7^|c<)SZ_WXAD`9;Bj$Sy zmpo0fSulnGV8{#_RN7Vj25!Pcp-Ih-QX!@kmGaDFwM45HAfbI?O$enLYK(gj68la# zFO@~gdiY=^XoyJ@3K=9Qj|_eI%}VW~8;zN`8*kt`dwt@!{Tj#u5)GsfKv#AdAb6fvh~_1<7CLXkCWoxrJ#HN)Xz(?osgIE~Jtxm_!6Fqy z1suc%2Ex>#erHEXNIpu>4pmXckb{AYELLAk_mNI+U8q=*10)%Y0uPGJ15?W7pY?Kw zbNNI8{{?d}Y)GO`w|m#UorADL7!V#*MC_xG_-;oVuzySPAHT|+#5p>nvrcrQwVAa60&0klb^yLsZcQA8-ZmFDn^QApO7!xTG+7J`0F;z3$MOo%Vb&$Bc^ zh>sl?HU%_^t@A&aq_7RIgriIXt0CX|#CKt_`FHAN9>WBME=XdY+DxNR@7AX_{j=H9J?PbAg1r=#=`M&K{8~Zo4>iZ ztulmV%FXON;A!fD6D}f^LM+%Dcok*|#>)9@qhIzc{7*aCTylHa7zJ8`f5Y zkE{aG9m*^zw<^3XPdUdMT7BZ_AXXP0TL-`u?8LPTINQ0@B5w^jG0{Sd#Hxlo{{X3) z4fO9Jnrh4eSUw7cn8ber^E&t}&YLPsF`@W$bMt>N4dRw080i)^_$nVfEpm z>ixwq0I#C)z;%iU$2)Ey4}^GQphJCslPY8Z@bwHxI)py+Q#h#XxPV5(SdlhvG3;-0 zqEuCU;=*`6xy@h!!w>Xv{lrr@!t#+EunA>D0NC_qhZ(kqQxXBK8=FJWhG~ESQS8=0 zZC3XLsHk-5T4#V;FMIGflNrcG!KF6I(xtqCFv$ar%XBb_tr=@6B3_G z{;Zg!HwodExj>Vf%O%(!;WOTaqEZ>a6n2mBGM-RxNZ$C#drK>Ohb^rn$k!bTqu((i zjms284^BHW0Y9%U36L^Z0GNQU!GnX5{x~P|Ruhgt0!jCM;@L*SOOu+*?7ExiVPU61 z9ybEiVOZJgZc-P2J@S}AL&G;J8~NFY3ANIT{!G!Tk{oanA*ZpHyy)iIn}lvY!*V4+oeq3pPF0HaM_Zy>4y zFfNj0;y~e9$mDGcUxPIZMb-=VpsJuT2G-sB_GBOuT|DC!uX;#e1gxXU7Z9UH{dxiD zzk8;8?*Kf4G9BAw32YA{2#~+oOvDNa5`5#h}Rb;d;!Y^2`Fo z&|1$qwKZzJw^uwuPYkk{$P;z;hAt8w;0d9)pCW0tG7uH;5NnYnx%B-l#!~QTDkUNXVvzz)}GOQk#?i9j<7X16fMnqrQljd!T zxT<+k^@)cVG-AIDGTE!TUf&|*1Bw7YHHOlH!a>wN%xWMJp`{S*z>CmUZn^+Mc)*&( zyNN5%X0Sui?-JUbTzZYd2wc*mfW%Kn#}BC~VNO?xiU`asMkD)hz%-(WIFewts?|IM zLg9e1z#3KYh;4rK76IH`(=$a?eMNBrUEBodTg+~Z33DwwH{!KwCMH7y(0ypYshk=y z9B2t0mZSy1GeE9iAOU@5&VsP^6jLJ+6gUS_{5<7xRngnife40*P*ohz1t9D3aizMm z8g(abO|TSsDr3;?l|cz*$6|)?*t)tOkM{Nv<$m8 zkQ{bUN$Re)7y~IpROu#8!X;Mw?ANSgzl53(VjadkF5iik76l?|!xO4_2?knX0g*t_ z)WJoOP57LCxcUULe_``50+uUP)AuUaDtwD}83|0SN`P}tC9fl;pHCU2sJtvbOoVE3 z(T%5}{rfSRTSrF@e+R}*ULgbAZI6w}+^3P~Ij}y2r5=eN4F9v2qC5MF>G>Z z)K1(Lsv9jrU0~}e*r0oYK%g&{Z76mp`AMAugGOEkAS*??(l^c4_qqYgBGj>Ua#gNbk<^5 zry`pIo=K_tWL*np8V~|)%cE{9kBJLS_{Bm|sdL;8V7iO^oqF{-MuUEl+-hl-kJR76#%JeJS7dbLOg5?^h79>py0wEcZs8QKOW)(DhEuD@yd(h}@7X#Qky~^unIr=1KcR4 zk)YSgvP^|O`c3f}d5nx?!FSAxE%z3t8y9WT1A>|_j6pGrqC>>@mwXfmqgLsQ?Ko*# z6ftO~>%nX{*WPXxgJ}KWOy?6u{{V0n5cr%(g(F;3Yg{IT$JOLwFDMhyONWybh`Wn` z850#3s<;x@8%ks8B~SzN-NgoPQkIVKa8(Y4guBAS%_Lg7(+pG&h{U{bG&xE1!)--B z%!Ldi3KGx56@`mq5ks~W1a{-Ja+$Q8$wa_Q(# z$rmaz;OZ4tyx)4rLuWCE5PSoF98hUi*(0rGb67+pS=>HBqkOV&&VZBDa zIYepNXJ^131FMIl4M#jT`I?JN)Lx&+&4M{1{g{G2ZJFE0Q~QBn=^2}#9r4Fo7Dkyh zvAaBg+^8Op<-!zyZaCjuWG|i=DNLWOIWSryfMveRjDm0`caJ6o%feuh@Qt{|I-Sl*VSr({#q<{`!b02)0oWfVHkze9&!!<+ zt7wT|@2u@Of1>wTaD7>j^4oV8el2D~9(9nn2`(uI6^i5nJ7=6D%}M^b=A;VaE)aF; z;+VEKlIFz7LF=0@M#i(lm#>AF?-K-c#PtXBSX9ggz$~w?c!@bf`{EhU+De7`6wYiA zbx=DTq5$5A#NjkKb2xxI{kRAS5b1Ba=77lafR?pHm<>Ba&bG<-3J2oFMLo5Fv-r}q{;ivIvZ8MKxF#ph4VJ&^T+ zLG)!1AhGe~bCBs&{_i7nbkJ^zkQkIv>o_@}vPqc0Ys&usvsn86%!oRy{cw~<0S{hZ z+-w+_i(4ijExx>p$0{5#I))2fO-zE_TvApbSd+I6iEfW3#@vxD@0w&eQbq_~iSrm; z1FuIA8}8Qyo^s_9Dd3iq06KK;3u}?s0tTYNFTA7G1Y{UIurY+!$i}%)MW($Ns>B;y zgDDcbpJT%X+CVeiw;XOqYL^^z+CSPl)g zD|x&m3REl9OlVID{$+^*yEKM(I!`hl>x!WQu7O;ER***REz5>#+O~fgOlN2#&@g|N zewZulP4!$WmL!X}oUbJkh~VRDPL{NprC!RY2c*CgBf#!^GN8f(+#V_9#iLpl=Fbj6 zYF{MHfhZDs!0130`4}vcg;`UI7y=TB5Kk*^jAs^-*pH*rf~Oc?rNI(WBXW<1rkWmx z7<+*UO5npKYJCHpaj@^Z!{LVp847J~r0z_ctDsN=&LI!sr#NRn=kj9fRn zTTijVwXhyRie{LnG>zpmRpUs;VyNs$3U-shfCBM>25RdSlZXLt6U8_l|La6sL@ZFj>11MZ|%@0#F#H3p)*=d~m1)Kt{Y1AO*<( z01-MS6cEcmU05N$Dih=f!;JbW&<+tio-t6g4ZsrDjzEZ!nuBBPa1jvwe7qbQ9+8HH z-a)2F>OZ|)jP#9l3e<8^Ag_vKXi5O3Lq;;#5Udi=JeXobhkzIcRGU5*5*cc;1cJ1P)PKxruiRD2myuA-#}10olP&sa>@2FY8{h6{;d*n2EAIG1!m4+wtX zl@j<468Uh1MX#yYKISX6)EDHN_F~=>mLNN@%D@MJ)iUM*a_CC?=14wQ^V~Nu*f9-& zyAC3ej^JA`B(x(-C6|BmV#k@6HUnWc0bLsBgG% zB~^;O5hnarXW1JJXMn#wQ$vdMQ`2s(r>vmexb}Qg0+mG3)tkW}sY)`P*^EkyV?@G% zqBI+HopPX*2Glqn!O3MQVW2o?gfMoA{;oWcOsgjk+>0n8$52dH3>39#RPLw?MvXoC~04TAmDo-HRQfwN)E@P+kmKPBR3OG$4>?wk@=pu zAd=V5?i1W;z+r&s_$ZQzg8|-owUZSLBm)XB;o~|nlHSKz<-^*2h+k2JxO z=28CuXIxd|12=^Po=o?N8_;@hllzJV8yQ3gkFd(tiJ(@08-$Y34SQF*_ly@T0qlGF z;+i3`EjX}&7@s^Rw;cc?u9MU1;e_iDUYASj9zMnq0rJ#L)|q#CqWcVG){$Tl1H#v{ z^~=@>(x38X$vvdeMn>X{3Z;24eHOA-L(iqf0~Fk<^!UXJC#r>RZXFF&rI{2_8i@Tc zOlN3Af`rh}FK!kDh3DQz;@v2E5qn%&wQ#Q535~M*rZTY$0E36M$I759I`wb_$AQFV z7I-~tIy|dNKm@FbP;j}^pVv~9=t2Tl}+%o11JjsnG*O#5P> z3s7qv2tuJn{cu)}`M<=+fpJ8x+37MPq9_M%p3EdgZi+WS>kS2_!$2PfaTvXw{lJem zqt8XhwG`-qE4FMCy-D)p39FhSeX`aLt7MJ9K*1>bCvXP=g3K&BSImwlL+{gq4yu#$ z6f7C5ktl^Xf$tgQ9nYl5jtb{OGqQ2ZaY`Ixv9Q-MB}kr3iY>$-rvnV5a^R_Gh%6de z(FMkdpugjZQiG)$d4V(1wq{Kz))l^dpm$s zON$J;Y{a$`SfV?Yv22Fr@PBb2wHJ9N*YhF~DXO^T2)(QTH9{SKON$PLLHxPm2&~%> zilJNW%Y)bx)+l!JXE$+iV_wVwSCBus(vdwR@rQ@E!!%>H;w72b>}&gqD8pLjmBl(U z)(3Iw%wid6IyeV}*vL54h5r0cbTanFa!Qa=sWgq(-R@ zVw6zlvv}sh5uv~3GH6?Jp5OwMTm9Z|EPx#{K*dE;7$^;G{{T@jty4r+8;_$VkR=J= zF7QXTBAFx)4RNrlY+6VL1Rw$3ThYkZnb9J-!j9+tae56}dp!RDa64jl;sixEyo{}E z)|-kRfH!yV7=yrl3x=2wv2jV-$SgrpMhaPq^+B?q=YU1p-4 zIFsFi4(X;7v_PZg{$K+_Eavix74~TD#B|C}1BhV=RP?QPasa#BCPvQ}hJzzeqhG}1 zf@+YpP<~--O@4pe1QOg}kdfzgg$oGV)_dh5@OcbrHRO3uTEtWm(-Kqw195N?MwTwJ zF&i3&af*?MWkN?|e;qCjfIun=(IyB1ps!9rO9bn>lRS}ZBjzTKHdCi{!U&w()t7~a zB$)z4p*Z|8GZDfInrH^9w>b=@C?=v^3{m1hsGm%K-=}W$!Zzv5Xu!Eu&63W zw7^;e-H`KMJ!0-n0BSd(g$mU5P9;>gZ|RdGIH%0327<1hqWCcK3MB)Q^^|^)@wG@D; zxVq~`uCzWGq9i~Cy$-NK@zUV5L7tAk$^RMhf~g{P6g}vj>JFc$gxf=RkQ= z3C7>Rho!_wd}^nrWtctNY;=O$70}1i%lcxI4KCfui3$<`e8EaX74H}jR_c)Rkt;ax6^gT1aeT)399|UwwE7M}VrYmSx!l7Q?#$M)+3nqb zjz_ebwF2hc3>iR=gapg z2Vu?p3x|=|uzRF&WUE`y`d5FL)P1W-kBmJp0l10TC32{k?c{`U3=nCH6~oYc<+n{WE*rtrFk`r0?sYO@prxpgMbi+fQDWji&Rs0g5i z8Y3`(P>#eo9*r>YJk}%+b(6O8nJZM_+Z$@&;?uEW9dlYxzvI|pMv50|I)Yx~Medt! znPr%g0~Re$b0s6P0gRNyV5XUaR^>-lCq+RjJul{37^b8?xs=OYpiMKmPT90bTmni< zFTVbsaX>qZPK1Eu3ia4Ee9Y#UQR?(FSP*E7M@<|VK}2LPai}O1I{CpJKu1J%q2b2M(P#uXMm3CXZ@18sy7lr;ncphDRM0M$U+3(`bXp zKrx4XK}{rKk}~z2D~fnbT%9nY!M0}g(aI@P)P3hozR*$zK$vPVyh4CFLuw{&RlG4g zK8FpVTj0fPW+2=F=cflC38&0W4cQ$w;*d({%63%nWUHtX$s9hpMmEb3o}Ao0DpGAr z`-m#&vH9mT6z`fCmQowp@qh+s@;>fxFkc{`z=xc6u8O(6@U&E&m)nH+fTrohd>%50 zRH*rJ(So+6I6b%l``0x~Vt?+kg_Ilk=g|>33_y^rZKz3=5EDm`&^fAcv!z`#J&6@> zKT*qDBjZP_i0Ex3{^uam6p_ScBSldvlc6_)7;W5fbTb0M&~$v_rD`D(JSq1yR#1jV z+3dziTWT?@1fC3am4IlyRWp5jtfBA&z{=2Cev-#7oYA5O!y48?U(=m?YJ)}QOEQ=Uwe3D~sf_J~; zFc10Ai0-aZ7TJKV02vk@XscM=we;oLt>9H#g3!_szLo>2Z1E94p!3!C$u^=Vj(jkI&>ERCEN%H zM-(bDTJp%yC2KU3_2Uo(yU^bU&|ze(UHQPhXVP!j<7Pv*o>+eC6_%i*0gA6&RJMu_ z8X2X)XaIpBrH?L3)i`Hv*c@WGpQk0QT{KcokmuVyB@W`*xPXeD<|mYJ)89?O5B3=w zqSzTtEG$+4_WChtw|VLGCymC- zTptPN3H{zc6yho0B7DxtgitWC5b@!;csQ zXK|80L08ToVNL}rN^68|rVvPUbnYO?>iCiv3?gixkn%Yp2CB+P$mKwa(EgbeC~I^_ zi~Ea%LAPs)c=F5|DWa|&3}^lh05B$(?o_pT20Z{71KEHG-Hblst7I`>_{xte6b#U= z1%#MrCV|BFlcE6*&xA~&Py)i-;6UhzTr!URNZ^y$tmunwTb7dUZw2fn0U?O5lm7rN zR7gVB4E)aBqEFneh$rMQ0{YI-IFXd222eDb<;g`AJ{hk@LLtLzQa;RGS5^4=gj`@Y z#cA-s`ELk9o=56TU^FM{U4GyhI#jY$Ib1qD1h#ctSvo^u)m(aEWA1vx z9NWK(F=eO2b?+Q^EW)pYh4qD{O8jmK?M@!MkP#C@7+Zy)MaAUOKe@8?1wWK?ai9ZQ z07l|wl{r->DV}wed{4LDAkBi!(avPMX^kp^w-PSqNWwngwjK=Hs@jMFy>k?3bhiYN zBtHU;F|q&wO>mO~5&{5eAY&Y2O*&J*m=5l=Tp7K{7^nr2fTMv?j^F`=QSzBf#cnz= za3(cz2et~kfj?OpcI4BoIh|OM6`Xa z;e=@QDk3?rM;C#{WQPrS?m~cD9je)ghvc;(g=6AZmFY1_95lLXoFGoZ5}wZtBu-aw z6upKPzZLL!L>CNg667F{-saV8G9YaGA2@YdER3+Y0=ww;=yNnDWsu z3GdbwRyztaG@K;%Nb_b=OAHJ*ET7rBmSyWSYi3e2Jdpp5RhDa0bbanJg`e_8T8V zhJ%Gn@bL0vhoZz9^9RNAfTn=- z@W7S<2njzQIaws+*uf889H@GO^GzbTWjdFg9bbO2hIB_`POS6q0-0BcFFh;o1IcPZ zn<23A#7e>3{)%KCW5_)o4L>J*E`dMwZti`U&`CzQZ_v z4a6FDd`Kmf$7!Y#G55R=MwC?3;gTs8NTt4?L4&4dl;y>wgxd6ZF_d=K5b_IOw<9>o zK-sGNafAf>m)hQkjJ8_{BTXQ%OoY%u5QCa~2+gg24rmDkXiNMsA1iu2YH!vhPg+I& zk;H@`0Prk&`^b?}ngF7qa+@Uuu1&iz2<)Q=+y!hempnr+R%=MhmyP7J=YfjaCV(%c zteCK*8)ty=il!KtC$Y`ljX{U8;KER-lCW$H*BS>&U$2jNvfRy0(}{@({$`58lnh69 zc}!|R{{a34z@2VA_58=Ra7FWwh*3*VB4Jo~QEV1tLL7lpexa8JUQQ1H?ZP}MYLFao z+XHEuSUE*1rS3T(b(McHZ2++pP9@ZF2%7Pyq+DHDqAfdDHC<(E0w%W@`1ia9(?xnS ze}R*Vn`d!$)32;RsgsAYC$lMStw{Yd*Q~5VHLZ|Q@c7C=R;lFR0EIw$zjC{JG(~u+ z*?=Ny5?8Dc3%D>sieg7yjbWu`D_~Z?PqP6CI*?Ac_X0x{1(QZe3%nEF3B!q@6wEV_*N)Q^CPtd04cV?42-*lujw&#B6u(Qn6RaXMP@_@XO>arS6DKMs~pfN z^k(K5l5YrM;9Rloxw7}Y&48P%qODhEB=dQ^L3d1%@OQ%i!_JNv zgaZMfv$*xlLXS@72U0{K?PSUnK}N5<7M~29))gm#{y&&iDN|f#L8l<17H26U-eFId zJO&blCNSJt{{Xnd>fjooy~oKD^D9Fn&7pb2G~Kfm@m$!}6RV9vV5li8gaSA%Djtnx z<6!CZ)f^|lNfdnt6RD3wbBY2@Iq4LGVMdcAQC){Z@%+u$6eYet3@An7%pqkdvfv=Z zF1NtfiEx-^t62i#1L#Zuc>@Y>K6Y1IEICJLO8WHQ!4uJU>Jiai^zeVshtP}p)Lb$ zqJy9KS6C0CdvRl|b4=cQrjS-BVPwR7^x>d__oS6^xP<}hU(A34ASvSKQJ})&NuySp zB;Rfp^D-$fpu%ZAEep)hAf;JDh6q9@7tkKzMY&WJ?yI_6rW*lilu|6l)&Kn3N zzg^%0rrd0XNUBL+$A_a93Dp>p4ul#+E)7V8_W><^T#f+hx4w+Y;DTqhg>l$oF7l{a z9Z8qM>;Cp-8^Ju)DnFRk3kov! zDjdpaCrjfs2&KGjErpskM0hYYvMmyj9`_h!=;oI+iEmYM+S;hA(>92P>B*D3}|MYoY_25=v@Np+0?=sGwV zun8DW-X!&jMOWVpH0j$s_}&6Sd@poNhCntV4OL@sY7Vju^m#Lf8RJ(`%SMFr{J@R5 zKzE~t)uTZWaKb5#)Y0TjTd~lSu#!5iTtGR&tXhP{$=21@u60+;m!v&CAp@+tA&dVI00^|i!uqO1hxihjw6#igSp$=&q z7Y7vCK##0oPKJ7yPBn8dmyQYV&L|U#y~%YQC;4VF@o67OwY+32HsKDGg@KryI$V%P&uX?A*f#S{2dQ;qXxxrG1zRVggY- zE|Nn41Ls^~pf5%Da2$tvA_zP)5lN=vj9Ho%5l>MjN> zCs4!+l5fwfl0XXSU1pWQ1yS89_{$I>)%(2R4i@x3FtCH`(mZfb&`*JCsChqI1#07QrB zs$Alx@J;;UhJy(o2@#M}K;~T#CH2?lNpF_WDB;L_0dY}7Q_Q|Mg45v=)xaaiP)j6^ zDpi0)VW=^7;XXX~XES3TBH$5szx$hrygz}Ti72LWkQgyqKryuj5oj*Pu;@knf0p3@ z89W(h)|Ht(l={FWIsW0np>U$jZyp8fJ`?x+))Xg=!q;qg@NSr@9Jc_v)=;BiiXeg= z!ieBM4P;TY2kE$xsIK147=rQbfB?jLb@FDaJOsegrGIGe83hCD3rg2aQV0w#rhdF!(EG0S#&5VxsW46>F~@2vQ{H$ z;2OaSh!>@^cxpq+7y(FWewc_T-Wvmg%}__N%e6&K3;5qy=>?rVjKVMmvC{Z(5uo-3 z}JH?hT9 zIFG}Dnfz5q^)W@azxX=M?1N{z7 zNJz8axM7O1y9T;P0#Z>?Zn_qqk5J2CC>2UwLB;5y6!(dgwwzo*)+P61SB*!L5X5@Zz-Q13c0vCDUlnDta44Dlqq@Pfa}rM=0Lk(N0e}Qb({2-7skcGy`MN^@D9FXS_wlUQ}79rkB*g z*|z-wCL(6R7A}{=iewcd&1kZv0M67DP6-=;AXD2hmJ|t60(i|u?eRRr?gS7cAoOGa z*9Bsd`%D9JtifM1E%+*iYA>uf^^qRxIS8fGs369*GZ z3lw5635n#$m#4Q50^}qWK?q!6jwNb@H1#rBa?qb*_ToBaA|;`k9VI>qI@}}AaY77z zf;OS(+v_?SugHKs;FPvNaqj%FRMVx#HdoP3QOl4d_#^M9SAHS)vna@lEx00A=ab8 zTpqMx!C^s^g;2sS${A?M2y2INiU3)Zb#5UhfPq7`nh2Uj$7-a-YzkHLzueNiWI8G* zW&nmol;9(ThT6qP!Ni5{FQQ{QFbtU=ac&STrNKf{Z5r@kz*H3mEQI7W3P^X!;}{jO znt!()6oTrbglX{}RqLB2(^`oB9BeF7AhT=h5EK<6gFl%XqL<9dPrV1jK(PMi|rnA?!o(g2RsgzBcdyoa?q|%;)EjG6hrtEQy z>KQhW=5LyW0A_(w%5d6%`ibw*U=L+WL*zV6T>S@+i4+v7+$C9XVES-^vekkCd4}kO7YY+XY_j!^JY|0y<@9>QCAixOJgx{;rN2+y zy3`1&D^H#rsuUDrG?ux*9fdxah&T~g4*=yFYa%VeJq#wgsf;Ux2};p)=v-z!(XWfr z`NSW##$I}K++v6YtFy>(xQ_Cq3(Z#*l{CM0R}mV|kSPg(fX0Sk`3zZesZaxe-~(W? z8hMIf0==_)mw&iYxNU-(;gos$6W$F}pbnbHxsehWJdb7-)wy$5QUM;GpTgBcO*Gyvlv?MX`&#vPT{1^{^caMZmw z>)eLSMIpA=#yXm0)lLWQD8=4`_y{Hx4{u^NtT8W1L2wZ;SCV2d$U*yjOw}8#@f-}4 zHF(@N(-G4(2}>({9&t7#OtpEuOl}Y(^d~jp$ptwL_P1kI$clGRxbHzLiAYd@GId5J z$U+#wW9wBh)`pdrU=N=+AxxlCK>_vqkqJ09!sD#t(LciBSC6%^7xH;AF}4X*9UbOU zkf1pLNQaX&P$56pvA`G1RyXJF1Qc^vMNOW}h>ilu9c>H%*Z?8r#WBS{m;PgWJQ(-~ z_Y+qDKFdrJ0|aaLc}{dbaRl&t!hnjer|rNg?ZNY=e!cUIHva$^bSblRbKTB?e*R~u zGGaKUw7Ild&9q+kHd4`32?gMRk`}b$LL=TsllJ7+@gETxcI8W<^q1D~LhL9)*N#UI zQf87GllgJK7;Fz-+&V>q81xqIV}(4lxK_mqPq_%L0}pcogeRP6lC`~INhtKUR0+X}zp=rW^TT20=DM@9f0H^vkJ3U*^M6bVcH4BMMc5IG(L$bDO$tpQ~{ zzYGEg%<@AKM!XV`ltOWE0*`!uki%2S1#ECQOhAKZ5PL#rfJB`>;Vo7qcC%i-;TKm! zAcaDBn!r)8{ud!FAt#Z>c4LjdW)gt|u#?%!0?a^KY5a3c?GpwR)!v*@ti&^V52x+{ zc(*l&Ljh2Un{Xl>M1)OvGh_b%KRsjsl&!QFZK6Eb07b1M^9%>!%Fu2Zw77)W;3Mw{ zFa>t?i3vhi!pa2fT31xKX5G8d{S5lV=k7QX88OA0Dt6swt-&4lZW@MYfaJtSEK?0m z>POD}HI3+yCzrP^zAryp$y0|7-rZz_NJN1ot{{O5ylat#KyTl5lrXjt5gdlBAt)S3 zBZGV%m)hj^XLHdB`-x;7e9161E`t}R2NIF0L+Q}Fu07LI#*_|Oi2&BtY+v>9o6e(O zFa^>*yqTbn3VY0gRy9QV`;CACogS2$&ZZ&~&#;(nQoMjjf4K;#BdoE51^16iWT98X zfv6yU0s(v(V=N60i1fZQT0w;pBr05ig{4#wvmrJ~Tx9r2eI3tv5XBWia74fY6?V`4 zz-snA29FAloT+Zz8=y1Enl~eDl|^6rWV|>CRx1ZqUlg|ra}Yd?vNK8DZU zn8A5iv}qm#&N34|6crc7A%p}6t-!+wi6IW5R53v^B}W3OGO#5gfRtZ8v)ANWw|>$0 ziDR^i9S79OWbbp^kK71vphqX1`mf!_i3Sru_zurF1R%*6vH|QLLfXd{VrG}+?h^z! z-gJE9jTLHapBuQoMkEt}o?JMMfg-*KGh}H6Fo(QjQLjp@4@NLF!O^4lDTr}JA)s;c ziX!W3E^WhuTB8P76yI{|j6QvP^z zV3O1}`FTCy<)(oGEKXm$K$HRB9s7ZUh@og*o-KNveq3z=VB^aput=tdTp@u_R0wr)0QNt*HJhfEPm+Da3PK# zEyyhp{LQSaL*cJskeR5*^)sNIfdw1Xt`ReAFK38iM1&s!p!=EvVHOm8UwBuF5(JoS zDw5S~rXfP0m_*U`W0V6x*F=6EF}A{?+AKe=S*m~#9UNm(x)%~p-*_|vq2EPZqjXd~ zIoB>LA;UK-f)FAu>3EwX=N0S+YN7Q!xmVhT(^g_ivds$47_z3;ul*R{)3WNdjFniw zjzS^GSWE|-D5i!{35b33QyI&*`TAjow$OnI;G>$xkTtMi9|HoLZ-xrQ{{U@q2e~og z@_uB9zU9V2s+aGB6B$Td%?j}x7;b>ia$*^i07M>NX@m_a0m6&Fj0Fq%C?1SYdem$w z(qTni%8K+hOXn;~XuJgAvgeBjHPb(+z{Yq0IXlKBntw|KGq zEn>v#R{sE#1aJZ5zi~mO7wUU41x2qY#8f*_czA0!6xCx$@OYRI{S#z*e&s=}PI;9G zp;xPis=}mDYSX4SK|m!D9%hIFzaJ@rzbk_!SNM`6hh(D&A?(IL4HBDMxsXT_H_~TD zrtiaLaBWZ7lmS8z7j7e(UO5hg%@`scu?0jlD_IMgqwu?kdBtFc3F$7g#>@Z+^?zJ) zii~@4Rg_TdQTdFgq-PhqJ(wL4n#u$~QQu+w%GlrGOV=p%Fmqoc$lHOHBBKZbsr9X8ElUpq04j8FsIfsJmh_8{(n{#Kqb_K#Wk=M;!!bn? z(_ai#+6(*SW|MD?3K#?;Hg4WGlBgH~8A|tio>KO)FH(6KBW$gJen$ZUB$rUAq_Zgj zLZ3^Ha?%eUp4SFA4IXW{?oj}tv6{S0G-!(UCY2YsdqyV&&9wjoGIb^*7--qb0SIfx zK%pcag16&@!gj_Uc)`uXKvto2f$79Lu7WDy8z5+~a$G>sWNmtWGG^)Fx4v7NUY2>r z(zT`atxe$W&;lF2XODOmRk5q|C%jml2vGB=%Qv+Jn#1!2L1c=m!S*;&s1kJJH5szE z2&}PZvv`~ly4j{{K3;vV{r^%BXsthjf1w~*N8YIVm!i~+{9O;rd(j4@4LeQ z9;)ghVyf(=VMSKXW$-M4i8TT#g{K{Oq3o+-`;8zSf9^%-qV(B>m`-4MX8UckCl1*d?SquR^l3=2m{%D?@3Qw(c%l&|7_O22zQ(o?-A{E>) z$(l4`3%~`4RlSs8Q#vDei*mMR=$F}utQ9CPnUa#Le7d`t*+>cZcqD6AYJ=}tEUhmq zKCV>7zx$|QvU;Cyg5{gVLl-Co3NA`?u|-MO;ff0tr@+B+2n7j^A=)HC9$b`t$VpOn z2oOfU!Q{DQ2kKH;fnRnYDs(Uy1P%D<<|L2`>ufVihOWMaU+y!wxM~sMf&g3p0E6t# zRtd0ChmlHGk7H+Dn6F=RD}libr5DoT zE2$Z>{5ZzAY>4*dl>+UQ0EM2PMpSBp_pgjlbOrpAB57g>(TBW|Q+7dLJz-@q&|Qu> zG?l8~*At0iDA;lR_nPRUI|*YK8R0zu94MJU3JdYv$pxrz@Jo@4xoO|w4A?-FBuy2_ zdrb!D{{V@Eh~tL_0a7Q6`?1G@gEao$w;S&`n7{$j2R5 znP?NjnYR5jX`nuu%f6UI0u28E#}P@i5J4;yE0PO=-O`E&mmnfYmciQnGi4SDPYlLY zbdV8x{$we`7vIo&JY`U$7B?Z08)JI90F~L^0pf)3ydo&SE>AX|cDOM2rrUKXu4G3KZOzn=LCrWrvV~HIwT5~%MAFuM=;KKuanft?3;qof(s1%6V3<- z1+(9S5l|i`j}tl?MJe(xSH>tp>WktPDE-3B{oeL%oj z_&O}e1@)~xIJyLDO-O!ZWT4e2FT@9K^Xmg!JiSG%0z7gJr$XMloZa!L*WjVCv85^zUA6Uper5|x3?g#v>35atAT z3A{87y3rDu*d!6}eg0)1LN%TBh+{%b>5iCc6oMGoG)3Y$xi~$2a|P(755RcAj1;Bu zJTn$(*kA4_MM?Sxrch~zcvFTdCi7Zae=?{5E|fVuz*+|`Al;-B4?>2V-zKr>V2w`= zl$pN45&X$vK}{hrugh6Q!3fB@VXO*I-b&%Q(FUqJpumLC2WA+SrqGZc^@;VSko!!M z5Ibp2O62j1vKlG}ujh;`0q~%{vJ+Tk#ibER3=dzqWsoA#R|3cqQUG42Dq(iLzVh`D zItT2?0=gxIe39{tpjuK^9-I!u2bRC8G8G%Yk(#$@f*KT1_lgGQXwWvZ zm&PApO%nAmXw(M?>#QM&)oD}1kPxL<9-?H4(sv7~aBH9>#k?2u6qhQ5*@viAU7*M& zY);V#x`^NhOvjYeCvE^1igd^zHjk3m3e*7HTk_mLB}yM+m3LJa!ntqHCI`S0jL;K`uBE5*g$T&3SR43V7@qSMv~|`@t7QqK2>^# z1Kki0X4e$J>RzFRJvjwtQxzJHD(0H!ksONg7Prsdm`8q^Xvob<2K18vnyjbN`)dGz zgjT~b2X;>Zd@qvWXn4J`;^2m(1uJ|C`o_%aY?gWQR}7^fy_^MdsU_}+NS|f{Uo?M+ z+m`XDbWsR`T-bCh2Q%F_X9ooi@n;RgfZX!ui zM+_|jSep04flzimA#9t$MQ+%h&W1Y`O2y}i_ug4j@%_aG5J_;E5gNvojEr)SBW49e zH`6qJV(aae^qZ9(49s>}fQ;)TT!o$#cJl6tV%i+cTXZTyGyh4U&eEDywkOXS&8Ik~Z zn1}#cUARgpw?PXYh^gRWB-(-zJtyu&SkoWLqdZncj|}M7Z19hVC?S&XOCH0)!PnZP zAWbeR3Ky>5DTt`au(#)|OKU(qu~}7lh2qx*Mp*yjqFSE&n%;;8*7ZC`XjuwzMKiQf^3O`vP%2#ijL$V{P7VEDd65`&1V$-Vnbu%r7Utzm=~jnFtQ94p*yP@SH?aMz?U zeD}Uw+OB}t{Dv9e4xddMa^55(Q1VyFl7vRt)5CCP)&dY_{u$LyfY`urJuId z$5OHc(ZV`zJ!pjWeB!yKKA>nZCF@5I!f`N~AcZcl05~9oz!Kpt5yM2dTH0Mz6L=}T zf%o9Vk!m&x=<@fBwY0PV zF63q*Ty&)PZ&{nb%~#D=-U6`ZP^843DHe#w9g28q6vHIZAb?Fwf(&^yJ-C=kAV^vx zG$JX8tH5;O#_kX*d1o9f!|h`kZw=1|h`AB1p8Vp#B@c~i7--0BE$fHoR3VsxPB*gz z8sJJ0ox(48MM0E#Zm?Y&7N5j8ddbO1kb%l}xRZOUFAnVYj;<8?zX0Z0IEoifpuvn3 zA*6kK98igDWbO1Dg3@M%x2R!ksxIk4h|-Q$2~l|mr^76RE#3?iIQ+qCQ@9jJ4Z1Kp z$UQVPh-k)6@+%L8@cPY14#Vg!v$P#`bwR~}DyX3@QXdLZ5$R;OJ_A?)Up7%;zbzLUMNtB#mp8jg2vUhKVcS>ir+G7B zdKk^LQ>VPTf@ob8Pbr)Of`v0!%{UR|m$w5XZV6l@ZV2ndLwa-v1mQO8L*>LJX;G0d zhkDPS{Eh+Omz1VJ$fN~9#d^3{EfjKG3nWQZ7Dz%y$Kw(rUOz7ITFBA^WT@ijP}nqO z2QC~7LlFr+S9~}CX=*$R{K+p-VBO*a0q`)s{#C_pzYy>EHVc)R<*))A73oX~#9=8akM&A9uxPrq(RgItX zB3;w%raKA{yes9_F84()$jzgxCd^71C}R(4@ZxFI{2Jv)ot4U*&7jbz zJgzEM(EkA689_mnqTy8r2A{#jA9lZoYT>J^lb}dqq9uX+=wo3LqZYcZC*ytG8U(|P zigS(;_lrywc?LiUit<9%WzA1ee~iFWL^-jhxV3>+me(xq5C%L1!kK9u6>xML4VQfr zDljJ=74v+#aNkb&%_<(DIo;;qEz{7*K><~Z_Xxs00qn*=t8EG9lMvn6+tF|^Gd2g{ zbufa80)agaD0D0&*Ey2t<>_ok@UQ#HrAFxYlY=;`_vhUfmc z2JKmESK45(7%IZjdc5K+qzE3&tfc`Ekqaq`CV5bQ&skQQDFy@g-;87-Ltps6Obs(I zf)l0=BVe-m{{XW!VlaoFa^ecqI|dEVakYWz*U2VEN>ZVH#{m&PlFp_9f!pCGJTvXTEK>lT-0bBn7Ns^PI zKp;GXlKIB5dFli0!r*E|T}jh|tpO*mcNoN)V)Pe=G>uhbY`8q3L#8cixRX_(fN~+X zdIZE5POt6(B&xPDy)ZmzJsn)yL#R-`KaMiQZk~q~_2UvJzz0erjtHOuI(%Pwq(Knr z^5U$5l`IPS@Xc62cqAaVCY2QOjU>WU2(Ukjd0ZQ1NwNXaFvOb#)zQ-QV$MjcAe#Zf zVs5Aj+EFG&3zBW~f%XuHQJPFGrvCtb!Dn!-%*feOz&3MNe)7Q;n!^_q+(jzfHWlq$fL0g= z3l+dCuP#KbA432|!&wM<#ZZOMlMy5?BqI(R0B<4$9c3A13_=Z(SxRq7kS~!3Bd6|2 zcs&vKFtLb3P^;t|j?UqdCQB8a`SQu@4F#x2&fAc9mZK2~R4iaB3?qD;SlXqW0d4~p zG$HkrgbAQXV6R0`a3E&UbSD!wLrrza8gGW^u(@T5{Ep|( zS*}nJUr#B44<_Gb&4`e7g$V?Io>lpq5#b%i!=;vba8Ww;h#ztU(r@^h!>cTCI0he5 z5w@^V0&Rl_L1hyBUuJ2GO1+-)044y@z@GuSV&YJw1ir-PGy>%fki<+1Y$P%gia5r| zL*NoKCewa66Jz_A03cP}WIr*0I^?UutI^0yWP`)ya;C5%3;3ywu27VulHqBgWPIr|wX1PT$o`S}h3l zJa_L1#E8;|tL<SZl;zJt}>UA$rTGtpzf8y5@ zo5lc2k;H*h$m?qn!aHW*g7xVPS+Znj3j7QZ8p&C;Ri>5uzT8)RAN)rW?2zhdl~61T z`!JvyyJG}~FsLG;i19G$CwIp377#_?UWO$~iAzM5lfjQ_h@he%(f;8aw}eGye`CHn z!(H$T8k6fS${+v%?i56o192_t;dTj{$Fwg)G)AKbP5biHod;qHOxw)?(2ju%4f{Qc|zQY2V zTg&@1mwDd6dKn#nzcK4EfRWlaTgw(yIyMkg%WZ5?qUjtIWZDvH9wW{ZGt}-VI0#!% zFP0NFnN!eNC=4PVX_!|;BTtCiqbTY`@Z-?}lS;*|E+u>+ z0Vv+wk5?6CHXH_5H3B?+k?R-$X1pKS;l@HXO`e6t^1^JWdWJYq@N{Tb5M;5`aa5ff zhH%0ZTLI{MV+dUjyi-6dcgqC?jZq4=IMQI!hMGYuod%u_hP8mIvNsDw&g|mgXgD8u z@TMe_>5P6TVTcPj+3=eh)p;g56tpZ|8&{!-+y=!^BCB$Q07we0T$EmC)Uj%t#FwzT zP$NuRo3sm65S8m1B8_$NM&!`=K$Fzlgh8xAQ`YgAeKH^;$YE8$_Cte(!0EuGtp*r} z8YY1Y9ceNO@&M81X4Wqz)pGsDnw2IS3(UbTOviW(0IZ0#>B53;r zE9l9U9MTkPRbyFybrPc06o|q$TfRzb0wOmzKBF0+> z^Tsf6aA<&C7z^9LO5v|qPq37J5J;bK+Mq+^_&7GHK!;kv$RHXKf_ogKHL42(4$4z% zZcZ3lj^UBg6VVaC4jMmZD5g+~z}Oz#LRdtz%Qm>eO}YmJs>f3l4fn4QE{|?jD3prg zsfssEU-CFj7L@CFDtp$|xk+o@oDj)gp^e12uu*u;gfw75`b-#nV0Q`B zZcqROvW`XVS!&!S3NGkq*)cXpqGz=CfzDd$qAneaq0-Law1uJ}rU4=W?(iFw5JGiN+}3b6`@Av=03VIP_m$VXLASXKU>yRY zsACZu29xrQE*PYkVMtYdFN~vKYiu>VE)tZRNL1Mzo<=Yc3DYbRQ3VL~L%2jJvD~hK zeI9X0DmNGSp2rnXUwAomk20UG0|^8};rEP3L^|t8^CZQ>`utpLPTTYYLA)GDNKWZ5 zHv4eF%xStjN7tMdC4x{@G#JZ|AuV*`!F{*SCIB(6pG_`S@GVFbf?10qA>3>Zr?(Z1 zFXppE;ZXzz0J5fr1*cgj0_hJp`{SRkc{+Npv-{UMaVq6 z^@yM|s1Pt8+}VOf#Tu&{Cftimq%PKC02LZI`)(nkkvg)lV1n=X#t|t;LY~F!JZB*Y z!0GJ!xF{mSRro(K=C|@b_lg}9)Fx;Ebo)1)Azo#Nc4BdTFVOLuNoYf;*^CU4@bAVN zNMiv-{oXTN)m%e&z5sS)1z#0~?~-JO5Vf5!k0Iv&0Hh3b-?YF-HG8v961P|t>r%z1 z<^WnqH1=KR0K{sA)n7+Uj#xbUnWR9--E46eR5_)wpA?VR2 z!^ZORyB4t)&?eLh>wcVUg8Kb_uZz+}W+Y&{nen{XC) z+~BwtgoMO2t>G~SF|i(b7aH>x>MW zlxBKhKRstavhZn2jvNuDo4hB70<higI=g|y6dWP5(%O0m2z$z} z#|YYXVH*^dd_Z$>oiqh}0%By4RJGbv_lB^=P{4Jt!Wpl@rZhCRSi0~`puCk19)XR8 z)#~8J=%|Z6VPaF{n{@p#1CMw%$0lVMi071_nHnoVK>b?F>T3_rCayy}u=v2Nh{eM| zsY6^3M-NyLB`LyTTIonbjviNEkT^e>yI63V%7F1%PBE$?njFcRAF502&RkPS3@r=P zXHOBv3EdK*$37-&(yd(b{^bjnhpaQ>h{yqMBEwGxK@kbRPO4zl*|nZnP)tblzHqjy zKv3>3Xh<+P10oG9DUGM?-Y%XDJ;D)gq8BAWKv6fP!Y~an0x2D3+Tdu5FxzdEiVXc^ z@Uod&qx$;5u_ggWECUTT2s9NoIo?7Ck$Pf{ZPw{1=di)R#m)j_q4W8Tsc!e^Ujekr zh~x8aX$ZsDH0HcEuAdl(QPTjyHzqzo+@c}f#6O`-0KV6Ky}*Kcf2onGN2!1aGXBhH zkTi>m2?5?uVfQ3Khq=I8w}h6fD<0SWU=%4zahXy%;J``*qDrUErtshl1IULL-WLV) znf5X@bLEaw5Ijk((h(MvQ zRn*9>5DKep<25RffwvHn5uoox%7X#8L3g|WB?aRWeis(h8&9X^M+Fsj5S^K8n6O`T z_cEXut*EU01j*X%Nn`tyK#76qs($4~DJ6Cajtp6}6*S5{E*vjW*BlFjR@v#`UzvJf zt?=|eF}{s<2k{O}h%FY?zX#lWL2v&6*!hGkh{UbsM#I)@g;nO<0xd!Z(d2!&)j+7x zybp(*AmnMZY{L-*>^Rx8I&c)y2nzOLb#kar6d%kTO@j88kEbKk5E@$vvBgeAFsODo za^nbE7%JJK5L-9kaii6GlOUo`0e1e(QX1E|l_e0gL()en1(+Vm2D^dWA_PM$9JFP4 zjl@W~3yTYah;*)0dL1y1(6K8Aqa95^&;`(7kuV`<0Sy5v$0k&m(jnszH3#}F6Cs5F ze7y^iN+c-Gdx>&N8>^!RjMyZk2yf|#FF~9_>41)fknZ(}CYMs9!h6ZegeU=Z zQ^n5>T-Y&>G#!FCOAlC(LX?i*Lk8qE6I#7_jiUTlp^6E;Db);%F&5~hXXX$h#+IAd zWwa^&#pCe6k0Y|GX3?b!^(9Q;h(u}jJPc}6Y0#*R=;RRT1R)7W1es{-%XGQEOg!`` zE-Hd`QBIGpJZQ%NG?i-P0`x+XH6r6FY4#D-9qhr!nvjKf`eETy08sv8O%`(U!B3bI z?8p>PjtD4Vp?LUv!ITTg7_3FmrH@Xl586cCC?$=2<6FN_BRv-+i5-ydPi{ch1VN>* zN7e*rb7`WC_RC=dBFeUV_klK2qP>UCL_b6b6RpMs05|^t1BoRfp@6L{Wt4!0;PJg& zSl2zfAU%$9*cEh$H87_|G8NiFN5c|&E#(5gJme9flpHHBM-naGV9!5hcr@*R`Rp;t z0!kNB>k^omLfs_ygrr!&BtbF;iIfmB0`b0b%0V>%*o4wz=bF5E1278&fe+I3xMVk_ zH)ohwW4jdbNPHLvbUUhSN_xnX1%V4&F)O;BN_9+HhzQn6{W8(t8n8p4KzHh70fa`; zkwMa!WdH!%)*f8eAQm!*OsN_d$qACR;qr8z=ZrE&gMIB!elm;$Ti{FM6bZ$3r7p2z z6;cwuB4B`m3->aS2%9*0CQZcKZCILXgN!spMkeT}LXH4^*7L9&a5n5@PYF$ zU{!ggLwU8ycHw?L*eFaP^x+HH7J(?Yue@VfTPN0O&A=@sdF4lmhHni5Y~_0}V@hn+6!C=cj;QzJ z2~0qQ{cjh}Rw)`UJioXAtwT+dokG2)(5;PkqW0(LJO^CkYaG-dY3N7C zq*q-ZAIv&}h5dISh-k?EKAd4o68QGd23sw4=p5OAA$C=p&QoziM8)7NNFqGJV1Vnw zJl?KZN&8~@Nq{yG=mi^75CLIkF>H^lln;2ieve+U)l?c!7&MKKR5wo(#zm+AjzQXx zhll*lM9CAKdgit3xojZ-#QPE2lagYo7X4Bqh7M|v$A`7T(1E|B*Y^>2YKzm7D(&MZ z%S+|OmGdO4F?dDFpc{5c(RMPu0{5iO$H`tGMTbhMNIZDLil?1d}Zb6fg{<1q=N&G zBY}cx=Gggig6|^JHYuBjNbrHNaLo(@pj{?ZArQk5m?yDRTwTenMA77!6s2>k8Uw20 zI7lffSUBiG2ON3&xNu;?BTYo~;&AxS3>N}Hep(KzjX5D4U%PTDMumKHbOnp^9ep{Y zil7i{<+%U}d+5@Ps9@Rm9w)C^Hm6~fg?|oE>b!R^KmhM7^tee-h>98yR}^BT866r2 z+{g;n0=wpz8kTt1$mDvdGAx$3`vXrRZpYNXM1cpOze5LMO2RfrvDW@MGn`5Fw06{^dh}W2x|em<}=~B0&K3IT2NKIE{ql%0N;=FN@a#cBiF} zcQJKEiAnW3WiKe_sXu9n6k4RmAIy?iv3I6MjhA1(jW|(G6$F_a9j{Bd8XmI9OTJ(( z@c~)|#i)&3zD`7M>mEsGSR||8j7ds3|6FB+qV~cM;I2T zOu4}k6swHjWey5Uzc2NINW)972Pfyj1@a%5F(Sb6Ev_Oq6C%#xF`THm0Tw<_7z|@t zCE6xwnP&==jlA)Kg9Cu?@7@B!hSwU@FYYXgDeP4njBGRt0UuK$pxHPtc2vL|gQ7Qq z+(Fq8_`Qe8it2Su5iRwSxkLOKd_3YE?IDFYo3uubmS4jQQB+hYbuwGHdO1LRW+*S# z@rr>X6QJQz_G*2=P;I{AARt0Pbl`N=y+A9Q&dMpJC99Q0j9d4H3}|pA(84Wr#Hh7; z4D@z55~4go`>X*`1yStdutT;Tu4^1+*oTCU1E?sKwDxAu+BH$^?8+lLX|Q@vR~ zT4^d0emGFLh@9YLf1ED81)q%{ZN#_<0P5gDWX98!w4j0*B}#YG`GJWKKnX29?>C@?Z)Jmx1z%2( zYO__FN5B$5ed2k6ABP)x6x@#zd&&F*2w^pVx2Dp*;kbq?dHUfK-j#(g8DYyq3W5du z@e?Kv8zk3jf+W1x>ptL&<37XUO=Ov41?gSs*0qkXFo27)E5>7`1dtR%ak&v-Ena#i zUEH@yem@+FNRk~V=2S&^c@yUDcuA;$LBs%YK#sq@@sVvY@G?S5ixZ*7VvQCUcEH3(aq&yRcyY&# zNf#nz9}a700-8>=Denkgh$Fthl*2)e{-I&_FPVa10gx6gG-cc_K}m5i z2hOiDNUI_#&?wQBn(m-&4s|?cipOf8fJeY!7!E=UreoK;WNXH}K4Xxv6e>v!?u$a~ z5e!UPIV*(2d3i7y0Z0sDXF1%N{n*ua|*&`&Tu!&NFm)EZPhV?kOpDoD@FnaNbB z=tTs@B+zVt>-pmZ;VvY3*?ruJ;X7KOm@W)16!tHw+$JsX^nJliqeEv73gik^xZuU5GrF)MK7B%Sh4yTL@uqzT0&zRIB4L}w$I4BwC zu*Edq3PinN4>94m7=#<4i!@%s{C>DVsA&~i0X!HArA7DZJu%uj8s!Eq9hG=JlL*X0 zZXmlav(_=H$fy`wMpTRh3}^~EXe|TQ2`?c6Rq(lGh`bTxaxM_s1@u>rA)=SY@FLfZ zJWj_6kwf$wpGOpEph7<$RK^IhI)kzMffYeoiSW6&mMv6cEBDqDqs0BzY9ylkc{60Z z&8h0SP^i@^^gM9FmuW~a72B08u%8ab`+Vax<0_c!5T0=?_d?(ett(7XQ+m5vB20Ob zssymXcYp@8kPGh$bUAu9Ol=Md5Lb1^0HWE7Jf{BunbtuN1$bULr<&4F8&om6FdFut zpSXUqq1}Y1yb54gT5lIFg^zy>2@Mq9rltkNr?;cW<_H*rLMJ~%6uqoj1UNGoMkHsn zthEy=3f>gRCMxF|_Ki;+O?<#FR#KT-@`J4E1T1dh57X=*uvHvbqC&7v?Y+ z>s1bpDsi*D6Tz7C$*Y!I_~Wy%$8UhRQ7F^$&D`=piNGyzLE#D)Y>)0$m{u6}*De|2 z){JMR_l(^FJLOoTkf?Mca>7%THlak|(#6HoE+mWq&j9)ZaQRPc2B_VbBE!mobM zUb2d!RVkFuA)M(z`3%(4%GA42;V>wFLZlnX8X_*DshdbT3MjaOh;+TpVS?6V)6eR7&5MPnP;E>U%{C8H5t0O_Pa=*= z4YqBBqGeJ9x2MqH^e*VMCi!wRU}$}QWCb+tR6d!T+Ha^dTFli5BrE)8Qc3{rrH@Pw zV!D7FM!FBs`<`4upWL(>)y^*hviZd(fvE)1hy@4_SH!~fNDhcS7dD{2yg08T!|CRG zT-jf|jyvWTNS34l!F~G3D3MVo!ZfBKAcS^RwSBlo5oPvWAY}js6oY#yF+Ybg9c=i- zL?!_1#vac&GR6&|HX{{D0}w14$5r)&gqcl8W9dBPS$9ceJ~`wJMSfMrpqnWy%#w>j zrrwK(MLHFxDJdq^J_GIXh%t6w3l#j$TFYBKOPhtVq6yV*070v5QEXpX5vZyNdkL3H z(c8m-pjsY>1JuCb0E-5LQv>1 zqS6%OFMMQ40$YJ3afB44V*J3R4blrTYm=_Fx_`L{A$Aglmk<(mLb`OqfD>JteI_3w zKMUE8sFtO`S^$fLMwJWW-EwJo6Y}`ERIsxCPXmo13PtE(hZO5{aYbf;9~@kggp|F! zP;dpBtMF{UxS{O&m%Q|3xjv@uD1f_bXQoF5fzaox_W-uip&%QJ2>hZJ=Os|Q5;?Pp zs{n2%oDpu2Fh}c?iEe^RJ#_QX^%q#qO`TBVBj3_j~X)V6Y z0rxF+(|JjvQSe_G0%UmC2!FV?o}V5j<;2x5k55XNXd8a!B2)mP5j%gF$gV4nxv!NC zmDvE~@q#%=a=OedGPMZ8VKSD_3%P^}iD(nj=F*RMs1n!9=PhdLcg!DaRFhPQanyyTb^e)ubp>CHMe^1NRYz)2`FC z@+J~B-AjdLYJiA#p79A*nhq$G)Mv-`cQ@<;!rti%2LxTAKSJp0dc+_}5Qt>OSA`w? zncUV41@IouB;Q*kkHg+m{KC96y3LTv2C*InvlyyCpfoy})7wvv=d29~EBXyCBAS6j zjp{gsw_qwH^Kl{&BVXJU%T+XQsdL3{CEo!(nD3w(W4FV)NW z5!4=^I(o~y!PX>GXqWGCjm6{>c3wk~f{DEmBuptI z3MfC}Jz%Zd%VyCGZ|5ipdYA}`Kw#268`(W3J*Td+ri8}yJl|6t454){A7%sxIBf;G z@eEPA9+?9QkX8Fjb5bZ|D0>&K0GlL$2iH9`;Y(0FJz@cHeJ@C3FEk4LL)J8Eheppq z*yiN}xd%wljoBmMz;VDZsX&wS65$kHx{2f7F%h6z4%`FeCc17un6*-nP7S~YZGT~n zmtklw_VDn;jl?1pj70MI!+YCHr;FAaHg*tC0H54IO;jvH5clPX&osZ-dkk14kN^vB z-&l|j^O8cCy^l~OUk)MO1^rxAknx1?pJvBW-~-FFp-=~d##BYq%e9SQ7%X<=j3p7I zJsH{#&3bjL02|*?KWA_wMJfdp^D2KpXT$H9*U5=v0aXFF~!-Kt|Qf{jL<7_EY5cmZs7<48|lbQiI7*XcPwB`^M z2RRssDR~?T8x;uo_veg3TK%ZsiHmYOe?+*AX(>zJMet&Z8#6b=h)_#0fsK_WA`r5H zju9!*O<=_MIZ7Kywv2*q2?qrZwx?sPyON=xKqwQp&TO?7)96eIZTa|hakvD0oh~qP z15!FJIJ%ILWZzdFqJg393FqEw(SZ;a#9rkA0SXm--$2DeQ7yf9jGSTOiqFT+G&ZWD zg=61YZb~W$zi~@xp9)Ei{{Z0i4^NnhjUNMz0?G0bgU9OcKwx5Mus;i;n5qV11Osp@ zfuh?IKJd^^sNjy+hF7~Wz^}By0RSENtkyuh1L=~PS{*iX{kXbO2s$?bLD=k5p70u= zRj`Y0SY#^)8h+fi5W!H{QEMLTnm zth!X1>PEt4ls2&h93HMBf&B*~x&_i;3{hiRdc;gx7z^lBT^=j*1-Fbxi2maRcVFF8 z9jFq7E&92!9RQ`NShI&m#Ku`^Ku>j)Qj|L}QhzZnJr4Y8!wBpklsdkhWo{sJhSv*Y z1@P5zE+=AOG8&{7m{^KK@z5BssHp3*qxpcS2!u!4KJs@YH)^3AA_sD|G07{`RUW@H zV-Q0rr$@HnZ)&cE@P6e1MM4BuDIwIS%&4t|7^1=FM-U~bmL1Allz^`Vo&j+PpVjqT z5)Oo4(*EQj4+UU+#AUh)$Z^T0ibScLfFyu#z>^8HLu<TLY(Nxtg|R<0sa&qXk;GbQLzr%bJe9;rO$ zwG6ne5Q4{rBr{@st{6!#%rG#-Z(}VXedRF~?FMX^&P)tewwDwT1#PS3`7oy=h~v%i zaSh`TE8w#S@d{JE-J}2HsPudJh={>p2Y`d2U?WZJQuB0!BeTm=sRE z=9r*YE3l;F;h2I{#Cmiet_GE-{yqdR|JU( z^KHwn8tvD|ARq{ja$ncZSv@f{PVC844PIVi(kdXP8dn!$)!qoDZ;T(hh_rBVbY`7Q z8^1u$>1G!!G8G@bgCVgb??wQS3^CGWiir~9UqoZ3JkmRji%P!CN2-mLL^p&tNC5m3 z_b3q40ao-LrX5M@4|f3EG|&zea#MI?AW^>@K`OXkUDg=LD%u0f`HUh`A15E~AT(gj z;~GdfJ;|&w7IuR7Ts4H=M1RouVOk^weYlglW32^zaw3|nM+X6_kDKX6 zj?9?06-1Gd%7c*5vkZ;U#Ww2#B>>6|L@=o$;LU;?6%z583o5|23~4$Y(A^UWk0yj4 z^tsjs^pc%!Xx6%*)4*jCJSmYO{mlSs`wN=E0RT_!aU8Od(H`R12vFwun)jM9rke&dAoc`J;6!G|s|$ie zMzjV#2RFurAXV&g@+^P?9Pu#thvo5R7id?0hl%YmE@OBa67ce2W~`z?(jFgpO$Zn4 zpu(;Jt?xvi97hq7OrA!KOjPSkMKM=Qu1@q4C8Wj#YTEkb41skNG?8H8MIsuLUzyRn zwM)X8O^2)n>7k8fhlsh}#+NZK(A7ghc>J zK?@o^xwRrv+V-R2!FHsWqSOt?))nv$gf`&;zyvSkcJ;svs0RQO6WarHS=nVnYFlO(CTw!p; zDKz^+LpIDQa^AxbfiG3i52q4QT!K^PF(Y@te|sEm*3@AXT_-$ZKp9qbJpTZ4!*SH2 z7)ZrJq%^%31v_I*h~e{C+NH$nJTF54X;?_0x~6SSwHvCLLI49PwkOad9Nj&p1&$8>1531bQz<-%ZLOCEqbV*VYjMr^vmJrNS^Yw25QK ztcgt?)mKWGfqNUG@vqFF3=nBl2bIV?xilgpY?(wxo=~#lXR_Tb4=yI$0EAccVuDja zPHmP7u>~=zSeyu}sxp!gEN!9g-?2L#bFppsaC9jFK^>vOoqSwxMTDRgB+ zoC;01rSXsuRzXdQ4^tZSq;L;I_s$prS74|gJ>ej=$Qi)RwIq5x#o$(5DCyk z!~}E|D9&aAkN8}G2?;+;B=DOvRC-z3m|Gl^l*qq!`J?1ftq-O z-ZbH4qOrquKf0Dydz^|-f49fgUU`G7~gDq+SzbSqlIfNs2;oWBr9t4nCLdsA&cHxkPsxu^ zX6XC|V4{fCAsSWcWDX|xw+dAbB<3*4D)jqOVZeGOaUFtEujIidSUbUkDSPF_096ah zS-jd-A>`SKTUuwo(+VmU*V)73;cog=5mn?o;Zs}@5jLrejCR%MqxTk}B9&VI0BN3= zL2G6rxTQcE0;aW;1yliQY{8C+LGL)=L4+frgTcjQEGQ{>BMOK^wWzF>4q-q+u)_2{ zp^$+! zdt6~qwhlxTt+>=axx?5+itCpy5F@fN@@A2%MD+6hWFg9ue8(PCdPrL02&yjgXk-q0 zamiW_;l}g@EK*crADOpoO3J6AXV?X6V&o{v#e9 zP#5sRMKT3A4z4Jqs#JH80Q2EV=AIl7$4ilxoneA0IIy6F!?s|2U)akT1HIals5vTs68AzCJ7|=zqv=U zETx<7c=-=lcCWdraqH!NR8$RJ&advO50IptuO$l39f zlJ!M)8{h6%!Lw(f&3U!Wg7&!glxB4OdFK@_Kx;pK>;ZCnyNv&I!P*eHC+ zh+6TiLq$se0C5BL=MYV4BcpJ5;Et5i=Yv!h0SoBH8=DJAIN@joznmr#2_>P3`Z?$~ z97nbQ6HsxP14#!YXyB`<0R$yeC|0^UPT-IUYU;04?ZAGdjS{197YGKFI&k0`U4jYt zv6_KVsYhGu#tpk#(JAB%dyy5$v^WS|2c#aCj%xxS5dmW87br#=06?$2k5WW-JPlvI zu(_LTqse-{a^>WBBUSV9mzKiJAt`t{X`LvO&>B|=A`5{J3Hy-pc4m&m^kJ!OfMoU) zXu0N-0Bs{iFx==m#3YzsW3+I}!*^o5Z$!#5Az-vqLDv`)jo53{MNb%Alo~LNKW+iX zq9Bt~%rR$WNH2$TG`f>^9(^*#N&>6I24$+q04o3j?GAWHECdDg9-gK`yU~bzUnXeO zwTSj6+}oNtNnVGODPjn0B`>7QjS8YA;qkN1G8hpq%>xJ`j8O#Po+1)n?Giq)r`0&B zw5#E8U#j|r;Kq{e;ckv$HyEg#=!lnf?}RrcaL>RY62Tndy{gWv&xX>mAp!TiMtmiQ(6ycr5%In^;_^+hRYSKEOt5KZpj z3ZzPX_68}?AXEt9bgHoj0-Q`?0xbb-z!_d$ z7V!{ZGlrA&o-uM^v51(8GpJB|>|7CQ5fGMAXL68H=WhFlwOK=aQhUUp zD;A2TN)xTfdIaiWlxYm4Ix^)Kz6h`Tdgka2rQ%o^5NZezc)jxdYwMwYhwFN}5Vm7lIJ7|k!V-t)#!k~S9uC=~<8H|cO9Ao&;2`R^h?9ngO`m^G?9 zg=O+#0{xgNp)|}Lgr)LNCNIQi!96B!ONUZ!#~F#IkP32m1~vp6*GJYM=!j07*y30c zg{G0UvY1HLU=?p~Lpm(FZi*tfU7f(fti5P(P74GCabF>th!PR(glpqA5gQ=@XujVW z{D#_94o4`!7n)q_uJ!cLCLENY7KUQzI~FYpWCp0&srAG#c||XqiD*oO;Iz2M08ju6 z)*kbuq-{BJUeG8s)&N&qIm(kF-aIN4dm#^>uUUFS1XbY^ z5J+tY`Z|Of-Ry!FN*u2}=_3xTtD`Z=6a%BddIy z`;riWPhUQmS`)3tA1*xx!T5jG%czVf3m%%sY8;S&W$>OUl~U^yoEX8Du!X5VJmSfq zJ2ycws0L4^^5eSJitVC)V>&lJ1;h#LLO}=6VW9+gC(Q#i$X*ZmznBS~k0=GJk6$43 z+yE(vTzgVC_llnI0v!&wc z#nALbhD>@)V|S?>2G~*?d5&vcZne)$R9l7 zRbfE$<{^rA0DKQbed1Q_ef5z(9b?*tNW8+QdCFsC;X zw8j7dM_1Nq8o)l&5P%@21l!3@&5j~%CkgRa->h0g1tS&n`+_HAJ7-YF393OEYiDwR zJhDUh{&>g{F4_)~Z0MN+WEExTsuujV`}v9=sDML{*EbZeZFuoqXiY#-+4t5Iz=7!o zSq2_k^M`7Jz~z^Dw$Qv2$$iWgswG}OZUv4tzbma7<_#~7mHf>_wh15m?*M>yR*fuv z7;He0G%viUM$t_^b4Y_NsLQTzE=3#iz`{u z=pu#)E(j1OqNMg^V3TXI=GW;!s(W#$4KBtp2^u33nQBEe;r{?VV#>G;CXFM6By&o) ztAT1zJ7b%0>y<(8(s;(PmupH;SKb6D#5S~T{Bv#+(IZYI!C8SU52e6X)Oo3)9fz!B zfS@+L2QU+em__+srZg*~&n1^D5P~L_l*IpZQA22mn6`Nh` zFq9AoO|J`w;|wDkO^+7>Ed=@#wfCE%P)46GzR9+DJJ?4z|0syMugoWVl)R^!zB@iKt ztWsB(82PLC^rk|o)l6j8N0QuBCpu|yrqZX(yBdZS2r?aeG7)u~ndrD9flqi2=lO@J z;{>fLc)+Mr#x650J+Tm~7^t1x5KnFd<7(ujUB2Czfma9aRqeP897G6zqn(M3u^MC{ zZ5HM&5+Fgh_#QGrvk3mZ%TeyiX<JYB9IQ7JPDH4 z>%TXgGPIWc2Lx@b)h)#%X>$AQY^nKh_&%FAeas*@K_rdwjV7*1e^O+|3MKcv@#SX? z{^1oCiQi!`8(9N%cZfnQhnza10~!lJ^56z-7~2e968kw#oolYnBMwo(wM(SQn2h<672#1tzTgTd6q_e5xF z1K_v@iX=cw$UR_pdMFg=hrDRII|3et*A&GRsdm@%5SBW<0p|j81I5H?*0>pEX}-`p zVYO_Li2{shzA+)C4Db<5XbBRi58$hv2%t)~unZfNM+!QwUdVxPC4H_f9lB_zO>()r zpcOCaa0@3$pV|%)(V$SnS~4Tw1R(V4IGmIT1XCG^G-MNFBEGVy*82*)VjD$KSd5!YDOD`A!PHbDoev|B zsH_(9b?00pjp)*y4)|dOrHCoZ6ycM&l?Wb#)&Q)X5ChSM&}7ir^&^1@R33T^f)J%h z$J?xgK@gH?<#xgopjU^a#irmljF})z#Z#(bL0p~Y7ea|fj6j8oLqlhB#6qNYpR0pr zALpIn`wXEIQT!#R5W`9z(qO=Bj?6P7$-s=dmKcJ1!vIg zCL|$nlQzHsy<@WknF2LHTi1qsyfo9AqIj%usa>#H;L{utEm!2FrJr0xT!fp@5x*D-GGa zSD|+5bMpzn0DEKe_cTA<9I|c2Bt-ziq2NgREtuUIqvYQw8;U)GG!bzDXj9Ci_+p7* zEP#<4gwPP%6!zuww|l=4xB%&Ut(wAA5m5!c_lDFZB`fH}A!`M_gNXH`_R#vxpFST9u!vvO4Wa1`eO>+BhZc|I?^lGgvnd- zKHp|)q_OFc3{s$@5+2XT1i~rvCTLWm?X>p9^l8sehXF6R5pmXgKlHhz;krb7eJ%=} zMSXG@rI9J*Q7}?L6lR8^75Mtb5fn(u0a_^A`R6HW7|sJ}yrE((9nh9IoFXahrr(}$ zq>Lz2D({D^7?IFQLDj&A6c^u8m`sh9p7;RBMbV`ebAC(_M62P?2fHnC3N=En?LTk?l~LNS z70IbV7fv(~n>6TJdcJa;Ljp=2-@NP-f(!k;9MZ-P^jQg>3W9c8{Fx6VnmBB6V(EdQ zlc{~?i%tE`VUz-dpr%Mb`54$l%VGgWCL*vKcy5QIAquX=42|?S#9u^2o0K~@Z(OWEf)X=6nfba8n;Q{4>-mf=?g!77*1_{ z1XBVl3LgfS>w*d*ef?0w3JuDRjroq6@R1lv^5H+#8ygIuEJ=hm7r9Ucr$mfYYX=aJ zYs&usguq+xBf>jFGz=FI2*srKU1H!uyxZh!-gzFPKz}enn&m9h-&jN`USN{~k>xv; zAQ*$e%Zr%32|)F7MO$Jp510Td3!fF%TA2&p(*O& zJ_^JXu=k3jfX}8uHx9xc`e2h$}0wt)Hk45bS! zkIX^u5kvwKWSTCxLTF3^C?6f(?s4&=VfsuBNk+8Jigo`0+&4~PO}xqK#Kc2&CHH(x zSp~PeXJ)WQsx=pA;O5PKXz{dL95KI!r=!`OqeD^aliQ6Y!C(SDdAAN5-GNshS2loz z*%5l>gp$o2+XVH2h+^DASqXu}shD-c6B3Iu*03`&RUfKk5iHJw(0*c4q3t!H9$y(c z+`Ld!e=(93=Zse-M##GXZ|jtTo#0^s?k9qc2o8(a8QuW)AAek731ak}?iM|`rVkT} zgF}4>h?!Ejnrz~pu{bR|CHL^c!D%#R!F^?00E>{`1w?hKQjuUxMIb<<^QMy$wZ(y< zd0eh!>PQ_I-X&XMyS^hlxXS7!lr;$ub5$x`(y&}rmneez_PL-w5>Va~IusznTci7z zd0Hg9HZj7A6k90ktYD-ByBQY(hJ@u$7@pw=khgdgB5yK3P0gW7hzc4P0dk-M^xaOr z^JEG__cRVLLk(m@#w6N0z+Ua{0K$GH6$22UntTy<99(9wRc~&d%u&PikLLglF&H0! z?tXL~xq_$?0lJ_}Ec`#N=MO1Od5J(jtIFK_m;5?}K)+nYz;J+>e$kd9Yg5?ls zFSaj0NdT=b@u^XUnQ7k*0Ax`zqv>o;05!ybN7c&1T8fxb9-lZ2bpWcgHT9JG1f`6% z36c7R$_pjXB+E_pnEwE{-(p`Y@W7$j>~{lcet0ec%0$Ue?Z=kLI2g8vqzT|*Y%f;B z>s+n= zblfZ-E9Vj=v4KfM_+m~N9G-amJYq1y0E@-+;?>GEQc4HEI6RW3rmmy!68hypA4jiP z_~xJoY(kNkT4of5#Vl%|<3G~d_NOKnz!_G%9b?uV&{k?bd9|*$@^c(GZhkZ zju6RA717{KO^wcCT}D)a4{geC7TFm!5pE^DqZg|07w&lwdX&c>JQ|LfafNXRV=%M|VYdlGPAF5=#MPpeBv-gC=TRs~2OZ%J1v}t*8S54U zDz$b~h~bn)q~N|x0kj#0_x&#MDXFI5Jz?t!)qK54*E&c|!~>KK3Pti6Am9_ey(x>J z1z5JqBZf?oj|Ob%po6uOUc&(h2n!;jjxIRr8<97WO9E&;h6q4H1P{hlPSWbsQxT?nWpj(l}f*gpj`)rFc_P65#3e4lM)fzWBgz;aA>QoVnqV@oFEqz zNjwj%Wyp zS*rU?;q(+2Ml2VMwpr$567FDoZ{t_ka{{in1c`#IHGBKHF_%H>1QnsLXB0!2iN5Sv zjxw&{39-fqSW15Z+-vGNhFR&0*1$1buB7zg0M%NYoNtT(v~xzF`5CnuLNBzBc-Wc- zKrv%0U9bXqz#_RIY=p=)ua&a#a7=~bG>1xKXx(lC)qZ=(HrALP5z_(usCkCsIQT|9 zfIZf16bF1KKzn>(u?q-(@IuEDG!;DvG*c;X5|0Q-dK^fx4?LFDFw*d)dgIG>JW%BQ z$!Q*vFCps)fkkS>+V9?21)4ZPM^|{NC?>@rWSD&caVuoQWNBVvhIla5c%E{JE>R>j5Bp*x+a1$OvI0)F_H+bl)-E8rOoQ45vb^+@yb0*~3 z`G_Z^$T2~XX{5LSBr2y5SSj384=(oNji6|GrUz$=^xke$+}$M<@18H0V*PbcLxntUA-*r#4D5( zFKz}4AsFLh6r@1X@KsF!nO#R6v)m`II1$3BAVb6VACd$a7hN*?1HIMqF^usfNQxdN zB-q6mh0%HooVK3ijYDXkGopT@6vc zK<)F41On}@x%VOMgn=9kLTP^j1G5F|DnR5gAof>)ZyW%6QzCU-5)Ta64~TKi*>K=lRE{K$ihul{1`4fG2k)>jGEE^Hj|Mz+1*?4ioFt6xDYt#Ntb;s6 zh6kh0(p&Eog$SigLq{y%Q`qFFK}{k3CUgZoVUc842q3@+FcU~yTn)rl4c%S$5cQ1b zi+HJs4-r2k!bbek8xKw_Gyeclnc?wc^DgOmZ;#AOcA8V^))pj+cp&a7I0 zxB%kMctI2>0UQ-cYjS^a#I#U19H)mPEySXo5Xa~aW27b1#9Ku2X22mCO zd%$UIwGgy$%V1JUvF0pbL{T)4>$uY;*$QbFd|*QO3}=;o;UR33vc=agj2fi`_O>48 z667X`c?^M~kv`RMA`21-bgS1McB9mW1k11i-$D^da70N&jr2s0L%AhM;-`!27t2Wz zM!}s6f`Dq*nWmw}VBS|WfJqCW;^8JDq@dyZjVfAHUje^o-$_kON4C}okj9`Oj{vQh>&O>+=W53Pfu{Ua)==QE-0o09>v$g7s7Nu>UzW^ z7$2_a&C9B|?cr-{FJ=u{ZE(w>zJEB!(C) zl8lvE;lPHgd_n`nb7}Vw_eDIpu(Y2~7-D_yHaJS(TCJW!jJJu3lEV$wA4_dMyt7n- z2vUtqZMFozCT%XIC=$0Ow2G&E56?IdSJl54IVN{QY)T#n27)H)J&v*G6X>Uke9jNB zeLT|(K5Z~s6nVIS(V@UDAcTYacwz;NA}ISwifDlGJO>$A2}vqxa?LBa(A+TS=sc-~ zCar_XW&j4n0RDN#8rq>i9M>)ulquU8cW7p$AZ?6UuiSwR3D7^>NtVF{N2!1wYrvZH z7=pCv0{AX4?#eL=BQSLhtZWOQ%K%}X$AgDP6rSvcL3kjc>!zBrxUIP=^BCk#E(^k zlkePZWJoe470s-csqF#sy}+sqN9b_I@7kp)f$q2kGy!3J7xM`LpjfA7@PLWFj@G=+ zg%pjfU;q%Ja5fGb<{hwN(AFtu5f&Gk;vr0;CUkt5AeLB3@Mn`P0L({f%n$@9f|Y6f z_ld7$ky5R53KvsCF^PqpIuK!sUpdWCMY%c4_k|3bQhy2oK_T#REbK`GB=Z z!>%t-*p!`~mk80ZK?lOLxB=Z+wDZLKi*s>S9UU=HQ3FN21@-3%2 z7wejif?O=Jl=v)|gy|D$wlT8SoKh$6tN;tC7=tw$S82`O`M@dB6T#XquQlf6QPc4a_edI31bnK_@5RsruA!us?xQ*6<)kXnQ zk`l?%>n0GTRlO9zUBbXD2CH~tA*KsLfxnpqi=s+H(wQVrnvpdeB(WDqwRwP$mi-Tx z^Arud1Aj0^wpAd8kj}XE1U=cG5FSDG9BK%~qxudsvkL>U;(M7xVojCuEBk@~0aC74 zg5uE&BBAN1nE;g&(|o=a$IeK_0%`Gl;qZe)P8GNipw#Q0S&>W|R4$o|5FdJ(;5SF6ozvbM@4B1tI;xDXD5l}?)HHs8!EouDlWSq)7O1ex? z1~vSyu?H=NZZ0!4%`b+{T9flPGqA|#b z&;Vcp1;y@7+z?=YnN+w~jRXjf^WFjt;XO6xLAJ@zm*9VJSQVp24Y&sx5orU6hvonc z3W%X(FT8H*72zBlktaw!FsuPts1obJ=O7isqmPl~xN#){eI$QF9*aj%J$K(Y0G(k< zcrrSK9kzkbCBzKMAzu*YjJaP1gnA70#a4htrj|F$jG-hFn`ZJ7CWky3WI(+QZh>=D zk)EomT%p=P5CGBbIDpNhdGm4W)u9DhXc@4DC89FgVw+kC6(;@S*pUNL2^4f3N8h{wX<|yk^<23}U`$s+7%XmrMTDmD8#v7b z(4m`JXhf+;OJv2a(nz8|jM!8|wbC)bS&%YUz{VwMqqh@TQA;AIqycLj2p2Yi1A&k$ z!_;{XZY-fdPekjBO(M3B^)alfDPd0>SdKx_N1E7RP%B_UDhtDi@Ef70X)q%>yEHUY zip^4rr&FKInnfu@j8o8Kg+WC?i+>C;N?^&UeFkl=7zCy9ePbGtWD%oHuzOVSX13XB zU8PX?aBU)hDi=YbV*dcO0F^2Z3Z|kGuR_}T&9+V1qwwnGR6EFY!d)(F0C+%$zgn6C zltSdNp9b_1zLNn*ESB9;WFZiC((v#&y}z}fDiek+$;gpUWI*wVLE5^tpv>wkR4qK2 z(yVwdw={vY1hrICfMIMZ0j1%$2X#yw3vf1-7tmW-xL}(e@HDhD!G{k{UernN-bZYG z^PccV51OBH7WHry8R?L?kSiNwFv5+(*DEVpiWTtAuZ)qH0a?^$APJ&dp)q_C0u?%+ zxdPvXz@AJyPSAkDQ{2bjf^;@G^}rO1i?n(07Q?wk5Ei$ zM;rWaIVkb{!|R<2!d%ctUe#!~3t~NjkWv)SR9y_{1r_m{Ju`p$hgT+j1Nvz`TneT^ zuxGI@Z|o8+j?@N@BHg3m{=pcBS)F!S7{Zynu85$(dpH*ci(&NQPWws|5_+yr6SQ~^ zwBnk`mqk(P{lJ5T_)Vf=GXdE>D4Jl)TtNnnPk7uog`hQq*Ng+CWIc3{@@AkO`!?JC z$Y*d9XZeUs6W7r8+;psq0bdIk%x9y>!UJT>TqIo$abG^M^G2x~6?hM3A4JjLURN*-=aFJT z7s=-bHCW=9N!P{!c#w%(E0aG+5rob#0HqE%tGf{cZ4f`WhPp8!CNLSAfV7KFCO}(l zhhnR1f|5d2tUCK#3sNAKPngJ{PU(63$EZdRsNt}S~ z8c%aLV@R%-pWhfU?G^2*_;|npXbddd2NZ=I9K2K*GzbIj$f;JeEqiWB1mV;v&~tP! zs}i||plK+2b!KfTEujxEVa`n`>l`M4B&BQQr*cRMpB{+YibN4&`w^MoOj$_sz4^tt zV1eh$`s0+bvZZ)?m?BMVM^9qeJYbTdMiHBvNq}hpf{$nS5D81s6l4b$%Sybjp1ff| zuxTgTis&~qOBFI+*-)>%3DZ1^W^h4SElW5ETHoPFg)XLv_;)W9=J z$BIm0rHkTu$V~x7>C6PPri9z5IZ_0~p3iB56wob5 zayq)gYD?o0r?v?3cxH@xcqhE2s6vO9xZZ-2KHO zjSf8eZbYV}PmCa93B*2I>k1mrb^1M=c9olLB#;c=;=*YbDE49lmgA47A{9^p@ZrhL zwcs|>3I!)rvKN@H9eW9S-`pf#Qnb2P*@3LW{6|;$jz+GkMwpz#d3 zMEmw|G87vE{RVF-r3`!^M-o2|!24cpMQz0>x+*On_}Z!g~YjI-x=a6ZZsN z;h;*Tt`ZWl5l>VjGj&pVU5Gp4{o8Pyfi%%b!QlD8k&3Et#{{zZ2xzVFW;w9|sWWhouNjBXbGX<4xI!ze1bz*4C% zf!O~5FiRbsx_$;6np1I4-R0bbiuA`EP!U?MzBm|~Br3;FNx(3mSRbn6tjiKPJFEsK zETsUyu0<1O?lfqU>(&~BrBw8p0NP?BqgN-RFa;=0k1+skABHWk#tdlRT|D7*IS~A} zSV66&pso|H0Ow>X7Ax@cjZ(q@wxz;t&Qv0gXRH9LO0>QGF=Qy)XkB_>hpB*4!5Zw~b+k!#c zC=fQTC?ET2fV#n(Bvki+lwK~O#SNcvHxQrZeuoV3$Rc%86@`X%dyz`7i?jPrZ8Ab8%Jx0?v}jhRY^LaJd#b zZk{YaGScoK->gXXSSHIeZI?TO-Tc-)D%BDCTr+(P5fjOum`^G!kW0$-Frbf$+d-CV zxw$tY@rBdt)&p9;VF6&AA9w{tAPO-(VZTN|h7exIiY6AOJ=!*o5iEHm%hWg~UPeT? zgPkVxqof_Uq?T&+9iOfsHE04Kc}1dcpqSxS2ayfjiq!t9ApF1|-t;SIn2_^Vqkow#TFoXh6^54i#w#~ zdBXq+tVp7#tT+|V0SU+69<|p^>^1$x+8m+4AtrgoE&>+xLl~`L4m=9};?=?}dUeDF zzR)%LV{VxZfP3C2$LXlm^yMLgEn8AnJf;TVj8yn@4D=;BLk8(cY}3JTLKFn}>jDTM z+3dLtX%8Bbi{!;uXdkuw#DSty=*@p6jDDNTW!wsi^>w+#eBvs=IrqQD-2O5}|MaD(0`OO*p3co?QQOwyF75nKmES{R8< zJvzD%tDB^@RNVvUW|9#v8twc{I7_LgNzNt&hUsn4ch$=rA$Yd}3?Xli*_Bs}E!S&( z_{6IP066rRk|&k`u$19+yu`u)P|+A44-4-%*;7S27J9{IaRW=ky~z`fK~hn1f&sYz zZ(onBD=8eL7C)I(shZ?$^x_f{r4S%M(Bj1fv^O8CDKI#vtpFD8ni))@Wendqe}1`XX+wpibBMx?jApK0iE9cHY0?3&HWY!%!NQi5Ta53;benA z5In1ihQ@K_5GyeP=rvHS&rUo>*u-gsP;7zVkz7P51qE>cN+acSSJ5Ii9#aj%LRSR~ zsn;2#WKg2SF$AST6gG`hG<=Lf^E~Bk9RVpynYgHksKoK%$N($5DKt0D3;r9w+}LFRsERxe=D?ba7j#d|XX4dGDKUn)QoTGlHkr&hNr~madF{YG)8Dg^`-wmh0uRyldB&S7z!%n2*Q9zf0*<~W6`qR?2@v;+bgqqz z@qmz5=J9lPK1^iIq*A|QeYqB8A|B0LK&y=u6Va5;7Hm?&Nt=Q`|B4kj8IdKAPN+=r*M)55TqnWRKQ@2g1@!~Z!Q$65U~tO)5ce@^^xR6Pv*>k zZEq|WPK{7!54Vy$dW;E07i*fSUZTjC0F0P zg93mDE|qx9Y+9&2;ccc4E!ps&*EKOmz`Y-Ms74BD)B0c`p{#uX8<4$hv`&||CB}m3 zJQvf>P!LJsg?Ds`R8F}&Q9~T2J0So^pxwT(NFWOkxW!=2ipHt?h*@h0$G>9Wz+<6{ zL$R3cDcDC6R)$ALKNBh48YebkCE|J9E-WAbtCz8uf@lLmk!3Svx&r}XAc$lqe%vP& z#1#&hK@9-t_U8Tq3RTr5&j(0F$pbwVx)gY$fSR6)an1z&u*Cy{QjcilO!5H@?x8VC z96#=^K(ZDT&J0i@L&?n2A^aM^3KeznjX_G_v_TuqiEF+599|ZMjRm~1?nw}``VJqM zum;2z>Te_HXeOWyud9vtO&*JaP#2^dMb=ac$D#!lJ>){ohu#3+E&$$+iwv8{z6$W+ z1E43xX&;zbm~fPY1N^~(K!<>*;BKFTl*wucI${H92~h_FU@S+Mq&?+9xX+8=#`?ms ztTado$V74ETV1rHk0_W>BG=YJ1|m(J6WQY_5zX=+I#I=8hCvi@F%})GRg4f0Il4n^ z?J<)V;p0j4dz5)7lt>D=s4h-3z@G4i_0EEayiJcs26zr72BRNKn_562={-4G2;UQK z;u99pPYc&=%66<0k!vOeHWyy*RF22qYbv2|sx^T?^V^-GXPr1*5qg zar2)^R!pYjXTZq&OcoA_HP{YGmkk(}$xrh%B2h{nt;KPpM1T(&6rop359w)@=q(Mu zwZRUd4~1yAhHZ=WLNqkR2;&Zdmq!dtU2QLJ+qmY#tR4rH%LBPFlp>30b5^g2mw(DsGe-X#}Q*tum^#F3wC(5%4Hd7xgTx-QE6EY(=D<96*zTU zS1BS92&Syc&@e1e4I1*`t~(9er<`&CNd_o6xJac-RNz#;$|VPb5iSdAJ};Aa9am0-~`EXH08=fU!Vt-r`i%1uTeLOg53Z zHbdJ=%&L(BnlAxMh`Vda0NW2(7X4e)O|tvXJ8~6n!|nGF^>2Y=NRHLOxcDs6EzBv! z5G)UN@?l^VN~TydH*B5alKQ#U-58ucl$d}W{u2IvI`5TsakFbNHJkrlr2fprL2 z7Hb}o=(O9>aWTx;2-PH*Ng(Ob#|5=^U%&Z+*VYhduZfk3-Xts^uwLopW|tk$JtD zz(Le2*$agztS5oWdMBcMe(92|F-`cpuk4)P~Gumury1YyyU5$otrRaIflHg)4&b?!$K(;8^A5IfpZ;b#$i{l_@ zhLNi{rbzJ<`Y>}%r7cPe;`xC#2y}|3Nnl8zMf`ZLoB)Cok?W%v5I#(s5GRBXGuMnE zDl&v7#B8|15Gv@E@?Bq8;cBY{QceB!k|zTGz8Q`ZeezdPo5TyiuMHb;@?gvY)sgK( zAfljI?r|J|CSeaMm{?8@^dwmM^@53z48S$!Y*c_smp7;jBQ#eY$P<(uRs!L|bxPms z%Hn6v0M!tW05LXDXvG(&Pzrin2)NRyka!;4fmY$8PL2A?6(Hy|DuI;5`5L0?e&rpR zZ0Nq67un37{hnN@DB1MWRIb{F(FBmMCwdOiW-2Q+l+*k8WG~T4Z7k)G9Q>L9hY~7 zkjX7Ob`f^Cpo(gOM8r1U$Fm@smIb9(+W5#6a|c9gfkF(f)d{Rc^d95rVikwFF1ohX zGRI)M;u#&Ya6%DJ%!x49dCc>)uG0dfID1bo=bRcVNbm&i{20kX@N^lr+SY8Q!3@7t z3@f!xL&ijeARPj|92qbPuFd!|V;KvCp#I^-(17l$B5^ZSHCn5HC9q}k2ClPI8#7u@ zar6i*a6PXS$JE9y$R~etpaC5Kd&Z_f5g2OI4@{s3!ek`Sgu#b{YcORLh4$=l!5TCb zu3DU@b8jT-=Vr2Q+3$Gzr<;(GQH{ERfERjK6CoJAZACe8Kv5OEb_Bz3zl?aJ?0CUe zA|*-YVsT4vs(by)R}efmp?6z8nive;FlsQ%)m2ahZamcRvL$?Gp6;s?>e3`7V3pxUJY#Hxv;>s$mH zfDYR1=0?pnVn*o=THYAQ5j36lM*J5PTE&6Ix2zk=U*Vug#=Mbf`={G#<-Oz3y7!zQ|=h zWpp4AC?0MQLSLA?6Gicq&V^M2r?kisI!~-Z1KX+-xRWWm4~Nz++X-;y*c()*9c!~U zYaTDWHfch|=s|G-@DWg-y)TS-Al=vu2g;XNrJDP>z^pHdDb1$w6r)uY%I*bWE)}e2J+3c*@L9(d(8G zePBrH+gh#Pv4tSu9|bdN2Z@dd2SPeJkGX(XE}r2K7Z{}0Y2H!MkU?*;CIgU!vh0h> zq*%{W2@It`LeQQ=1la+v&g&t7%TP1x*X9QA)y)G(@y*8Blu>bQG%zMs6E{H@lP~0+ z%AX7}5Z*DK;<3S10BrD?Kp;h44JWMFG*F2!%cDWj>K^fG%IW;jNs0i-6+F3WcC$g9 zgy>?26dWU_LI^-K)3h+cS351f*wF^EqtUjdM9 zW5cXYpwVa*X8q#!cSSoCTxe-dwMK>Z;dcI@gjF+<*tga2i#JtZ3Er zV*+;rwCc4kM%6d@Z4r1T1b1$t?{)7fDk;q2lYU(1V0q50Pi7G`O(UYe9P%6|p!M|3 z7d6re;4y$!pW@LmKtV_rX~GLipW~1dOb@2ptXbX${$wnaH~}Bud@*AvP%i$u-Xhyf z6r*SuJ%-gI@V>DT*#7`Wl*s71k3?{(q>b(HXPI#zI(EC9#0WJxBNVR@exBU3aT1Qi ziSO0`9+`c{6ExBqR9E-b7I4$y?6*03DhJq0h0u+1`2*pKZy>+>i9ux95?pT;#SwrX z2L;LsfSf|UMa`z;x}wYp69k`k#my6J{RU>t#B*?aF$vR63LTdkZWrI^K8&z`oxobZ za$vfH0{T~uBtpiB(}>1pq z@Pq1HGo!Eo7}~%l>4uvdj#xCy4gxcN@U39UMd0k-7)1dECa&CSDq?^NxFznf5}ooa znw@g6p&~pzVC&McRj~BgfY_Pe)eQB84aSVFKbq zK_byeA5Vr%*cssjyTC4##V4}+$pV2`aNc!#18udUl8iJWL$gFhHv*bl~Gz>C%KjwngKXoALOs6qsCD* z5~Xog$s;a2sFw;mqn0+^q<=Pe_nJ+J1rFl-!SQJw@-euv#^vq^S3@x3?kyRJ+r)dy;V*=Y9XT`yb zMr;s#Ll!VP`YjF%f*21<*R;V0moPf$%}N`zm1!;($jC^4Rm*LHtA5}l0H{5Ts4euJjykXeR))v-6D|Xm73k$M ztrJHU)>3Z>h~r%n%094+A}~_&<2qF^PU1zB^bC1 zB!ERYe{e&Fl%gSAh;n@ZAD;3dm=CwIxR~&8sSr$l=>ZAmn6bMc)JeP@gJnGvKw?rg zK|Bvb_cTXn(pvfqQZh9VP4URx4I!X0kr`fzg8pp-zH5#O5l-ar8txmDR*A}nA>so> zFLMTqNOM&9;2z=Gw!Y4WTY+}5MWGC4(MFQ|VD%_AiTIh=*I@#4AvX!Q6`@G33Kj=f zhtc8dHEcOXyP>Q}jfQ%q{nlRyibx7b`&>h16#1HU!*F0g@xC6jiIoJC-6u>;E~7vq z0G&q=PiwR(kU$}N2YMxaWdP~IS7GY~u<9KB1-mgLO_8EfHwsPg;vmaH`|4(ZE~%(E zT@3>IW|TrizgP{XNbzno1xkukn1muj%f(X^Xtj4d?;J_A-QrSVg^CFkYWgr()NaKc zzDG6$QGBOR_~jk9fmg$FP1rDOF)OGmJ{}z5qheHWb>r3uYt!yNK4B@pLtjYYs_i%U zmm^p%fRONpGNUC?Bia#H##l=N9kQ-5cw` zn3n~s5x0RZAe`B-L7@I+kgYk2kO-SX6W6yRlnvkRBE`PI(}(vqmXo5NZyb>@hqQ!R z^5QgO+w_icHLz*9M8Y^C*^KC*4{#%duMkL`vUyx0OJ2+AnWYT4C`(X9JY)m`LYJAR-$E{XR2%az{XhGp2!rnQYhYI_GC?icst85G+!pc5$neTPBHu zkLC$-2?Bp`RJx5n;HE=jRNgc?VUW%UEACtT#%_#f*@jLinGf}-A z#$XGu4pNFAZ>#|?BlKibB5(40bIB&gnZ1#)L_jVVN<5k9HWv!X1%JI9StzL^z(sOn z0(3bsvXZlbJ3lfe+PoU=9Jn_9LxspVw#nxaPSTeb0>ZX5R>`9S5ZiVxdA5u6Gj8-R z=9YPL!(AYvnI;)iM5z3H;2^l^;(Htd0kTWr){ky7q*v6C6VZkup}tB`zMPUkfOR|~ z;34ywJ(4ZRA&vYOndXQaxF}hTdbB?Ted)0Em^lrvh+U zZOtlJWdJNlR79AmoQvf3j;aQc)AL?TG>Ezo@EUMUNeGiQ&6<)Pvrh(!Frfh+nCt=fX^6?Dq#GRfa3t%*r%+QGl?bL5JUxMzl0lkWW|~V`V{a&|t74FjPLC35qh%8&EhIr88hL zAM|joEB^qXje~~Zfm#>LOoh`3EEGwOLf(iVG^yywD2Sn}(YTrcJ7ily>mD47tp))& z7`EcAo=+w?J)#Z7*>PDB8nkZw+}Hpp7j+-Z5%mrfZn43zx~iCIK=Ai^_MURls1hW4 zS*$?9hK(ASut5eQ2Z0)8TICSb-sTE`K3g8_!xE}f;jtW-;&M7SHbxC4iTQh$gjrr^ zqZl&KeorPQWfp?Im`6H|4nkh1w*jS4C=Garf@6&n2ViS{++;vg{0V^4(JvpeXTn)# zv8$yCPwV`~H|h_=Z;0W@`5RLT!6iMT=(vDR&eoiy+mK61RoGN=j!m0Y-ZMOj0qaZ0 z1go^DY5q7$xF`$?jtEjx2*X|Z=Mq)cx(nw3L;!=z(H|{ffn(rt#nx;UH@}WhiB6YO z1Ef|w@$LW*6q6J81qw8202ix?wmIJ=Aq^A zVEe!@g6*9q(8bI3gia6Zm6kTpt=hPf@B!6^@d_deg~KBSk5TCN`H_KzWw3<72%G+< z5R2Zv5AGBhb`q|au1pWBJSBaOR3myX;6u2`DhoJ35UVmJAFb6@?u4ouY zR?Z6IO+JRU@_QdR4ulJ*kmMXAy>6hMBWhzJ7>6mO#jvMNjj560ByQD+E;w?N;Dr?Q znv?DSFq@@M@FRa*()YTrUV5noP)5>}rN-Lox z15q3?l%deGb~l38umVBAc#K#gO50JuD=PrL&m|^X7rFq_xon~GLUH`Vr4)Z1KbV3_ zCyFBp7I)8R?A}M{((G2LJhLFW)NMmJ(DWoAV0L7HwjFS0Zv*wLA-;);X%&za1z`4?Y=#$&ZL zc)m<)go3+8^8kq>^%`U7v52Y9OVfB27p{+h&3~J1{MJ*o385+lQcv)y+YwtTadl0WDsqYp;OPmpj z{^SC1R3xV|F@+C!Q0n9&ZBs=Tt|72Cnz=gz917JoD{bEl;6{qQcuW@XIz%+$&WI+r z^~EY6Isg;%-csNKek{nPCm8e}*O^^9l?xJ2a{{0>AA>?*y1DO706yg*IMF&{NR_nE zIFlkEr__IO+Yzr~!xUBkq7RSs#E15_`>(Of5}JsYuxv5jSOhO#%x@JJP*oqUC~#C1 zZA0$}cwMrk8bsbZRn}F1wR3SQ7B75U8DMR~ENm;5k7U^KE0aS8lMkXD3^o{-g5h(i zEK2#pb9(JawFaW%Egq28um?~M$W7f~Uhx^zSg`6+Dp-15-*{bY2LT`5w-^V^)0{_a z+H7#h0WhZU^m9b|X$J4^DuJ>1c$n=8UXPw|g$0~ed+C(yXws6ra^ENe*mFz*S&Fu^ zdY!mqG>?=dEzQ9QT^1dF9xw))l+7l|f`HWGsl9q!+d}PzrCMU2ppQbqo^Dr6fQ#_9 z3Ydx}4ubf^Y7r?kJOd#2D>x~d1J(Tv_|3-2ryhkSMKf$2OxO=!;ibwbR+>LOVpOYF z2(cT2>nZs_7btC(0V}!=E82hovA{FS^(@ecM&w0`(WR7+%&yg8x&-v(tXnWqy)iKZ z1IgqFa{x}*QzjCLBmG&H7O;rCqm@BVAXTa3!v!%FFEnOelE`yj z+c||mMD*{tB%l(%`W&3#L_T#B7t#wyg}VNJo*%EZK5kXxoaA-n(safrO39Xvj!5|iR7fg?!{?Zy$gZ^wdfcd8Yk}? z(t66>$^8P%jZ$joPPFF0DsMPRBiKWz82FVTzNulG5K-15#v42k<+$a8UB`0Z8}MVs znHSsRATnscI$BT)VaXxvet>$!a6m`_;$T`Yh=zI8` zGi2rEqHcIcHv9bcI1+>tqhrhAz^a8h0}3i}#N;ac3`%c`0uJ_>tUqi<OY>n-@11NOc5x^69 zWa@YvDN2LUf4EwTGd?PFE~3GIu2cd-Kzq`-GlPIo9c1oITVbfnGXP$#vqvm#L59?w9* zo56P%&=_111G^dP(TI>RV$Wv%VHJj+`bp;>1R0`_llNN1m}zkFcx6UHLu3lkfF|{# zn|N{94GL^=5{B%g)W!%LKd@WLCba+UN4?_rRTk4XJ-uB_N5 z!&S$K#-SL?R}pIx`lxWh!Es2ugrOIl7MIhG2n*h9g`I~^?egPbthF0n8ff^-*U(@k z2Pk>XEp*yj)7gouGMEm6e9gtx%_GwLdSF6}G1(`a7n`%9kN#zJWQD^~B8F|qD>F?g zk97dpp;LY3mj|#b@R|P?!AVD?t#q?Gth6A)<&cx*qJ zwsni+5DkiT5~WWrD5NwSTJUht<0i#SpSd!mA_{p^(SkxdPTb2Mw!c2(612{anfr@V zqDpwMxR6x_XlH9PQGG}fpgWJeKYg>+RRmdytGnqIWWso3js~{otp$IVqT}7+{INg= z!=ZJ*xNHe@%fU9*;*~;06?(wOkt2kcYI0z1lmLf=YBxuW1)yV+r-LP};Lfm2(V?sX zD85Vp{Cy(`+)A)z?_`NYTCKtPK~-?8QQ>dy0=d;(`^`3ibz_ z5QgC==yOg}A0$nOtgQqms;JP3aVl2%SoCDHvc)X&DeTSQxecMerN~Z71bGVJVDw=G z+Xo*n7>=-z5qTRFAruPvi>R#kkF;p%8D*+kg-Z($)K;vpCa?$kSWRgUK+wk9b zgi)2Mx|7;xDXf7h7!08HSi&BUSeBBmychQq0;Nac<#ZPsaHn-qq7HBt)6Hi@+|*vDu*2M6@Q9Rs$3XVyeS1Q2MzN{gyn5dFu1B9y^$fy){&82|#4s>Ox? zf=z@Su0Z3yaz+j0}UuHN+3U7h8xao=-XLvU%95p~Qt@Yz4x1g^@9&tS4K*%Bp zST9EmxW1|?h#Vg{sSdy!soI%gRBZ6}hBP!$&?O+^(w{3>agu^cg-mB@5v%ZUn98*g zU=V@(mAC|o;?GQ7>Lf>kJ#GLRg`_lf4zmkZk&@PQP35qlJM5I}^G*45e^^1!aWBA!}k4g~zDQzH#9B>oDh$(0T9<{4T0y+$Z^=s+%j^Y-JwD#az zKC1y(<1=RlT5&^##0BkD8*skNBpf>^Ph)~k`VdaO0_L%2ig{fw3tIN5-=+yIJ;IWR zUqa{;=W;3-0))kA=y#W;DIvAMm;0LtyaE*U%43m|sf{FwB+p}9Aa9U}987^`sCaL; zEneCyyKk$J5Fnud@4aDD8MPqAF=6aV{d1=s&e0KRt7)2rZU&WjdJh<(G9%(W!I$0v zQ``H1iHSwVO-u;B0O-I*1fzV@1E?>8pFL)e0xRV57uH=G=tu;bm^c6_Ix-Lib2c!) zFj>PDcZ2ZO6flxt`y$*=0u`_Q8ud(}^4qm1a;3W<9#CHmz z^YF`tbje|OAGjnb07U_a#z5$BI53~2#Qn96?dy@s9g(w|0Aiqn>sZuE5)+&ps;=EL z-{G1F_F>#JdjVI;eLl=~hT5Sx!OeuW_EhnTF4tH!-Y(fE>p?NBmO-(zid|q6z(#@l z?&3nC4j4Ek(}MvdC$Yy{5L#?mrGOH7rVlBCaJJX&vv^V)o5^3yUYmu(y9pjAuqQ(| zofo={7IZMWe=}(E0#Oxa&Y)3B`9M2z_cugQsT@XV3Yto}E2xoN@qy7`BQa~Jb%vdQ z{6D5z0yU)_Tm~*wpfcd*(5P^7Jx#tiU<1hSA43NnPADA3n)V>BvX~}i2>7ZgjHxGk z#EO+kumQpx94RMaA5RkoErF@faz621_)R+O{V*yNt(x;@a}4#etd0~!k^)IrSZDyW zluLzY(yDB@bk-1;S{nwGnII?_H>M=nob+LPsyIP0VE%ZRo#wE}b66#)mc1D;?zc$0 zFcV;xA1Yv@!8F=f2j(GEF0{XAvnV$4>Fi86H3%b&EjHcaalWub4hI}uXfmMmUw7sR z3spk@023P#NNjk(0WB80J+2uP3Fw}V6nY=e*uL-*&>EqRLc{D&;{M@jqmS>c;R zqk;g{KVR`MHqFf=R}Tb%UYL|3Y86`daZ$I|fFxy3I`rVe0I}W>Le5}sj({KL05Do^ zuOQ^LN84fKF#@k;Kr>(#8cAi36V5?GmxHyqAxjb*y*Zl1xeYZaIo^2r9TQUGB0`EJ zo<|-=vaY_rFb0nR)OmEoM*_{OSB<*JZ8O7EgL=3?st0P4gm6w`8x|mzqOzE1wIW6-`!I4^K>+~c9Epr7eWP?Z zw~1JlOAweU8x2ISgMeZXp{Cs(To&{bgbdrzwo)YY43GsL-Tt335T%qLu9BRXMr^zc zaTzocE66Gsc4JyqE!@DEfJQ`u;wqP;zuojO=#X1$(d5q;Su1@{u4~M(N%+0n=M)q< z18YAVyrX>74@>062=HrL`ZU|`x)UjT6tGqh<# zSxH(#nX^P9g^h$JJ`aY`Fd`R`Z%3v~F#yr9Gg=`>fNlMF!3|Fzi>hMkq*Y}YjI`Ic zU$~Y!KIWl0F*YkEA2I}d>^fx;EHsdo>lL)LT%&u)Y$O+M-*_H{H*6o@S*q;@q?CZl zDq&cDGl2v=6BZ}Rm}$8Ohm5=}ghj4|?OaKHB&3NjQ3hFU7D?>$i$Rnf_`wbU$}Am2 z6WoDRt}B2lSUyE&u_DBcm9BFsprc50ScTTDfNfJl?-HdKUIp~xAW`xk(~FEc$WOOZ zc}I#)XcixtbQTBr?Hp7OAl#^JDq_q>UG0eOxf6Nh5}_kR@PGB!~)^>ioUQ|mMy9L-@HLafoF14 zlp*vqM7wRZ!II7RpZKg7aVdGJhi1yf4ryOW7z@P{WA`?Ua#9ummVDL*KQ*@^wx2h#< z36>6&(H&%K;1mA<5AGPn;DJ?An2N|59S8f31U46H;NNXPQIJL8nCr|y0xgWjws;yu z?Gj+-2%+Hh)x)n7qd&7Kd?66Y5nQF~FbTTgEM?S!=}TW2=+)4UoIAV}P)sC{1zq57Ez*ZjIWh!Q zQK${NlHdZ6i`?~cEK4&`aR<|mO-yQ)Kj^^$bf+*ngvKa1hg>8rn`%7rTn4SAkON2e z5VxoSs=kv0hRmi1w8z!36;f<<(*Zcp3KohUF!5GQ`3w|slY>={uQ>!bqzP34?B*#J zQb-DdCAgFpkje!vu?f}F#>>ClT@ylaH?m_CRTZE;gWZ`-AY1*EJs2W-cR=%v;0Inp zy50!SAp(99VuhFtCLEM+&JOHV#Kn1$nj%hB))pi*-xw88U^M7l7ob&7T@SYfM!*D+ zPG2(wG>HvISo|`lRE>Q&n-HF#reeBDDZ@dH98~+Z?XxQD;Jl)Fab7F~$n=y$#AiVqsse_j-Qk#Hma4c{Iuof-_Bv7+!)xjIH2;^}U(eqqaEhfAhQed`x+u@Zq8Q?{Wj1s3YgB3iJ_Gh|zAd3-l zcoi^0={WkpP1FYX8ja11;&^z|hmE3uoD%)+4;gE=19!|1H?hJ(qOu!3Z`K+R5ecZ0 zn2Z_0NQsGzNlJS>ZNO>8LM56=DU1<-_H}E783e{8b!+Q4skN!!=8(Z1LIpn*#Ee?f zw`3nqUI=+=K^ctDn9A(b9;OGfBz^P-MNw3TmTM>izh7L_A}rFLp5dE=q80C#z`-h( zgUyxw$tpprC^~u`b3-9-_lcYFJS61S@eItfyxWW!kn_Bs7!v43KJpPT(^Nb3FpzK@ zH3`?&0>q(TE`xX!XapeXYOYX&n;FLE05S=Vb5grLW{sk73&8!(5DiD&qGVLH=}yc|tP6k$ z0p<6GyQbBJw^%qdjJ-Ic)ZGmRm)fkco(Cv0Z11`L`W|^Cc1ITZDAT8eF%LEu*gaDXVo}HoLm>vrivkPn7o+^aHFkDKcXVknBj9%w!>uwrFT;^2r9;aU1BbT-^JhC~4W0M&AWoH>fL3W^PqWZ=?Z z?JONp4%*eY&6K~=L2)>fWMBqM8_Cv})&hl$8?OPx@qtN+f@PGvUpR?}x1sFIqQNZ_ zO~WcF^eHwt>Cnib(NHj>#=8CWky=DgExUeW-*6AJw-CFt-UWR=2AN9N3{pzY z#lVB0mc9DmpoCWPxYxmh5H=d2pEn4L_5s}1ovT~vsHJTQ_a3HA^ z_{7?PMBrTza!_olvzUq+sC_VE-UMC`A%Q>@xI}rI_>sf=yQuRPf=7|bz{!WT zIw0u0Tn0qizzs$%z`UWqx-K~8v>wvA4ds?^LU{EsBI>Uy@LZ%?iZ-A4WKs+rn`?rI z00-+w04eII7|VpbU9+k=&gAis>qXcTDYb(gM_!vRw>2l9Y%P; zbg56ED6L7%s;haTdvI%?>;g4xzA%xIA;ZH70%0HwW>(2j2BpH}GqdR6Oreq*7U#(P(>-=!3TI1V)bqe)A>9Mm1M-6Pu$)D&$FTd zi?D?P607ar81Y1?J4uw{3WgAk$b@#VWL%Ax&F}QYB49y8?Nr7W$qFYi*illaCO+x| z>L|74$&;EMk1onMd;z*q)~_64z=~fKE1O2cVA;KVF<+3_5?IeI#9YdvaTkxgSdleJ zLBz)-qM{EGk-1XMT0nm>lsF^b_*@0s6f~WRV{Od0%b-72UvI3y=wGtIVVQ#XuI^O~0#~4wnP*E)+7onA@Z(lW%jE z5S?HIBdhl}9Z?W*dEyvEk85;`bADWM-u!r(Xm(3S#|zTx`H|55FxQb7H%C0-z_B*{ zTfxGNiH@qA!FEJ0me4K~By94eFfN3!3a9MGn-VrWG6L#p zhk`g)55qMke98vm65yl-!n0So-%MOdm=CXcMGbLQ{{TsVU;&MA5O@2`C4Hn>vo>ne zf4L|Ggncj>p+lwk-13Z-1ptabb-zpNgB1jFMJv*nddQa3^l@RYiPfx5p04 zt8X9&%#kcDkevqtn&U`Bcx1>0-|v$3#yT$+HEOGX04AIp-CqV9u|eBZFPP)I%tR-o z6Pd3aX0&MsqWQ!=Nm4Um?=lF%OaLyfOJnsIzh90_97v-^g#Gb~qG9eHD;(x9q{2Az zlPq8qA?4zjg|`FQ@_V^ziy|clPlEuxK@kbI^q9wK8XP!Hgv%w$!nsiRIDTNBDGECV znQ8}#;RStS(vd%FQ`Y_u&y|wm!V+L4Z zCJ0hX5DAG0yFx?YrU1-g5FOP0!x_PsOw$aaL4#e6hXk!eXNw0VQjn%7NkQzc2Y_S0 z6m&Q!DwRT6F%xW1Ot2WO0t>-(OrgETfxzInRUsO8MrGz1ujW$`a!&Iw>ZXpv`TVG+FP0`g$+$b0Tn`EAG2C6h#>(QIK(wY~% zh9u!#c)y#^P#$H($P_##^nY;;z7o-B8PP!?72Y|$66uYxKSx*Sjn2X0UVtElNoP7%L&LtKot-0Tbo7X%Xj!z0X+2 z6sSo50OmrXP;{;+&VAIT6m}1=wFSikBPC$l69F5rMGv&$^C$`k$C)67`aPbW(+Al> z$8W#5@~{x|UEWsujxSzJX_*=a0*W3n2e>F8RvBADy$=TQ+m1w1dDMx50d+|#v_DKQ zHQUbPTB=ll(yPS4s`%6M0cg=A3Pw}-FwhY;gmneT0{%T6jFe%iTzV9XJPzVT8Q}a!u58sBAXCLN zc}OG&<&zDXOF!#}tcg#8iv5^1IzO?-NoIlDxB=`_G*_qQY)&8TFglNjxWh$TZsR7g z9%JtLi9;3AUx6?)q#A|!gL$f;7VbGt1>nl`GZ6^-#n_-2HY5W9*P%?6-^FRc0xp`B z$tHxPg1)!M7+yxR&q`!f_M}gYSTRy|-oNGspanPYc|oyOyl~#62lFKneT(!DtolHg z%(29Y*~UQ8iMK^2>Dc(jNg-=Kh9JoayM-kd3lr6j5G<+$#seESK6YNNZ(MtgEV2stk zm=pw9297b=bCHw#Iv?gktX?s&BrHi0;y<`1w7(8S(1Z@Vm5FXpRDsB{tthGL8&!;zblfN7#BXhnYnXM2D{!Q#|TwbnfZRM?+CtW6Y*IF)0-48C?zx zUzWbH&Ei^t&9s6yD#J!G!E}HnEpZJ>Ji%~5(6u7-R{{e*cM)MFJ(xh{Y9@{{DQQ7R zfrWN=b%v0YdP5p2+Q1;{xZo0ZrMcyhOA0Mh>59b|8U!El?+Q_3xXtXVuU^+E0B*r@ zfGGWcw(mQ|J}^c%fC4{m0JQ+d*6@v-HlL>rtq-trWJ4Mvr0Y%?8lbc^dXNnpt!1<2iTh*=1#EciDC;10v%&Y z&|-l?XpqG*2Mx53@$GTKp$9#Aq3C%`dn4A;K6`N92#vx2U>(Mi==4;({AyF6Ri`cjI!M=(0CW# zH3b61W4Gim?vFxI;8)%rP}pcS`Ek?=o43SfKAd6r(0Sb1BWN&aC}P6ZK25BY^^C6v zWodv*MK)^3+}OuJT8H>d(NZw6+SNOAXl(=GZ3pujn3qS9xeRCk1S=G;ePMHWEw%Xb zj*T@16@af?A$MdTy#kBx4$u)44V1*16ao*u+)28x?b#eK2*q1GEb?VYo0*`CBBWfyTV=pYOkI-Nt#2gF6qqOY?Do#mHi zEoh%g)W-_C(~9KCXhHMtGaEGq-wdrBEzob)7Rrjpj%-DC>*htBhYTBOL@x1Rku+Sj zT35?}rucCvHJ!Mz+V$sf4rLyZAm-GSnW3ZklI{l)0G-}8$9MW=@+|zWNSO`$96}VX ze{kt+Y?uI2B7Kf%EELgVVMgHt$V*<#8U=*~Xl^PNMB)OJa8{_*ETIo(@|@5HgH2R= zd&I+Q#0{W&Wk-~-0C*3qEbW0OfW9)(qQUFc(S2elM{p;k468I4_I%vt92s3;qR^P7 zMLS==tawG!Q^-k++v(5;AFqrdOphoRbj8UCpo6L00-g{*otfaj>Kuf_$ZpWzy?HS0 z=OS8ZCR4(K(IMb5PT@Y9aBr^}FUlWmbXPo#hox^-;9xCu2MVs&1s%~V{{SoN5w9+a ztnQexrmv&u=BWaf$P&vSJ0;H!}XA5w^rkahyleqzL-RMpi)u)z~l54t@(7Y@-fr`CaR5-TVH zzJ%gmCL3|an@j1MJmCSQ6Xn}frX@_$F?78gXtxwKfQ6CAyCc z+99%f`))^aA~x^LwE!AO{uUXq$JLr#4qE|(-d4a9w5xVwIu#WveUI)yi`BnOCge&% z_`+ll^{%};$wKW-VC{X=&U@WLArwDX2P+#lP*SrLlolL60o>70T4)?V%_B%Pjja{l zM4$`ZBH^W`YSKsakJtDxag4t2qmq4Z04aH>(D_CHGfPzU^*H<|d7e;$|j!=;U_A-(Lumpc_!a}-gE~ZOG z4^KuYdpdXCAp}Q2I;TKkBt&3w*#qRt1Xfar#clu`77rEL1A+DfPp8%ajnrTRp*!Pj zAGnj>O#C)&!PreCcA`JHChW7y1B^Jc<~Qa`OG?}nXp$01n!Th(j2|u&2x$m`$YL;t z!1<6Mw9}_W(k?9|6aN6vm;nt7yZ{eG2>#O)5(_2X6GVcN-4^1FHV6UaI!_p?barn5 zM!h4X&yVu9^_N z4vYZ_q}yCYW8w>93zUo=pv`7Ccm<%9aX!K6M&L4%yZ-p1T7uV2=nq_Y7I;ccOTaROo7~2W0?`1MN?qdI3``JkT9|9FzQXg{S0qW z0Fu7f6)oTEY74^xI~zy`Kw^Q(jWnm`awJqxn;FJp?YQTD1NR^zFO>MWD|?J(-*5pd zb^yALZHBoiwYU;7aa82S8UzUy9dO1vNIU9E4pJ(SkI!Oy$`EKp3CAi?0_qd4A{#C^ zL1774SMTO=2{$*5r^OqLY3pKWd=DI~THE$Mj9haep&=-6T4^o>tmcz3;*cmc!*Y^F zvta41&b*>u`79SrS&7 z78}NONRNkS7=sX2PekF8fJadDVsX`Ys^V-Lsc1#XEvzJe8!*Cg(si1PBIrG1!h`~m z52h0gC=d&J@f;E`^Tn1pO!T|~A78o1gBwy|0;*2!S&C>a&m!uVjvxwMex6*jiycaO z9>WMai~P>iY>nz;lmV$AY*7qaLfdiaGRHz~Qcy5+G%w;v z_!MZWmjY2P0ScRM%q-OM&qZF`UAG{UgQh{NFF&pUKCw!~2Ko1hOoarGcPs|ALs+*}>Kv=7G|i17tnh2+L05hv1g@M1-%6h`%4LpC4?iM^k`vW}2< zxgMvJH7rUs_6dr1m+$Vd01#4oFPW7{R}gopc(_kYAZq^rPGqO(CcKDbiwJ=*Nu^uW zLtZW@K=2`etSgUu03M?8aS~IsY8p zH_3cu1xTV0Fim)Xd)z%OWz!;F2fcI<+Y2a}d7%JRx8g<2hUGo4&$wm$rHXslD$%*0Z zef+`Ijj$7iZ;V!$8Uzn11M?P&;A$|?Ojbf?8Hdc_yKR6Sma#~FVlcCC8=G(P6mxwcj+0B1lO1F^O3T| zL4iOTP`fTedm=p^^Fy2IGA$ig`}cE znh1oA2d+Py%A%eJdya<)5T!V#B&LUp2SXcreGRT}q@AD<9F(HP;J#Bkn<(uZadBPzv*7$$&w^cUVLQ?V_CC^HyAb@IC*lf;vAQ&TL#R8djrb0y3T zMLKxkCtj#p57tp|1)tk}9x*0zVFOhC&zVHYX{Jn7CzuPIz645uReL>QSF*GWeXcxY zO>8Erf|bR^+!IORjE*t+L9?e%nOiOxgilQ%<3LY(1$g81$qwK;9!IsG2Jdl9eO;rMem&whF9c%f>NvN)?bK$uieNy^ zOS6H^=?L*3u*Fq~f)F1069&tpfvTsbC1#*-ViiNlg|yIX>~D-g18LVh4)IZ>;oULA zso)?G0OiPgMcSwpDepIuNmmh|Ul|RfHp8T9h+gXpASD$ce+*GjV24T8;LvQ? zooJ_Dcz&3OUEnMBV5HeTnOw;50A}V3S%L~k@L;njVJc!4s*FjBQ7R~_N8S|TiX8pvfZst)2iKBd2oQg(V}rCues96~hS~Q6W#DD~17H<)-Qgi> zU=Nomq*~<^2?J8s)--bk4vXaaVkWeg+rqdJ2n~aa8O}W=*qF3E*AOSQaV3;hf>_CG znx$4tXnTjeB7vh(`W?<`M(})t+mc(pXx6DpzOh!Lz;Q^Vl!5GOzqq>r3a-yU$(I-* ziG199H#6|kgN3x~3KD` z17lsUKr)b%Bi&8#^_yg(@Gd+Vg@`Z3#z6ae8{*`M3|apG^fQPF_=kXdT(H2lppL=( z%Z9=uKNA!NCeugs_nQ>ijXHQwMi2*CWGU|Bpfw7AtA^4?x%p#hG`xke3 z2A?beJv6}9^u)Id4A(*DN1_hPgtaiYOM(XOso|Z1!Q>d#vqKO@h(LS19?0lk7;}^6;y3v z5NR@ZbH0s|V%BVkXf~Sg=8CG`%HjqV3MGdY&;e(Yn3AS6VA4kxL~8iz%s@**QxpM7 zLjDh~P^rZV@dXUL97Ttxg~ATJvLh%v3j9kqi(8;zpwK1&YLOi!jFrKsnt^ULvkVVp z>1bfgB|}GTiR{6GLwW#~m|qhtY}u6}4{3Boa2l2a;Ar3}Stp^plpDKdPj+|aGhhQ( zfd1yCa?}L!KOYzZ*dHP-i!tboMOu1caNvDUuiqGi1I1bUJh=^`0ZBv1IDm?vHXz_Y zOn-4i!vIKEz~-g6E4x>Q3@DxJ6-W0S6&!eZIR5~0SQRb>my72*CQKWEPCm`Zs1QAS z!d!Nj=e%4>Zw5?N@|~a$93;@8*pOQvPmFK7y_FKW?@9b)3y{>HaEA_PMvd!E-f=hrse{i>0JR{>Hx+DvA|*v0 zGZw~}04g2{noT5HuVx|WM2DwV;p)L8d*R4uT~m-A{oI9D+^9s@ZMn%40~3m=a)r!v z69CF3Kw?yK4qRA;h`Rt*DNSMtr1}#k#JdaCRB$vyf-m(>Tq0Y%_$M4k5F#}e%41Q< zT2TK0rvh*>RVIuqHDazf_XaHs_~pXk5Fdw%VoxkO0!U0YQahoqwr6l2cv`tA_`qy{ zP?w6uA2_U9sf>V}!=%8Th%ycquE2KiYBMJrZ4XzV=hRq0eJkc>{{RpGkVL=`){j2d z7bFSdUBl?gPB&Wp6A+~g*dNE(zH@eY5D0W|$Wf{OA@4dYatJ$sVPdL*@6AIHEWIKj zfe}Kp9!~+pNPHX$6k49cSPd9$m^Y5fvx$_+Ik=L7`p#=az24W9kn zsW*+Qd}kRzS^k5KQ-P=QX3SwhRd&ahEd@7k*lvbN?}HUs8}xdl*^wJEqQ+GD+*+XVG(8waf%Ep$+|tCA0iU0yDjy5z`2*7v zs#{7iB-fV+0$J?sQrv0k&QuRfij_zL-BM!ICnnM&?-@|&0XVHDDvHfCE4O~JtWemH zR2=TrUlahvP||wwZH8|FPbhGv1FxklbWtWtT&{!oZzJ3^2F1{5wLzttdLAs`E zg6NF(s0IqqhRW9{q*XeOYeq;R6Df|`=t&z)3Y{Lbye@Ad1OOt{crmlbZ-6-BMWx>) zN)Ky@&Vgdh7#NP}3rg$xfSa&K1{G;4*~jY=VX!=0G^VgH0F-_Ezz7g9+Pt5*2$ZRo zIicDL(!8&+!{{xblZFi;K+%cNQl_8XV>hzMABs368x%S^Ke;Mpl=0~gE^W3xmuifG zPBI>me{89ALdBxa_{dO(!aaxUoqe=IblPM{G0;vce7s>g=eUA^@q|H~=qIBATa~It zzcKd2s85GiORcE+*CWeo+?@_pus((WoEK+8zz zRzS>Ro0M63G8i5$OHe(5gAxL-<#Q+|0%3vl(~SGIc^&rCAxl#|76hIGIbA{Xis6}UhE-g$by>CFI zxl7|$fLNi93M2}_R8LbNx=}$6=KG+SX(F7L8#cC@p*UR7K}BZ<^}&LmKnu1{&w24u z++MFtQOUO!`y{wGO6TL62EPgdK3SkP0)(0+!w7Milo*cK ziFvh+ePjhR4N}{Lpulz!bz3N9crTuzV=0;em~`4!2zpaw9hx|M#@oI(PEJ>yW0s)194L?wX=Vn71AIFJ>}jU3Q6O81Zl zu^YhQhym|-i)fhGA$~~azU?5})+!)Tv1#kga)2yZLMUV;?WVvgE8CR^M#_xVRcdsT z?lf9X(*n`ufq0HZA}AWCw-8$?M{FJbc)+bKJm7P#LhhBA6`-FJ!6qRa`{J9yhC-Ww zIkN<>gM~+%Zyw8?fY~C+qPUoyBUxwT8A;{zJcqT;3eZ@_3O0hCy%;y0>l%6I=*n#4I897f#zWG zHP#K3G1cw`uknmjD?AP+059nP;0u?Fotk$1b{;OM$Dm`_$(p%|SWhta6#<<35w0@mG%$4QJ0 zY>pCplT?F?X0!uekm_K76ap>T*Y_;32vzWOGkDchIi$2;N(Id^VJ$ze*9 zUs!KegF$XGrDocnfM(r|5CAn(+leDuFZryajHcjk)xguBiP!DqGP{8vVYp)mwSw$Y z`M@L-RYW`|yh@2ODg&zG5O||Z`m)>>t2(mjtImuTMaoG1q{upTN$7hiq8za^xVBg2T$@$O!GvgH7z7Z^o@ zLqKAFn4upK{T$(hyhc@1bhp57IuC}6^|%Tyt?rl_bE)I~xoi{#e9)N{zS;*R1 z(B@F{ysmAeUpYG4OMd~)A&9TbH0HD^8LTuR^_dQ=A-DN6#$8lg zOJS+`F<6v}{{XYc#|27#9(oMapWp%q29m+~azdpM3M~}z zg`g!KI^)|H@xNI$rkd7e{QcR8ZOo*_A zm{tctnpvfk(@iH_Y9%62>)Gw~k_B3wn=z4)VhYJ9;sXgRE9rl6=02CeMg|5e2IWc| zIh?@Y6mYn3#9d45o*WbOgrTC=Wv7twJ>~ASD~bF}ZMy=gY7$*I1i%wi3cg=#3>lS$ zl@cAt_8}e(hDs}9slf}+Mv zN3vx=qLY$)F>sBARKoO=5|AFu++nN7j4CZAu0f<%s>gU0W!6+tXp+}l(Cpt|o{S_A zDQa*H<6sYhOeHnnmEM&6#4J>_4Wr43QUKZi0N!Z|?$w{%)Npi%t`cO>6fFl4tf6Zo z2NrkML~Zn8q$sYSR?{j}k$(-o6UH`rFOX)rm4BXBCu2{Bx+91!qJ_|r#7J2h+-&t! z(Nq z2#4C`h&<hg@N|EeP+Ots zZY*DP!aCa)V{y5jriTQE;FdUrQW$Q(s|OJtq0DTyAeKW{E((;Vpg^ zO6+Rjf;-{>UIW$u&uFSn%!Hw7vW~J23y2N|AW(WignDxj2wEk>K?8;}I0BFlpA^Hw z3Ous@VKTqE2^)h&MBAo^#>s)kMpEB6H8vR-P^ShHC33>5Iy`{w`oTH}0*$9^^?(7Z z$O?gr)CRUR(j$hGn^bV0YD`1xN!#IlGTSDQAow1!=+}@4U8fTV5T5{bEMtr+4W+tM zfsMrjIt`VV)qo1Et2hn?pjKKqFPAnz0_?eOlg4?f4Ho5&GGy+&9(NiZiLW)l3d(p! zLBP@oE-*{tdK?OTNs;ZR}GJVbd5AO1rzjAl*&De&YsEYSzJyVGJKo@3bjv*g#8qto~$O4GUS-&r?UE zR$xD*Q-2T4MG1WBUM3c6QNGwk${{tQ$?8nw-ADlMXYMH11t0`Gk){xU0!4OE-vb{| zKqWr7q7{&Y7&s>Z;cBi$8HDT|dyWE?@JGT@@PbReTx2KEc8{{Y;ugLalaoB>6mBvj{*EJW-hzWfB?i_i>y zF4rTF**4l<>o!Kvf&)MSrWWL6z+=JiLOsOo!C)>C7D$3V=LasLs-AG_M^b40xv?CfV79CY?wAqT6>!7BPq^%QeAd%6k^#T6;tE)jP!E$NL>HDQ zaJU1!Z`p#CTEoBv(=*E?H6ZrnkFZLbjd4{M5VtfCN~MdeB$tYXeU~AiUhk(HZ*(`K zCkImS9aGo5m75s_!{AU_qWBm=#q2))dAOa^h0FT5Ruqj3?Oa{CeD&BlV)tEG`%J!6 zH5#Ci#7|5jshm$sLNQ%Ec*;@*;wbPg2i-#W9N-oe87E5G}^S zNB|qD8-mn?aNV%Q2r0C!?~E-2X>Ny%K^34iG&uzx5Nlok01QB(An=Ubz?GnNL7p%m z5{BOI92^QLgI%waBSN+`he75jzJZ{(a7;}Spl%UGh?)#Z{K}hUc47cZ9b=%n2qN@T z*Njln*2-iM2(4IDgZ#!`>3(=1!+65h$pJWnxS_G3yDlw3H_#8Hn{ju5COI)I3nc!W z8Cs}w*&OBo9gk-!;MGKTWbcd&P&Jy~_l311u-AFdHXx|`4D*bdB>iIah>`u9eqsP5 zhz{a51b+*=g$!ojDp=;4RFv|VM0P63bcPlXo}^WoxHm{?=Hlv550+~wX$+d83x;6$ zE`v8r42HKUn$)VoFMxXBRu0{TgrKT zn8Fth=??hIu|~m$6jg+vfi|MI9mP8qlJJ=!SyTMjTxAjOzZVXt_D6-@BAWdB8p@)g zhtH#%!KqRRDfMw*P$xpYFefOV1I#^{Sj8f2R}#w=3cgxBIE~N(pc{mWN-z@hSo11GZmz2t=vvUQp5qnJ zK@ve7ea8rqU2E>*nFcbNX6NaO@a_^nOfBw^q$lPeqx#lSFHZQ&@Z+9N1_R7yg0AEl_Bu76h1Wqi7#6t;*Q>J}}TOu5~{;7^4o_ ziHRmqhyl<&Zve^;mXn}mm4kIKEq`$hfnY-6hF$w5)+9!UL z0irOP7*_+*y$`WWqb7YB3=%xMEx- zD$Qcn8<9}H94aBP^QKb^Alm7_#mZSg1KZW~U;#mQf|*=Gh0=mACK|-L< zq58SBmhUzoQDzHQx46zelLJK`n-F_sw!n^;raA}+iJ-gv&9DSbHnI5R@lve7(BTZ$ zXN1~~;Q<9*GBW8I(jFt1lB{5#Q!2Nrs2FqGR9E3K=We=Jo9`?28=w=IgM`Q$-RF0_ zB~|Sm#Nc;GZqai~dK3MZ%p!w^K@~4!5R}%$o>0Ac&3X9|PP#4;RceFTYjC*281yu_ zdfya0#-!z>5mvB-fb&sUcoPw^!6-@4$SjptG^Wj&5*(U*F+zzm{FuUJ&{(p2Tn?LOu)3{v*7r#I3BDLoqD zjV2KwJrRA(g{A`Z=traL00^O_v(LH47KrE`B6P=_=+Os&kB(BhHx#=;J623D?Dcgr}w1_lMN6rv+mPL3h z>+1+?&v;Bgc=v)v$w)KoFy*UKu8vcN5KV+t9tt_Ix}!+POvqdhg;#nUgtp=#920}* zGztxpxEsaL(47&a9>Y0s9ViQpnNU%DH<#8mZ-l~*zRm$6hK8Tfa63z~WmPN<=`6x3 z3^*c!d^xITEZ2q$I@>8cl<9nBrJ@7RrNKmyBcx3aW*9h}>N}&+i>=9CF!6n47NtjM z5kt|%vs%;48Er83IGm;QBUlG6xg0J;> zWspx@6UcpYgBr?z;yFRKhZPwv{bUJZSI@JIcToQTS2zcP;)-Q*5~D`r z0cc7%i3`iy>ZS|f+GV1Wnr??1ohw%cm>gkK{adfhNhs8Uwt3Aeg%Jm)HEysi_TVTm zDK}46nsSM$X+j=+;4Ljh^*a9K>65StgWD8#bX(NIiZ<__{03X%BA{N|_bd$C2LgYN zKsHwWA*f@7BX6aZnM0!1o*dkF1=xSn7+57)wUj{C)yfn*8qn-@icx-wdu+h4$cnr_ znV^EZO3X^FOF?;qej9fUx;7IOLEGFX026b^#Ki4_npzCn<6GRc#zd>K%Hl?Y;lI-j zY=Y2#7I49%w2$PX_;N(*KMJ=T8ca0d=$MG4DfIE1E*eKw0vHg=>_#HwjXgqh&ICni zp6(`yra&Hsx{tg{S>$OmWZ^mB^Ssh3c0k6Jh$zu|6u>?rXe@jH z{PTf+B;!0{64OS%G`VVbbh#VdBX4cqQdG?!+i*N53<5&%6#k)-cxwjOsKsVD7Gy&J znn8fUNJcZNp8o(bqE5BNOMBcG7X)LL^Coel3fBPg=ClSVHj<&90orG`Dncb&_bnJn zhw}dbrVDvUC<`fy8S4;T0eTpSf&~pyfs_7=P#sSd%WgKQ-Gt@6#4V^mY`#M`O#z_~ z8fMC?q=$f*CZMGVo=5wT=mFDU)l5<3Q4vC4)&VL2TS?W#3ej(gl>GCW!?3b{q4yRA zDNRznSB3%K0$okt#|2{vxSiGL$axG$D0Iyo2C`NrT0MK@*9ajO0fZte$o`8W?wUbJz>e0@+9ui~~UyI&We; z9FB7VD0+Wp2L1$em#%{}g$i&t`GsX;^tBX6)2r9DKA2&t2$|eOr=3R$3c%sm>KWk&M~{un4iuZAw~A!Zu_c~afL2rI zW&;B$fo!l`BCx1*8G?-n52V|?Zv+$$w^tP4_0$5P^x-s4y;jw2n8Hww#X3j9@rAUW zV#PzQAQqEpY4LR0SkI>bV99tq^i02Z9fD@`L)xJ03#D)SIF z(WGa|yxdGtvEioy92GTmmAOM{rueu;?05QL0l6F@fCiAXy)ip>(0wwr>Lu?BQv<>_ z;(&GUuW63*!7;$>P|R}3&!pHemm7>9W+@&}P{ysLDBBF8TL%4L?S&=1a=55e-{=hv zP4NQ!N;qP=J}m1XB8dJ0=l2kuTIdXMPEDur+5E>q$VCk^^q8vA(Y#tr+&8?4m{Yg@ z16BRaBT$2i4XxUQWvf$be^tc7Bl>KaQNAvea8#A(1G)|H;DI(8j+mr&mK<^eq#6;w zt_HwRDk2`2*@e;o(O`e z?R@1R?UG~G9$z@?6sgZePgo!c>X5ZU`+Ve5s)4w5UbrAB7_OX`icugvV+b*4AGTx{ zAfjxnN$+_h2(=Sx3dG(Z=fI_-17+dp`oQRb-TXh9R6Adpok3y<@avP#z~=Gh9n%7s z6`0~eX2gQEeClioAX1ZZi{;&OqJ5uvZ2coRV*3;4L70}dh;)2=&81zr&OGL%G= zZSnBAu&-jfCx`O|Oj){$Lp4AM2`%RQ7zrS@=?~1Xdlvry;A5@iHKR*;JmmNiE%enf z8JQ7m+430HMtuPAa3L*mtHJ@0C1H!xK^Yv|Q$ft3vAl+>=x(*ay5}yjHY`O^-~4VSDN8@W)UE-UyGU7%dC1DDpwV(gf>g zvlAus2zFEqB(ZsI4Z1O=gZ96zBZ1>Kd=~vKA??zlBqQ64U;@I2(N_i1LJC)+U>FBf znkMDX9m@&}Je9~IGN$j1Fn!_YhhiY3uXu0~A-a`Q^VTTPT&l#7ass06exoS?GOS-P z;4Eg8nr4J5(ad@{$k7yZ63lHfmvl(s;cz332!_yX34qb1S+@FeMz>%=@Xkz)UAOZ#VF=lQudq`XHHFu-ap6QuPKbSB%yyB<6}SS(Wq^L( zQ!0uzt9{2Bs!MSr!Rf*Z6Z#)l5W39?qk5aB5U$`<{uugzRC0JzCZ%md&LS9tRO>Jj znt`In-m>3-fT9wY9oVq~eKADJ*zl=wQCSawrUOZ_jjMnJtfSa@%_xx=E?XaR!DLUY z94x29p*$uv5?8SGqYN@kz{-!2a|!88u7eaOd1xSwqWIm$A8C)V4{jP zN)IzY2k7(bE2`OJTVaUaqp;(&h9K`*0E5XcZ$U}zSij7o7fTu^M-GM4y8YfKNKb*3 z01-!U`cs3HCYwYA`EX%$97~W-&VWf$|un7iHZ=VehiTG8>pCRQ&JP! zsaGtqC}6vU!47y^a%pkY})&p{8CwJDa~+q(oi%#RNnjvcoO- z)#`vTxQSIRC<4v)UoJpp3AfGNW5PCzx-JavcW;koBx~8@kqs9})IBJ;bTfhUN?xheT^6!$1hw_!AM;p8^0n#FnOn(L<(E2s`_hH(v2)51#XR zP-ghci9zW4dw`rQwCH#oK?Q=L-2vuL1+dyfiTmdXq$ph^#CTOu*YgP|fEKfg{JH| ztPSB5JDOkjOy2dCi1kmFYANRV&kHQkOPnpgNC-E&vEeRoy07?g14d z+GC4-lY`ZAlWD6_9Wor0Iv2PejMj1B8X% z+8_l&voti9LaDVo34XyaB96{KWv1dRf~ZUiKi0wky&ykv!Rki7;bWv4>6 z68g*0{icq~F*uT%&LK;(^AEr|h2UpZtK=~REszOTWY84!N^b?p9tWQ8Y>*y6>1MD? zO%D7_NeJq^v48yC)gI|i(nx`hd0L$SdEL~F5hGbdE1k%)=5j{NnBDy?Yx z%>o^@9}_G+zyrgOYa7$Dbhsk=@pE+(>BvE}k|5(f-~yTqh#`YMJ(Dge5e9WNGXBfQ zzv-H_SC11GOP~iT`?+W)<1g8%mq-f4B!4oFWN8Pw@=?Xp(7%QuA!vRBBre$~1Ym9^ zq+Tx^1KLK{^b8XKuFjZ1fHIXv!z9HuKtNRU9Apz1=|`!Ia0Mb3>@ivZZ4j|5F^bHo zDrW`5CSVYR>5V)xN&)U;b{>s5l3@^-4fQ|`>JUAmr`9KXI^vXb3Z~EJvnAQaZz2EmXOw!PSwDOoM7!iSI^ET5O92IbF z!E_vO1d1rQj}KQIKFS-B_1voE?zwM5Y3 z;%_E{mT7QSL5f2KM_6N8WM8K~iJzJ~71zTuC|}-18y$?-prLMvVj74SKM60~A7PrX z2ZwE*@nL`&7s#$etqV1yV*cQoFam+ViA;p&MWgc2Sa&KN>GVGcnqxoqqN03n;kDbWeqDCg|HB$i|pb;+bf-n zh>>64+(C<$BQ@b=6*@$Xd!}egBt`8yCmaXV07|ac1i^Lh!4~_F1fiP%4p%+@0N4`K z9ivM${{XRY1F8F6KCnb7tVAmF#JqjJ z@dZno5Y$S-VGXi`_8AMwVsq%h{1r(*wZ$rpkHBqXgy@`w*mcOVfH(J32my#J)0zUr zL*uHv3_A$M!Puft4nrOqPMgIE1tjsx21u?;8MKw}(S}73@ytrAO_S^3#)b?r5*v{j z)$?5R2+|{UywX&VG>?$;JmsDXun;UWW3%SBU))lqAQ>ue7zxu}KO9J*u{MHLCLtM$ zB^4|^|-m#Zxeu4skKRNK*-iE#y9h-IMCC=_YjDUONoTX4`K&bW7n`-V2cbc^r#jWQTP z)Ah+xiKXciuwqGkSD#JB)tgP;&Hpcywh2D9@d*{I@kd(Ttk zKY`W|RKe_?Rv4v#lagCp2y=$r4Zz4$B5V@!7!V~-QF=IP)xHuD9BH#{es*itS{3ni ztgD7A2?kVMJ;a66i3vBpOh`tB18Sx)chnGEGIdz%Br(HOP=tr7Vi55cpv9{|kr6hy zWJr@<4q_n1S?R{JB8a7v8KG8*I9l{^NuN0P)G^42b0I8ps?ZK$I?UdJdT;%??2z_|(i@c>wQSLdfjtFC-r7r;MgmTdjkhApjg@8l< z05yX)@CkA>8~DpG>1oK~@l@!1bfzU3Ay2pj0#J?=-sU#>k90udI9fYFz(hkGu^H7} z;h<0&ejLT60s-AxpSU6+gyQ1f1mWJ6=K)FGxWGxlJ+{LVLO#F2s&Q`%&TOeCHP@?xL_fE4p)P#5jMniK+XxCnL7Iba!WeaZV~Fl1Nl zc4ot8_{eFrH2%HojD^tPr0)R;2N2Dnh^Tq_vB79S9u^*+X8?+X?K)x*j-d83IkaK{ ziN2`_tG^h%G8Fl4b2_BCqiyb1LY6L>N}ey5rNHQPHU+(W?^DYyX-iGmAI1oyeI`dELM9n>^H3~QCU9gi-vAq*L}vs3AXq-x;HO=hC_ zcw9|R=Su7tSgl0>A1CHhJ%6F8k4<)#p#gDA12Q}W2;e~6&FDmL?y$UwNK`sF^VLKW zzf3X!#AtDjCandeA`Y+x@!7XWc<3f3@Md^rpK+qJW2b9=+p9>>)_o54@+=bA36Ep%9QPwO6;sNO`#cu((e87pX(5Cowe^z@h~6 z`JP(^0W{-%;|m+jP4(LWN&vR85ggxuqXb>dK&4wmo@FqPAOIZ|Mal~_QRH6zOi87t zq7dVVDTK0%sAHu{sKFA{$gDwXMB)X)1)QOy#PyqXTk*&_AbjF}0FUl(dboa3A@iEsSjQ-j5q-lx*o9;2B$`Z z_P%lDP*eb5oF;CqYF8uWgAE#?;?qoZa1RE9AxQw6L(U^K06Hfh?mE{Ak>GVbnSRx3a(egM(Eht@{j#4*+u8gG|1v~~f828K=%8?oa{ofoG z<+=odKvNlX-@!ZL83FzuZ4w4_Z3Yx%To~c71>~EQH!2t=l z3l#N>1(M8shZ4Z3ifVoiFKUPm`-kQ(s|mjt?3@-A+9nZ!P`Lv!UvTVlt$wZ#)B=>?2E|3OHYB8w`{tw3~fRPUdFqZ-zpFT0MbwM#~hjGbt$G z?FPEhi9@>Y(2dFotG1!=%L*Ao{lyex7L>ver?WLp4CY2eeHbJwYY4Pf3tZJA6UV$j zbPLZ8Ld(fAlVA4`O@jsmrBWjhZYetlG!UM!;@MeVUFH4B0d0)2aax!gcAq_Cp{adc zj|}$sLKcg|C`{7;so0*AD#DIxkfDtms0;0eYzR%EYPV~JBp@VCDdoW{Lo3ooVhT7M z7~l6cfH zQf;Gs&&cFTUMw!;a00cFgsKzV#8Nws(9Qs<{{WD3plls-xbVlfO!m3Ff!K!5tAPo||2j_7SGAu{% z-nkh@O3(-Wac>Jj6gwY0vBfiN&O&WoFu+APh!@#_uvrD4`qby}3{{g@YN# z#4!%fV1AI`;0X3us2#noKPgfcM2O&u>fwJA1_T zV@pJ!)*>zhgsve1fSr-afd^#UZ&;q`+r6$J5)nFlkoe+H6d|K!a)YbqG@+fxH9HPs znL0spa;RDocu(Bx;W27-ai9_pl(%@pgKmIO@s2jY6ltobtfX}{ik|-fo-rcSE9Ow> zn4{pd2jIA~VmBTd#8bfKg}cCn*ze`jn^F{PH+^Be2}HNZ2e&v$D1j+Unv~5OCgc23lL^RuGB4H)X{`fLeQNnJU zN70Wg5NlfezOlzMNRwN9ID~m-G@4!j<<}9pmNmZ|zWz!U@ZcD+iv|l88mJE?WyBp9 zax_v~hGdsYe1gAD9UcbVsR6WePFQXmDCMzAY&t^Zm7}c!tc^2aE44THd@wI+!D4{VuArM3*R-xvS|MR43>%ZyTuVQT_WSM+x!m=bBbzT z!rf)WR&JL`DTb}e!{v}V=8&CQ=uSB2?F01o;j*~Aayb)K0pD*==1#Yl=*l1vV_Zd| zLVlYA_Y4H7fY>}7D`TZ+tO+f*Zs(I3nF=zX@rjbQ-$LPlk+_OPLzF#BnrmAsBE6Yl zwRuC9KC^A!C!~1Jwzh+XBH&8pX?`OCBO!qT?Yq+hQBd2E@iEA%g8B#r#tWF%!D*KO za7QE@6StLX04y6zwwpouilWA`+l|7+#Fl2VhSx#*rUMNZ9s7!?uL*H%1=m6;EBT6; z(ND*>@2pT_fTTv`Hsy9&^4A3eCZ{>)6mbi$I79SQ;4mKd71THY4>kGgD-)uyns{zE z!M9UamlZ51x*q zv)&)E)Jru*u=j!*J?Nb*@ZfADLxM0-DC{4X7yv42J^uh6a$-#~pDK7<1Xh)n%~u^H z#+l*3!X>lEfXPG@R0{JcHBgO_HyQ5``%s~2DTeY84j?C2Vx+633QeJCKiy_gKZRzTh(MRK3B09f5-n(%b+{FFl=v$70QPu~s+%x-jex zNDyicDn!jmR(R!b4)dr5=?{2|1X?wk0(*0%sjGPGJmGC%C3RF9;iB*CkoAUZEtCsGG4nc0sj2yh8ubREXsHFskx&R|C_TRO zWB@bOq3HFEUTCH>%}r3qm6efMslfA)=z^O_l-^9|0$K1}N+S4fsQo-;r7Bi0=CNs2 z%OJcm6k5VyBI=dV`H5Z(-rNbb*6`o~N~BPkmB9xNp^0e^OUVV|KJkjwoL9r%4oDPX zN3PmoLQR%R*l8EWBEy^jK!I(1PHMHdRX!6^=?b@iK$Y;2G}?hZ8vvyTzT zxKuO<^~`bUbD7SHbh zoBking-ZUG4a#jEwzkyvXNcm}hV|0rvoI1-Mdkv3?hr0Kzr%h7!KBm;X<0Jn))lUX zZ3SotSEw?NB34S2$WEfW*Ag^Qp*~b&fz*K~?7iyZWR{f$4z+GRpo9+rrvNN6J`r|i zvP>FR+%Ro}T`g*t$(1`;nv(#^9(yv$d5K|7U0dybSG#gh<_(X6E3R3A&PveFTh}Vl8!6Z{=u-!L!_=QXi zRcB;d++7H3+#HDQHqwu8x5h_68kGkd65=L`gJ0>(i_(fi!1JA(YUxWZRuY~HCZb4S z8Ok{9>_g@iGa~kL#Egyj2+= z7z!auUdtPS*bN?|r;iQ{_#471qj@lETi1i(R})1AMcZ&0Aq@-`6&da&!3e1}Gh#A> zqeV<_poxMI5JyU{94M569~dHs%FuyrJ>UU#7LV5{HF>}sz&wRszj@46`e87UT3F6uHTcF;O7$FC z{sf}NyK!$(;fhVX#3t#=k85|hG#;5;~j@@|R;ra(jh%9BVPTx}r; zqK85EiR!@t7Nga~(ajm?z*@7Y&EE)N&mSKJa}f34f=FZ42ECtV0Eo!?3`lu1sjo(f zPiu9G0GIkWAPlG}1;}AGShn=^dviu5VtjCvAw$3=#8WKrI`qErsjEr!X)vtxz&HDs0>$-Ybj@x9tgzmO1;$Rz&}{JMmy$%&9f9pLMPs4$ z)WoP7L4C6x*^kURh~);8jE8C|>wU9$W7SqxMDr06m$mI#F|x`5(5j;8xImg_N5S6zbu@ zvTn837r|)m2{`(gxJaD_KbW?wpTUH5DB%shHHf+pcwZ3;4Pm1Pxa} zhcp~91FMBF?h-1oXaEm>+->obMhd6*7{xAXg!Fxwz+4l_Fs`k-0~&K8ki z-_3B`CMKm-A5K-IOyyLO_IkwvWEu<)5%q}|4Lub_B+=&t#x@s5h67YZsK-ctV>kx!eckU%N3ml>ehW9}XO_ZzTFe1>6 z3Lco`#0a)6_NGcO+p~v|$`Xq7)-utxr-+G+Q$w3gYn#W6!9XzUO+ zZ!`kO!St98QnII$!>oYT>F81+@y0ef#LjchY8j4!$Ws*F0zbnM(4YhFrkF-46riP$ zTnb53m89BYwpDa&B6_B1&mtS2B6KrJ0ZFNJKeH1FpmF~I`8;KS6NtmWY`BYo^;8UN zNzMF(zVK_pf}q&?HHxmrpj*B5;|)$-4M-~5V1!O`+kjax5S$?Zl4!-Sz;;!A%m_9+ zM-2++nKN;oB=e7ZKnW{o2euas+{Uwg$+8|?}Qp)xQ@Ue(Z;D3FNy8%u$NrK4q?^u>5wAT}*=IY1Cx zii<=x2{(M082jvs?H?7zh8q@rwHa9!1h)Kt^vunJw(05;#6p#z6$6AE%IVL?5gMsvU3? zE}%$>wZRL#BP}cBHjFR zV!=-ZnCtKs2OJ09PJvSVV%&?!EcOC*^?(SV``%*Ew1iWwFVoI!TS}>pqh$qGw0gk% z@`MKnxA7@68)$kwpSYBri*yc` zDENBeF%%k8_Y=HeF8bvpBkqh%Pi2k`jl{d6kBBj}L{o+)^@|Sy60lpd8EgSiR`z2= zfE1+aWDt69@6A*2>>VX!Cr(& z{#9~pG~^h245(_NePC?RbM3gK1<}uY#-dYhkidG6KRb;A3iA?v=ja7+m%!LLR9BS2 zwlwwZ;fQCH^5ugSDdF_x!E}iGI#JG!ZFQ>H=A5pbe;dZOA+k{7iK9vA$%X|9^8Cgx zrCn!kU#E3;i<^D_05AaQ6f93ElD;}wd`RG0s5W{q{_6=C^uWTF2BriNMOKCaf&*Vp z0*IkdW8gnGO~{op@R5{6*`oIjMAx3An9;6 zMXvQ?Vrap%8nrrN%%LIe?ESzm<(}39c|{V1SCvG;P0>Cuz|$5~d*&SgxS(snn<}Vm zFkf%1NT@BHV@KzDx60gSf?kiF(*ZQm&FtpNh)|&8!@VP1+AY!rA3*xRh_qyl@e?Rz z0Y*DGY!$MI0kQRPgHkEPQxqU82I7xTc>>ajdF>wYAUux;1z#BjOUpzIh!Bzn{y4Kc zHKgg!KJW|L2d5!FaPXE7Ks<~p+=!IUR;Xo6CutZs;Qof&Coq(narXChwd@sjRiF)sfh^6X$~y{ zb0#;$gGfA605a4=M@Md7Pzhb-r%Vd1CbpO>#s*H2rDlrE)lXFdvOJjVv;rPk{mC%{ z(fqLKj%^Q6afl~y@hPDuTu$D>FqlCss5~xhVYEUXYO`vJ(4+P4GF4eTTIT7DjztZN zJs9B47vX#uYR`GDnJJjB19-TIVGw6@I>b^njDgp<GsS|Y#Q1e9Lp*q1Z_Q-=#@oteX>hVKlSsFfu?4s~UgEV4xzB`!=WU2CHduM`8vDB@J8X0B}Ii8x?Y&=bWk%34Bw;JbJ~D4F>Bf zT#BUH6GE630U))C^hbkFBOq;Nji{u?hJ6C!hS*XXCFtRwjT!^Kt1qH1afc@K>dM zo^c3h*pNV;FdhM>K1b+$;%9h|xi6NrUCC5%xL|elC9&&xCrSDok3^LW?jryit zD3f11Oo8)@K&f~6l~b?9SOoWrY<+{l-$lhGjKj#$Pgt5+sRc@rn4Iw!-LdFA<5N+j zqZA3i#8cU>rU;6FsQX=ea1$TFy8+5U+@jW^1{#)t?m}_(h!P0GDZxJQ(-2Xr6QP>K zC>%V%`!E8omyg99*wN`2N+inLj7~eR(d@H_c&$`h479-5*n1+2^`-7j>Mqy5MSI*g9Gw*;s|m8N4uKD z8ydc$xM4fMBAq+2#70%|g)->)1XgzAW4gTbmSVBKBxHez)S3~`3zb3{LAF%Og>c<} zvo#T=^C3E1Yfc?0Tm%atkB9afM+4kgud>YhI^3LuuGUxAECHi!2%D3%N#;M!tF zJAgb6BTZD2C!C{Rqdw-Sz`xg6=|(Fj;m3N*@I<;3BuOP4HyTY8v9_ljUP(L*3lHO_-fxUXw{aNlk*8~n#E z&;l~0jus+a)7gk)dO;`olA72L40J_LSr=9LfhMeBO+PS@0u!GIOk)g>>mh?psM_;b za1*o|zxv}u14V7x>kwE5duI6L67a-`LO1Vlf)C5qgPNu#QKLi-p74WRN5fJMS{2wH zbA2T2GJ>Ws+S;yKG)-&nAV^~Zt9F=!ZE*-(?b}sD@$K<|2xUO-`(G{~2nv-4JP{Go z=sUbdwm&>#&0<;DW|3{N(bn=pyxs`tOnSpvJ({?ZLxMN4G35>{+NapUr$Z=xPqYZ@#^)(29k;B>4st0 zpe?r=YtE3VzTB~=`+V6X}HGEpkS0#b?*Q&Sn`%ki5FW0hEN*D29>h$ zkB9fpdg(*0+`6c()F2lZ4Gl3PKgGmK@(bS@eae>9n;^j625)L9spNmSlrU0*!^Z}@ zWdqrI;JOHg2_oLZ`~x;OSjWeqheequfqZ^pL?u_k`dmQRr^DgJUN9t=)0SZE^z1Po zQw#u8Td`*c9zz117*g~umcFJmCm=P^i?P)9;6)oq7YUAX0*U0l@J-@0w|TzQpV94x zCLl9vMeP*13I(L¥{ysyP9pnF?)jjEZ7no6_}juuuF>zYI5mi$H|nXt)s87b6X; z?DLf~4$FOySmZ7H^;Gn1Na^bHj%Pd(E5v&V?iQ3= z=&ch%{>H!=RQI7Akkv7GahLMW9aB{OUW-9!oqXC&NokIV=8c$_$xHbQNyeT{VF zwdtV^=l3~;JFk;9d?oec=*Z)ZokGmuVX|hxDrrW#52p$o00N`r{Kpa3v}i^dvqWm8 z%{Rb`5(_MFf-V7Ku(vB=XrR+Vf z7O)HW<1Ah4Q5>h25*YyORt*7G_y$<{8}Z;=p%oe$i$)wwM?fV)i7YYVwuF(igM{a7 zJ+~4)xC+8RN%(Iy0oHh{@Ans$u9yjh94g9@YPPNrA=2TdAo=6gJE4T}OT*p3GMUu- z>Goi(Q0$MDUY7xm%G??ZRPIN|95YV{uGM4SCXe=_8}<`AX+Cd;_~eAl zX6xJY)+aE$8&x;n3m6BmvZ`F%C7aTH1J{gT0jq$mAXPCf$TQ*ej%iRN22(~vMPZ7L z`d?VYbYUf2U`mAw-B`|HM5KPy2%_b~(DZb$`B?q6)}s$>sAz<})Ljpndh0EUtcpz31DF^V?u11T)RofTor z3-DpnQp2ONIC`p zh}8HK+FV}bCsc;&aK{B*L?Tk#eqtn$B6`4tnM*JavmDCQd6>8gFUOUY(!$!ib}kmoMJe$C#HpQW&+sxd%qTP(?ZL$0w`V@wD*y}<_Je_1 zu~Q#U%n3*^AHxRcq0&L^$V4ur4P+N204{+XByf6&o(~zoS6G5a7!*m4g|}`|xRtN? ze&(S1n{`nu99V@8#JhI5fPhdA1_3+3;se8*Y3WQQfy^XobX(xhW_d@I(8vuhyaI-x zmjOzMvL2()I6Bvh88%13=PVG1?DP6!@?)`1Hl)IXvL6qvddX8&wY&acmOyP&%=8!o zCG=ihKJ|tT_5Bqr*0$^)%!9MhWlM(1s*~OUXi%%> z8;gCw;FqCPE*P8h6Y;%V*fA&LcObUX4 zxkG9Zo-hZv5l!?kG}=iM%wiQsHx+Q#{ezTf5ENB#zYH{Hi2$lbRZ+G%X9Y!7dk-m_ zjjHUYFnyIvCw%Bk=STj}wKF5&3kSUqhhy3<(tF)G#_ zro%0@V6KtTZVT1i-S(yg6+WvlX?ia!QquwuRUy+1^1M`SP9Z5I$lNj9=thF6$dUMY3xF;-}ziCnH7Es9f~ODV7+h6E|m65?do zAP3=0D?t_joebGLh56)fmn(iMkaE2_Bdu`~)H7nB6ZGbWzO_ncnn)=<;=!c?Dzq~M zw!!X(0S|I6FJFvkSVoEBTgjaYK&Nm3GKG!~JH$432>}cN@LkO24|q*lAIzabAjM`H zDu7Ry+i)~4$9bumO-@YTV4s0p8x1*(n*q;eJpwavr*VeVl_1OsniPbRo`U5A#ntw(!U8NS7UsRoO6HZJ91bFkx_^CZC|yz8 znt=eV*Hd4Zkqv=cR04B1M;fV4#Kk~SHF+?C2&AQj!Gr^mR4pzEDG^uSC}7tr4Wl3d zmMHL13wlf;0kIq<)-I+w>(6_W4tXfOWFy)JeM~wn65U2*f$W=ff^muBbvs6VrV#HG9c!c>zbcHU6_*#qzcVd!~{Sh z#X6>1S4%>(Vr7cK2qXA-=Hm$#T5>p*u!B@vDVl^r`OzRhm>pF&rv~JHBw9>V=>k8- zCLpbTZ=m9g4M7A^6Dfll;*;CT#+x-)dUT#!f?#SO3S6(eV!Be%E{ zYY?tX+9!addFJxd@m@M=GWQ&QRWJ!AqfD6xG$46qW2=$2rupLA9;Akxt5-EspF?Mzlh47B7W zyA?4)=NQYp7GAz=LrM-BMns;vS0N2Jo{^}&CyZ&WRh3qtdkm(@{9uW;qm%|}pEc;X zAi7E6oR}@rN1Team356}uE7lx{rsg#gO$eR^{maWT6}Y+7x+*uvCIC^@ ztIA5g{bJ+*ek4dXt~>IfPtV%pB9KVzVJ1lxE8oJKxVrX)(eyBv6(Li&K9R>*P?MQ> z>gI|mQQ1$4xaY!;qP^I|Dg=l>cJVP45Gzdnwfl3D25Phss`L}jLkKu>R*0w60ea8ySJ_qAvc*+6U6a{h;$HX-I#w#&;h_bOaTQD1pS2Q z< z&gpVnLeeSFJ}^TBF%y>zB#J8+SEaKM;$G^tU%ap1@aprZHNhkyZHy^kZ2 z0_wN^kCz6)w_&jN4|svPsQ??g;fqwU0C9qi!jh4w0D3VvM5utEa*i7lAhdi{JzUyV z02Qw;69F1MNmIU=l4B=8?+yZZ!cn5J07K2%7{_iBry3a|gqTHlM*|cR4F|B%%{ID4 z2cdjp5@LB5O7oZ$B=Cd5Y8kmG0(&?h8WZqNxESPw-XBD3#o=)vg4^Z|eOM$#^@6!b zz7fHEsuZJ|C1lh|7spJZ1{za;+z8{IW1=@KPm!cPUf}|WDGp&&Su}+7JX0<+RWM)H z0D9X00F4}pL{y@v^iBceB!{`Y*dCL(km^XwphYp^F(~)7yc{tI1c*bRoLqV!#0xu{ zC*H93-b}YeR{Km;Rq7K~3ciq@-oqRSY$Jyur9=s^oPFQ|qTD$GQ7XM?g|+aRExN!}&2GgHhpZf0%+BJP-UHIfBX!vJ)k zL(AP@(XxN=SmzODtq}hJ4BU3HVeqy+m_|Dr3>8Ja53IR2dSc+HQGXLGDVysZ54_e6 zp(BYfC}6F^xbmtcdsp|?4Rjh1DEAHyX%-`%ABH~DN3fi`$!&xqh~faB)@@XWg2N~g z3WB~B!By5DO6K`=xQ!jBYxcbZ0;+6JSOm@4)>BtkjXBY)a^4yOm zRTnh|zlnf{M@EK+^AHLy-t=1IGP=J<7X?VR7t@y56lVM#caSI$p6c%r6%Jn!;h#ML zeHpAZGuZGjN;FQF!sC-lhLaGTuHsWAM!~NZi2uflPgFOYVt~!gf)Q&M_Kol&76g4#b`#hLL zsv(j^G2)h~Ii%p^2rZY5_AiqF2a9H;4)G-Fw0GoVZ8rqH_CB*|5-@Ph=SO($ zvA7>_J0VcQfC$_+xAe%BAS>yRSW#X=PH)x=0t@WDO&DZ_XuT{XVT%3k6B`!5G4s4& zk<-a&v6w+)7~feY#DJ6in8mcA%k_1MWFYPkbw$AHE&_K{KOb183RK>hGP$s>)YYDe zp9=}ojzMO@jss>s4geArGgU3&Sc160HKM2A%oZ-?;+Vi?StK&6A%j4=B`I(iUV0pW z2rzy5-XIL1ho`E3;X=mv-4Ru(F__3U?K zT~-7!T_A9F!~ldU>l?A1`DIBU+xdcO=(|E<7Ad_I_O)`!?xJD7fEV$H)|3zh@Oxi4 zxkW^LE&MP95UCz`L)vDY2!^-~?HYhj)0%|>SYkc;xDR+Yf$|5lJn=wCDWq}!Y23Ey z>734iAVnsl?qk7420N6vJtC^@O(*UpD7A&afNrQBKbVD)q(fgr`I^lz7o2Et#uQqV z3+HK$6NL7O*G$BEKr~;#F(&PqNOb)eJ`#Yd9b;}==P4#O-|Z4AX$(+HPzH1-wh&!{ zz($drePh>DD$NRfVS$2)SR%O7Fe9z9ADKAhW`KBm!U*i#S@Pe0Fd33;g5>*#(_avt z92shz3ZdD2I4|8St^LEG0NM(371mo>Ay{BM=pt!4KDZ&LPtoI!Um!<5aJWfgsY)^n zfO)E*#`(A}Ob-e^M;}=nt34=3pm6fFf9ST$pk| zDBedKH2SF!r(r4bg4EkrfbuszC=XXX=CCRJH2?J5myS ztKwiprTg6r5@{et*&=O=^$BGX>3(HIhOLFru4@l8LIG_&OsA+A6e6dN_|2mYj<-U- z&lsYI4@@BFRF0!+;Z=2#!AGzRfe@i>{YM~p37~1u*Tx+)&K#j5Lc?>hC_4#!AatD`5nC6q-LHDl4y#}3>TAsnECI5b$ZFIY`_Nr68dnx1&s_vg20;wHO-+zDyyZCba`v;s->1G+%*$bAYYs#2w)c2rBTH;nd*J32X7q z08>{PrcwwO1U$`%w5DBs4BcSVP_=$MVixRK_D9>`z=SyzaPqOADQIyVp>dJBqeHAY zC9rRPtH8$zKuMJX;{f#$09AvCrB8D3B~A{V8T<$$VJ`ix3xZF0S{r=1J(r)Y}quJ zR|!CI8Bd<^rfw9y4U+rMA)pZ49$!WZc@rQIAp`*Sm?$!$0*40!@Gjnh>6l134p0@@Pp9D+D%bV zX)xU!Ol~GBsNs!`VKJtU+Bg6mBK|lOf?t7=Ml*ED?qEA$jb|LYvk;0hgd*uab$R{$S>fYCQNAhv-4LX0GVllB1~I67!&9o*UoGtI3feM;<`M}f}&0i zX`G%OT~pn{7;WwOg!ScAPWZcW3Jrs_4UC!!=sVP3MoCPlPSKh(xA){fFla%Q*m^=G4?>1DCd!S72JiM?XA7tKe3A1axt9xvj+jD6w8M8?7%dLg~b zd_SIj$<)sC?bdKsKK|}b1Gu5S-b~pe2eH3jQfc`a0E%8%`fq_8<~jaB9k!NkEG~SH z#F%&LQmt5hoh9j8+P_u;ZwISU!ycz-5*950Xas|KV;j2|b+kP6e*5oy;Rpb^`BOCi zTHWN%PcVldpNP_VlQW%8gZJFmYaiD}-uBqPlJO0(TIM9!jA@cq zsQ+qxCf{Mq-?{F$#K~)8;!M3!FRu$QOHZj11QLxCowR-C>n*>APIR$3KbZDs;)CxG zfPz>^)hy| zWwOj;(Xj1Ag+z2;^56Ak%H|_eXXs+8fwPcGAEjI}Q9*9MfIRlhxzc)dj=Ug1FsplZI`I zlz+vccp1VrP6F@pH(Vl7iyT!o@&YdSZV2;?lxrFo3_nKMmba-Xrd*jzZ?9HP`f}aI zrrq}Mt=D4kS4}~y@=v^5VqP5@F&p0*ZD9*XZTNG?t7%n9G9CTCPJCHJhk|IJY~LKe zaG}j=EmMoN zw|tb0|MEM8Q$NsFG*X zKN#tfGV%sgy~Of0$89m_eyQmLqX1Fd{I$SHx6~$3r<9Aqw&@ls$|<`iuWciHBtXOO z9|2|7GD`FOPu8+4JLCSp`NumsV;8HSB08>0FZAda7mar?;UexuT5g15V@k~Zd$ni< zQlm4EH8%~y&?VTg#SM5JjKoML_&M_WW|;hBRF|~fi;z#_uvnE4Ak4r!>ulWIUUJqj z(KZXuH}Op*Sq5U;O5}i{e~zorEFQxyC;ttv&`PR}xD0dA$l2VlQilHNPJD|C!YinS0n@D+&1fNw-ZkJ>8dvrvBTPlp$NSAh5G+x5kvbS@n# z6W*fz&vM>cYpheWEQf6@I^4#c{}WBgZZwgQN&ez*JhMr!IKKQdYwIAp!6sdDIN(B# zwL{v^Cz__)B`Gf;XW3bhJi0^+|Is%zl?S4Jeb2+;IPu8A!SSQLJG2qx;%=i*XdoQ$ zVg{p%F%oDv*{lWuznaLv^{JXwF3i*t!%W=x;y3%7(5F3nxl-^1Y4Hr!o{KIIkbST&Z=?6=0&ZsIJs=B!WZKm zb->l(Wp4HcycMge<1jNWOr|Z5?YF$e*F3dp12&hnXCtO{*LTuSw2Rc4Z`@^=HT%?* ztdY)wzme&roK4d+-%@oYd1?V-@TIt1DH?UpO*X}RnNu-Ufx}p1_ed|mz^I5h{6aqf zkkfWVR!e`}i}`QCC8Q4)M<{1q*7=a`t;O7<@rydixcKMEq1PB<{a~izk-uEV?irw~ znc*m;#qt_uJN5USnAh3@MTVA*XK8t~D|EG|Imzy9CC|F4G3yDH@yzb#c}p$P*CJbA z6;8=#JI6--ILNXYgLkDZ(J2l>ra^IQfXeUb#UY;aQjy|ghf-P;{){sy?sa+!P~Jv) z@(p@WYL^3KvLbl$sL8F9|3-!LEnJrUHXBKbKmQy^^Z>s!^1W!{ z@tM9R10DE+{I8RheT&I>X7(DxCTFSL$RyNIQ|ISx!o_$UQ+Ad|9*Fghqz{Od{#t}s zih|$21izu2(^HH%CF8c^dq3_X`h4kBs!M|6@Ok4dBkc<kWF*E~=-u-IHB?y-Kp7&IwR*QRs)`nPm%D2|?7*{!c!k}vUAN#&)ce*0)h3sYML zJ*tJJw*09gTh8rOI{O_Kd8xLP-xIS5jHPdGTzOOleGiXs*fg+PB&yT-YQJ%5-pH^9 zEW!7XASQ^*u5s%jzilYiq*$EHiA`z9?=Blo>EwwIC}O1hvN$nhaVO?vvkMS*JO&7o z)X8e}dEo-g*0r~3bN1PjsQetW_c!7O6p-E&v)1^oe2{KjW^fA_CWXHz*iJ4mV}_*_bXllQ+tL0|PgP(_P!Hdvo7h7|6;k=Sio4#|2dBL20?|%WsI1 z)qkhlpEn+9lj!?V`amD&_~TEOi8sCR`aGKMC++(r_K2d`o^31vQ zYtPYXZRSSz5X^knEF{P&dYl(yA8u>?z~m}fg&)gH%6vZ9hUu1kO*y&(p_TkjFZ#^3 zA*2Si(Lo# z&$hw?*TE94!#h^G;C$=n&E(e^Jn9U+*QCS2E=7+MG7mfWj7H;=D2*ZTg8_Lq8o2Jy z{^?X%A)dtoZcRpjv!Cptv+xf9cMruGn?E&zhQIye>mfO5enzjE%c`1cZR3=zhN>`5 z-E@U3cFdg7B#w9#u^Bb$Rn|MIr*lLmu>yi*Jn|mUb&MdN%mSXlBZDfJ0j;Y_dn$7* zQ?y`i4Z~w}l}$fAPlnqrk9`Uq4R{jn zW1xPcmnLje&T(3(v+9KUx+qjGNaE(Bj}}i~iq^CD+;;gjjk7vhdcoW>ZY2`WFpXE1 zPtXwxj3rIn0w(IIA%!yC+#YGS42R^>uDt@Gr zWy?!vF=RSQ>-xk&Pn78jn6Kz4^^7sf?A6bKlwHP@M1dZ{^S}GXQYCknYd6GN21R|x zQDzHE48m;*3yXTsd7CCBq}T=HIMeh}`A?w=&uN{{2T>a{PvL(n?fV{BH^$|Q0UG$r zwVNqz6TdTPX;2-P zM+_-bpD5yjY1$tK8ec`f9d-CqrWF47s8q8`!QTAVpBha_;{9McEBrbalX}e4ByAhi z%L^zp&#;C`T*j6nu=<37^HtJDSw~fq%BRhO8pARLFJp75M@40M*3N0a`l`>;Hw9nK zjm!b%yn>S?9un^2(yY}7l6Hzb;3t!X3phmn}x+Uk{zlyAx~YRWe^9evyUBGV2%)a>bI_`HrpzpsQB)o<8y0mk-M& z{t5^!?*8Du)F*v}#MkCuz^(1oCMnX<$~vdl{ZycbufPcYx>dTxN21(UrT^abbyL6! zq?}e>YWpP@GoM>;CfPiE#=WGE_%bn786TiN`cv`PNBjM4J=U>;h!o)yIfgl&2er?Q zYH}ErG&V*!8}05H^CA|OC1k#T0_k`yg&Y0Uqhi=>%nn=WCh6H&7z)5oCB-=*s>R%G z3G*(%_tfM6w4|@+{1LU_;FG(Wr{r0Z=VAH;E9Y&@TVTZV^7h7$ly;Pn{FhQ(MwbNL zm!c3o!BnwMVNi^bx}MP2gK+7#Ensnq$%U$f`{KH2hCa)|YYn)g(x|Eps|fP5FVwI> z<2fJKtxXwO#@$9A`)lrFfMwfrs)R`$THkgIQ!1U&y#~G7g%W*@5~>4WxH4?Pw`Z$1ZJ)ZBnUS#W%rU%p1FWqUr+D*(D5srF;64Vsm* z@hcDXIZb5cyp!g_*RT!q^mAe06GW;}G{;cd_(ZlvAbH;pxL6a8J4RXDcE`A-h<}!Z!PbI|*RH z{l^TGQT)P%Qc0Qd`JAl1?cswF3RL6FOI`OJxayZdUjFZj9c|33jKqrm3ID?={;16qj-UI_M&F!_tRSAnQtn23z>Pwbl3m+TOO8oTPg3D zmai<2vi^x&4){$gl-l^Fj1HfQ12q(s>E(sJ(^$H$fuOlXqtR<%EYip@`gs2`yb&%% z+9fZRh)IhFjif*x>Q%A=Q8IG=TUWbG8XNmFwFQNw((2+~srgvFdqi7jmn{MpZjr3Q zJM5IU9!jM-F`JocOUjHY5S3rfs@&b=qNVnIKsYM867ENVXoZ?&shxnp1ar59#qY2H zurBSr^GUgXbunt(pmw8vURqPzdx`I(qn?H@_!sHbDNVEF(HIK8ZQ#6K9KfL25U1w{ zI(Nmaa}?NnePVjQkpq`cuSL}Px<1`F9d9OywoL@0)!F2kCVtkUmxr+rVve9C>w`&g zJC0?bETAjd@LOA*0HdUu(F#3f7H*V}HS^qQW`-|R34VVB>X-2A&n>ntDT@yKFqX>E z9_1k5YCE}<83yQ$|3F??G-)ur&^98I2M4akgZ=}4B0!qMo?Ne6;gT_Y*dCXu`IWN? zJjHi)j+;D6%go<-@ri}L-f}hifm(aJu^#V(*BWM+=RD$Tau$k<+VFqb`e~mX=7dj1 zXk#UiE^Yw6`0EwQ_afprB!m7(qprsqXr+FavRpv^U(Z2HdS=h3Q;i|I{?uyjP&7THv7dDdP=|DiWPi0R1i zP()y=UBc(HN1q}&Y@lfEx7w<-Cp*pU2$|+i@6?Ps=ZoF^{FanBa+{9x??WlmcMne4 zxr9eQ^KO*8!&rYFKGO7Wda*sIW$!HHr}y{i(*8 zTIe1r9r{sk!dM_ZkIeMRAi$7YBkm!t=u2`#sZ#v-sn6JY z$_F;)CqJ^6-b=CDvjuEPJ7`KUolRP_jej!gGOWL0w$q>jC}*rs;jPOl3_bBvryJZW ziAP4HMyj#)!O}BgUSC7gfOA17{K0?YIP}^N9|sD7S0cvc9_4`YC<@mO2fu&8*#t(9^_VK zIiAs5e4=JHn8m|rhZ-_jINn)|UiTd9#AId|U%9n$;kVvBwZ2DbDiBZTzkw)!AyCd` zk7R(H8L(`EzG?8F(k5RlZU7w4Zfo^QMA37Vx`!$U6xB++T*yjbV7jY)jYiSJa(^4z zp!98uh60eN$8<{^OwvGpu2IQOHqRO?rBcdwcxR6FsSV>TdWbK4>0F?tcJuB9tAnQo zKmP?8RGK{&ecqV$`;Cw?Q^dajEhA!s=nc8(yHnS~6$S(4|IQl+dUlQZU2{&9sWQpI zr_so+i?h=RF7HgcuySq6m(xdo`Ef@rj5ghvPNjc^ZhpMLltOcM4`?OFqsTWUP?D!a z?PX+>?<`w*SEf_J$hlP<#J|pH1D#&xQ9WaD0w7B%0UgDKMsW`j%gjmIouQa3NAg{* z#!a1T8DA>GjmnpYe}$~vzzj&$=rl3DZwuM0CT$oVhhM_Hudv$aWc+%30%4%>+BrKMux+nBP+$|tQ{7PWm+Hh)Qx5DfD!I~wefm4ruQ8n(o;^=ngb3 z*=6$|q%XieP=W+ynoTT!@Hj*&njCMTn{G0di73|OtK=!I{~Yb|o0QsQE_L{nzp9Y~ z*Ap{OtVM+ckXdn8T4hAy2OmmK$2JT&vtE?nng#p2IeI|4_yKM4f|&+Kk0yNhW@jp@ z<4rJ`blIh>o7AD_b;vf_A*FP?>7?lSOrZAO&}k00R82u;Hn?4u%~*5%j_^0TA3|xY zWrNR7wn|~l^sduWhtN*edS%neda-BBQTPbap3Z-;63VTwP*e-1y*RV&-I#_91`gaZ$R!2Dc)Mhi3XnT288XG}oaTDn&r2mt6t$z}LE>QQ0nG z@fW3FG5>f-o|!S??c2RyTQX{zmuPANTCxZer$uk;&`fGRg<&i?7`UW<95BJdU4zG^ zLZiXYo?<$+Q5IjTb~5eqxr?IY)%5V76q`l0QoE6layLttsKI@B8}qGVj*v|wZYvKg!!AvJBl1~ z_92N(jM8?*K$yu%+DMK?nF=}bq_(if#&Fmov>+#v>)K0F@)y+?^ssb2@JG{>Go|^W zM0eyJ+d02zpGKlxu>3)o#`-)zMpfsCej0TklCbpkN1D^pXLx}3RZv;0*O%OZl;Se( zSL}Rm(|O-CDwGULE>8*F488PZ+(1cGOW`-7A|tZ?N8^H8Z|(aZ4K<)4`L@y5#(P}u z?Rn2$8E&o&vsN37y5B8Re7A};2#4z!e;&Bayp}{0Dq*K|N6R9>KT)H0N4D2Sncj5) zwqSmun8fMI0Z+B#OATJInwqIQoT7a%z8i!+%lzX9$bCTx5CXcMQU8Mi*o^Cp-J1kW zX%clCxz(lAT~4-Ar;l7;0I$;GvtqR=IT-qVaY3+}2`3i9=VBuMQYmxb9Mkk&7?wQe z+?-e;b9Li|{mV`5WRj^>e7}uu_ATOD#YGRrP!m>2{|Kdl?a0KFZyoV4?A^TtH>PiXCZpj+H zv9YTW{@G~Nh(85tYqv~LZvd>C8wPuUj)r{$Ph0teRFhH`CcklW(TLCM4`;TyFkiq@ z!`ptwJov#}`9XcNMa;^@k-%8Z$jL!u5`ae#Sws6e^EhC)&L@ofv4oM1g}_vPTC z00ludfeae!+~$=?r=;zx({JrQny+!|lG9?5&xc(!)v##K4@QO?YA;2Exy-$i-J1a1 zgOL*b+ULTphhB1)&)-8lY;&!9?v0_e+8U;`m1Zk0r!}Ty$57ht?v|9T9W8GL1|R9f zvrJAFsiSdT8hl&36f|h6N|X7FL*dKU#bJgD85-~=N#t*hzx6;lbFe1{tC@pKIf75Lb|RMXxN{ikKU7(<{~t|SB&(GQW()wxnQ_qg7dPy>&bBVb`*B3w z@ql3Vo+HkCTQ>6^gOEsSD$abZhElIFv|+FmJly0U0Yi-z1MAnu^d>H&fHZ^NxU*#k zob}W~1#chxj|LrZ(o~_xsi$c3bO9Nx|KEgLmk^qattjG?O0CAGp@}K z8?%ZUbq6)XZel^bj88j7&Ra_Uw07TKcKRDU zT(|ny?vUcIM!c8)xBJ*RBNenQL(+! z0Iwtqz*(5Ndh35Q_mAl`v!}1gm9gGC&4gOJgfY@+6XIn#LQCu`IGBa=Gd)AWD!CP% z*%=34$0dVEXWyH~47elt;{R>U()mTpZ+x9)5cspzYCA};HbU$1?WeqB8~DvjBG}rm z#n2NsC9)=ZNt>6V3BGE{Ij!8cDialw>*6~f3RGb85gxCqif9FiMP)vVkXp0}A1wgt z1g9G5b@g&&tcA2VSR`r7|a*H-OhviMj*;d?Ho_YjmiHc}My;V!mL7sKy%4QF82+9fu zw%Tc5(g3$v{`niP^=zv0_XGd5KqeKRSwxl+3VB=9C0>&`ZkH_6%0xAo-Z7-R&t5p` zQ}i&*wzjrTUy#SRo`z{Oz+Wfg?;T8`#xy{JJW#+dOmUWLk@8BEKH8EB8EcKpdgn|d zCb9+|3oPqGK@%-bT_=C}(FR+J`Lmha&A^LO4xYweo0nI77^0%Up35h3GwUq&2VJ`+3N4L?BA@itZQ{UkQT_`I~mC7faa zX51=QOh?-N6o3A$9KWDRAfdDUL}yRY$#mP_qNg|U`A?fiW%+#D4Iip$ct!4;uK%sT zzHY4i+G%dG;FfMI#yI>Z%f(lH`tgz?&2^c8gkoi-Y;PW5MA^^JAI z1na34)QMlou0a|5JQ65>T2!UlO@H@gKkb~ z1gy3-!;%$^ydei_%n8?xHbD|8jqWsfD-XseCEq3ayuND>3fs28{$!^lqeg4T1>a~@ zPfj0Sl5ivM{Jm~Qw&_xeADXql_rGzPOFJ$N;Qt>w+RKNAhL(m0AjJ&@8q!HhJHq^V z)gq0Y3}pWIo`#tgKugO^b55oeo;XmBGb^{&Af&HrF6RT-;PqeDP~_dyQ?0Lkh)xT ztxSVj#xf;d0WH06Z2q(yqg)Qh*)gb;s!gKDQG9w_4L+aVE9j%UG4zUgt}wT&A`IH;Vaiz-B9=7tZcs zjF=t4lGL8=!-%kB`!%{vItd<~+yQs9CT76=nhX!(N=4B#FaMf!OeIu4?LG`R0DVjI z68*16>~($b-FRC66qu^1wLjT1{*(T4e@=(lj_UVm53HfLxpzQ{pd-xVuNkBj705AL z16#c!FpIHaCJUjY{$@7fbSvmSv)uR=NyfcALYz&bM&w2vg$T+-a;K4l5v$qX1s%c=rcOHa(!q2 zfX}(wsliuX@-D)QqygjaD%UL&*_A=%bwc|$ri0g9SC@A^GxM_-%m~(UPXqh1?>cPi zm01T~uU@0L3b&gn}@G z?;|wmEnTg!8eq+z9N$R^DL{JNkEBCAULv_;>Xh@G9GQT1#yfyzH0>3T^!@^|V;AXt zxhlc;M>y@6&nEU;2&Y=KT8!s763(u*?2z6gf=KqP+>Qe6BYar)_2}^k6?^3*B26oH z%&RtNPU`Vihs{6J(3e?fF{&x8LdRGCRB%ElB)QT*kpBL%%UX7&ES8wKINFzEC1;wR zP%{ib1R&Wz0BWd9;ES0VL$5{W2_AYv1TGMItM4Sh+2Ihp3)&abH#K7^jhyff1;|rh zPZ!7Vy1%X!??h;^RyeIPEadsn9@1MVG6E0P%w$;uBP%CbnvW9-9YZ!$QA4`^9dk&5 zeF13jk?f07C+dma?vT)3yXG;x;WRKiV-`CDQ9gqpG$-AbXYgCr1odjCNYXgkus*ei1K+kdvELff#}#z!KWNIf@xK%(z#JWl*vDQ5_*Q!^`Pl^sve zQ`YwA0aD43&EZMlFeV|>0G>cBbb8zDG+}FPx%E*Mbwk+JFp2rgB5C^LRLI~0w3fPG z>MUB?CN>#QP_g##%pHLQVw~PsJCIH8qPsOq8u2-iiCWOMiVOZ+N2Z{#;5$C8L;B-s zx;LGg;d1nsCVQ~#4McGTH1;Ldi9mpI>QE}g#zX${uCWtf(7PnyHQ$N=I2k@@=IrXMGvpJQrn|0*&m}OHQebf-u4J;quT6o=6PQQ zirkJxAn;CSLN1)Vxw(@&hqNHQfg6*~n}EwnZy%YT95o2aB_4$H`uvZEZ^hZx&9c3c z8VghMcL}fcJU-L;iZ{_iHq$+fasI5V0a23yc#P?# z3bFsADz}pq0^QyuRKU8}zFBVD+_zuZuDDJAD)0pQ8z?lTwDDQs;++8fvHL+TzLT<# zAlMhGItx11p7(8Fe|C)7%nBIh5o{hFB$Hnu9>TrKW&>JkyOl_LIp)FQ>Z8pCE$)9< zQ?hSKhG>azqJ;BZq>{hfX#(9ExI5bdw>3Bw0p01#;(PMBJU3vq<(dx`w^yy8^gPa zg|ligISRRnuw`Kl30!4JFICj*Ixp1ne2d`bQ;Db+D%n0#Du!dYM=qGn@9FJlZw3Ag zu@U;QUR9dZigtGxwU&KRV{&7R=s@a(20!nuu66oely4SD z7)_|*Mk*8NNw4rOAYkKyb)iFlE(9FYLyvbJ`mK5zv;Q5U{`CNm%_v9dQ zUs&F@i!%3E2>DZ3%rgi&EpNG7CS%Vp&`c(xHy9tL1x?b^Coha{A6GcqPX@w*dD?3U zI%&>?P|UC?_Amj%9&9-61r+yg8)Og}V(zQ_-u)MF`z_Oy(@LkpDFCjM?kaEZ)edP? zT|Ty%>HIJIy?tJ5*g!p-pKnYy`Dq}1ex#!`jBxyMia_>0j#17Ps2@7Wt$D76sLuE- zD8NLB9b?|-g(#jnWSFMENW;xuz|P&Z#wJP$Tfmj-OP`4&^*m07DYvFVk*$L1!%14| z6nZ~UD2B78*|<}U{7mu9R)@X1Upq3U$A8dFXX8*O9sm7thUJ4i)wKPuxPSyt1+5QB z2A>x%JPxRNlYw_Ba=tFs-)^sobg0-zLUhXhLw32v@@)=uuQE^ zCQ~Y^H5D-=0EZ?}9t+rLv1;QTwPhF|Zq0Vd0vKCNTH=$>VBrA>t1N!tc}NM51{3M- zCF3@~U(~3vM<6(uJu-vcIuj~+-voJ5+5gElxZ~p+C4WdC&qLM5KcG_x!rS&#&GSPs z*iZAc|27*uE4)00JcMlIbpa*653p=>fc(`czK?W_mn!`=}tKx9`FbAMT~<&!z?Z0J{%O_JqB`w&Hdcz!$QQyF^*UAIGz07I@4Q5S+Y_$nPaEW%XjV3>uQ)m z&bB-66mL4CH$ePED$knLNa|5PE@Paw-7TbEA@S8UUF5)S*o1Kub+MUWrE=BwG-l(M+FdXlg-U)%b>uF8l#;UN> zNVN@o(A)(y*knzWp3F?Wtl$!arv)cNt{dk0L^j7rsS+)yRlrrEdHN0WoUaLZjENwj zf)IN>@rJ6*#G#v@Uh^CDDFnDI1a-+;EQi{~Z)dq(mkT!N6oC4Zbg2zV0+p~cB{I&| z4B>&&?w3&xRvr%S#lGq~>nC(`P@#vd$0?Cvxb2JH*)pVPAnTXZY!7Ri1t z%%=V;-o{LuBnBS`d{$Se+{+_~JeI%iPKqGKs3PDpY}Hw^L==UL!!maZoF6)vJ{EU@ zMhvNDiWU=NwG^q1oDgM#8Jxu#7b6Vq6+Z5&-7SeY3|uj|Ec!6z3aU{TIU&Daw=4!W z@m$s63NZm61EG$BBY+~>@oAlm$|4w|slf~h)oHg4Z?7@Ha#AP!heY~Qz89Q}%`%Td z<@l%2+g7CZuN16T4Qf)%to~9Ma8|DCYRujaWm7j}?Bv-bB9wbPCt3aVI$q8`EVm*H z4GE!0@5ftQ8+d^WUzZPs17k**93Yb6*Iet!zu&NLlXWPJ5~6d$6y^bT{|G$E9YOre zjw{*-(Rs|G{V^xLc-hr* znZ>ckNrjB8nC-{vga;+0L2B;WxSqL5EOvu$-~un2!cw#%Gk4}+ek4twa#&YHFn{S( zawhxPRX&%}O>rhtIbJtHoMu`4!P`7iqht<}1>)<|j>~uDj!x*;bD+)fJu%RK@3D00 zq*%c22K zO+JKM)gb)`kcs8bW<@Z}^VVgk($D;;4N4qggmiuqqWQ>4kK|9dbx8;&MxnyJUuVNw zaXg!YMsnuyse2hQeA?4PDUuTd^|6kmfN(B+U-Fr%=alT*&y4=f<>cNKSa#X5)of>V z2G3L5KZ*tQgxBw)ZEjcC43cMht1D0+fYQh4UHqErB_3jfG|`1PjL4;nJe(uu;ScXc zdTy_2K)(r;(@RGZ3+j91G}LV#40zAu_P;w!{gP-ReZXZKt?K43L5&;*PYWy@+GZ_t z%S~`Ytx+>y-m$j{`8W@>#Z`!USnA<(lT5-vhpltD1rC8_9+~$txT;0zL{MIYGtNe4 zJy^39wZSS*(ku`<`!LfeyrGLi_OM~y9JFf~4TqDa2xpPaOYHcMg&xLb#G(2e&5vd$ zuU%{}SXDYAZWz?SDz}6y2r=lN^HKi=U%QEZ-H2~qwwH(sq0AL*HX6N%a)Ex2tW~y) zxPo%5hz!FQp54IlSfXwXbX5n4&tvwH^b!6QhxI@;jLt4Gk#QG)c*FSMZ5wC4?a5V> zLF+RCcHxRc?bQ$Qj5!YjaSz1cR_m~*k(F;h=No%sqsUf!7S&mihpw$>N_?ww!RWaH zTXR{`A%{(iqQQB9X5#ri72ZWN()p+>#Hy55eg9TT%$Pv)5>u6&s2hW5qBZ06k+0?- zLM+G`6uU4>H0i@mMch+;zp(J~^##41IOS8g0$mw_no0(Vcvbd4By zi$pHhhQ^4V<%5h)Rb^xd{0_GD7Gc;QNRd(S@bVvG4zOZAba}HM6wdHMjJwA6`CABk z9PZ0Q?@7ue_pYyb7Pi9aTUMgWlqc52hrWXpH$HR;lh=FdtisJoiW6`eO+`M4nu!5# z#Bzxc?QiZ%9Dc=PE*<#PPuu!se~udxO?9p26dkcq%J%%J;wE=TD3BZc+oE*+s>YL) zgopD;8Q7RBD;5e?E97iGb|y`)L{#pJKR;~Zm_P5ES1f#^S6B>T17PWl9CH zMQ{DQ7aXOzFJ{)LgyPP$fOB23cP8%#ivPTUHJ8nC6E1M@*R8;{)1eN<#*u)z$11^T zu}Np63!@S?k;F{q`VHGT=BwM2AJPfW0_O70#z+$^$J@lTAPdoAkcS9$dn7B-SA0V? z2dh(7H!G0knZ~0T_s5qM3DoXIb%9R{S__}8-MdXtcdD)+yquC}AGC#~-dEJZD96R% ztnp-Ptb>~sy^t%p;gCfo|LUZiFwAbzJ%vdYcdK9>LWQq7b|@P4c9R8X5Vi#jhMRwsow3ixNH zD=)lL_p;G#?E6@Q)V-M-C3zadJ`kDt>(@AvD-Z47Rlxjpoz}wFVz$u*$DKb>Gn+RT z)UWs(r7OXu$D^AQgz;0cZ@JKvhSLAhS}oM<~Axe)tL&y31suhCb_wsyXr7<4>d z>tFv{=-yRPaHTK(kjH0@VUrF25rJ-?TD4GYu?qU3h8BC$l$T)KoGm)2Bysa6sqwzmfLZTe!ox z4>Wxk@8(nL%7~u7C{l`x)P_ZkYXR494ZgUX!gGC-8d~)hnMzvQd#j5DZmifm@HN!O zBU~wt1L-*7n%P`in}kAi%engv!h+S=*bK3l#gU|(9$%q>kOgR@FS-OK;ca_|-u8<7A{*Mext_^nT^x1_J@|%UZ(=f- ztdk2s5PHG83&+0$1Ic(tvNn-uLx#8#Ai%oxlO)#XF12b?64CUMHrhj%^(QkSuT#Nd zO;9x_c(%rxx{bh_eqT_R-wqd^4jkxIjC>1qop!my2bv7*xyg`ft6Q!-fXOGm|JEJr zP;-0vb@LxA1c7uD6nnET7i`V(TyBZq;%X1VkaCNN&|4FJI#}{s+ma-|(bEhkMj{1} zKHrl8c`>*qxrNC5!5u5IXH|546t_T(YLv5s7EA#2?`M*j>Ep}35*$q6$CF&v zKowwE_QyH$%KL4n4WbEdpToRU7fX|UwKFUj&S}|oC#USut+b5450>G55q|b4((P0P zL3d-zC>D@Ft;d(UEWem#J)_35kj?ZEU=NfTe^g7X(%G6lI(tBsHfa!LSCzLPO&%Om z9%NIB+MTRUKV=~w^rf%|yWKZrFIS3MnG%3=m|w6r)V`00W~#Ab3Fv)#py{#^udY?@!=lr^paPIFDj^#X#6N1@pkr??1@!SaA$K1M z+-Vr&O18{__Z{t*~k-8b|30>5~U~-4C$?1sxnQN$b5l$g`=9WRd=UFST2N7rdet!i-Bqs^i({Y>V zx?7JCjidYuwK}yfu<7>Hxd7w_(bk+8^Zf7YZF3VHLaXy^bJzOiQA~7dCFqtJAz_5R zH1w+n>9HPUKZW1LBB z75ns-PO}?vWI0mEU*B(L4`% z(Puh)v3-Pe$=Xln!~{}*1>r~*D2v}${=nJDX@?Y>GLd1dw7ziTSE@j`G1w}HpT=;^ z=I|n}EXIO&dMwLlosFHJk;KradqXECzi#7UtxP6hDX!m#J z&q9Z-9dtM*n-7C~K!a04o5W1*8Uppyz&Ifn{F@kAy9q(KqfV8`1lVh#2$`qN26_Gy zbC<%@TW4Y1)*yoL+>-jKjnIyTqg%B>CK2W?#QtiIA>zpHf*(l!oURD62Wloa=?yq^(QZcuHO6+ zU6Zmgt|(w5<{h|~`Fo?&8KU?C)@+98@g9YfTQjg@bBs5_GvezDTI3MHrNqtOQ>TEI z>~E_(Zq7mc3cE17GD+VFhRbNqk>d!X)TaK* z^5@rx5#Q4*E0n&P1t1w_?^xu|+e>RV+PNd9M3xU6Ci@@%Nc^+&N+}P=lQuRTAO#MbaRk7NDFo@vyr5E0)z3I$p9;lS{2xW<9?x|D z{_&FJTnKZn9IBZO<#w**R3_O>#1L8sifxi(bu)*ULt-Xw3isH^l9JEJakgdaq*m%~ zVVgKQpb~rQ%yBjqfuPsFt zysw6})~`KD_&|>lHq5TVljjT#XbVni)aB$L_5EiQ5nZ&ba*;D9xo$?v^4Dyax0%*a zIK*Kpv0Dqju7)~SAhR-Uvj84{kU3mnM8gMxJ_cT41#!#8yf*cv^cFdk>Kvkn9z$AJ zkbr=^^TGf^hgCqVwq$|e^SMB3fJud?sS%vDjixT8_|SD`L=VYudoIc}cCB`8LjS*T z-|3QhbR!%anNC0Xjx!Cg+!EqN@tYbZAr90rU27-xqP3axfZ-z#`=7eM$G)!^<`lV6 zhmF<+;u%X0M?yndd#Uivcvk%Qifu-f<@BdnRV5!eSL#F-JX_A2;Vz8&Y+(w@F2}EZ zB~n~hkH0bS$57GfUNTXFuhkt)0dRJ}zKlXhF0Ey#c5UNxB&LvwE0{_)kFg+s79h;c<&0 zQ?HsCqV%1>$7f5rje3=+hDO!*@NJf9h*Cezlf>n1nLTu+uMWqsno6_KuV(@-ojxu6 z__}(}^C$5z^_tGO(Sb6+Pjh)_F$E2P_uEN)X_%%1Q03JUHKN@7Ic2mxe|b~3#|jj4 z#Ly#y$e6Vz1Y0jS97Wv`*HJvV*un4i6sRuEA1jL~c^vg(wf3KF&BYU%YM?n=Vh%O6 zU_};g%&d3tCjo=)0M~jkdjjziCm7Jn#cGu5p%|c$J4EKSQQYdeW}J=`tVbxH1iuBo zGrd!FI;z2WJa#jk$|_<>15X(KyAi0lJI+wV%(dMXw%u~ix#BKtD>3rl6sX7&-={K) zEAe8I62GRlrCIa_NrFn@2^&usZYZN+18M|R&!E;@rag$_94KZ*Jr1)mPKCA>4Y&Vb z{+qnD`-&ZSa0G#jP&mKV2;b1ixe^^61jm{UPw5ZuQKfL!kJ7vTw_zNMb%uMq12r4=qQ>k-J95i2~v-bhb?w}sEt#kZ8p>PCPWqmJ2|cg zAJyg`X}eA<3*noIkxDo^{aZCgx%hS_eJyV+9(-1OAr*e&Q~#?67D6AY!TVITGj;;^+j7v$;_Q(T&Ec z3gs~&kc8EsqC^mm&?pry6*{SYDbNJ&N93WuujmOEbH1y?ZCZ>4moyC4KyQk}BU4qs zN@NRs>hgd=DF1&OyqD7llCZ9WG=9#W|HfZY4D6mQewp*7KI6vda|G09SQi#?GI?SP zY*_^de*Qd0uu&v6Sa`k~`{Zu-2_O2((2dtAzgP6aG?VedNE|67jO{7i?`>N&gb%!~ ziX>^>94?D}!xTr`$CtCpYF41F!Pm?lE25qQ|J%^bsB(#DIKMd!s{7+$FCt^ZISk_> zQty19csg!fB~4F$t?M`M6-$m~s;;Z0!e#hFw?&Te{R>?R-8=q;WlbY7uUNo{)j)DB zMceE_FkL{^Hb)fZ&^=&LgiN59nnv8-$lQS7zjcxya+9`$=E(~p z_1bd7XB;KTT@8v_2sbn-zO?#I{9PRn4B-&AA2(Aobxa_Nc_Yud{c5vcsaDOr1^mr# zSl7Fd>Iu`RQ?9TlEzvgankS0y-neJ!8($(=x>Wn|s-cSKLZq2*VT?a{dRE2N~t5azb54Gl5PDJ(P-yrU>k4D%>@;2u`Q3SKKo7hH>^dzbp>k}C5_2(m;*?p(1& zC0TU+^MufOfyh;_jO`P0FALNvwWu1_TpGmTylR~gJ&MC%jcuz68b^32(Xy%_Z zfpFA(o-Bt?s9^b85=W*_(=MKr|UtPtU1HJh;Z;$dYx)fLaw^`ULnjiQm29(i; z>%XP&>u=eIw+RXKzTBUZM!J2uzP7GuKf8E#W!R!wFboO!DQd4LWP4!eNhM`R7W|mA zZX$jDhe=SCacVyiPu4A_pzp{H^>ZsOKPx4>LSmX>Ja}n}YV5;NjzbY0H;9Ly&=M|=O z;D>DT;+Z#w;cEHL6ZDyE;SqnN!gW9x<~ zckn+B-%UEj{Pe^&zPAS!77e5VmfPo?$^>VQP?R+jcc!TaoGTC25=O}?=lU|5u2(%uZQjuZk*FYH+gDn3-F73;se|&n`PhqI^2`%$CDxJLV5KuFHDtRZK z9_=DpdYt5HU&jcqBd32!itxHT(0X-0y(h&`C@}kCdT6TX>)Y#x4YOkmJr6gc<s#jiF&QeXi1mmz7&%42}E$5H@E1=TLehJ z9)AGw`C7ypYycYOto6K=F;AxQLI52qJWVtGsO+cS-X=T8FZjTwkhXPNYGCK(&F|l9 zx5be(qR!zka!-CN#TV%O{i4OD189bBa%`_Uu8T1yn~SrTH{uSF=eE6!Fa8nu7dqp9 zxE&_eODEG$9q9+6h_73E&OWi#><{WuSu7Cir9m;kF%dYU_E9w3uJCQ|JSnJH>2dh}XX`5r;YVa2o>`LMFBwl-x^;mR2YsEAUqMlS@>xZCLRObOr; zbY$V19A>?Kch~`%68JlLj!V*=(5+5+Hl~lLC!CKg z{s=B1fOE$I5ww$a>6!mAZ=Htefa_VoJg=-A&JgRo2eo%)tD*=kcbpEQJyuR1*fNKd z{W!Rc82Aau5+56?Ewj>j(o<_~XaxAa^+qkIwNO}|JcQWJ;Hn3kf<`*U3wt4c%e*F|1 zol>*tM&SKM#p#xoKPvW;g3mHiwfdTNAPd6Gyr|Fcrb0{4MMc^(q)Rxcp(cMAT8Qe^GbiY5nLM={tE4T62d3E3` zy<6&pNHa_c<651+lx9BbAm8wx3arcZYCahc6mz$jEdmORO4k22_zJ`cSQomJVf9I< z%)8j7`JZ?t;Ao047K#NFsgQuRFhfM0WO`(P@;&3~7v5>6E$K7rwfhW(6_b$1h@`OD zZ#ayycU3&o{^$rpA9~Ct^G{4q0?@6ImHG!h%?w%XCk9NjN{4U(InWS??X9NXPaH-a z{JM+5^`(#R{Gm|Gio4#r?s45)LVgx0ZA+`N@o_H?jt7qU89CF)FX)G3lr7azG8g)1 z>a#;swi*$9usBGnT{XKrr1iwowq5?YZd5fika3`BNI-oOKw+!?g$YWK9a_ti!vLMD z&8kboz*4}pi}0gQEDI#?FP5iVpgB#b1s7sH1DNjAkPFVRzFGxb92ijWPKT7NuFRw3 zE0cp<%kD4957=xzDGnavh1e|V`<92Q+;95dhBPy-3aIm~GFU93VuQ|P4pY%AA32h%Co$;9%46LdOE3o|5S zgv1;^p|BhB9EV^S!t>+hoQg@8x3mx#WICSmG-Yw@7Tovgwa0_IlX^MLXP#8N)~c|X z{@X$j|HE+}J+k$4(l(bBBOUU-#rom{!_J2q#{)X$fvUk&?slFTCI;21JW*hBF)PXeve6hkv=Z z_t7Su0vYybw!^5nps&5bVW<9X?rM)ajAyuO3OY_rLqtB=1o4e$op{w-CjQk#kY5vB zPxTZA)D>MIu)K^2U)Ie5x^Lb|;%YmNAn{p`*<>VyEMh$zWeLhx|IJ_?S-`I+#ps(S z=f=Qx38*T`xgMb}bc2x+Id-rkAqq*}LaHm*fSXX*96fL_^?dPzj1qiD0e3l30ct5` z4}7qhiI-E|sEFm{wzpqIAB8TFBxso2&6V(ma(2dG-ZT;ayf{Vh$B+-gZuxxc7+IOz zfB9Gm;p0Lp*8MsSzfu};SM*Upg^a^Z!(IVQBhjTpwS~^M)Z7?D_chES1)53nd_F*h zW228OT!{e|7QUt6Gj;{6eOy|4AX55GxZ8^X-Tq}bdn_~nw#Rm z=;;hEVI%06JgnyUiEnm$E_B*7Yu?llnfyD-I`KsSZ8ar05Cx`q*716yGe+q~dn?2L z8CI+QY#XvmSt&SSzgNHCSm2HXO#7K|kp70{MXRPc&eu^9g`czEKM_!dziUG=_Zm68 zwZ4)AcUvq_6sR(Nne#vx``-YfiUPGSaY=W%*CwEH1T55=eMwuMrCZ_JQKFdRlYZ1o znf~tiGi9%x<$rq|nQw9u_BI3pg4j|8H7#6lf5u=ZguPaPDDa-fn94Gv&aMd;nwC0f5aGe~mk%Y=H z?&bKlYQJ#q9lv`fSk96+3q3e~?XZXanSq`$sE26TcFv3HPC?XC;W2NLz-b;m(6dY* znq{%FG3iF=9^I%b$4uY;o7CoJz2flY3~fksa1cs8y9szb-e*Hfuj_I6zP0yyoNhkHxn==Q>Qh#yvPxW3`FXhEat$@&^owlay*mqh ziY>LkBC&qNifQ{fxO`H!h`u8Pz7ZzQJModdn}PE+a>WWP`Xv6y8{xScQ8>+BL6fdMUv;%qfej?)Cg$!0dydS!=%g%hj$8Sb55oU#_)9pDrsDDCCU~JM z-}~+n^AA8@FG8=z!V?nfk&JBY{AX;%^=E?V99u%)KMBe&xl*udHCE{vKRPdOLc|F7 zKmTyu;d~>%#pV4eOl+B}OW8F8mxt-Cr$;%sae^k1HS3};>nlJ33E0iCTV=*zh21Mo zN@794&wRy1MDJD=G{`iKW}bD4zq1G;7wxPjqvsDqFcSwAsrE|unCs>ipPk%dW zD~fpO_Ay}jpiE|%h5UCe~|Pox)T@y@sz{ zV%s+O{ITFI{V$=g|Xhi=Z7gV2f9u0`%54~E3H1O<6-aAbk54)$P+lx{AQZx#go z6*lsMJ?z&^9mW5-<(Qj8b#P!$Brpsvac?;8bw=ugEU;=TRv}-0bvRm@ zu80JSY?zi_61c0hvT0Fy+}FqSvgd}7!SZd%cC5W+=l=FvX~4+mALf+$wd~s^HZb#| zOOLU4Pz^KT&Z_TUS=r?sy~;Y?t}eC?bK=oKodY*4iUwhtXz$`#S^)iVMTv-&T_={% zCU&y_i#5U?49NM8`xXOAf7E60F9{Zh!wlgu<2ZKq!LYhK4z$HOBih&N4N{az0zOY$ zngmQc5x@KvZ0#G2%?Nlra}za9749$^0TK$5Zzc>k}Rv?=!W*9 zD-m9Af8xfS!Zh2Q--|{a6L#X)16y_({4$fpCWVDIZrNOLSVa7otHnefJ`bpK$A}#T zEn)AGD!Xn7`+e)5={%vNcoD@SRwW(Vq6rer%JDFIBn#!VJlM__rES3uX03T_D{&ow z@XPgC*mwxCM0ko1TuCg)-u4FN_?U63C+~BLu>VCcMTPd^zvF!>Ag@(&wUz=^3ZR?Z zKO8rD@_6w0U z#rz{>2?sLk`I6@4424H1lAdbOA273qWf2O|>nR~qZ&_}|-np4;k)Ga#xtSCQCLMBr zx_kq_0L7nnCM?FU8#$xm)1ZBi;uKA0!-Y#^l;b4`$}I5s#k(5w7L_oEL;s$E>U-SZ z|10YS|19#$uSlvo#{JysrDxSB(=zu(K{WK5>!6X?QsEQ2AakJ zuM&6~Bn3r*$4s(ZVxd{nwX?DpF^8z2h7!V53IicDkO{`7v6%)Im$6Jl@wBxMBO5cd z=^0mVz>|=TVPyYhU%u6bCLn7ljCKacQv5_r1pU#mk(w$&zrW#2Sau`iMhJRQy>8d8 z!}ER_@n?>YU9S)<5_tU8N7w$Lo77Nc4$j+lVB1Q%P+?nNGo*N^`zawWDJ+r#_1G*} zhMVfd4Fd})K)~!OWWix}pmpnbhRr~U0&}lz!JkB_Sy&8q!FiP!Wp`5HI)$2`hR7qb z6k#ytVJnvl@*lC!u&Uo;vaGqGOG5+GWN6fK)~ygIsN$hQ40p7A!kd=HN7npGt9m+F zAuuHp_6@QfkqS%XCoA^_X;q_9`F zK;?b@-y74jEMcsH>Eq5>8h%0Fpm(0x2;{%m_CBk0vLpbI@XP|ec97?D zIpu@O7l%y%8T}QADdrw<#V}+5ViDc+EoC6#lg}ysn!PJ)zIIKR0aknMaQwPqFcncp z{eBhfF5G5o0>o6c5Ug^zKUM#1Vc9>n$DW=E!>4wdQKRB-B)A$@je(mn@XVJ!vFA5A zdxHs3HZbq!yxlgZ$3v{swBF5Z(yHYZg6M}R%6HJn2Mu#v-ujhqtdMy9yg-HjE)R|c zR>0Ou+ZY#+>xZ@RSB|%pfBBNN_PDdexPGXlV-dSm|Jfli6+!-4M_G;?K^9sXWp7(k zPG|K^Q`rdlH~g$jP)LBgN z(|PDu@uii9Fd_iV{$tYen6N>=)zZ8b#lLmqfsVR2N_gH94udv}7FiKFNpE|XsOC+4 z>Oq!B$Uq69G~S;=P~M>qFEgP!qPDdur@{7T#3AwYwey<_Ok>u{Y)QnXE0BW`;CfYF zh9&3OB4MT+PvIb^#d+y3iT=5mBlsJ_6?n6TSWGdn5G6&r6@0jbs&=^ZX2y-PB#6yu z-?CD@7tjEVY&v;a2M(6@J$WI0`Kxzy-}7;}CNhUU^Tzv0fuPvOD6;f$BDo2{dXzq% z@*B$m*SC(28)c{8jwCyz8kmXz8RFmPEOR3~mCZZ*3IO%bYq+(rwf@C0rDo?LA+X5G zt`Jjr1nYoVT1v_w?Mi!fDySmmpxcWns;TfM0R@^kw}dRYbS$7$E3lL@&ivUnJ(Srd z>}myir^5LH%t-ZRe!fUvPx!M6ixIX(BozA za)T3e=UX#Cq{n^naiw&m{5rpbBN($e85wl^lUKFV;CeuZkFetyHY?Aa+-qWE#fSiK znPqp_elJZ(@(?Y*&7+LdwjaVgpSRKA{VBO~;GG|Kuodv9P*q~K zDzk{s)0~I6rb7Dj)mTP$g|j(=LVd<5w_++q;n~$`Y|mk72=<N*>}*?_e(g5 z((wc92C@^q*6m%ovdKGPms8>V0{3#cvOJt!2>Pkkik!E>LSx0Mwz60e&YzLotDP20 zSf~7S$XeV+UDO_`o&q0Ga)81E9Gs2N0~CvPZKqAevO;}4{U zBy&tpjs%oAIm2UIR#&!Xs1P{St`_}YOGd4{(M_|@5`nES68^g?17sEWRg+3GP!aK_Fizv#-A{vO_QlRI* zW}aNF%c7f3zz}sM?o^BBXCS9G1zSAv2>@$E;avWqki&u`XY?yGY;&jW-9kVcJ!rgX zKck#if5##B4?bRrLk&?kS{==!RWg6+H*&I$k<;l)`dM6LZ8uR@NFOtE^jfnEGrzvA`75ShcPE4ahR+naUw} z-U)Bluvaq`Klx6#sej`YT7n_e7A3%oUVmY>Nk|@ zz(q1=G5fKpBNKCv#>H@Yk`6p2P8HV`!P;sb`U5JyMT!%99eLsL*ImqAGvJXwvfno3 z3dpSU6O}qRr@p4v>x%fUrpyfxE4QM+sD*=lEn-IXdsLGrM&s-aM}?`CJoqmZ)Kid; zbfqIj!c)i+2D(`V+dfUd*~sByzT}oSO-wSk7-s7NS9?IvEA_h;A6$KS{zH+fEdfV7 z0aYz+*u89^d+3Va!NCrJSR&;OB$s1NJ+OT?oKUsU-b)XXl%91)B7Br zpHu#eayD%LZJRTTdssJt=tMcQ_^o!_;YYA)WUfX@c< zPJh0B$dbv-IJ7P|8)XS`SmiN8bIhOx#!HleaEFNQ%q zk<+ozu$Se*|9?O^Ymb$cP_XhX8XQhOa@w_>q6@}yNE(OX%??h`gGf+za*0krIKy>j zX(M6p^NxlN{~?ci+vruPfA)%-xapc1C?-64+{OXL2G)!Pnlk|c(o~H^RB5=(UwaPBMC&7JFibvdYr27qx-e) zcTmP@fZxx!utyKT5=z?4<86F9LvW_fq5vjy%fg~wjc=g@dfnDm1O;c(vuuSH4 z{k545?a@19_wIii6fY|6X&$#io#^FDo2D zfn6S1@E>emCLY^dmp}8>addMyxKM;@mGUe$;|D7&D`(<9&HT!E8(L}uM)f z0xrEWo90hE9^^;AuZu?6$hhyJoAIDe^Vq${F0J$^{`=P zd@5qD^DMCmX`K*F6Erk?a+7aj;UCn`*Yc0kjlZh zGVo$5C#W^MrI9xPg$<}q=>q{0K%tzft#sm3aZ)M+&p$nHu(9TNxuL<{%V%dKda#gY zE8Vb%PXHP}?<045lyY^SZB%5$XyVoV?{Ggb5%3Izg-DU$SX}0@@)Eoh_DE=lC>$Q+ z82cvZB~wxuvfx&eauw->{>UPzb7Epgh%9fjb-6fi)@X>-)l;4p9A?Ln9k3 zyIH2GM0F;jrHR=ZDNh=F!riLoB#IRM zE#fL9?SzXg|Mr2Ao_#0cjzh;~!F~x6MWg5lb@E8+dwg4`lNJwJ&(9&OAOFQZQ-VX` z6B{2~(pj^Y0eaw#_OnoPP6GCt*2o!`1n?eCXjW=D&QMebLL$iZ$1?M~TRzB$ZrfswjN zU~u+2%1qxjWJ#@ju||B;)#dlG?G0f|F_M5LNM@;2*~JVwl?T{ ziCAbEJFjG?D6@uZdT)Fto^AyUQQ=9tw7iqq6I(0=oNw9t2;s?}!HY~KO$l?4#X{3S zU4b$4d;jZN^05Fd-qO6%DXcozZQk@g&xDxlZfHyAxRJcm+e;y3cD%9cI4QIUFM3i? zQ)zmC|8Lkv`St&8@G{eVV&DzsH-<3t+`$&2HSx4)1Nn*$n1+$ykX-mD3pJsyoq$s{ zk>xn6j@a*-FQ$xC{J*9JDnS@J0cFWVgg_QL*E*$BTk$2*_BAW}E>P5O>pWS68IH^?X{%=%iAlW_(gaIhZiUyn!1k($E@F z?mbJC!&`Qu6wP!))seU0Vsuu6RR>oWh`I9@=4=X`zyRUrFNMsgLlkg`NUqGqL`c)v zn@DrIj5QBzR}_B$n#$G&(bP{uCOqafo-*Q1F4W(X!&YV2)rl>IK+lk1I#v{&v@OkZ zQ3j;hIvpX-@m!>)WLJvDs9H$Tj@SCt!>2wF@_-9PoMFIy zO(&MKk5Fi6^o3M1NbFW!lNp7Gl3_*afwKDK$!)n-=ad(;!My$FVv)CJZ3~63K{_sNb9K93leNqr4heASNBNSM_GYk#!a985y$a8oorG za$&{u*aN1vdAJW%>-lw$2WSvZ7e&m4eO6?GASIhH+cHKh&+ND$S%FDKvE12J=0?hh zqIO+1F!1stPieJHerMdzTaN-4c#cC&&DSfOdxe+hcHuG&_Gy3}Q1x2a;^T_5MG-1- z39c41uc7b9HxVYu0yEzM{?Umb36^Iug>oX^!$WSismKsC+_J!Oyl5 zC};r{Y|DV`vw08J3_>*GE4mR9P%9NN!)vQXWFWz%Tf|5;EcL?zS1j7$nV?N*$yBWa zx#GxAQ@hCp!d#Su!tr7LV!(O`23x*4j>A`D%ME3>b;&ojgec%wBkSt;G!(HLOm zeb@{nYOtK9n0KFN};|CvT;`PWIy&1x%f`WbKlyYVX z;JB)Ng{#+EC(R!8k+d$`7XC|&G4d`aQs?kD14ulsDLcrQiGk zOw*nQL#@AW_g!`vU3~cTxMsrr>#KL4G>PBv!|UD%y9?6{ci%aD+7b@NPQ{7t2EG30 zQM_-b=zPt8g6ghr=*KNz+wzY54g4YO7Zj?pJ80g;EizHs@H$@#pFQ}|pWFm93IjVR z+El!4&VadXc`)_6H4#+Jn7cIxn+?oB8XOC=WV0%KET4dax(E!G0M(Th1gTnAT6K_x zhg-1-(*-}$`|(fH46Z*Sj@X}RX}!=(eIjuA-v(<3<@*BNmVP6RyPIZKmu?#txa6Te z!x&UvgOPLDyPlVMW9D~`^wOW}f(3fYsZ-0=dOyRh_;(9vm2unbVfAe|HfFGLSbvxt$0nXu#s zBMO@CTK}#qbm9!HnC~rw1tx{gU4;>9Rzl38)QwKKM7x}hdsP-bsb}i=v=xSpaL_aU z)A)MSs0Bn-rUk~%C3aQ2?BjNCFus+hL3{&lMREqM=JIiNa_dfR-&{@gAsmv94q8hC z?CGiQMS#V_93nu-+gqX$*Fu{zO0sG3NaZ}Gd3vLzzpJx~eG8p5DhuBbV^Xi}m7a6aWm>(%Gy5X$z;4)me3@;XllZ}m=6=~t*@=&Vk=9rLF|!*I z_6yBE`_kWPE{$Ds?%CC&GFEm#^5w+G=CFXjx%c;kOzs6^@rtFI4HA(?!~VcXic_jq zkl3`fibY0q$~Ur5B!J3xc6JzNtNv}2-L-zqPiKDaIk4+6#u9z6)PerEBn{r0y)U?t z`aK$QC@;MSG-g6LK`iC?XRsIQBDK63H#M6|H@|AI5dY#37CbqT9AKBc#CtfOW}|@NTsHQ~6w})5RQBA3f#Z?E34)r1|M+Gtm-q})|LAOIE>Sceo5t~?qeaBF&7%}LJ2<*o zMLDu=S}a;d6kx-XPA7v@lczrGY1kC^fs;a((GVw!X2Ad-_?>F!EDgEDF(eNPmRwldLjAvUTzlp_N;7 zz!zWIWHq!nWpR0ai#>u(8n-?bmhw!o9t#A4?wkG>R;i~VRv#7xIvf`DzO^$c&C)ug z^|TIm+Hx;s+onVM`@KY2e=7UMJuT;lIbEPTAW*;OCl?W6Ls00&a_vE$NW?vlfyz(={xJlVuDi5* z5c_h#Y{l7+1;ytY_P--_P4o$2@hsvrrzz_DNxNdfBdDJ4&zRu%BW(IDWHnyL#X11@ zjV_S1(1IGFKkvOkUe7Fq@Xh><(6>_Eap1J=%k&hKj)2^c8j7Mi+5{1)Q{84HGQkWS z43WE>l8yZ+uxM5lP#}ct&T_nDwd2_W0TfbBIn-6XNTIK&va{<-)I_RZ-&~D&v3tLb zjo*&>KcU(yweY=VyCTallZZ-cMRi7$U%hHwOvUJ3>}@ScPYHpBR?uc;0h2BJojt0q z3wdDnzQ$r}Cj>x}B!GT^h7=cSGU1tIc#MXM>1IAik~Lo)RA9U0QT%+r zNEOLb9HiFtn6GGg_^2nCmSkGTlZ~O+qlCLlk53q#m24taBOUdOvbd)|JiOr3Y7OQh z;Vm%3TK*>Q!X-W)JxltbY4rPuW&>Nq9`ZxsGCq_Xc;WUk4twbZ7PFHTLYHwS4&M*= zDI@?%7aGFgktr#_XDVmGc}zD%34>^8WMzqkCUFY8#R+EwnaaWPjICcgfj)jB33$9M z!6Cg9q;+5e&IYtjxC#)jZ3f~`u|%35EsF%H z%~$!=e?_HfBuwUAdcP~`;;`cdo(|u}Cg;r|uI#F_J%P|{lH!l3^l%${dU+Eo{KV4` zJolaC2by`n>eU6G#eAcr&%y7Df$qN_eTXjjIo5LDQ14nLaQCse=dy9lYdVE)_z~Mi zc4+OjL_c|RvZUY7g+g3aU4ff|7dMfH2|DrqOL>a$OK~A#N*@u76Hp0X3Z9TW5{Yg4 z>|rxxfz%0m$?GgZXzgE7wmOhlj=%070{Bjr)UW|x5@Eg|e&leH&W!A*y>-Gl@&%CT>W!6DqeLMux4gKWz1v_O@AZTb5f@L#)*b_W0p7<0n0tW>9O4I`B zRPgsjaJtG~^>k#B5)Iat$HJFy1ow*|-o<X#O(x*r;AC==BB4S{%egyPLu#HezKoYi(!low|VA%8rUCMD_rUGBJ%7sa@0blT8*OAHF`T8Pf zjHiFVi8to(VwZ4;modSQmo{vtmPJpT&SdnGE{ui-XLl92eLO)k#77luDJ8eS#c6lR zg1D@{9SKs2|C#&ie&qb0y*76L*{6Cw!}O9;f?AqGy!37dI}HQ3+cJ8mLDZwlK^}G7 zma2j^iX6~AK?_WUyZR;PQ#OSvu~uwvE7DZw^Xs2|%ZByUxj?bb;Dz`a{~>#)h5Yi6 zw%rZ^Fr_R+&dt}Bee@4ri!rEVr5Y&9qa|`JzIZo!Is+->2m$IFkC^@5`6M&;XUUtu z2!55vUE-rAeU$azCiVUZ?o%Rh_m30@hhoUuvv+7@5_g+m=mFug`VK(_MB=Xy55t-d1v;td-G6h1$P|ViNA;IhqAb zH#CAt+~x_6%-};Mpy=wiZt-CN49oyk7YfWBl^Uk2j1!3A8$Cxs`2e*34vJIz;zJ$C z!6z>mBw^@*gB|sKQgFY|@k7B1=d^tL#V5nrcQ%z6>qjPqWUpm(*sCG1 zw(NofB;F{|qkA7u_vWkUN76HlUl(Hbd@98_z5$n47Ly=T8F64HiE*uZm58(cNYC1` zSb>GhPum5a``npK;;@#UJ`@!!Oq`T1YJQ1frEU6ex%tmBKm?E3x*R>t zmx7T&&Cq1#6@R>tmBtNpq`x*j?k(43r9NBCPBRp;K0w{~YbfcxIYhDjzJk8jXgL7a z;_B_bi8F^PI{}G{p!bQ@W$wWsDS^4OEjEg%efadFp~ihRa#>amfx;+BgYEL66GTV;a)!57fI)8FKIhM^B-w;{ zgw34%QasJN%zyNW_XFo$b1VGeZeD2#k?>0Exbgf^_5L?;Y~428^?kZOeYxe^FIR~m zGuZp0$C>%cpQnn_bi2rbI$UcH^dCF?ChyxO-kb|?3LL9h_T)Ce9Vhp!-1J&e*>%A& zp^AYjfwrz_Hr<$%(vz@3UXMceLFAiz9Akxp*sO9zT&0MqSBi8+=0!+FtdNix@4}qY zVNphs##fPXLo`JMB-z|iShb1eSs=pbq!ebzJeh4KpkK@Z>Lh@5CDL53ykf2<2iwyK zA&b0iCzU4B@)K91#!@vK>WcAkRu6?szuGL*91y*NX7)bYnyFHFYZb(;WY3OwtN+_j z$|H1-*4%PUzZE~Y=MNDI8nWp>+hRxujE#UT5yv9hGfQ;_ed~cTXM5$M>;9IE6I|L! z%?&M|UlkcMlE8%+4)a&*%C^$Kj7dNY7_=Yg za!>p@$@IcvsGG>H-k*L|k`#wh^=S~F)p!v|_sh&f=B%-ZV{}6BYdCg7L#wmcxA|~? zJ|VKK8-k$^O{eLR2)4~I+J_n&iK?29m_-%zxXy^OMk=H z|D)(!{F(ayI8I9CQZBj81dZQn$F)%SOP|G^&TJkCDv&+GkqJzpV=5I->puIo+T*TyYz*`qZ4oyxMO zSMn}&ee+*^wT>pkfcs|bAs*=zuhn?7U^1mbWCAlA*uUg7p#Mtbvl57vwqcDFg&t|n z+XP>%R~(#>wyP6@li+8I+>u|1xVqy{0m{wrZqI8+Qad%`h}?sVU|=JbPG(^ouW^MO zqg(sMHyOo^d0p#QaSd_1-v};qA>oIOfBNi#F+IC|G|Byt%HIKnL6_p>>avEaYnm08 zM;CFjOz+;OvMYRgoyvieP8Ghc*Pp%k)hGRZ>i|55!oD~3FMjtH48tPQPc@W=pOGUY z$e>~g72ijn#JUCylRNm6SU=S2#SBJ~g0M2Krn{@*H!(rGn6ccId!zA$dA(?yg#zpk zF%6@RZ_PEQzGb;ZS}hE;<=ZEGcD7(bP89{m8BKu}(0GJruJ9I-{&*mF;{HT|S7B@D zWPp5#nBHE2M-|V5=XaoN4 zP>0r)CNJ(TO#sbtgHEudBW{!1-S|*-%2oF^^ZDuh0nmb#wT+C`DpSs|I}nmrM!9Yp zggR++LU_u&-w%MnWbCoBtsc)PGfKn7d&3k9c^7Kp>$qQ|G&`yyWlmMf*3>>m?UN2F$0A3w0E{=(<`d;UJ2r(hoar`@JgL zTpyM-a_&H*KFC_d-<7-Wd!|cLwNScKdoRh_EppEHly4GnE3512U(HZ+ zUOH|RC1XEu(CW=J!nL8Q5~+9uL2Ue@m%p*@8 zCBm9|o0Rvk8lScnj2hnBFfw`33+tJW)08@s?~|e|!_&L0;x+i@#2*(5axk*tL|>5n5{lY5 z##1iQngJX)v-$?UdmqacR!&3Tz8HU`nhh%&cJ11&jXnVQKtM;i2eGrFj)4iI356q^ zUJ^&q$WetuKbC(bqjF#w+@R_1p`=EzYAWNMHKSR_btsw*Tp$u*@m@iz$Er2BiO4^| z{q?ba#SJ?4W5UqQ!wo!w`Eic>clhTP;rzN!Irg=)C04b$@K?>7cu-<3$u~87Utt_{ zuDl&&v1xnW2QE2A&{*HxwHCPH=X_j{e*JQDY4JrbfNA+`CW-0keDckd7C<32EZ|tm zP|mrBU^%oHP=~)XW3SRvXv4y>m0l&!)bqcySu1M|=ll%no(q;5fEEh)mfADG>OymJ zefM%BqE8<5bIa!Xfd7Eex8@YN-K~jU%@0GnIr~TmH%m(OBx&E4(-={)Hb!1&hH;Wj zSQ))_#b%j1B3+*rC8l4Ar6s8fs0##q_B);nDd;22y~DfkKK@cln-|;Jd_Hc>P+^cQ zlV$c|%{@+{*YbIs;G)Y^BB*^IZ&D6+s0O zNItCbuFuLC3M`i3&sjwu#(LXK;~PG2CRp*L zQp{A@N{Rw{9BE<>+6Y}R*a~@LYD82!^*P+jJVD}ii?qxXBm^eeKlEcKDD{_$`FvK3 zg^8kgEtdUK5!v62UwZQo1mjfg___!rGKS-x`eg~N`)lGx4^H=(R^q_kv~3<|by<~g zHWh5n!T7rCk&C1GXcE$nG8iy@OM&i)^YTnf5x0cVw#O z^O`S>o%iRJLe8A&V)jA{X*#zJ^?S1m^4Lh(*0)vDAcEuH7GfpP=4hH5azoy0*`G-PV!Z!}T;;0XUfJ^e z%aHoCR7DYV5^=T7a|R6|2DM&S_WYY$U(`~a^r|Wft61|}V#5+Eha&jTC6flmCUCNB zFomh}OBIRe8K_E2f=_rTTZb2lvAPJ#eA@d1J6*$7C#)SZZB?t^m-|p2RYE9_NSnu> zR-fxWg8oH>)wXs0z4kWSo?iUpW9{L!)&RAXWY_l5?Xg>a7I1)B2}QkzPay3W_fjjd ze_MV#cf?jk%%6JhpcOpU;a0R|d7!@hBV(Mn-+Mh}R{+&=X1Nf{d$(6or5Lcyd$JA; zb6iPJDeYW=KX3FY{wa`9zu0|xNl;llM4MatcfH+0n!c0zOTd>_8d*YrDt(Psu1#=IC6gnNOg%6T-1c$& z4Nqtd!6igxSsp3Z=>gSo)yzz172= zMiom`l}ul&n8t?>ecn7t1IQ{or~rX`qCY1`KKpNy#i-XHErK>DEfe(aaKpk=LoaPMo*d7Q&AK-fAg2jc7_J_9Qq;8E2Fi1ewWV#hfx z%ei)L!;JUHfda1b-=}|Q|8K*AIY$BRSnfbU*9w=aziaEchw2@jW&u|X z{U=h+OkLY^!s-q(CUteWDlhlU%C8H89&|D3ghP3LK0ICs?malS`U(-eF+rU4)z!TN zqg*|1tvmCFcSaSSjlYxfRQ38(sJXc5UnxMiSn(RXF(nIu)nbNo-C&n0y;7tr_m>up z`^aEVHGY->Gv5FGqL*=d3-_>pOoRA<%*rwmf>?clZU5wyv-{@@&H_DxH=jaslCb zX$y;vT&@^IFDh8>gR!6unuE7<;dyqqhxraQw+d}fXODWNN;~^XvpS^0^DY75xZrP( zjc7<9%+)l&509X>&RDIfx)oOzH1v%0l?yIT*fLJyyLn1!*0scgRhQ+8ZeY#c7LARE z-{SRyJyb}GD)sqLb_z!bE@{orGOM=sonq@Y>J_M90MNkatKK$10hXRwW4pPcim7b{ zKyOx15TH7thwG)EIE1{cLbMElOT<-jv)4+?SU@iai?T ze&Q<|kXon=SF2xkkW?=Jb9ZaS0r)lZkDQ6P8W}?`^O~6@-mC`9Dg=WRv_BJtT0Ct8 z5Qgg}D{o~DAAZ$mEW62s`s%um>^jmY-r%EHwZVU;BRA@bl#YE+!3wv*+}JdhZ5j*7 zyL~D#j_R5^P{|lC+K>AXA<)FbHe|yg>vFZ?a}j})ZYf#yCyq%J(hB4JEt}(xIh{fi z;$kBj_VdC^g?nbhU2;R)mHVD_Yg&yZH87}&BV~j zU}V!oDajJ%#t;gBwD6Kaz-yo;{DYKmYs}8R8+z(PS(YK8@g(ICXI(Wv5CD{7=B>={P9K?k2PeHoQslu z`-7;`oPJ9H(7_g;>243j0N8gfLwAKx>)3jq18eI`vE+-_pY;=?`|Ob4dO*OnXSrDV zb0LgQR#{$U4#j;ueIDwH&Ik8OIil2{emrtezf{M7J6SZbZdk zqjF*iCXADB+Hj5Na!$W+pl_Ew6vN$V)Sibmd%yPH0v33e9vpYB6K(a8OOn9c=%%(F zXmt0`@5el_RMl8VQ`bWUc6R$jxWSQcjo4hwjn0p{`6-X3M=`^1#n*rtG+5Zo_(7&|~ADTR?<=Q-X4R_qqbs(t9%K)LbIqWtdy4ua`T} zz`=&zS>OA0jOhIqxwTJqc|@|p3dDR4lT?sRAD_XO0g&6aPg!X9#O7*)b)oO_28t-d5+l@mY7i}`yp ztvA5Fh(&?Lpsg$ zmM?=J9h``3X58DO7!Qf9x#^GsW6m#*`MCZ)qN*7a8IU6CR#3*d&yo0MFev8#SMxHs z*S1Rq-J|E_S249Nw`mcL=~}b64VgFV`IXUt%*r)cL5Noj+9N&B)MLxUZo6; z1!t?(U;2Q}Ev9FuiR}mTP&?S{r<68!-V)ajh{R(r5bm} z9D=DHpJy|s$aIIEsoOx8nVZHK&5zK(i3(V+_!%clFGt8FPi;p$Qi`38+ur!pC4o6IxS(W537ApH%lL|-;%r2`KyXrCNmuQK%Q+B!FQULAIsE;R^oaEp4Ews}(16@((Iqw()KS4<-@aYGvt+qD!2>_-ha#t99;3 zNIVIg1P!3J&i*H20VEhf+!Xu?3Vl2}qjx{a^pLxe%@*88?U$(VxN{dG!58aq!$OZ9CAz@IhchzQ;+ z6tVLB9c!@nUujG}sjOgbNq0t{q(X)M!2xE!S=ws3M`MWDF0s zQD61)Tere=VcE;f*fHnKn~EswJz*^e!}BAVKx2X>{TcE^|LDO^mH2L5>maW=5yFx0 zbz)qvI8YMF!X9`P&+bT*U<`J4N$p~YZQMy!-HW*7HmdrUAvb3~{fu9<2p zjw?2z{-x}{+C$?V)w>@--?A<0A-B3?isJTsf&o?o_Yp(=0NW%P*KFR5(O1f+&TiDw z8v}IL!R921yks$X?3|Chmf=^CC3BASoWoo(-{Iy!f`@W;+qn9nZ`i0eg!jlK$zk$> z965?s(gv$PJDw)~GBYSmMeYu3qtYmB}2j%e%jdJjirmHx!NiCcKC!m{u$x*ra{v2%K--UGcBOPShLN22fd&= z(S8mImtF;_1nG9lUj|nW^&Z$NPdDjzbvs6;Nw~jh42u3HQ$?vh4rGT#xuNXC04#+f z68)l{69w7+m%1%YiwJu%kxuYhsBcg6dZKg7Q?ESn-Fg=)wNok5@|$5YYJW89qgena zD8Y;1rV!b(Frq^oIpH7ip%5obIUc*@cgSo{uM#-X$g13Eq|2&SypAhpOx=YX`0_4D zb>_YEwL?`7su*AF<8}~SVLASqIqq{o9{c8td(@ltGe^E%hGgfaHq;D~e}Rfg2I_|c zXw~iug88p|7M#Qm=d2Fa*5Pu{NR^y1NGUlEFQ4%686WC4c%g*^p!QP2(g zjof1@RoK?LX&1aIJ&D9wSlvsDaduz-oRHZCq%UpcK8`nnw6Y%=YrTZmn}Y9NOzltx z-wl}7Zsw-x6d$0`5~qx~pen8B8G@#>8VI{cSN`R!)}w*Qe@5T^t!oUB>QA^biRZTF zi+48T!-QB16-(P@N<>xw(dK%Q9B-y<4xa)FDv(CpiB_(}<#gKhZC+N}58vrgo-=t| zgHll3G?^a!gQ1n(6rqktYnvUvVbM;&_MDUtp5l3;XW6zKl3_jii(h z{?cT=;a$w3$0L_jPqpH>uq5P1_h1!uT_j%Afy-8~SCtRvM+I$f+Em zcJ>*5R>=6r){9=ZqJoh*X3ya4Ls`Wu4zdqPwDTP>CP}LMq)xUrFHK{Z01ub)#-4FR z&d38Sk+=Bx>UB6=K))|zgXa+mT1d9ZlpG)cL|@NW`iDr;lzJ!ve+@g#BZ5pb*DNkg z>`+8l+*U}xU66pk4Le&5!5ci9XgSB*B~S@UvlFiovki_}b&-NhNZ;d13Vn`EH(j=& z<^B6Yh^-NFOpvT8P*Ch=Rrdz^L%E0r&y+JRfxMwsx>{|3VX!0Tuo&PEz3K9aLi}5M zUD}y6y=?Rj-!0RU=PLk*3y4D_*j>-At1E9WEyf=@sN7F0xLq)L^V5WkLh!Xwqj?ZD z!rmr74e6oP#7yclvmA*C0KN;;%_qtTSt!pD98|gbHT_MHV&h5blZU@esh*sF`f3-4d*%AjBxl}2S_ zVdx4l-VHX7DX$pi*|v|v5;YYf^?0T%0BRe{u{?AD2yE*qO(-H4=neoD@=D(0+CS~~ zxhpv?l@#*QtDoqO#ZNG4#O)}l=!k&8_HXRB{oRVBf8#Vhg7Lamg9JSEhY`^AsSOPs z*%j6jQ@9ME7XR1~m0fZVzFeVl9(F#nOFgu{!fhpgyeaMoXM(h=u{q{F>02Wi|ystJO{kV9wp34gm6kAGNtu$4>@GvtZ%4?u>Y*}GKmKq2cDp} zt2Qti!G+`?jJ$ zQOLXJSMl!h<=@wFR2q)|&(%{WV=8}a(S?GmZu!`E`%I=~POpbZ4CchT9O4L?OBzn} z7Pj0=DvX=|4YsxAQXlbupL=ubrB1@1E6jrToRBnsxrV3vXo%CljM$5gb-hXh^l5B|`I+Q^9HleozD&8H%4ke!;L zqu`-61zO2shj~RviXHOT35$)?|3qZ5*m!k(oDi;Lc)t3_r4ZH8DaAKKm*_*$UK^i} zhgnlrQzLY3df7h|yo@hP#Zu*-7}a4KjOF~|j7h$_Ru_G=>Wc|hkGiANF4`h4O6K$W zh%;}I4`0m6zj%JE+PDIH2KTuC?@wQfEXUFiQ1sQ^-WQ8;Qr&V|D$*l$oB-TJNBp0? z0HG=LIh%B)1#G;#9SPt{m=XG;R~2#>5^Eeb^;I0*atsE&DHCzEmnh^a2s^6cX(w{% ziK?)zhnEyT)~c=z_}hvAyWfhu47U2HW$v+F`5Ljb2uQ*(`Pw%lB7R98!fiMNb&oIw z3sn(A{W8GwA8CqBXSBxIPnTAFVJjVC3ib*ram1G-4a%s2u5w}8VeJwg{>LHCW<5+_ zc&agVAyVfKY^Pw-=IRPfL4R7hjm`u&KZmh81wJSB{{}V@?|{9bVMNJ; zl{R8Q=lRuE7?NeFgAd5xe;sx2gu`oMkMb{=;SubZR$!?uBGdn^I?+7rNJe#U(&Bx$ z8&6vId)>%-5QGH#F$>=l+4L)i57Jb;X`07zC)X`Fu9ZK4ioBxcS*0IZ&*X)P5!*zi zl-)K%0f{P6^^s5?aA>FgVrhqTtOEr5fT^i1SgBA~^Q;wo1Z>F8IbCa(xo1R}#%$w4 zC7WW#@9kpwG(%#~%q?w$s$Q5J*|HplX^ma!m+FXsvd3r6P3gP6NU8pHf$`VES) zFiY_DPGMe05e`1j%V6%fl<_>u@x$5?U-P6#plhE`H#FNidz$J+j!L!ZKdCc_5J;WJ@Cbi>*xK5n zunYH+w;PHDn*4=hz zVDKr!YYiT98@fO6j%}So{X{z~y)9rq?y$BeY~M;9iCB2ks(w%a7im)BAl(r^d4aV= z-Hy9=^9p#TvUC{%dFHIkth zMgd|%gc|@uy$hDsxJ|l!O&XK>_{gtLDJ&aATN$wXB&a`wkR%d0CwhO-GLeq~xEH4x z`2>jm{`N)xy|dEb_^^iko6Fr)wl4%&0Pj~f%rjN#r~_v2ZvY%Ae~fR_9sSX z_t^N*Ra;l4x?zB^a3_|3YupA0n_#5+`=;q*mh=t%)WS!<^^LqvS zBr?#ZiLG$&S?8CBhWE9U%fZA&$+!@Pfc08V9i$|M#M1I~FeK%bk4&8&Eb>gX!fWEX zQFN$`Z@0Rhs;jhOAHV0JNwoEcH5vGL-)jRGcJFt#O8&JA6--Ubjhc|bT@7B+heAzR zKVo0yZ3t|gu%HkIde~aDK1>1Za@vX2j740c4@38i(QD4Bq0=no)BKvJ8bd|Khpwe0FLJm;wB5vEWts`lpr9EWoMK(PV%q*rFwg@1=*`nihGr};pTv~HeFKZuPBMM60wjI{ zbv#c5Yp4&^+RnK^{c_kxIMq62&ma+SqSnoqE4ZVq1FIrd(EyfEhzUX@IJ3o@?zz&< ziXXv&BkQu(b4=DAl%k7NQGFpEh7(dFW;_Gvxi-QoKW=a(xJ%zCJBgVj;iFNFn0ox{#+Q0I)UdK#%wW2-lhK2EL(xzyNO$#gHQJZ1W#*B0eZ9|-A+ zkGf89Sv^U}U7Uf|`xDMm`Wa~$&*{tRZ_ydKMqDLUJ-$a*_wHX)?L*&LKY}@e%*NoP zi*Ym3Z0dTz%jlSIe}Gmic#ZGue(#y+Ixoei*Mr|TdFk`GioJesE8!^smN`>TkpTj7 zB+hZ?#B8sFs+7q3XAx|z-h##*m!T}%)5@V%%kG7t%OvACZG<56_Q*J6va>CA3~siP z`^Xe_W<^{mkgV{V#C=b0rA7b_*W|!=WvIxiJ-~`60M7W$G`yH>DkgvQul33k9oqgj zw_6iiD0l?T~%ot&%Uuv0l> zGa6f#Hp+Qubv;Iwo0rVXjz*)Sv=dJ@LO!09?$-~_b!IZXX5JmNIWOwtaOl2#VRFyY zz7n9gdg~}IXvSosH4g}I$yvstBG3bB9*SG;4$nEb*&+!&)@x~Aw^m*BTHw0^MVm)Z zUFcMPjG;h$MV2A#ZHpo#sS~~nukBVfKkuEoh!8Xi7|*y6NJ?XUf%XwB+#RnzAePtB)eVsw{XV$a4vouH)kkmr~<%(HK~3A+`;|IJyW*BDn8hSgo_0oWrA+S0{=agQlU$R1}o{i z@`Gvk#1-^G1y;^*#Ofh}eVeT}w8NFsSW$bleMaomN^yBd<{W2Tx<-F9H zyDbr6-s_l4Y>;?(RLL$IHO_3w>? z9N$ddD5N;mp~ARWB=Y94YaNn?ag03939d|tws_GnLJNnFD)>BmE^RiW0^4T4@$tfa zgpcc<)D06(Ot~-#ey5c$Zcj1RI_?_FikevdwPWb<3YGj!#)f7!+GCjec)xxjF1;u? zX4~)0njoWQ7C?C4hei$xjO#p`6xp3K)%qm;;3b_&DL-{coOLp3`;+gI2SM(KW(jZC z9q-@$?=DGtD_bVK2K_8*`F4nuhL{z!x;GkdJq~yMGU^hxN7UyVCc$N{6*V>-pHhjQ zc1POg*mvJjOFcK$f?d*(Gl5@6+!S_BS2?sd-3v|~7)(BKhtZHPv2lQEBF;~c?jZEAHQ&WvSg$!n2jn7+qk;% z{=I_j{UcQ;)^wc%_An>Ne1dyZ-gJ3fSBSBO^wkok^ljTBFJ;V!GOOlob{i4U2`tF( zv+Tlxua4X7FeaV!$9ii!1k<>Mnx%R|nAb@IJ2@ds_>w>WCA^$x_jsgTE&fP|T)!ea z)aDpf?=;GdIZep>jy#TjGwpof&Yn|O`OuysjdQ8aesCl+1KVQMJvpU z6%1>2gN>+r`=zHzW}g)#6;y9{M~i0EqI|k$>U@0UK@Ca3o|>;;^9RpAJ**Nte>ks} zGCS}GOEQCSb;4>^q9c^Rz2J_AOYJQcxj!vU{N6fEGPwU7pDwkiu!^)Q4KP;v&OS!R znM>KpgJIZt{`7Soz{Z|&GxV+UoWvQS!NLJ@9v8$82#S|QHb3U>f%^_ydo|~tXmq*$ z5RdoI$-iw-qU5F{?u;U=FY16R3Gye|e+AQfHiZ3e(sPNv-u`iiTB~LS3 zj*%-@dv-s0Ns?8!`gX*;xZpADoP8Mr+nzmu&~Jhy&?`zjU+p)cyD8o-`WzRe5P9m$ zv1%Ii@`edi5pix_jm)R5wjn#vDYjQtR%RAmyo~5Z*X7jQ`lMm0DtzzFCRwg+ZUDcY zH>G<^N$$3~>V#s>rm_U@oUVI+%Jd;!n|ZzfUw>=-EiX5~g>eJh)+}hp7GphUe_duP zsoZC#&fUM0YhZPcHk%bl!&FR}g063KXYq)O(GlC2n4wu+!AW^K6iqsKbrrBY==%BX z;z!?Yli7YJANe-zv0awU#27ogj0k6%$)_Dcr#?T1a8T^TN>pu!Qv18kqrQ5KJJBIH z96MRQ%a8BLxLuWIwCmn%Wnj#&lZSN$jtc@qK3w&IIMm!(^P8zui0i~9*weZvI)!EH z?~;$O89&jOk-A1FknO`mbt`I4XY%;Jo?OQ(^L*kR&dvy~4cBz*d_2|!0;R9d4xg{EXB-OtYW@2V-<{}8C|75ArMpFHC>Sf9nyL9uM0vAZQtMon zX^gW&p{FOArn^JJ6w_9RdoF=<-$y7vGNN4|TDBmuZdJwv^I%4RCK~{-afFq7GLt2q1R54pR;TzTtx;RMJJ(=&Lk&No75By+HT=zr7Fj5<40x_jU zp&XkByt7`0 zN+kL$UU%h{8+!vXrmDqb%|V8ANo}71-R{a2{s4ih+iWL%7K76>m=NCKxQj`Q^sx{}8L$E3W zX-xEOIFn5Wjf(<$s~t03xXcOA_HcS^4*MROwiF}gCHw)^6-=BdSQ>%%Lp*HAUuVu_ zAot4Pqz|7)!sXs+n&Y{_#8{?oOX`dzjIl*DZ2N{7HP9rjId=pFzR9>PP{z1xhgvXq z&_zfbnL>97q{M3rJM~p~t`+jTzp&$ON{Els)E<^Tu0b*;z16BZ$j9f&$?>2 z1+Dtb3oX?=A3-Zt;98Na8Z}4i!Ua`yRvWpFS)Oac#<(y-qADiXluwI=m-o2OC9o59 zM$%nJSw)?LWa=RNoqf9O8^ev;1cM+#S9pZr9mx(&d>m*pA%SIr(@mLDNokq4@ACwo z4Wt|(l|Hg9zwu@NiM%Y&L~6MFrn29!*ST>9i`CZA&gO^LGdNEc!ftIPoS)$({I!Pl z-b)FvmB%uV5YJ9t@M5LKoNkj>Lxh;~d?1(G&JYYK)z_xVtd>b9bag-in*ep)PMyC& z4l+=0-a|H{iIEma_4j=QEtk&(RcpGv#eIo#{urKV~p>!(fKngpXpIu*aB? z3at?MSSPUnIR*~z6qA>0=vN%yay~xk%GC8LKR<&oHnJkVs)X+*C8qXXmjAw2TON}G zE{B{qjMJowRtV^3BHkA(J^xHL__mV266)r_n8TeZ-LkRRj_!-i`P)UcancwuL#lOX z|5rCXua-H2$xN`tbJ;8O!}m<}Qeam!4m_n+$r@hnTeFw6@qiAA7Ti1F(@yYW<%#>q z*bF4`y$cN^8&C1|*ZqT~oLJfkstqYEV@Dr-8y^Z66qbYG^y%|+#l=N0Ze{hvtFGog z?){;aa4OXG-R~ATei3Y@lxQyJYmg+;io5#PKl38L9}M@8&~qd2Hl2VUEMGkb=sgx6 zZjm&0l9}q_RW47|qPsV=DyvFgYNUA-TfD*A0t0dp@u=M;?*fAl#E;|HB*K0ojr7@~ zlc0ebWsZhfA1q5rn8>wGLpm~1=}zdzyI1kb#5uy*U?Vofw=O68q@j{lRrWD55sV+r zf-D6oxDj2yU&7%&EA~W$vEJl_ddH>dOC%Y!NS;>Y8|#6{0DjLIe>*!v;^K4U#oYp( z*U*mF+cheV9k;;ARlg!w?UOsL=hVwyp<0b}RwoOh9=-``q7{}lt+E=H_!~#&D9zox z$1@%LF#g$^3}21uy`J_;wvk#qnz(Tx>OeqLiRkiVuTeF5XhJ~4h7ofeEukTbcM5B@aiD>ZciBW$#FVzVp z@;XndUHyP*7Ym+%`xgaZ$9@9Ke6IlTP>=GfHGb5EG9t{!sC9j|pVL22^u$U?S4y>0 z3ZBvpbbrJTh9~U}uB{S5q<3AKDqVn)?IfomPBOniI$f*Jw52nn?ia5B`zF#We;u(@ zPL{^oK%bILn4#LziK3il(k?speAqGAID^|oAM2#E&L1$E%a%X%4diAg7CkZPZd|9#jSWAEVY`M|6AQTB5Bp=u-6{BWZDk*6Me3x46u7xfXXRL1C zrrQ7WxcPC%_EUAy@0lS8r_QKXe{IIb1jYF2tlARvq2x5Z#ewb0i_9s@3rM_gY^$`SNQI3zaVC-%@*x}C1F5XQ`Kz%n25O3(0`>CA}2d7ZA zr9Pg%NHPUy;&OkVT65<6dw2C+ySIA63bL`18u#nAzDl8DQfp)PD#9DSoIVBp&St z3FZWUwX9ZMexY1Ct1K(VEn?ll0qY3bF}eXI+f%Njt3mxvDY_ok#ouKBA26=UP%?b63;(+VWWiPfH)v=I-mjkIuJX8{-aV*E{i2$Kf`#h-mBS9Depi$q_50{BT~YiY7jRn?_o8_j zc`{weK=yVkUKQ*&sxLfA(p+)X5p4^NBfVY8g4Bp(i2aiJAtcN$JO=-jp4k%pcgjTy>kV64xnXRK#Vh`F;I2S%L{8(2E=k|d zQvdYrn|qvQnkVRpas#hGU-+UO6+LjDH)hlpx4-;UeFGdS_9ez!Xf7SJS4krGfV(-b zQ0h|8Tte{u!-p{E)yUV&m25MEu3=W`XCL8`dAENZaG?bh#dT_Sy?LmvB%AsEd?h#~ zp8pBH#s60n8XzEOymZTBFS>2AzDs-Py=XkEjCMx{z=Xm=cP*QW#nCPJw=n-NFy%Wy zfb8#kL+qty`{t6&Ve(;Dg3{$(Z@h$^|F~l5;`HPtx7{I%?^xdq^Dm8a9GEIjK_xQ~ zzuJKMGp>y_Gd4|6n+!!-i4ko>*de&6(x7c;aAFIu;A@+E$G*8j%CE3ei!B1zh+ddp zP_40b*)W`_02h*PB5~f=A)Xp5zmB5%_+{DXUsjVtU987F*PqH^ra6Kg|h1dBb1^exC5L} zLDH)kQ?S4_UL_UL1#P+)eRYk$Ao25aBU$!!n@@9jsQ+1O1Z>=bAAG;USrkietu~Ij z_MUHSuxCTZ!Jx{*X-6MKCccuY>rtTasOFSo=&-xR%7nn6)fLoZx2{ctzBKcGy_X$U zZ*%K-msJ~45fO_to3`}o69J}I!48tgGRySF6IUWoRc4=cpG9C254(A?Um0<+hliq? zjK_ePHo?KLc;b2m0{L+$lj1{;l_e3 zVE=1EUh#fxg??99iq{R;s|UIkp8NAdaegY_r*ZO@E^xxvF(cRp0zCn6({nGVG>HK= zb=7AcwB?skrv>QHB{yds3u|c}$p~}WKO`Y~)PPaah9j?Szxt5MY5Ny|R8mVI%`-K{ zrC^6Rzf=bP`6A)0qa(J}S@~e3)$MbK@u7!Q!Nlv$<*|r(wG-O5K+D@N57u%Y>O{~1 zkHWmVW8IJz457mhEjfVo(UE$H{c;XA9W(d16g}+rW7oPewNfETKE1Bzx|&N|*??-^ zqab&LU;atHq&nYA+? zw>OXKx$^#(UEeet+%=T4Q!M}R2z<};F*NB6EMuSxDD~794rStO1cvsMJ_m@GdQs!N zB8N_>;^kA{MILofqDMl126Lm=>NY&5#)=Dp>}I;_##{(3Kh1YyQ*`kDe`6vrSrO6Q zyF~YhiinDd?b<6MA|fiXO9rN)X(DT9@0oC3?v$6e7CakuEgYLuQd(B~-@M2^(OshZ zPl}9W3f}FB;T=sb1mDbeHHh<*Prj`qy%nV)?)Lic8pPe0icyn;30f+r$D-#C)6G4go zlPnzkC*YTT)qf&{&99;VYHx&WC;ywXmAv!(<=Yf7qTuEBCwub)dV0wUzk@g9){fU_ zIm&!h6|7C&IEHS!BfLyY7J~_M8`~o{#CJqKzd7(fj?O)vssDfAxx^F-VeX;13}Z@@ zTe+9H8*`cD)@9XflSsO_L~L#`b4hMtQz(6~x!>lpr9Rb4YGoViA_*n8^7);JbyV#M~Fe#>zSHfBJ`?^qlSP+cQ>GCKKnlvKE71{u%Tg(NvxFlvOiTi(R4p z>3GzBe#rPcX`{eRdXoJ{erlpJ>+W;ce-0DlCdAcq`0$9i9`ogma~w9qW`jPRQ?XGL zxaW86Kl}eY`nG$hddUhgCntEKp}%!}qtyH5N|v+oPpJ3HOL47}mji1n`^%ICTCJe( zT7RBV8eaZ$I5S#g@Tw?4;hVNz{R|Xm_Rvf&rK1S{?w>>D9Ty`w;na)~U-a=MvdJ85 z4CyF0@TPy&YeqldI#M8CvoA1Z;KY|4dxO5{kc)81>tVC1$Ju=`Hn)PiAIFVK>0ZeP zU6z=`eW^S?_4{N%*FKXndS7fWiZ8pJ@CDJgevcvxHQq`lN~V&MM$DB&A9&Pi_gtuJ&&ZokHV zxB;Q{R2XQjC>RFwBzeu+l@G4#y|qy0T(0=XMlmb!O4S|Z6o`Y|sfEg|&&h`R_vb_* zNnvQ)Z&D_6!@2awq9k`wNo&FC_;7c?(w&ObH#qOi1)Dv;s+%Ju9>+Fr|+z$iegHwA) ze#%yi?Dg{cOXL5{_VNDV(|xoItY#l$P@NBVw37U&O0+@?5Lb;$I6JPxs@OzN&);Gn-QHzonV@ivGd( zeCyGyBO9#PR=&8B*Y^EqXYtQ_`ZRmJG)DUs`KA}4-j%F|Wh>hk#a|vij|nL1E*!p= z%?EwkQ8At53;|_`1oPc}4sU@cn9_PCuggoOa~i$Wrd*R0Onw_2778qW4E# zgqT$(-xWU-88P;PsYX1~NhV^$XF7ab7WO3DG%RZU>*{ziY4rZ^sdN7A_=D;LXPjT= zDWwzRS}w!bHNDw4)Asc|@IqCb)#tVv9na)R>e388pZy`0Nxc=qL^s*1k}-Gh=FV|H z2CWAEc$a2l#Gf{P;d)NX(RzE5oooiC4o^)=g=kD*~$PUg_Qpgd8>wGP_x!pAsFBztSr4(%n%zQ2)f6 zj`E&HDCqco3vACW>xi9Zx^J{@dQE$!rsF@l0r&?jI25~^ohbUYD^Nc;)ZWH1OCOeN z4#xaw!tK#%tcC+{Ak~MQIJ}&dThM#VvXVyKF(h|3O@MF(qU3&KI{S~%UXvtU5GU*i&_sGUk z4w_k$ekF`y$;TTFCux_`vS<&pVszhmf4fzk$!0(SUDI0|>*DE48C+h< z3PS34rdE$hUSS{`{|>wBHEZxo7VhH+^z&sewAt`!seZcOe>*O$I~~o5?$T8*OBaWw z7_9!c!++)OgWUrhqIH)R%|7YI7&+FLXD+pLL^C|S%VZIg-*8zEeX5KOA>-LmxJy5rZdd4J7~09b{@-R>B5!-(hr|?=QA3NELOo-1zP_?Hq9WuyOaS-Txt79uZ}6o_oy5z8n`Qb zNQ9r8`C77IGWp_o4Ei=09YxS=)GvzBH4sg_#4BN+w_cX>`qCs13w6hTF}jGcvQ4@Y zlpi4HZWzUVdnwm#=#6~pP*TQW*><$Y|Pu0k;R+;^%aGU~XEliMHvfGXgE(h`1`~_dYN%^eVB)ce{oDCg;+X?fc1%my9`B z!Ap^wc>5=pBxkweOD$S*H_OZ050Q^JxQT11%D-b?_Im#3YV^qIWo#VCUG>B}jm(-C z+VlNngl_7{q$EN8sV*tWI7mLX*#KDH8DPphj^DPYK!psuyji=;mFWuZ(g_0tFR?Ef zSge+1;^D!Q%F!u@@8-}RYPHXb4HNLE7B$mo?Mb$cGAEU5>eDpqbc|TX1NEVq4Z}fQCPn`|{~_n)%{{ya_tq|5r4Ggx(di6C=A*>3 zJV_hRZsb|qu1iP2eS4M*Z!g>c0%2nXAn+9zm-zobVq#+d3xpNKb@##*_d81X=|x&t zDmf93Ngn+F09Zmy476iRVf2%0gYM~)l6+CP zVc3`=R-s0GTf&A&+duC2d9v7@SI;`0u#40jO&#-$%pC#tZ?96IHUJFIBr9O<%mh-L zp|3`)wa^Qbb8qn)MMYxn?vd4ROuI(5zlXI=g(elesXkdNfssstcr;vI$^b}#mWy)k z#`x$P;@un4LXQsXyBP7-^i{31B$}A*smyvQz9_UCkxe37MwTG|Q3U_D<6*Nit?(a) zPAsJ$IuM(ok!RBfH}QG5(9MLbZwwlUj?LgUcU z4x{Qnygzd)pR3(aGXBfLRWBF9>X57cfW6uHK>;;|UUb9A2S`W7f$Q&bYUC;KT(2-unc8#o}3MKJ&z={w}#DccO+R2C;oR$E1O>&yJU5$Zlt_`XdPLOgIF6%Vyu z6dJ>ak^l!6Ali{6xFL*n6Qwsi`mQgr$&7&ET~(*+6%x%y6PakET*cKdMJ6)2Z-yEo z>NMNNyjny&4iwm$(c8CJ-teV<(3Mz{Dg*#m>0P>VZM$T7{s(c^ zro_`X`$9GB!v4ktxY13|{)b^CX|&X=RQ*G>6wq@uUxY~x1E zMeXm?u8)VF<_=}f3h&&3?@E(;NXX@muH2UT<$0bFSG|0;KNsVHJIaZAV+D^REC!A= z57_1&px0GecA5aD+Mb$Xq$!KL{psHGuZ!3kMMEtlK*59Armfo5FL5aQtbHCWTEQZe z#Vt&k{%?UzN_`1J;`qpI&!hggQCylfxr=|xP4Y(dU%GiEdN*c|jMyxoQVq0grU~6z zb3XqNV;zgTvVQi&^{J3>AjkMEaruQXh{vyu#r_9eDY4(#g$%@? zlvxkj9(ijpuPg3EkdH$4gIl(@f2*CW$6b5--wqSB_8_DA-*Q^fC;;Zk$gDJ<&iT;6 zV?3a#=P~|?deWfG3uaS&pPbnSB!Fg%E0vCn?dmsme=1R3+(csjcNFadk zn+a&J7i>PG#UaOnqc1kt7JFE8Wtb5RS7E&!rbR8_AX@bW08Eyos1nDAFlxmOL5pyhP$O_xp% z4l8E)-;Q(1RH#%(KjdTG?L_xsgDaQ>kZnc>T0^kk?O8K0M=yzBA=9NSAdbAY12n8@ zkZb^Bdm;hs)?}{C!Y}QggmaG)MMHkzo`Z8%Ff0}oq;{Y?6(HeV3vvdrD--;C|J%Xv z_mR@c4ZCMCRFmtYo{caGFlacEg-g_IV`h3irz)kV{2^Z%Z!_Etx{G>HtXRAE$d(cn z{L%i(!v_=?MvEOyzc6ZSi^%r;{^n>S`@CL2f?Z&ZlCPC&0Fyh9Sv>g_*KqWGHg7}h zfktyi6D}ZeXY;JXNbfNHjc8iq1X^wc4PI;F>)fX)Hu`ry*l_q6pin_cPQju!Ocv#;k)f$RzOUY7(qC(>PJt$uk=Py<;9LNlWN;pyw%i<0Y zxyzXrx_(K3s?W0OwCJGra_0CvMlDW48;Ux8>e!ZAg471&&NH1a-|lt`MQtJu)jT(R zsKLvz1G5a%L%xPN)_$+;^K>bBIQpmlVz+rf<1sTPgnNPz_vAskX4654TuRC0qaXkv zeXVrv<Q+wF+>hUni z$iH0xKLN1s0WI=VxK~VWGL1CB0cG>}%zj6z6=#M|+cRiTH9_b# zmfOZ?!*o$qP_!lXl-8pvh_amBH2y8Mw&f$l{9Zoq{Gt=8}hhxk3UMa6$|*!MK_2}BD9z{st;QhT}Fwv>vhXl|_ivj(#$fd-RB<-|NqfY;A*|k`(fUcL9$cVf zRWuBHI05S>H@tOqxk_jV=l>VGMELi1qm?iRU4`r zam~t#P+4H;`vN|Zs98b9OWp804_<|{&TOuA9^cz=`Po0O*V%rOtr`Tmkvgx}!$vVI z|DCh(+QM{ahT;&UAZ+{s!%8{0h-Sk~)UtpD)mTbAcCd}YO3D;%E@Q3RrTp(SPOs?i z7T&||J@w-jRqXU=2slx$t65Xi@>q29+fG>5e>-^LxNoknR4UXH;YTT~l!X=I<>+hm zmd~nXQD^ID(tail+ZD6A%)H}IAL9lbZnB$myGqOJtE;iWoWCihU1it#cOk=m?2bKe zue)$9C$hVk;cp7iqB@j$}3*{yiUIxUxwK`_#y$=o!BG>Ku1G(-%Kcpu2IgA@9qD-_tmJPV1S2kKOJ)A_e0s` zc$~#w4&yODc2tSc4;fX`6QL!5v?qk?Eyv=43Zc6kC(d20OoT<$a%WUwSo!r!&RcE# z(R-QUNFImj>V%0}G?mw`4Z%0f`me%P0YzThqK2VhLjC-m(=EOuBgF?isGzp>e$+1+ zK-1B;GH_cqTB1aQ0!8(*DcWF7v_3<`Os4KjP}Lm`yXyB=F7$TT`bdXL+3!F`gfehb$Y)Odf^gSIs zA^^@1MZiw-X(M>m@@R2V$O`5Mi3&SM4WG9k6!J04`O*X#JOX~GnMzVwv%wuvhI7_m z?ni1(D$#-c(vyz^EM@p9;4V96H#bXsl@c1Pmo(wUz~_|Kp|jtm@BaM zj|a7D$I2ZJ5;6dBD!C~ml8Kp8FVA&9dPnp(>xjiIcu;b+xe8y?CRxt`637v$8SA1CJ3Y@ zd_{}Lx@@Uo>=Y;D*_tdG=1uIhIbNhoda`8{pJ#v)#V5o*bkDLSl&Y#yJ5^>Tff0R_47DF=$@`v0#(wrRjp~PqdKIx{BuZlU?2j#mdL^AyVjQe*w zX3qqQ^Owz?+9TE7^o@R_{lx7H6yM0;a(9uue_9}RM_eUGz|RxLW%QAanJe#jxSbpt zRTk?9>$J_Y%-q?odG?L;%G+-d5JDk-G#m4mWOccDRNiiY(DJ zz!D%OD(8AuhCvf#;I*4%3(OQ+Cmpow*_Pa-N45zjaHPd96MON@vt8x-HWaA+(u$?9 zpbQ%gJFt(cjgy2M)RLqQMw?~O3+cS+*B+;vC=_C6{9NQ$A|K;BCEF256|bL19cGv9 zoo56=D&_%{F2#%?#$0ccvk?3WA?W#RoL9$;wL)GpeMY9e{h9XX$)s!_Ran$}Z5hCw zAQ+*s#p=TCmQ}@_BeXgTYq)Y6bl*MS@gKz$L2S434j|>h0jBi$RI%SIA`FlYD0>ThVfl^G^Iqs zO0YCLsxMu2&id*L6Jot<8jBxo63_rB3a~gWSFlo|mV3O)(btbDF`aabMc!1RYfg|D< zU~&q$P*wHr^j?cy6!Fgi9qO6RFAr`OD|{62&T{2AR4r#HbzsPbq+$I!ph;8}*+`;j ziz@(MEp33@XA+=n^WYtuDpxoqL#&~7Lc#1_7kWoHk}5as-JE`5S^jaHpE11{5SnTW zhJk8P

    b&NIs~EE4xN_(n9Kl1vGx2F+@S@1S)$=vCCjt} zBW?A-ekdyOPRa-gKDJbR;FJ2x4R%W_yv9mX)Sxe6GW~y={ZQz;f`!DXw zd{^m)`YNnmMvF1pZ4LckHo{M}&g3%_C%~_r&Sm@ZngJ89a4=tnSnagW^6k1XB>VFR zG?Gxt+V#GU+KV||B_yk18xm<;Lz>SGx3q+xnqpga_9 zZcCPpqDYVVP4ucAy-Jn0OFLSSKX?5|%&=x#)$-JJUCVKOWUM=>k}cvxoDz0bMMDh{ zd%>&mDxbn=-?X9{L@yL>b9XHyM4N#+IQfJbm*a|^_cP1h+mKdp^{iCZQJbp61yL-l z1{}zaWC|s67;Ey4Un%kCUtkIX0RyAQ!Cg5RC&{?4p59%;Lx2i$-DwGcX)K7{5cC~6 zzCXZy1qUJCnI*o;9U!wPc!ZT!7u~ngw$-vUt!n2hJ)Y$CatPRL63(n^jP<@krkh1VrtoxQ74HyJ`cUi3K?iAE% z0W@L2gLh~smS+6XE@AYIV*e#Gik0@dKRlq0PHZ{AjAxs6QzY{V2%?Zvm78^P3TAik zheM!UNs#AJ&7<0i#w!tg?|Wtt3u-(`h>68pIkaD` z06hfh?n|Qkr~(3ybY_vu4-M_=c|IEm9F2-SiroSSnAb~VJy~tS&Az;d{{4AKvB-=a zd5)R_%CCn^+E_dcR6sGwVJJR$g$ehvfZARA9B7-y;L7>Y1NW)lb3rJgngC6j9}g9M zr`-yZ#LldpIo_2TYDQ&PPBjmEo-P%0;Lv|&VsuRaSD_j?cIhd$PU3pHIs}k4{m4}W zIteOfKpab%*vuW+WcvG-g+iV~)8L8U(SU`Bf4;Gsx?@rD1O`K-!envlR+jV8^b$T+ zT6u7Y!;55C!7l!iS=Wj&N5D)OpY1B9@eU)Mrcdp=S^)&Z=zUE4clQsG%5?LS9z<#_UHHUj=jogHmo5#Gmmw$w7-vDI z7crdb-NN$@?ts&@ZB$e9pD2z;Ahg%5Phv%qi-)l2TFkDz#&O~xNp6H}wd(c5e(#{$fGPSADZX?S4tsY62!?ku89}~FAb?j&7wGu5++410N) znQJQ4EHV1E3xAd=^k`8#c1%j7Soejy=X^^Xu|5ElFL_D_S1HFgDF(I^b}tNr#Z-VX z-p|DdB?45zKWui-cXp!PiCRq8kMtZ0sNI$_zrqoe#HZ}J15ETE^Ng?0(9@rRS=Wxtma8hM&jT( zhs`ykd-~GrrNddK$4>*EE)|Vp@5Xq8>|`>r*K4prwp&c0E~ zEpUx82$w@US{L)eF5T%(!}!DPh}a?XFC^8uodDsjN6QVX^L{r&xCT1Jfm(qJ45!b? z?D30QSpuZG2mRNZiHiqYoEOf#^>Vqz+n3w6oecc~>r~uh1*;t-$8v7kbC1vr4H59` zaPpQ6QM8Q7uXjDZ3gQSGJ=Jn-O7C;biv}{S;QHV%>8g14k&|^d5ULrc5LzA?UTk{G z-5JE>(xSAwP?-GsOARU1X-#^yxc2O=h83<#_+=cpa8IhAls>7>^Xl#HisR&7Y$=Oo z1r_!B^r)_`bx~W7<<-o{8fUXG%^tL$7tkc(?7*A)WkO`1%8l=s5&hi356)hslt&^8 z$R%IGf6b3;eNqZVb3<8~XZccqEZ$9I0W_ve)qz-RCz~|DNrjL3(q71Bi|lUCVHjM- zs$J8O2r0qG*$xHadgNF9C;oGw>{lTa46`i2=QD7#cSLS^-P~GX2Jx@uvpP8L2EmA7 zLnW9|UfBYIW!@N&$gtZ`)>~#)vdwhBTXD)m_zY@MdC<&}I?yQ{774RMsmGFk~yPVCtD~q zrTe{taY{u^d4A*Bq}O=K+H0AXbu8yw{8)k~4=5}zw3y_iDy7*yn*M@>^zSih;?^ol zy;B0OzxHrQfV;l;Z-NZXUj{Pquk;{ZG&AsD`0QK@Oe31dJ-I8IXG7KYN{re6H}5?q zz@L+fNi-pSx{Wo{#Aw4JWWkdIG}?Iv7WT<&*k81%xK8))J+Wf&iO~XH@_Br2TIB|D zU7u$wUp-uqFMawxF64#^gq z?357kS$YiPNi9lGvHqAK3uA|2xXA{TleJYMlv#s+SMExiH*=^g{6Rl^r5CSMIqKJk z6%Ww;@&xg79U`D%vM;%MP0cT>Mtk1+y%7Ys5=nbRUX;9YYAgl4N4DVt)g*U09|L&C zW~5cM1}KcB>0+P9Cai>6xYgsjU{UG!35J@5Nf-BQ)hXLp0Jn_2;w^N{zTky~@Io`a zII(}I0e!pjY6mkSc?ptH$cBp)8lW5VA9u3g>Ln{!FQWJO&Pdk!Nu+2VBr>@Mf(g#x z_m#irDIK`L!F1*38wQs39$cVK>0WiG7xc3>aM{0x=k=|epKq#0h#f1s#s5Y3XEd{C z34JE%S-$1vK!+||PE|c6mJ%;2Epc_L*5W(~?r-OYo$|xCh~BGgJ}Abt>@NBF+kSaP zzVV~CaFLxu6{X%t3)CV zY6H*6PMY#G|c7vo5LvNP%TyrvfW zR~<9&=xOJYK-nAj+D5DA3gQ#tD<1x5D<8KSefY*G9!cGbzOdb3_`?Nj#eMLFOZTHF z)^k!1xs@iGylkKJpkI1!Le+9p5FJk(-UWd6twUmxZ1WRfiF>#ne1u8CoQN+-oxfA- z@iBLVVnc6=T z0yPK356Vz<4NTUWw%PaECTou>3}p|4EdK^305aMVhHa?huCE>2&SuVjeE9tV;XeJm z(Y*r+pnn}{CQ#e?vK9VaUzUt7$W4O;6O4^3*29Bwu>(jz>0IsJl?tTrfzRiy{8Ek5 z?#zVh6;6OHm`q;^P#*lm(BD>l*FS8J}M4@y2DW0x^^>d~@&P({%u zswG%aWT7v!5;V(!X;ek?AntjM1h9L40d?Pe6nD{Yxz@CIEFP-Myjr#C>YkizG#W~k z_G`-DinY4ot1m& zJLaU_HA&A%l>o|Q6yLL%zvrYzIs}>_ZDH|{V39X` z+kZ$++3p@A-0hAsmwP5=OGo$3k(1^8`{bGF6cf17hqjrXF!O>)7IMzef4oh^%9oF5 zg-{-p8SS_keNElw{T`=4DhTsz{)mA!i+N6JRyM(i!XRs#zFI0WI^A_U(ZmnzLfs`-@y!eeS`@qN0!_L{zyeraZjx4#!6lBMIUdh^K^(SWHLpY`M2iCjZM+9% z@cGB{+$(+BaWD9kNzRep(0t0x=7(Hp%%40HhVF+S&a{VS(4BUHPZG`NPCv^EYkt;P zgo3a)j41vhk5t9_Wge3dHB1LSuaepa z{bc*L#4V~C-nzwDFb}97iTovx4BA~DlekXzCF|P?JGGGHA8+dDDGpSqouQ7tcuGc+ zHSOCS)K0kGs{r$PS)<}3ZkyT6-;r^8gTgQ)yKfnStcV_`UbjWe<{@vM1B*EtSRXga3;!?e}+mHMKV->|aH=&N*9M$Q0D6k0m>P>ZKtvIgr;%yn$` zG2?|%0pD2f5Huk>_5p!|5-%UQ-mvOv&E+Q1@c93B+=QW5W^ye^mN(u|i2{JM3(XGA zhy)|^WqG`f!Ab6qOz9eMGKTReDPf4)=imaw0VhoY=zxEK%sAL^+We$>uG>T&ztiId zaaH&WA~96hDogAsvEUN6K&!HGcrNnD?rizb`P129#0$5i z?36}408`5^&PmN0+^ZCBW5zi zRl-5@N`ysCn{=fG2sdeWv1WqYBvLQThLmEFhqmM_jP_i# z^j;50Uv^tQVg$77o{5Bo&qKT)v!l=nP}CM^c}CSYDn2FLu>^T9 zKxK7D>C^HkcP_*!d%9RmpZ2_fs#JXKdb0GM$E;y4Romp2Q1BdI@o8;aqS6hEYT4s0 zP(-zu37jsodp%$6m5m$qTirocY9uj2)bao=scp$#-U*EFqqVg^iu{y^hSS48QYMZb-zT z41H{z$d>^$&HgAlGqmc|byDee9q{xhG_opyH(rf4NT*3JE}dF5O_C-F?DNq@GD7F5Cd5iFMS#q>peru&Bm)T!P#x~{mCS+QbwaC88ztvz0c zCsTmheQ20qM1j@w7zf$r%6Q=X{F;(Nk_SgfhV924>W)=tlkWtYdlb;)%C>qImsZpV zMOpWmq*CRz)qEiyt1S{Q8Q0t}r+)g^yJ-N5#j~PbvMkLoX%IvfXS{{Cl$px2p{CB8FoE#CT_}m3~gDWeB65 zZ|puhLc4A$G$5nicpU6&O0a9+nS4H{cLe4q=e=sQ`<~x@s{fB$;6RsOH2eyD^)x(d zE#(RAnP{{Q9G`Nn1n)|<@~`=hv8>0tzoNmZpq~LP(wPyu#Ck%%lPQqfP_*k8F)h+m z2L90%u%=qn<@7qG(HMPVEB<}2y7hxpCDndK6yv2&``*%3McKfwAtYK`eqAV4hj$8| z%J8DP_KL_?&J-k);tiEwk)})B$?(^=xUG*mBjb`P-l8^!{NUIK3C3cAwp<|}98MLAlCh{0DSP?C^*%81 zZiHl4p2%ZHvLzV4YR!8{TyPpcXm-DvpvokUA0Bj*8;QNI9E;I!z=Y0oDRhzFI0L}c zBGX}kOLW*oE-$3(Rm@5s&S>5`I%r$3ZQQE;hN*{E1K6_pQ(J=xc=uR>@z`hi7H8n&|=Ti8CXi0 zhsw45A8G4gB|s|b?q}Xi{1Iz9GI-7t6TfR@fm@%7l@= zEDfP+o?owIQ-)1QGj;PzlS6jXQelb}H`k0FkU-x%Fpg(Pdq)3`H^{jLai~ zU)gJ%vw@ajVUr&J^`NTMe!G>4iJ8+G(_9&uz9%o3i#;9G#X5hhEnVlWvY+_Aq6XQJ zxjPH1eTjtgq;x{k7-Jcu$T)$qOUbJHnlgou*EWCm_qu!T^ScLs)J$?H^P3j2%l?cb z_HnoPWF|Ak6yh;QG09EtL3w;m+-JjBW0|5}Vht8nu+QykdM^5=-M9L{$=qm4Z#I!z z18aubS(L??IfZ-mAeIs}apo&^N}R6kLpJc6fdjC0I@*T3v1hT5{fP-QNd$&|Rl0*G z$&uRU{~U73I?z0-d5to;bR&(~=dX|@*@ug$lZV-9T5j~km5U(WwL_@L$>A0&`4GGy zau~!hDD#9*L}zRNQZgtwc+k&hlSNxow%Q5W=CgjqTDI?Ms(eHmV8uS@m`GIbv!N2d z((1n%EWfUMn1a1~^P9?*r0;ty1-XfVVB*lcgz=VnJUdM8v49W3v&s5gD{aswFkPU^ zN=7A^7cpDC|JyM^+}Il2hGfxsu^tqYceNfAa58;D4b2(UHpxs>!$%QPxV!UePQbb* zI-+EWA8#Gq-||VHAZ7SWi$k)d4l9Zd0Ak@plQf%KkIesh_|K0_F+c{Gv%~ZJSjf4o zQIt`1YKiUeKCVomyYy}cBq{vLQQX$Zw%5N{tR~9GL%4B*F@*V^FGjF8DIx)i`fG`* zB&tcy+SXUhH9plgHuGe^Kp# zZP~d@kzy|_oZ}YutEseb?zWe6I4`U!TKw&ekoOe#@OQ-ypzv&XQ1s1#|L)<+minkiZJ9>1-x&@?0J zhx@>;r)CaBe)Io~=O+atMY^mTSqmH5SPP+uy;fapOU(=SRr1;AA;aDM1MO#$|M)n& zq>zhkuo(r$n82F)zK?qDHh-EA1E!vk#5&Uz%hW5Fm66EV!hnG$Ppss8@X|0nth_v< zNkB16C-O(_G*W$}WoM+VSSvByKG52?>r@9kzGn&nYU2ZqCUJ-U$ZaP$7ojMb#AJHv zk2ci10s56JSw?cv!bvD!;Tr531!^c6sYU3syr12a>Q{9ss;%%oOnG&?{$ssMRjfz( zW_K~vphXgD9Uk?$2)7eY|FDT&J7% zh}+9b9~x$?X&)aWWzN!wYYr~_BVAN8l4=Pi*md?g@SPTqZdfPTt5}qIO)e8f!xhwF z84NG>7+_rH^C|HyP*f~C-*=wLj9;LmjVK%`hkRX{5+{dZDLJaHs}!%+7Csz4^Yup9 zI{Kqj{nP$BVms6fnQ_B!Pean{!?$$EwT+_jP!prDcW;VhO9c63CPwlEFe}P-3udi{ zE1R(8u;H7AI=V26#mh^p=0wpZ=Ep6r9`XvqPIIb1fyv(?@~I zo?et}!3y5I`Y2jj_U|9=zl<_`cAdl5m+^%l1)oIheAy!i^Ku|ROF=#`qADzhm}$y$3~IID2QH>dai%&6|wAi#O$; zI96p(TwHmdG z`2|^esH}fJAVwiJ%x`q}%3B3kQqd?XxwPW8dhuS`qqx)=9m6%1RatmbfTjn_)91hn z$K1gBJzm%N6lx19<2R?zgCMC;Wy=hPJJ6JXVIXWWy>E|~+a6`89r`e5ZZ01&Jjnt| z9b4u(Wc@+&R6#0e8IvFn{IX6ScQ3*8K`nud1R-&g$~s2YR(%O{^#r_cA4S+BD4i#Y zvw5FvewY;iJ&KG-jr|`B8gsa@o?cI@3U##xYwPP`=S0y!hEOETvyqBsDC?+YXPaa( zo3fiVzE@Y?LQFoJ4m72~7Z1?eu2{0OVbMC_oGPHS!V7j!_ZR*2uXRo@Vd!mfX#)a2 zb#i4(6J_n40LJ6pu8|o7f{dg{bKn-+Nd~*W6nOym+PdF44W3n3{ zI%8?bauzfMlp!BwnI8ZWqIm^AV5DB^X*gk1@PPh&lBT*1y;a?6R%x>|zA&b}$D=TsPV>}Z&?H@;(DSW} zi0Hqfy#3Vx*oMQ*T%lxBtbTQbyMFMD&h1pM*f8;5mFhG*HF}Mrd3_|^GM3efq}o!$ zGg?pOF(W4?@kCC3H84yfT3*ZfrET&eODD-b;lCZjzatP1W7kWF$(El)NEt%x;OBm~ zy@E<-rhj9=^^7?F_OD!V!r$kGnS2~>gBk1CA#+KzN%9S(UU)NJ82aKw=dui(HRih4 z#29IwuTQ%uoRtE`Xim^@D37cLfqCZkWh8GAZC{SiLjLLl;p|8E+N)?00)QxgaFRfn zk*;5Y&rwEu!P==h0Xaa8=96f%Uy#J)?Lh)qe{V*M77_^Ime{LTfYk(##dZ@snJ9C` zBBE(9hs$!92OS*X)b|pNOWHUa$cESgU$cW8D|*`JYI7*1J1>In2l9I*Lkql6mMFg- zMgb<~@_?gqQTDXRGnQ3eIzY$F=tK?YkRhXrb@IWQ_gWDSz>gQ$01^Ya-{?23 z{u{uVpw)lf+^1*H>c^u})A6dwfE0x7rI|X!_M4V3o2ak+?ujEJMzLTKQ zxb%O?k+5r3dL9`#O}lGZ?L<3_TD;z7SC*BS29@|Ws{8iH2WQXG*nsh7Tgs?Wbg_vi z3;x`|yr5x!(NN`V?%~q+Mph~TP=B90Yf@WLI920tnlrw{(-CH{dzUGwT^$IjekJK` z=8jAO%@P=jzM^@TXMe(vugCth9=nOQQhM21F04N${2Kzy?hU`lXm#65ZgIjekOHc0 zIcXB89K(7OVH#&nlJ)RL0r*&62gYzsYn4=3?C-xA_(aQ9_V<4~(EHMpt5&o89~s-J z8kdAb=kEN(d#?FF8LVn|s?#sYpfM#zcJ~+VDYQ)Uud|3a-$-UyzKq1n`m2iBzQ*N1 z+aXZt-~0pD-0XUKu94MJBxy^Jc#)j1{tWBr!C?Tmeob2~0oZh+xsqitDEbQy;CQ)3 z6^G#OYBtsl74>;g5K~&ntEID7Zm*kyq6p{)O@@vj$u==wwK)czv6kgu?FC1k4=$*(doNW6HElO1e~;r_~+78+S%@vwlvr2>Rm9B2x_I12`{HaZcJX zpN2T_yf7{3nzHplY-<9B?7v3tlN}VwEjI0cp57m3;EhfQx_q$I;*7jqZmt%#%bV zD-)Ew-_5HkM7=vW{g%wSm;;`#% zzN|-3ym%LYXO*Qh%A4PVl^(??|ZrbYkc=1-sKrqqt{U$A8{?DKte3$;*w30g$pkf6Sb6^UYIm ziVwxT0innCi&ND@L{K**5&lwR_C%Qr>;ejK0<+eWt-~;f+KjN=8fc-yVF1_$AkN}V zvTH8FR5ao#w6Jz@Bsah6Duj+>y7bJLbNiK15QlW9?o5{L#K^pwO0JnwB z2st^vD|Vs5`A4ESPcjV=YIK8OT2XN9!D^yrV49irw*sAE%YBO8e2qyv%!+mhw3-vx zaP-9W_nj7RfU30;3%S*uI5%85pMRs_A-qvN=GA#4@&|&+8HJlw%PWw;dp486wjEV-e;2t^Nto6O}l?8cK4T(_IF%KVK2R zZ%RR|o91(QRP{ss`%e1tIBmOEZ7V3~tcVHX%AcFAad$7Ycy|d8ms7~`U3s6o$1&z) zUv>yvz

    6k9|YemWLjiNl?6k>M3pSKv39gAJ3Fv)A^J`a%AZ!>e)dR=0mbx>hn_Zd|_Lde$O>tp}|}Vt`>U1G+Xx zhUAQ^&2s;O;5yUVHig9GVtB4Wib`%K$P=!kZv$fFiEG7W$)@3foMtnHbyZ zIQ3%7X+DDeMCM`qKJ& zD>dR80-!<(8cA4?4YyV~VIa1E8f%B>sr+f};9YAp^I1#JwMvk-bkkp9>4PR1p3?8B zf{>zx);A}3<19iA3R+tIYa$5Bt{t@O} ztT&U}r{(BF9myvEKl;pZ;B8YkV^e$0a`)2d03^_+b*2{%fCe7F+X+j*;16I6b4HZ} zP5T63^?+u8sehjFqx}F!=1cuhH$b0w%LQba_n)kV zTYu6b8go$eZG9_GxGJ4KJXiQOz15moq4!8{agQ^N-AzD)#0F`;!iU#3z-oGK?D#_E z11HVb)c~iL!tQ6a)K$B`MAMwWAz)zzZi)0CGrNq+)Wd2`b4&7n{;{$41c&vpavMJ> z6~hODt!W&aJds@Bz{sr!o5lJr`?>|VRuUBvDyVf8f34!)s^q_jo3JvO|Bfk9AG_R) zoGp6eZ;nY-B#&C88EgZMIIcayH6la5d9Cfuh2FQWH|Wo@+c|>r9nuF407^<6BfaYF z$pe!X!!$5=IsKA}inC4x^YQ|6Y8+x282`n!0LwhagAg=Bjb8GH?Qm}%jdw@^9KaDlss+lw;ed{}e?|K#lPDhXx5j2UhKQCx#zr4-T$3S*CdkZ8 zU^5*QJyi&~#O*sqEo6Eozk_z3ICO z1*k<9!Aiz7bNbr~=iH3vXRj>s$jc|1eafg|yW4<62_B$`2+lDSd7b z{@MA&;wpWD#>+zrMZrx zdDpnqAuPveNk$b!Np!^0FWK3u1QhLzm5i0iY)ZuNLnQrYgyi)+o7`H)^%V)p#fn@7 z37~&3^{&5?X$c4Tys7q2hLmm;O3VGVcOwY(`IyI=Y>v?yesS|jkp<5gYZuXKj|5v0 z$vkYHkCM{ZT?)CD#?QYnk!tmBcRhJSIGPQpAh6MlrV6qu<-~sJ{pTh=h-A-s`^Kdw zTmV7BU4sD89)hfX8wvfqVhS|0Aj}Fl)&NPE`H2d+@9bn3X0IatjF8>d+my|&#mQ<9 zry|%9LnAvfG#fw-1w3UPi!}H?r$pz!F67AzijjHeRHBJy=5C1iwd5l^_AMSeb~)`D zHY&+I8NW0SKTvn!=e0E!xoQ{4MF*=xvmzo)VNoH^{?Dzx>~Cpg&I!)xj5}Nv%}Q>XK2izbIZr8AXIHSRGFOz3-7Sl)47_>1q5kS$U-T z;oLHU>dAL2to_na`xV0l3`t#F5zHP<`XJvcohX9iHWmGInn zc+bxjpk}jg`dz*E*PEB)xDtNdhwrFmD0gQ!jI5{}2l+a6s1}?$@#%Ir`_b}g;Q%*~ zzbN%7J#&aX_58y^z2q;sO)@igpXk!-x!hLf9~l)l5Q$X)OkID8y-VJk1JK-kQZu!h zXP|SrwHl)ta?a=&piO$fpGRjOt0PqHziemC3`UBGd=R+891nRORwp%0-)0>Ox zfY(MEK&UudMjvw@TxUr$3E;53N0@*0h`aO<&@90+G9W5X>WqHG=5ymrff)Z<*DmiT zR&?ks#EyCX%rm8+4~o((_EOh_kQ04p7SnR9-rwIlr=6sDQM(I?J5yXH=A6Ux@zpfV z#n*xiw3WXSAyohoEjw!s9H9wbd?J0-HGeK@5Sok5(j9urA`Su@9v~^%Eod`(OHfuf zr^+b81ME2c-svzyz_lVFL+zK*6v@w`F73(cQoD1Ww&kFkc?-0GKY~oh8fVuFsxpH1 zp1OeffILxW`Zd6W9^kwsyrHz7u8m5+Ao9(P4QV*|SVBq}-g&u$kLcDV46!>*l}o@N zGkyWtMK)_uuY&}g<1S@oEdmRZ9Gs&|U%fjmwcGXO?CM}4!k+}ql|ugJ3`A5E?(;!i z3$+J^uyhAcW=oo$34(jZBCPk|Yp133T(Q7}LX0&B^3FDII6XX%X(K*&ftK=0w)RY{ znOEcJH)d~S?{8kVAX#Y*W3f-}}aj>&R2 zRKxX;)#oJFi&uJ-MV*Cx;-p3c6U865f}k&B@!uQ_LGk`{q-ak!>tz(^Uwni}W-$TWX} zXF6rAyYF1?%h@qe@(+|AW^Lrdw=1;3Qx|A#86&;W~dsLqm>V z(V85~wHuqlC|);yGOOH2eLWTWpBh0zuf9Rr-S_!<&$eq=CD>FC`$!CKn_lXU{rU*u zZT^L)Sd~Qkb*+GcitouZ_2#aPWLE+&+QX;^A*<_>Q;@xu=09~>HD z|1ljVFqmblejwPVju$*|EDIw+KY!Dw2A~MSZ@*IB4Lbe*kAIrFKC+Z(oVT4NHL?}# z<#(|#_4(SlJlrv>Ge56ua6yOn42PARUAZ|K5GQSBm!uGYaLorfOo;<=6xskHgId>F zxk2p#tZA0Uv$`-|V?OmuhUVsJ>W>)(qpVy7hWGjq$UX}R2s=~A>-NK83KO;k+VuYy z>uqoftV3lq!AXj;5nuqNWb2w@iMCZJ$9xX@<1@S@n$sqqJMGi2X_R}{)%xwr$LPyr z55h_(82iVWtt?Y%)=mIm-+QGPnf!1M%?}}PJr8rnzsD6JJ18@yATOg-<^*2wG3N#a4 z9}{r5^xP;3H19>kKx~y;&gTEou`FtA7>QZsJe!iNJMQ{^fpk0kq}|~niSMAx$7#Mt&E|+og$DUK_5G&UCzWO9?Z0DQRlLk^8uo?1qF^`_w|6KHsX$6-`#bDeDLks#vu(NZ=3_ht~{(@|PF|{@{OVDsE zQ`h@iFNejkLfrdx%S?Is#&Y5IVDHxzdotpMG(P@x>$%xJ?W9$5Hn{JL)f0mn-|{b= zr>~NqK2(97i>7#e7-kXCV7N4NPFp3IM@b8DvCFk&OaR|)2cYSl<<_(0V{JO7*!UMpfvXg70k*T61rc`|Iq1Q@I{2Crs+%47?l>UKxc6P z2~r~l(yX+VT${TFB&z6}vu?^Re@6X1(dw!i31=7w;$5yNI6HM& zyE?7KA-Wm*k#~Id_f*hOEFWg1bDhj)qAkJ5`vV12v%PMmf?jACN4dyRDTp{e%esFL zfX+d}f27a$`x6ll3pmFp2xBYZXlSy|2o}GYJm6OKtj4oe8rpw2D`E+dR;7jM+UD(k zDnM1>&|biwC;?pb=zy&@^7Ys<0de+Npoa6wxt2DQjrekRDYx#r;8!riD!0FBl}Agv zHZxJvF27#5SQ{TdTnZHYqD6`Ct_u_>^xT}(aeCw6S!^uN?@}KSZ;k=96|?v zt~6!k29Vt&PHhA2JCw4eJebq?R(8z5a_F9mlk=t2@{N|tnB!v{jekO>6T~%g;T5oa7ZC3O`H3G~I5U8_DKcPm4@&gyIs+SOqyKF(K>Cv~IlTj7_h1-cL>sof|o z(b9{RrfY>>RQ+xZaDc+TE~;ga$R7yxSYKwFe6g_}3Mj#gQ1Rxkt^vz6(1i+}ts3Q} zajX>KyO(7{{bZ4>s^81|j34;tb7|U)H29)eFTzt%yq3O_!6(}0H0U|^**l!`Uf_>p z-}(V}6w#V*`exeIW)ng9Iy$~xdi_K;{-2Om9{IYvv?NL^Bjl@YE2_oeF~KR2^LVk~ z$^NEN=;&8^Nc`S#EBIy*$f?t8?i3H?SD8Q}U3|9V?qnk=_X%mp4Pz$)6w zf}}z<21{Km<~z)0_QE)S7@x##A?kMr_h!m%92kqbrD*#j4xr%BK&8rZNozz<<2eIK zI_e>Zyvq97uafi?@$O4}Ne0h{{D?;LVgvitAy$8B`pH>=`u z{$QP5=`ZJU6GlKCRV4?WgUluq?cx(QR8Ez!0t0I=KmbC124YCDY6R(%a8K8=Fsq5fRjF{>>SXFF`YI8eMg)CEWG%{G z+e=Gp1Hei@sQ}mfLQPXnT5qNM-B#rag4do8C7Hauoa=6o?Ua;P|Age{%`m&!s|VjS zI9q|`2D4`lIH!?hcx@dMlyruiifHVz15j9k8_rAnj}z!KO3kLn`#t2dep)HrAbKHJ zEya=S)G|1`890xC+%%>eQQVwHT?gsz`>w~NArQ(;Afm>6f%>0fh#P^dpyh>?+{h=I zV)an{wP3(p{?rMG>!>p;+$9%Zc(;U-KvuW2NKo9ongNmpA(r<|V5k)Dys|s_;NH_G zD7Tg4jTpuQRZ&}1R5sU8n|QSS&6ab7CU-AvjOv0=;fAnGbA70yu{`0~_=~hAp4M{yEho_Bk?0iK zj|`qBQ0uOtzU7>m252h&(*(ZH4>nZIkop{DHfxu~#atX)ZM|F3l}$I>n!(Q{&c zySuD)B)TcfykGUV!N^6AXA8lZO8(NTNzGXBEWKKfRjmueEI3iu!SI9Z;L?Y>lr9NL z80Y^{C$N4+1l5&#D1tHLf3JqQP=oqTaMcW*w8^zpNqg(20|m{(J1l7F$CNvGLtnj! zwq^2O001VIfcdL}J~z(FX+#w&-}+E?lp~=Yto9SeUfWx}Q;>eJc@wB+HQ;KgvSgKs z`=k0FUKugEcbUz&#L$|5q(&hojD5zLndD_TXv8IF(d&XW8^EU@7^at?#LCI`#!nwV}tIKeJQTe2(#wB^2X(!sB z>1cfGR<(NDdSKN~v@eyt&+XPp1akOqo_DPmkLJ^6deiI!2rrRe(2yumK>)+IY-!LaPk{op@5bf4pflhaB>ZW9;JV`GkperH>*S(U_f)Zh~ zDKhrM;4PcES-?2Of4Vx7yAJXli!ba|LjvJWE7{7a)t-lg#CamP?q;+OHHE# zmr73KrBO13epW9j05@hs+RK0r?)=S2Gw(l7hZ--fr2YI(us z%NFv8SnZ+4^av%)>}bK1>ehZF6vzT;O9b)bE4iQFt@-zz)EI{Axo9|+-J1`o0bnX3Z?J!d*eZHf$|tah2oV$U6!rZrb4TecSued^1uf+ zOZfT$gwRb$d&mrrUc1PU(HC_6IE^9?`tW$GbJ&Vox zV^aN^vn+$1xcCbEEx@-PT$U)2PhecswqJ{>a?B>%8B=3&)8sx+Br3|A2F;i{oZYm= zir~;!bA0XIB`M{oUt#jT1*UE67W_i!mNqZfxWwc6BM7NiD!=5$J2rO%s@kxVcgSnQ zN8Pi=7VJGCJ@^x}oa+JK4=SL50&M*`x5q>HV`tx<%Oh7lnn-(FSBo1 z6}zU$%-$cAukRGLF5TX734aW6zcPT%QSld&OcF)1DyeYlBSv=VV8NfkosW;|cC*O6 zW<-y+ag>7n2$o3qS2C(vb;I-iKb?N$-T(p&3@j&xM{)v{-7-}G%5R8Ge<|G|aF9iZ?(ioP6z4CBTog_I14PJ+djwaxkYtIgk-5S z!c*x+RvNQyN+L`Z#P2>gE z)!&e}=;j8vqX%re%iE03>b!F!r>kEPLwGkCGtMqyR`jLR=5F;#dilG0IC|alV*R{G zW$PI0Cnpq>yA>f(k?epxf=Nb`xlw3JWKsI)`Zocy+C za|aoe{rahGTDx@E?fL`XBLf{Ya<-q`R=+caVaTPwk*O`4+nEwhd>DVfZsG7R`n1>I z$x}rQ)~}?Cv>SpU*rU*Z19Y%7ol*v~-1q9lYj$%cf}=dLzN=c>9k@NxqRE4KAhWZd zy^z;3KsLBTXQmiVp#a~gZs%Y3Y>qs9kqCJ3-2m#p>yQmW1;()s3TIzBH+~WV80!14 zE`jHM14JmuxJ@>L%-wdWVVf&I8qbCZO4ClWwWbsPD?Nrxt}}{r!}bOvUuScg!214Y zj}jov3fQ;PUOJ46|AcVOij>kLRMNAzV(l#Ldad_dy_|zi8pj1hv=e#i1yIImCQ6NV zy}CD?9sI>gu6!Llm#=v1p?t!h+_$mtkdtuoKy&K#@8@6jZ-;xyAzDw`KOQ1#)<$;{ zMpOWYrzPr+h4O9onBDED_?o!?oHA4}e0Gnu9=-php7XS5l8j`6A*AvijB{%LN#7B# zNR)ykpZ>};NqRNpcdbzl!VNHw+}0aOXb5>$&(@<;_;f_wuKlIMby=3Qzjr`Wcw7_G z99q7!VEQLS0sS~5bB4<>wY^jR9Z1d%F5J5YhcFiT_#oCk!#N843+Upxi(5)3q$oF? z`T?1GDSV)qQXmx{A^FSqa?v6Q;dnpjmWKLeXR5_v4F9Q%*v6$XsVy1qwVcg4>YLXMwOyaA z)wE~*Tr^gWyEaEibD(ilvyGE?Kg&zglk2n>Gz2OS!;{-W>)3QG_0>bHye#HSkgGMlK~-c6{C(vbQBm|*AB{6$Tf%ON1dLthrGZ9q!jFSZSQ+Yh35s@p zq_M!K>?GREuGVVuqi@=O@_z4_SyKK9-8Wp$rdn6V1lthMHzprZZY2o?mL1`Y=r$f? zv^wiR36f-@P$a>XiS_=xA%3UiT4ZxKXUh1rkAAS7pE6sTnFZumq?Uifdhei5j;O{Z zM^XKKMiWKG3|V>~8k)2yJv6)z^E}pv$zOFCxN9WN4+FgS2TPv#Q+~k@%2J}6xzqqO zW@(D8>0WGmX|6!|;m$H*8QyQ22ojU4JDyW{^OHB{ysPE!KTWw_{UzS=dLRoRLM# z%RA7Gg;+l6COT0?gT8!fXz&@smOXM38Jl$vIiU}WkfTyL9rE+A%(UMB*o=^lT96EP zl->8^YDNOZ-v}+AK{UUV0hVR^&6yU>MGUc#$NRqovTcaUDAhb5+>yrV2FbEHszmxq zPAuFzl+Pk}Y=FZ|rQ`xFhl>JGqv3;jDEw@!!J^l00u9AsGsPQp+2bso!qIe9EROw7 z%iwRiO8NIchnvLqAlm8%8y0;1MBkM@-Y%UNY5WaA0@rwIp@48}5}Nq>qjrLNS?HGY zc9|cR)pvkNS(9d&hE0o5zY?zA(?b|unNRb0pH%m{zZTcNtK-?{i+kDVk7v}5UQi{F zv-AQ{GPTkhCse!!^{&^s)y3cV%bclU_W=N|gwHoyZVfxYf|5iBf>&kr*gz%-BVkPM zj4{W@A^HrhU-?sBJ7_p2V?r_;z@`5!BP$X$Dvlw z6kYH+Xtk#bnp6H{-^nBpsihAAknrq9p+|@D6Ko0NZ@uk_MQ%^7#k7>!ybMDZtYyUQ zoY4s($p6IBuD}<~XF16_2-UJynpr0efJ!MT9xa!LXqcBZaUq^>Ba=qRF*xYN*KtAl zW0q~z)0Vhj!iXjSMLaB7+LPh4;3NGEkXX-gf@gsOt8E5QKBLGS~Z7+34v)B~5Py!vf`jrt%jn0NbYox;%8x%7(bB6uyT?emJXJ zus8NF+k<%newGJm10;5FO6UlhuZ9c!9cYdcR4vHRQWN{o=+wie?^6Gf)~=WJercD> z^e%uaPO%=#%NJ)wkq1b1Qy?BW(cX!VjN?i|UV-_pwDfu-pl9+_M<;aT;=}jtN3AuHJE@T!FF;vm%CyPTs3tqMVaZlAIAu z9DK%?TTB|8HOl~L?;*-oW_2LKWs^_+ph7jl2bemjL@?=o&+>+3^d+SKUhi4KM%fkS z4LR<$Ic5@Lf~^8jMG+H8jb2^ib(U7f_%3cCBE&fk^sp)4xhoRLgV%KRg1)gBT|VNT zRBV_MG_P>_my(4NZ0MvVtX>V05R`Y3CG=KbnU}J1*80<0P|RfCqzf|7FI+OgxSf*s zE3Ht90>n@wRIYH?dlo~NGMl`+uJGclcxTY#iBhB%ZT5jOjxpYY4e83cb?AI)<*e$B z|2`g2Sl^eUd&H(L1VRoJz1k8%nLfpAKxp?Dr{(l8E}pG&ROPg;GBbYJA;2M?Tmw?7 z2`lT*R}58kj;-vPb4X*A0NGhe_?C=(3Mi$AjERg1;8q7Gd$^F?GE+a({6Qqd=|nJh zSUK;tSoZbMF|gwwOJy08Kk zEs{%9>tLwFjOe}Ms*ZXVLChNu-srh?d;OGC0q3m;hk9uF@)`Xm+tR@?{!0JMRw5TJ4;QzixfFKdz#!@OI$03=8vh=#(gV|vbf(hM(ANfdl zCt)`l_+EkBxkW^HEc_3+r$<}Zd@;p*%-6hZSDt7@0n44{_*U#qvr2;xhUCUhN4cEW zNh{AnvgMj21LeggUV?AbMMlp*((fMaqiz7-v&o|Fwr?!bbJD{sNtLYJFvAG8!t+}` z;Bs_nq3{PkmtGT85ayMH?zB@1W2CV?R$?A|n6wh4OQabCrD(A7ZEefEyoJQBSx$tQ zddV9RF)Og;27go&zTu#fmHjXmvTTDS$WYmGcA`Ljlv4xzRCR5&Ze3)o20NTpKuc3l zNvnF^d+6BJoO-rgteqAaUZ2(XY+|hr{fkF?l+B}gH&<{Q#`Km$QV%wt6(*n0yi6;AAemuk3(yjC=30 z%*lqe_rbD1bThBCVC?xtla?3Z*^v6?KIR*usmVQK_t+XAm>MM%s4~dw##dlHP*VQn z5gckHM+EZ(WTD=4%(yG~=l%RBvXKcnzPrI>-EF`Ao#{jjUbB`BUeNbXFnP+Lbik*; zd$vxry@-UbHPrse1mYTWDgCnyl| zAaYP+5M)9yg6Z=>B3Cxu962A^2j)FK_!?F{e`my+K`{BPQF$ipUqLl zfsc09MBalVFqzSTd|MprZ|UvWv~t3e3+CsiE~fjKmMB*BT$Z(qiia1sY4erlEr;1F z{dLgN4UJKNY`Wr7cfpZ@-wMp5Ak624mi5lu2DofXVFVH(xbdtLvWuy~pv}aY8Rns1 zfqt{xsaI`s-tlC39BS+26(H^i%_Ud0AO75x?lob9=mk>z9(rG+u-4tGVo_~QW63?oS_umosBeCXULj-B+2=6(!1cNyb z#N#TS%xv-aOLxrc!&u=?6TRgmjT3j242i>mMyYc+RT&p!&TiaE3xKPeC|ElCNln?% zEd;}sF~>bG(nAKVoPz#^>p4=NZ`1RJN1AhZGNiCLZHWW}TyNE-3-$WaV{#iJex9ET zn)Ge)bu9xA<9skpiAtC@m^{0Oja>QPsD-^1X34_jx&r9(?;cG0g-Rkw$sO3(p7O?mXPBa zH(hYb)yU@^;}_pXBtgc_-z0zXms!+bI2Gk1f57yh&4ZI>0E$_pY!}=34pz{e9nu!` znn{~IqgrZwaja(F*~SfxmCWBE!$HL>KocJ}$;(z^M1>58@7^2UB)7Vn9g0RXBg z8m}koD=`(npzCG5rAooG?m!!_$!qeGOwo%+i&V>)1zV6vj`9u=#t;~~*8g-EMsJY4 zp>}J-Mw^XvB*W{}V$VjE!IY)Lp;TGQ2ncKmhX% zpb{?WkOVjE{9=+7p`W8;7xXDHSXfdT{FH@PdQ1X3qS%c(CW^iu_4i|(8b{nm+Nmne zsPy9~)eyb~Zh$MwkbotlT0Be%bmYVYauLG5w6xFoYC2l9>U<(CJ(9DQn&H&VW_cw_ zz7noIFlNZJEuBp7k$!$K#|g8Wk5WXQ%hMR5Bq_$mdKrJ2Fn>4aF|v!jv{{I^H|}J) z-JaQ5Im~^13+ax2QGVl647Ds~2!z`$z5tDB=(45U!p|I_73Q$C^+cD;K z?81aZ)3NBb1u-Q#R?fx}VjAo#;;)L8%@zP6^U%S3&yFg&PKckL+=?nu{Kz^ihMHnB z$kWF~{q1yEwSriwCq+ab4>ywj_4T8BWJgg|tTw0FK%g8QdXLZQh?80lv@Hna?0!Zn z018q@ISy!_nnKvX&8jT~X70^g(TEEBLGM9EP_|mB{)A&+dJBI_n4Fkt6bq=;Dn5;QuB&+SZWii}2LbRxI&%>IrlawDP28LwtU4lG_Hw254u*cAC8E~xsZ zL>^e2thylY$083L+-0=o3>Z9ujBWi~kn+0Y2i4D(5<4kFR?r^zL7GN)~CMd~Q$%4q8`P)3Q5+{C$TYaaH~sRKeK zdZVL-`3Nx`?G|X#NzRbCrJ;DacY=7w6r8p?T84b=0f#DwJcB5n|Ar~>eVJ& z>7eW+unB)GNZ(lH@{l(tT^nxQH+k9WNx~QlqT6?({(4w5wYW*`mRk9%&Rs8VUwSjA z7L?PD_ZZX<<}~O2W~XMN3eZ{UFgCkYPzL{UVJ{(>)8IRz)%2vcA&6PIb=*R*)SDkC zALhCRzr1|VEYhPuGLgLZ32TsYfhs+!NQ!hv$?-GW=r?R{#6>l5I(A?WIuX8;@XWKY z#~-(`pz-s$Nfus&Yej9GqRWsEL#3Ay41GVo)ZSQo>o00cNw%CpNTI;ow-@3zVRGWg zQe7MOgZR@|8cO?{#V58A%PP8eNBz@FkWNqbLpr$Ak>DG>wb3SHy!?tCPaEIm7W~0r zynYZigM8TB_=c~WOb=KE3Ag57$x<(~@|Ej(8D&W0;h^MK`vh<5txRXW58>QgRIJon zDbWuJS7TNwzOVg+`zFKQW+oi1N~2?G&PqxQqw zVqf`E@C|2_AAgHCd<{RN;Rf2RW)41vF#8={bn%U!yLsPJz=}cF(&R&E!61^nG-{#F3}u_!9;Q@&UKJL!fQN zYx&RGUsjLx?$q_9V`<4b72gyaJc_zMxwsTKLkt9#kQ22@O{$P$UEtC(6~Lw+hD2gmCgS`KNpC8 zBzu6RvQPqGaWQWCL zg!_hu4JrDKmGVhK-wp5ief6!@35A%vh}HTI`N*{KuBftIsrj^4HugChT+41D21Zrg zimF@c2vRWBe$u}rq6#_DXlG_K3My2HdFzu{Kw{sAlrBVz5iX0d{Mzp)5i8%Q_*t4e zI`&?^pjxH;#=7dk_Y)DmK6DI)x6>{ZFQ{Pt>Qw8_gc(NQ)Doximu=Y6F+y46GVQ1I z*fBB9rtb(N<4|t>?$HoOE#4`hW62s6=eNAqu6#N0K!AvxoLHL#O{E=_ZN0IIX zhU^>2xnf7tTZ*6*TL`%lUsG$YY~rl^Hf{mrxgq>pHOifo6L-Y<;~nEs;CzeRu1-p? z*Tc_d*fp8_?oE6O;GtdDP-CevaUR06#k z;PvxVBjFs4LB+$61``YQ_J|~-Uqv4bk!~(XyMID@BZmgh$^_QysoWPM0WrcJ2 zBgdj+?L*u#4RK^R6K&oHfBz-h8}HzRY7}B-r(qyKl^c862{a+WIw21NqQV zzEMKn=n~5iN%Y#j9+)DntSIuvGubA%tb*wBX0DElg@xTaI-~BC4m#oc@L^CX$iv zn7D0%2;SbVBVwf|slj`V(KZedX-<<+YI>n6l zSuZMos@vq}$*<@6JvAu};V(qs2z>M-6+doUn1RQw91D#S6SJ7vNB18Fk9Vbb$@?F9 z{c*(Qh2Y+<;!(zeVbc6Z?60WTPy0_NX3^g){$>P~hEUmc8l#P$XvkDHa@DmmgMpzQ zz&+AjC@5tFBK(;Prv&-d-;?gMW;2~>Np^{AyTk0#T}TKwpwI<)`=`H>DqK=(;Etka?ZdmVkNwHjKf|J$?5t- z7UQIl(2iY&S6Ev|+01Xv+Qd8*X1lPYEb)cuTEZUskr*KkovfD?yP%^saaNq-qkPX} zGG23LovBRag7Eca9`ahcdcLQW??g^!gyRVs?w6hV7&??OX-JZ+U_xxitXab7+b)QU z>W6cf?G6eL$EjOyggKGDV`jn+3F=06funjlqHwru2sAfrTS0!CFfufZI>PZ{2{LYmB^?@bO)0aCwchoHugU#Ln^kjfVJRu+|Jhw}V`| zsi~dV18u`SrI1l8v2S!R=cXY1!r`*wf}|8_!U0CT5%{pzfLb}3b9UNDmECl3N)4J> z4!ijH!~GVx6)n%jdkR}{<~2e{W)?fmjS)H!0|!JET^W)Xg z9_2*y>40~g6M!c3k!n{|o!@zBHxH)V!a-MgS|Z8e1ghq~{TW$7Z-?OWXa1)PWkPRU zj;1S+VI#8df+%MgA?5N6hDr9M0Brp#U;6e$;-0+_Oj_0&{nOi0y8hC+pCRAtkhfn% z*~JR1+?PUwz-FE z-0L6(Xh!+hHKb8%t9z32Uh3noN@p$C54soh+)SC`<+BBL2~voHT@z~%V0q@wN3&Ya zk3E~}DQ_kmH&49%dz_XpnvOPp&&!kkNpT-Cy>Q7ruF0gkp~2ew(ZcJr$UKPsBzh;% zb=Nq)>X^e-hDsf%>pNSB<3@Boaq27eJ^Ug=G2m4R!N$kLAei~IB35R>yfIzagk6=YJuZs$rw(nP#)b$%detM9rwD_ z-rH$+=Eip2R}AF*KcV7dg;y-hSyF3%qGs}pf~13Q9r{QgkGOq#-;%akkh}l>>LN$S z=b{_pMB+pq9})@|0C(IW|Mf>^!HI)ZaUMO6NCt&i7nXLRcSMuE6KM)?oU zY8KFD{`+G-FvtQ99>BI9X0^|sU7!d3sVffH00R+b>&nd2g}Xyo%%AD{Gn=m;(KTL4 zX|y+yg$M$?baU{CP zJnpNlIjG@i>4JlaG)}oa-n$=c2|bEvDPx!(sB%02j*ixZxe$N`zMg5qc_3zCKrS-c z&5v|Hscc2Q-hgRT=2erKiqmrf*VjA#LB#u_fnpfB$Sg1Rfx}6{d0pa%eL$m*>bdHk z5RE~m&?H(sdgZv^+AiR5z7!FCKJp9!JZn6DI(^<@@}E!{Rrgy0nhV4&r<&!pd4_t% zzx~`{a)NrPQU1V-lTFq|BU|njQ@pEpxJ3*@UU`6Vr}ts=2I)nWNXwGEk_4&Fm`{QG zJ@#L9?-+lse6s-a^gFW?^2RSZ`LQy;WMF)XSI9H(qs}u?SNPaBdc?ATLOB1t(@ET;&Dtf zQDE5~=gZvP^y~@5iJ&Ze5iJ)o>GlRxH!y*@Zj_1|<2eO0 zPEdfA4?!7-{U`JdnSQfxpWA$ab@DM8El0vEmwJrm2qFbLNVb$CJ&v{#2%Ha9D%b6r zxXI-uMraCN`|YQKcCwf|lLbeIzsLa3+zBYoXvYY8 zi@VCXC0bL(QM3}QyQ(op_0A@r`}~R4gwMW-ZOSOAyJa~5!os{XNq&zN(`Ghw*T@MeCim5b+b9d-87c*+H4+ z6SR0|1SnQgF<=A#mQpkJivl@M?5T{T{tBP}P-dx7yyMK8P5!>7Sa&?oW0QM}jW)WZ zdQS&&KL(Oxn{$+LAkP<=!K;XYwGI*rNDYQ^YfXQun{i2QKdJwO^0}*yS>-!~YRk<% zYflmcD<%ydlkROCAk=Tiw)_Jg)Wl(|ZT`CMZrqC=F=?~bi@M{p4??+}Kbe!|JNnR0 z*Z~J+NV%uoz3cm0xzviofQ)7IUNCN#$y&7c_t{FmzkhJ;pAi1Loew?#FQhAqhfT1% zTP5a>dZgDJg{$~N+5FC)Of_4x?Tsx|L#yXMBR#%tNL5jDZpJ2aPcE09&VfejCfGt{ z%isGPXUMgD18~l^S4Mep7JQd|<3-0Q*rwyV>t7slcmlhCeiit$V{16sJB?=2_U%00=37=Q@YX}sFM`D$Dw`}va9}^_d6TygUnx^lR)jLS8FeHmxcYoW;u=fsEyq&JhyQHsqcFls} z5_XFrKq%hwkq{aVK)QZ*D0NuC_^4N2+{RrLQPr{`ZwWEr1p28{PbQK@&D1-~dreMzx!)hk9-?tY8NCrPO}hNF{lAq;8=V%K*OuRK(E zVT^b-5v@!pXcjacNCNZ;r61jW&l}!xx1)%9wd~_Pelz(5_N;Lp(Ir-*_Pggbi;eNC zZ58mH7#3f+#^-bFZ7WV+9?=6u19paaii^FNtf23}2|1hWEm4;D2oRGoyWR~vn29t4 z5s5N6zEkerHMLSs)9gJiUjfrcNV;24X{v`yN7ohzAsu7b#zmXW1z<)J5sWRlPpflvIe7#kn%F9 z`>db-Lvt#mkpdbMG6K>{lK7TnSU;s7m2= zFf%d5F}EGiI#Q>X^0Ch)qaE)r^sxMD;-(ont}cI!z)<{|nC~&kwZ^xH^1GQ~c~V)m z$!K!&N&#`G*kVdNt_$T;B*!YrZz>${(Uu{Lb1a5pzYKGB_yfNJ(oj0Yeq^|tG8l?f z!~%oI$0!O?Xp|+6G>K#0E)}mIC0uf*qK;Fx=3PtF#X?oE40gEFaR+nCG(ed%$yh6> zDFt;rQQ3&wF)ZF+qR^N*xm;_?EXN(dS11dq<4w##ibS(UIaH^o5{Z^n$0E03jf-;~ z=`qIU{I^!z3T`0dtWz0f#i%TCR^y5|)*?Inqn4%(<4#3NQh1?Bj^s-{S zQn~71>e$7oMs9y`Xt|D7a7vE2EtvNaI1Su%&o7e7s1tJG!@({*68KWK4~8gHI+ch_ zLbg$riZ1ZW_*3}5FNu);EP=|h$??kCX_-2L1iYQhTgl32X2T^hf{P4X%^!}j--)F& z!yKY{HrCot;=}p>04E)n48N3eys=zODh4Z8my~yv8DcWLSY$hcW|FjdCR;KXG{(D@ z1xN9%Ryh999BC^!x{GBg3kltbEyd4X^CBqStuuq+C)sxR}t~OJ)k?PC;`z@tC8B1@<|U56ESeM$Do7 zt{T)rI$&xAO!5fiz7^$rTU&8$nG7iI_fu0MWr(nT4oL)iYGT$fH@8v9`}r=hOmdVk zY04**N_20x6w2UKOWYJY>TOS-bU;X-9k6< za;isAs(>WBj3WZbJETa(4(x+*;PoY+TyJv(s-0!5Z*j&{7%W(@1=p3_FVV3JG^1x`vESzE~QjBqe<&8r!rX#isRDyU+#OogS zLlgMubyEO4$??juZEj=!@y53v=3nch7Olr4#*#I5_T03}TFR-B^i-JBGAOpJ)mLj+ z%y*5-ZYJs`FhQv0k3o--Z;dwxdn$k6{?v5r*^7HXxX|3a-14T3RT}>QVv`>dR5Zu; z9jwP1G!{yLtxv^{K1$@nx|KVLP0MAzHylj6aSB41Q>cLHa_CgrHpa-gMvn1EQ3p{g zP&Bg~wNb{~nbH+hY;ttu9hTL#W>xsNibO_~)}4~;ymGz8y}0F(plFr$V~#mGai)ux zE>{;X-6IetEOih|fL6r#?j`Ci7?SUUxZI=f@v4Ope?~gEI)*|;hN3`j<*^LAy5JTE zDajZuRL0Kk@~C9&DSO8l>D@%AmodAQjYkkBIbgJ|NmBDlTgS#f%Nq;Di;0cT7Vcsr zG8Zx@mY`%~rKe-4=DIV=+(>>rPOHLXsfjH#xa5$>BPwYzNHyY2Ysz<(p?Z~BZ>ju~ zMl0RERT0Z6iA_blOoV}bjXKWK;E7{Za}qRnJjgd_hO5?-HNc($gYbx>MfDVwF%cn z*8FBxL5vov4(CO~FDA#h7r4~!VpO}ENohQGs3MOS!|rYG^;*M3&Zo?4D7S-RD?v{o%oCF;^|xTIf& zS6Ns5eR%v?Y* zNaIb+DT;YZAYc~bi0!YDDm>g+ZX1Y`8+cv__c1_$_IS!}rp-gihG$JzRIGY|Ql96I z?j^z@@nGr{7X)$9krbWvT&DOTYe|R_stgw3R7BZ=JAWaF?DBMY$|_|Qn@TZqbF6?Y z5KLo+KQPLQpN|%@Wq4EiLC8&#l(>k(&dd7cMl;D;iQ>bnnQw8^tNl6TyIW=`&0Nb7$|uQ# zSkye569C*xoifpe*)C{)I`7`^8~qrpZGBp)&dmdHwq?Rc1p9v=~?AWu_9X>LShEyG#NyvNG>Wg>B_Q; z{H`cB6BZ!lgcGRTf55?=$|fZ(+48@1RX_q2HFGZDhi)RuNJgbM2+QGRBT zOSp9^rY)SM%07imR#?qSBS8i%GGaAMHC~k`)Im z^#1@86fnBoWMyHNPIWH2b0?M|Ei`!K6%3qQWj zUvB#D3K}xWn_aluGIdi7swq5gVTzjp)TZTzqjjuNDPNEt=awrKcfy%55&sZf66tMTHlQ$8dhgm1t0IR`(6*O4Kn|NaHccN>jBCDj#sE|GY54IJ5^!TN@mk|iyOub zw-I`Lf_^MOxsZG{l|CjOSs0FOrdXKLGVVHu53tMhs4c{ROrf$54GwDe+&Wtj5NN_|N3+Krx37C2yjPaq>1}Q^zjmF8=_N zPN#}Ya>&t(lc@OYadB7x+@NkCw(K;5T*cf^)-bAxZinPo>TgM?C)>sCN~0i2M^VD& zFZ_7aHcYV$f@m~AX2J~ZxswdHjLEF1+(m|pos)k;A(!)~S;j7r91vuQ@0?JY4~ zcz%Mec3iD&H?8=_iHk)~$d`!+mt{+f@&w#XLk#lqP+p8dwWyhyP>63FZg^znQN_7a#eo@@a=+tl zQkHpR47|E^krc>?;@G(4<2-QNjyX|jlX?|pCQYtc6)^mZr^SZ#GQ|7*TyGF+Wsnrz z7TD94$6`J)F`Ahg zhfrupUZbWR)UqnU`#kajvb2C3nA6$=6qR_`jH;NosE0wO4NJLNl^w$zS>hcuLv2qI z9n?XFG+b9V6?=mhaMx-WU+3?Ko0mJ{ zqQv_GSLkn0gsXQ_;AN9Bax{%WxKX&}WCE%vbTN3xg^e>U%zr7B0x5174lqA{R48Yc z)0)?I{99oWyVr2B=mLxHHnB7zSPTa#N8?-9H%PdGX5j~Jjx!dcmB%P$WW|iSgB6y`mw8lL&WtF0lgkji zZOWHcOfkf@-Yw$hT~795Q4mOeM;8&_ZaCqdHikIktXr{h#~hYp;dwJ0bt_W@N(^%C zF~_u|%3_RiOu_~^HxjgBEJG6JSzld{H&XSxTy$F!w&V^(MR6P)M<8(4@zyk;n#XZv z(Hb$yamt$vhqfLeNBbIQUE%Z^x{FLwC9r@Jsw(w$+XDE+47LKk}c1mFnB4wxS1g zlAoxM0JxCcs7Kb}!#B-yQRSZ{N2neo?3Bk{uCbSVW!~_|FZ)r0(Y%HETxs_yT!N9?D2OGw#8<=EyVr7zY4*G<&N#Vs5E09;1Z^2leH>GBBVcPzYFcM%PAgOPb` z#O_zvnAFQsvGCp?^k(@`(#qcNta^s zNWj#zn7WmEF~+h8>ByCOk97nh+oQ(nIK78+5O%uZK07)JC-*fKjjc-7%9&&iBwOfJ zm3^%=V_s4Ih?g)G$6%|ba=7cp;XUvd8c zY^mFgEzE>1s~`rWm2_p2Y(uKOw|bTP#J#y9Q01&ee@0bM2Xdx3mk>L|#d0HxGL|-M z@?F7eYXoIWF1WHVm&?WQv$8%xtDsd9%yYi%xIkR(3)Jz(?hYcY-$LUV{SGC{uJJEZ zFgkzgn8Zc~48+LFhsn~Ionmip0<#axh8Rf!Rs&U9IdH~whJ--&Owt~(-wQio0@YGfk}f!6mi%8jlqLB(dTgh*~vS_O$ragHtNQGsUYnu1+%bvtop zLX=|Blrpk--cvQlLFD7SRMT6RO;0UlF>i5q7T7z~rR>pAYZ%ii;8a@F0U!?Fvn`NH zr?z=hQwO+ramp=}gHYb#j!Trs9c(Sq*)c;rNNq`#7?p`}4=R9vA#pBf>c}afGFerW zLS0>BmQ3u?e@AyRM6k(Pn7_+zRM6Xnr~PANDT%4_<&i=dseDz$Npx*-dMG!l)*@xQ ztfl3aQ2vusBM{ymE;lTC1oCD!yaSe0qHbcjUzKX1QCqXcyP4-+A#Fv=?PBVAQwJQK z2IgOlGPtHfM+)c@ryFi#aQzyrWsCqOoI)6@ynxiiqRfl&ym2aGgOLo8x6ZM-f#h3| zn;fxv#ByyEnRzm(-O(!bG#)bY9pkd)e<+mOj&|H~qQgzSWpf`DhF&UTOSi-~438Qy z6LO1{k5YpxGT&b#qMCeWC@>0_layN`M5u*Zh)h6d*7u8G`7l!|n7J*6H8WEzQtQ7X z?PK!9sINvYM%O#hkC@y=?3JjwIcduD230opA*60&iIY=?TRYx7mLsqiux)RE+w8g1cEhS#&Id~aak(AKKY+5R7=7UPTSap^_ zPvW;RYpjbmjT)EyavW6CqqMfo%vyHsiMxhBW;s=jQ$QC@oktXP__3ogw;buq0B$(r z<8d-d2QT6-HMM_9Slml0W|(43aX*UXon=O8V+As(k-d#gDgmx zmpt5Yp)VbHm1~IOb5)}!5@NYPO!Uy@G0MofV(2`hjxJc@rX}Nzfly3ZoZ-8`7ar3b-UPrlU`Jmx4?!+kPxk2>A)(Wu;SQbmIOiWG7SAKHtD_TFd6R>_uBGyw!WzDTMtqwTD6w5542S%nvHXDeH z@fnj^mj3`4H@S9dEHa)_^O>cQ8I=p*$?6_ioNGmexH--dc+zrT0U-(73|x19s6ch}qNgZ}D;ma?@s z=a000>6ZTCw3_wv+^>^=vUOwVx5phk>@7{i?yqm|TlEjp*Y2HO*19WyIP^KcjPERT zORzWLdxOy~@f+kdH>*uWI>;cK)vm4ATkBy~`pw-bzlF5f9b@jZ)_b7!b5^0fG(5}0 zp}jDC)7D{X3Nm`oU1|qfH8-t?TkEKYiaK*%Xz8=&6gthx-E-@$_M0QD8_H^LS()0^ zWB!N7TwM-2)7rf63%aeU+@o|*hN0FDMLSWc*HMV{wTK~6>L%pU+V-phJL_Ge!CU@F z^{7s7JzmwhGjf}7zmFwdH-vhe(B--W6!dk~uBn%k!W@LoI>@I-q{P)_vDKoTBOZ}k zP3qs}z@+VHwP|e*DG+*<)=t1~7pUAdH>%xJY?zMWslAx)o^Iv6YA&`Gu{#5LlVvtt zBdVOXT}WTk2dmvtP5%H`Hw#Crtx#|Gp{w8db*O`+-nAG0QgSz{TYvE;@dr7ebs<~o z{Zv2oLO0?&s2q@{KxP<3e1ASeviv z{?c{UmgU)-k=-Hcu)U$eeavA;Kixz8D|HDq6zg>Bpwu}kCbgJ1k}au4e@KJ#qgdHp}kOOY@Zw^^(M;xB!8qD2I1IS&`$G0Zz;5h zGFG~zP&ws0taT2uxlKbI`k|;^1*qSMdVEyuE<*J>miP|ny;f|lw6}%T8z*5Hbeqwe zgF#J$j39*?N2uElhglnZw)6{@ld(Dng}#~fTTrWB8+uvPl;1hMDCA%vNUZfp()OJq zN@{cujMcB0e>QfEjiZZD-llDBs7t9S$n)?|&~M|)JVCUGIE0&Z7^L1DwTZ|%YFwSv zCTxY%cLogtp+K@eTY3!eMI{~+r1H1ZP4Mhi!fI+LAgrHAUXzoPybb)5`t7fCb5YeU zwNAj)Abb~n*8QfzO|ll2*6f;tC+D~J4z04--69OP=?dDL_0NU0aPP`>=(QT9(A=#; zgYez+8;oGRE=|R$Yzm#9NOLWQz~+Hbq{+tU(ls?V=bspSmgMz8#?@r&(Bxs$=?aH2 zsVGz-*TCs@8u5>g-?_Xk!e|(-g4*{X4c2AI-dl)jfz1LveGf<>;vb=?ca5To+&b#$ zUY|>Kii#LF4PN2c3~%K7@eksjt|7WZi8SuFEufoVw7sCWfX}Q#ha>wI(B$bU*vBCs z_@?k8=u^1#g_EchX?o41>|)4JWPZ7{HVhgaO{VZ6$+}KX=HiNt&44aYQwKw#l8+zMLkVk3J{CHZ*H%8Xw*&S1)UL97Eq1Ctbt%lOY zxN>c%92D8yH;EABVca9hqu+qv6#m(=+Cmye#X5bjX~^9R{{R&9Q*MNMsnTe2Ia`!Y z^165V!3H+BLsMbW)YY(!%xxRPq)zE_bq=H>OL4gcsx^Vty8x$4Uzl=q7;+raBh)s* z9w>4Y3n5ceP`&|x<0$xzt=U@*f%yLbYaEFsn$;Br(y}Uibnqc5ac4szw%D9(g>zQ`3u_876@@xm$dE65&rNyDbQ*tp$@tcw0J=aWNOkk zuE@sVVQsPQUGX(1juxCqs<`&s$D@wH%76Vjp5!=&ff=h?Rxu# z2?(vLK(Z)qw@H@x&Q3x^H8wX>la=#aVBdz^4#pAthJkuF4zfJ+P=iL(s@gKz1(vWm zIXa>A-I2(x#*w$%bXhqB+n~251tXaJNjtK5+1zE{9Cfa>3bvMD%Y72QzVM`NyE%{D|d74ItQtO0i+S(EmR*}*v$;eh< zPLWPU9|6k#8J5}yDZC?7vpdUbZXLsumhg(bqZ+BQcr`W#Ev?kpC~{)Rqf=?x(h%qn qW7PtJg|UQb(l|ZR<5+I>0}~nzu`QFaOybG~`zR literal 136728 zcmb4qWl$YF)aJ$A-QBIYOK~sm?sk!jyOrY3g(Ah>_2SUt?ykihiaXuD-|X(p{@iDh zOiprQGnr&^o|C^Tf7bz00I2^L(ElSs{|A`=16WvC7?^(n1{Mw$7Vba%%fZ9J!T%@x z*UA6x;$K^6xPNN@qw@ci{Oto^Aws1>&%i)Y0idyGm%Ih+{bWw1Q;zeJ zL5Eora^DfgZ){=Zg!9A`wk|P~;`DaC39`vZKWaLr8JF&1Ac9%wBZ5EvLF$mELW{^# z88{T_<0++;f4qy}R;nY;gNPNuNMU=0q zU_<-6&CQxxJZ({y!{<0!8y{tD*r>G%ngq@kI7#3>B{!5s(-h!$+|YkwnSG=0t^+)#%SPZP7Y;7 zI~*84ml(vKX|zXHlE~83k((MHmO(Qn09QFud9h?SIbBm94ufxBnhb zqYkRdCrk(vFZABYyp#vCXLD`BhvBQ~O%M3|Dq=lgt7G77O}aA;cab?AJ!Y^cyPWux zuDK5e8G`Pct0$8t)HCp0T8`F?*1VpJqd>f2qwQrAYp1U8dzc+DE2Y~hH`21#bVxm( zQyfD%!8>LYl$(LngvUi=#FQp9vX&HMuS?y7HD*_(kfk*BeQk9m_X8+=%#ga+BB+^a z*x~3jkzA2MKB`-TT!G{P?7(PJKw|Wx!e|dfgEU0B(m`^R%(YR-;xXrBRa@XH(+!<% z%Ao}RTt7di8S6~==Pr0idJH~z;Y5Rvybp7JtdDysdfbXLDaP2Z@mw<%is=K9ju<0- zu*h6RMA^6(zOViu-Lh>Bk7+%kyg3u+EEJP0zP`)E)Fzcce8Lo^T@>d*!yY0hPRB~A zmSR=>ItK-ArJ$yYgkc`JCCV7ebeYuK=3-_;4SI;aat-*{}l1E~RVJs6jLy5>~WFkX|=2*X#eKzGIJwwuj_~uf`mAKY1^Hjdj3rc{C5-)qg zy|H=vy;K!{H9h%rEm_ZTFo`~2a@{B59uzs~IUDF_3BX4g0I_Mq=Ew>{n0PMR`)(ta zTJ186{hzmFk1u^)TJEPSQq!aKC?RxCR7sL@8id0k_VB#2T*pF$Y5+RcFQH7ZGkAwo zP~RO&AuV!~K_s4EJIBdH@%zA|=K49C0$Z=UCzGrERk^Z~w_G|0EiLrC@^Ist66^m? zp=a|Q0|O==ND%`3K>MLhr^B5g^6_kp;pfO--}H`>PdQ__`C&_@e2A`fjTwScA9K%8c2RG>4T%J5JeNAsY#Q!x zP=VfBM)n2V(slUsr#LyF36s8so__jtkXu8|27{j#aX_RerS4@|P)+D)yIjP&yr?SE zf-_s3szt6OCCaO~<}y;asuhnGCT%_nPhznq1vU$T!hrE8i23F~ZvBDWcL*=r{ZVAu za=5HSlWm6*JbgBvnGsMsS_4Y+`@LpBo1STpR!+{FETga=0V^3Vxo1xsf~OLd!MeTx z%78yJ*q%ps{P~h{DTz{-Xa5r4uMd$i+F54yaav%6@a`kpo&H>ncCbga3MrqU^hzb< z&yuT>7$J89+M2(OIDV^t$G1Fo!N*$vZCB9QADHuP;97GL z8rh@t`P{A(&SjtoT34y(3c68=mr^w{*ogM7{r-`sR~Yc|!)4(wKrYv0VvfJHFo!p( z_S-xwvN5@YX1^P%RlYM>g;phIlJ%IPoieyjg~kER;AYGA%hJ+x4?fl$|_5;k%fh*6_rcK>r0Gb zmOu|m)F@R@rn2d$Q+>#-DcISS{Z&d_=o4Vp5N$G{~ndU|R8? z1q3xSSK!Xk7PBco$v#cd3FN7yR=_>HaAUm3#W|q2TMX%$3?!y{_&GL%`MZo#qE-_{ z8_v8md>EF-@0*zlNSE3e!B+ulNt zM)=K!nOwOU$7wbOD|U@rm3)u|7rBI^8AAT?I_A$TR8+FKG?DjP!8*R!4I+;~Wm%2o z4VJ@u(L4da+xYpD=lMi?9J;g2!8zn6X}N-31oa5Hr12eD*g^UPL^9?=(FJxQ4GM{a zI1WG`Cu#etg9(~TEeXQ@{q`JL*WA*ytPTNRi(iY2VcU!E2yk$n&nUjk$gYEnK2@Dz z`mA6LhU5-pPZdS%wsmBGI`On)%YwbZb8s#f8Q z&f{myOgW;Qw8jP<>r7NUQ@l`r*Bm!6wySf;+I_Kd-T8Bs7nUZ1r`Km>9-MJgeKcVdu&7 z-FD~Q_kL;lT2usF?`P{h;(5DaH_v}?s#HUhX_3t8U7F=1CBTec4zi{fp+#)|%~kma z85xNw*(!l-wR{&k#E}pOye=wrtc#)gZVkraeis-LmG-PEK5i8*E8J-0iEEk3wH9@( zT1Wl9&)~i!q*F5LnAWN=(mY0<`aAyR8{zZ&avs@_NYf<~()m0nwA~k9NA|1ub@9be z1}y>_*IP)ceyJ!MD$;Q@h{xU`eUuVr7d5|HzGP+|bx_MJ;8iVju*;J&+<_$5(~s&a zZ*JR#E_g9O(d(8lFn^_RyT$7V?oj~H0>KRhDPqjY+O{n_IH8HVVtnL8%!*tF(dP?u z%2ICQpVy)cyo~YHdtN)O9Z*;8Mp-Ik=vKT4Da9jEL94=SkB!x*SB)k&ITdOq)I&yu zag`BB4c7T3O5*^tQbHWvIuD9rfO4E25tZINA}@RnBw9K@9ek`+L;{C4%aqOLrr(~S z$7wqoG{a4fZk$>xjT@(IGEZB|>*(vGnT4j69EF>-`Ol9=%kQ7R2#)Uc)B3&>Nl~8U zybsStN1kNb!ywv=St^eQI$_Km*H?Q)X~1eA0}JTX(hbZNhH<}Q4)miQa6*^H>ZG@W zGRl|OYka#&`U6e8@w}rI0oO&;2zrk^&9yq<>@?&tX=JyFnrruY>WlNCHB)X7>~S}Z zt2&zb6zRR&PTA9|aB!QS=ABB-PE&rSrETf)A=_8bP_Tjw;G^l9$H)@Y6z}Om*+sxI+!9hUq-gmq z2RYWuJ*m~}zxMC;M@_bTOPRG8kA)EuTTq-lWKm{`x+%ql#Fnfy2F0-jBkS|ItCuCY z^7xiL0k$33|oZ!0+YEE0T7#WA>bFfC&hqphXgNJ1CmcWJ&!R4#eXX#^UnMain z-mT3IEoAGw*wQZ*U5k4sb}}4YwexSSbT}tD@~l!}9h3Ut7FcvpZ!W0l3VwPJ3UyPk zN1~fWlCh-SLaTrN3sAgm_fyTfmfQN%u9+zK0)p?eon15J_;w|d$D8DgzGV+;83its zXSxjzF2(Dx!NsDwf9-ep<|^GhtAf;yA0GWpvO=sh&&=`G?EBYmd^9+sp^>+Xa}KRi zZ7nB53hu5-SDDIa(=e3rZXQMEHJ=RviB>xzi%$;GjvqSLbtQ$$Rq*lgb! zD%L2jo^nhV>AVdyT~;>6k32`L16Y`i-rrJl*BMYMfLJOW<(2K8NpM7v++v#gEvN#k zg93IV2~-K4w0JvJflrFg*ygBeby9I9uoTP=?UBLYmC5+Ss+rnqy04a#^k31r@c1R? zXn^sh=o6=7TR-oGfMLEuPL+rKlOq1MooAzWH348-&+Fyx-){WbqKK>o36FAyZ(D;_ zJ?L>K0e%4pi4Ys5cIgmWk7-O4A9!;#k*^SX5(#eXxeG;7i#5zx*pxv?)>av5JNEG+ z@c<+6I(>~ zB5K!@lmbXUpc*$~TOljok2RE7LQgCSuPWg$+nD295uv87f5lHiKg`N(%KIc)SJ6#< z>pj{OxG~34LUdBhGena_gI_dA3M<<50D{Xrx-R2iSNw`L%h=S0!)wti>cvn}*< zmq1mB!F*@7+NzA8t*K50{k}X#$^M~DW4Ulfs`J;OlL_|Bi%9M}xHDH<$4@p_KDoKBQ$gN3!6QAr znH;hL>>@)i z0(Yn^rYG-Tnp6*mc#XUA#U2E1jDLS^=h7Zsour~Gb>xwfhp{bq^2(yuhiUGw`058! zC|j9&J;Bzzn(r4MP5I5TPbMi9KF1?Un}C$|C}rK&AF980c+&gk>n=>Z)+2irUH`Z?DluS(G8-c!P|w~Z&$b6RVOZn1Ngp-K$w-Y{e`?a(up=r4(!?#N!G$lW zW`c^o_!X($`~^_hj5*|)vP*2%Wj@^Ms755;AOzDc4nw4|G_s;c>D6!aeTZo-*bPsM zlVQ(&2x%9pv?3&7DV-Dg7$Y%9R{0`SD1?X|$w(rW$rUGUz1&L)T_1*o^{EX?v5(sm>JfP-Q2>&QFS%+HQC3Nz+CLi1AC61&^0qHKi=zlDHY6PlmCQ=V&i-yd$i zNrqEzZ6%VOh(ovz&d|oAZ)!=apiI2Bv*7i^o-ybu8AbY;*W9F=FkQ37as(ESXgtyB zXWOI5=;6&SsM4VIC5UJ;if9{#SIzq``1fu6z>S36-5k@WoUgHAa{u1Lq` z>p#~iZ?$Mf5hM_Gs)IZ{-H-3NPsY?c_o~%$49~a51CAQfI4(H5uUzC(&e#i`4fRFW z!}_rYRJmk$DiUW4eyG^F>wNFS32$1;vK~cR;`B~^WW0>S=vps2)aDWyV@}ctjEQi!3t-Wh5UT8BN&+ZIR`)!X75mzn8_BNsa{2py~Y}icjZMfOk6! zNJ@#n@8Bv%tl@^N(&c~)qAqf_vlQ+lG!R`ek9Th57*r*D1Gb*V#nmfYRGK3o!?$Y+ zZJGR4G(+1=3Ml-&n>lQJ*+H-=^J*i30G7KjDV?bv;J3Qp7i2YYcxDEmQ-xNez%Tx(+yC_ESYoXg!V2R^9xOXg35(KH=jbHC|GpLIIlI$d7K_{PQQS zebkxQF{2;4&C>*4BJCZ%dqsavKqG0{16|K9HE1nCGtRgColYxacW&HN9})hNk?_%T4Uzz6vRSBhg40T%K(AH z-wBc4rJHF}mMRg9iL!;#^!U^i<`K_WtHK$j4=#z2g$~cr*lbakALlu@gS?@6_D5b> z)L@(8j>~&DR*zW;w+2ia9Q2$hXtNM}o!wavn!w^6Reuk6yMxv|Wmadt5q-7rPYT}< z)H@H$A=rEP1&rotda9pM{ra$t+psjgBx|GPt9=ROfo;aLpokc=F(t_aFxx;j|^hBM0)sBF38Rq8WUKf_tMh)^F)gMQ&H4 z)xhX6@F1tnw{{mQok&9K(B1 z2$eDPJC4pCJqbvy0*@lkBlP_-6r%;z_)xex^S<;Vdl>Hbq$Pp{>D>??s49pa=#Fhn zgv(Xxi+YixnVC_a&o{dAP|cqVqG9ta%E574#f{5LIoN*-B@k5hvaxYetdE4U3O~iF z;YDtrqjSiCMZ;7ivhU`6xgk(b*d-ulLT=_7i{>-rLYIqBH6BaW*cH4lf?3jX0ON1s zX=JI@rx12XLQ+-GW3t(n)Fu9;MFu6Yf71JthNz62V~khP&V+zxAoI;=AxF`9v8bD- zhVPfejM~NX{(6g22egF|_#aurjR}B;g8fG;{Z9f71@|9U1Av9YhR4F8LZHS)q~YYk z#N+;qPs<}oKuAf?C-slTLHtMVK*2$8lw)ULFvSr)6zm&_E=?>{`@cF5ehs=8_FTPq zIDRb=6>0Kt5%eY>Cix5a-o~4mdeno>ymyZ>?Ai9NV7}||uC$ZZ|B|%ljq(?8iWAN1 zZCXB#=`60kig_DU?5dLMCen`yTx0ByrV)OymLvmgn70q+L3jTeky4NXz=;iwbo!7qCCSMjmxgca=Vq1V)(zBUEcrvw zLr{wB=EAf1OT|5fMAXM$fI)0yf5*M4u38|$C0KVfeg8WvF^FP;f}}wt@*ZLQih^Of zolom8pgA+kXrMHMMKrjnQ_erq>sCuQNGO)E@3Sw77PhP<2S0~6?x-Ty@%l{{j-N+Q zltX$9&HFVZ)L4_e#6@b`B~2;FWv z5)d5qYdlzObtA%B(Bun~$YY8q0r*VHw4RZ-BuFN|r0wehrHW3MC7ZWS9)Wc&>Nds! zp0gOsegp3^%06EUU9Rk0%~e^ezdevH9{3kvUxKESDcs4#);_cdA)%#7Ws1y>dz;Q2 zhQMV0c0W!9XsDJOJt&8Cw69v7{OIX^xvC^)PSl!M=wH=hrD%0gM6i4++}Eu%ZNY}) zgc9rBd4$w8fAWXl5dX#!1d!Xnr)vA$OJ-;X6 zJN6Hh&+|3N=%wNJ#18BLG#vj0tX6fdJF>W>hz03Q873KV2L)7cBtGIV*W zy>C3_h8%>jxpnq+Yg1Q5k&~QhTrqBh)nJe@8e2Ug9jrBt=P67LEL*&5JU7vgxsb(9 zyXy#Cu%ADE$3^PvaAnZ8PeJdyL#{u%b zHDOtcfPs;Wa~A$F+xVMuk%~sv?7V2~JQe>4&-Q2 z=`{b#+9Tt;VU?75R{HEYC`mPA#d&wv=r4e6u>oy?fOSi+wm{g=EktvEg6cT z{sIo*or4C`gZgVi_)DH-cO$wXd5GBtyv_R&@+1j2Ol4e9NnX6vo z5mEN$O^@}Nz?0%dQpL%-nVIEM-c~KW7+wPF?AMD`>jkEiP}tswm8^rVR)YS9Jj#I3 zlmlOsY>0d8@USoMkW)#`codnAVYGl;zesFqEAi8Oj2mGsh@pZDR9HFgEkVO~5PVX&g4kW@bJjomX0>48)fFCc-6N5c_giV)VPcp2sp8FbTEX7i z=80vM-Kuj?3am`;!ZgGsqM4-+Lh<(>vPsx)NU$hm$?H&Bm)}9(DtK>ONx2Cg$Zzpd zR3?#7DkRHz=B&3ltA}F!jJLhoaaBsKk{o>YI&qn&MPhn9DN^U z!=}G?(2+7t0;>Y6{C+VYN`#>H>t$8hJKa7!0y zTqDWp#U6M4uyq*$R@beRbf2kWYx6XQA&H4!89sQ=5W&pzmHE;6t;k^WyU7mgs4;o) zUVgG#ihz6ATvlH2VV_~o?ktw^XS!kdRS(R)`;w_Y#OQ-;Q zy8Nc7lWQ@HpJDs>yjJG%jU&59-swa2X6MJbo3Zxb%P*;MufG720FtzQCF__zH(`at zbUmw%OO|iKdj-D5jSVYNeOjMRt9pKRq@6IHHxFxECqX&*jM77!+YLv(S0JcA{AB)? zpT(Hyc{Y%-GU25vrv1l+pK|qsWK^IzouH{}neRblYr3lrd)#e1#mzg}^2T+PlpmIP zgVjP1X4r+!H-bEte4MhboQ&6dzE#oxJW$0Sq1(|oT%!sbB`Z0LYTGWqiK2>m`C7u` z%aY8!s$cQ(@>Zg3A!%P zU9OBq<1fI3MDo^*>I+AE_oTetyfEPP_0~QXzT>pU7T2(^dyD?M=%j%~mQWbfYNFrb zVvbP$^7vl#VeEx+&zRD#saeSBUw}@mr_7ZWIsKW8$MIdl4fEZOR+~Y_=w4eBq%%A% zfGuW3NB1j81Wn1-cJkcC>B^O0NhE_KEQtSBrexCmR!2Y9r}%wjA3aHIm#aGrTl*ua z{_K@VPf#^W{5Sd_J`01DmP8ou;=r4$ljB)_vqy_zC%CW6G}$g`-Tbo zEt~hXc>hBV`!=0l73<|ZPh+3Pi>+*HBRHEN?gZ9JTTNOW(N1_?F^(XeH#I2PaEP|d z>t8&OXO_t=5wic{}mmrSyr_%FFejR~@O;#MVe2?_g{Y5PYW%JobsjEQF7yyD-?H4r_v%}*X|!_~8r!3B|MNKT@J2uOaYCi^8g|q28^hbJNX}u7 zPpE$g$kxo_jO!DHSQ68h?KjJJ;T1PP3h!aj;Ar4)hY`r@Bm+PT{7K;&oCGcZKG$EK z&PnG)n|i)@Z$a}i4u3!0#`+yHVhQw}j9+Y-Vm{U%LA79FK^bk@uyLliRTX-v;i@+t z%3x%&_L3i7#Iw-wD8fNf1R5p<*n(=7_Ijlw9&p392YQz}AJ9D87NB@scD^)b&Dwty zj1;Ztkjt2Kn0l7T{@Z6}cK0UnaAfJY@f!s~?q_e-jXFJ-@AHC;iNcq7>EexEoY=7PF z!Fl=NRUVdmn7k}h)u4A8{eu)=F}SBTkkgNe4!NXW;H3>$+j!&MV1>PI%@D9j-FTcZ&vM6 z`=Spg^(Rng9e1N_&ALp}K4MZ4*4%AQ!2&}&pvMhMItKDz?x;cjaR>E>s4)ToZoGpZ zYWt)h6NVdhlvFKmR|ON31s;!tW>2$1F203ezlDdg5@U#Y8;YSXW1ey~h9Zfku?M7^ zk~n3P*B6THeFK0~WI93#-N~lJ9!EIy@v6>4(jhC{dgFJlJHC-|+s>zWKJxF-a((@< z9&sgP4JPP2aMs}I%rvpjQXqe@i75!bVZpKHaWu=7{~iC;s}8AXmdl||=ff)gb@889 z3hsNr^)n%*A62?O5sD8v=Nc5^<>c(tX}VnC%J2^I3dp@V%CE< zd1Qyr7^IQ>=6%nB%OqVbj{f6@aYh7kNdfven)J3XJ`aRBbA8VrOy2!sPT1Y)HcKB< zOIMRFkkf#;L$#JmnT@-ko6^ldd`XeG@cH9DlRfWqoCh+WLmPuLonWWNb13kacYhwo zI^f&_2s*nw>HM^2Q}8ZEO1!YNS6$?1kgC`1BuD=nXM5tOU{WkAXAzxeCe##Rr$_98 z!X+xIVS^$Ry=8sM6(;L^#eA>LSIw=(t;xD&b0%#yUe)PUAqO+6VqlegNu)JfljnAd zikEYqu>&ooO6Abe0@G_kl;ydCidYM)^f0klE7Yb{!q2W0szU~~>$nB@(4zts=z-5nt)D|zv+)(+>q#4TG zgx{Wueo0Al#>O(Xz)3-m%!&0>k=~LVGsDG!YZaK5#;RBi>u#()PEF5Fcbl<TP(A(v@ZFPH zUANe}4H{=d4cZBy`apKKrsRiD)!{6OBczce>BPHv^hW{TbAaLv@48=&D$vYnHQoMhbgVG?%%(D zxf*U9oh_)Ghr4RgN3{fM%1To@FpI9WXJCY9t;&*6@Ok5S4ued|-L>`!&jWW06uvTH zdu#TkaIigP!{jD%4*QBDx51EPDX`wOvE)+SiY_*H`GYNP+-fFdBYqkn59Qoz0tuOi zGP_3t>;2vr?J;?D*1m7lo4i`m!CSLR2$yS9V*3{@ir<~jE-uktvv@&2(79dR^XA;z z)R{tBPFY-{s1wHbM7|CTrn(-_h0$EHG^2!W_>CL1$YgawxSHh1;#o1r{~|3|?W z+6T8K_bBt*@$nw&QFF&z6;rdMI+CND#OTQZF_T|5i(Dvc#`L|(RZWrQhp2;4wS%0v zVvX{jWzAxVpyNUvyCVZb?tXioc6${)LfsjbfM3dUoA}RS`j6O&y`o%Pd;K>^?FmT6 zRaQrW_C8Uh#y9bryKEX7QkLg=K@nl&Lu^RWWS2*(OSnSzk)$j3jZakC~iv@LorV(lyr33!6)IakUWQpfoxLKeqyV zfn$W>jkU6UtT_SU7~=5zBFl+%)!QVX)f#r!XEcq~7sQL-Elj`d@RrsUsQ*wevpfxB zj4UVpAy*lo^R0Gq2%sC_9qReAA7I~BH@1R$-=*8TYxPge0EtIjKNv1}g+EpYJ?fCrGYIJ#HgJDW zR$h{LW+Nz$4r(wQtxtT&cJ=oq477d)^p~9wCz1JGcIZiofA#f7XfO?W=b0cxTq)cL z=9_3NSeT~A=g`udU3DRTI&fXnCH^LOVr@{|@1O6?PQ{Q!!#-wP|RzT4Sv!ttZE zayX*`X>(%yj7@v&!0f~~@TkvQj^kk$zDoP@7+;RgHrh+bpm8lnw%d7kmv_v)n@{ps z)3CrzTQDVVr>}TAxBvE8tH+!_cL)PeM-X4pvi*ci4XsyAH_LTm4;whGkSMgF-fN) z@ziA+(Us*in)y1*qo~QHQ4Ms?X`KK=dm+Sxq0Ef@sh`g*z_1YIqI`ekj%qFp%4VEkUDufT_CqBY#_VWmm~g$)y+^p=c8x9*H4oQ+jV-8Do| z>tGvBU$xzcfOb!V=OF{{395>@@u%~6KZ)tIqh2uBR~A~?ds46SWe}unqe{g6Nk;NQ zkL?#W+n8Ds@mti=*K@;>m()e)Iv{Db9CZ~ywNf~E0e4rJ{0m3cNuZ%2dGXG&qi-LG zS}tCvds5;h^|5JO_fcIx9OyFfiOcm;VYDGH(e1jGmPyZWDY@F}Rr<8-FW|sRvPE%S-$S;o|aYSlN4DF}YeCnT^R)qF9N5}J+6%}u=Ua&AtMIPn;Mcjz-+9Zg! zw@tanVeO3QmVk0l4Ca7cIF%_WeviFT;h7FGm)r1BQd{rha3PboSZIObCg3jsS=HB} z&@}IZmiN!*?0A4%3KCI!bctgyGM~`QJxY6WPg=-nco`k?P>)b6b|E8W2;eOLOUp)c zsdmwc*Gq--J-a%d@#>57>&dU{w7?>sph=q34{;?VjbxgFlOhj&q$EX67i1cbxRTGP zCI}ZMVS!rW*+FsDEmiP7k8LbeKK>~^N_$dCJFqON!*aAMIf;0qwKA-=MO5ORo~KrieFHbn)WLn-PqFttuXi$2r$f~$hM+|CWZ z>$-(jD-5S2gXM>$)4E5yY?%c)iaZg_FC=~@l<_3GxKR6V$PkZqMz_C!^hW$hjH&v}82twf?o67D7JX5K8~&+3e*tga z>5}7Ae*t038^Hh&#ogOH6|+DZa}T?=qd(<`78xrmZ3`rBC^?^+5uXyF_606PmMI^= z1S*i=!7x|OYJIqV--Z}#6Zi)7HnwapA}y=#cyLHmq*na0B*8}_`{Y%-(i&cw3?^yE zFO1%k#9j7B__&;zq^(>JtrBWdk4F)rUGTjAl zC5D@XPa$^RUGl^c6Eh3mZVF#eL4a0F9he`s*K#D;v7Aw@T{m(^QtHb1Uou%IkKhkD zU0nWI0C=N%vWZfgdWpK6CF#xacMVnNXz$g_sdOvlTo}#kOU^~|yokZR)<_L!TDY-Q zc<-yC3pJGScn17Htisi@XnITH)V)Lrt!Cm9|678ad~>Hzx%1MFy*{1I z$p(+-`|<@H|E#XMA@{88rK}ZluA<4{TF5PrwdJ#E;$OgCK#?c_`_1+{@RRpnKr&vk z3i_nZ@F2~djVRV}?`PdBIU60fz4Q{*xOfcBGS8dd;YO40E`#hE=n*i(mZSA`)oAC) z;J+^<%u3Q{{^}#~Mnuhf>ADCq?bkKUzlx{#QW-+0EZ9k~n7{8s-aE^PTl+}!-XjSn zXmvRe^cWhts4i9V?JDPRBV}iMb|; zuI1kuQ_IdICMNQ7j_aEXEwnWH0Dbl`N@j3&W*TR zdvfqc7x_R;*vWH&tIKIX^6D93{dR(X2c$e%WoSHk59*QcVcnk`5)@s>z>O!Hv@D)6 z+%Oc0E-@5jrdW#+pE_rBWOJUN)mKig3T^gtPE(r?h3(`iA23|(!%G0E2%HagrKMJb zON2)|t7B>KWRa-B*lMD>idxQbKRm`P6Bt+1xe8--YSRkT9q6z$-^&ZLTrK0`(^cOp z%N6-AwuW(MZgPap1lct>(Ei!~XWbL~Hj#jPS@z~x9HPzW6GRZ>y@V)sKI$B2sP`oc z2NgxIwl9`V1(msGetd0>j@SM+o;g=y(ym~w$hER_;%NQ5&xUQWT4e|6-WM*E!y+4 zN7;Lt(=}KYYOf&;tp?3c=SK4T%#U+LRjG>jGWuk=!*n85oHzV?gC{iJHYgMcQil;F z=P@dBxT6|PHL|bG$4;lryZr-C#d5^zjOQzHsltQ$D8}?LuC6o*}A#*ZWJHp z*K!%ySBKRWN8LD&{&Zy%XFQFM+q7m72^pALod;mMZpm>FPkty9EL|I^Q0tpCD%gUi zaW$$vk4{SL`M&Lt${^E8AKGs!@kik8bFtVZV5RW->d@WMP+1|&oe;_(Qqk{?mE-bc zMN4p&zP82d7mF$p3kH@Zny<<2nW_T!7)LHgkdnhMl&3}+)Ej~di9?xVU`uGyQRZct z&rDHmEg7tj)I|f@qm3dl+l}-4jam{MqqZPRT$3pV8d zwy5&O;8Z#;dM)}o@+lFeLmWlor!O{Lvmtg3?hOgpdcDc{=*|^LnJwiIc(T`N3KU*L`eZ4eds|ARZ2q}u8J{mH3 zzRiV9I^NMg_!}80;DIlss}suK&pL%O8@$_Dd~R1}Ox8@O#`i{x-F4{ii}yfzzpT+`QaO`Ey=BWN*B{G^g+H$)=;&j@&RC)jElbIEd{O<=~3yK>a22?BoxMa05-A2gh{Uo^5#C^LxBv$^`0uBR-RI9qaJ zdw#~zOoOazS=KTBlkr=^iBjo-mJ4Sdz~UtR9Fp-xO~ zYZBPc@e;WdrZT51-f&D-`qnvZR?rR!v#&1QGb#bO8OpQ|Zbzg{Q!6JMRW9#;g4Q}4 zYLcTZp(0^5^CmJjpw}dx_tV&+=q7qe;zUz_U=~bXK(e$Yp=*4aZ)Q`7%7>>9h>5@T?>P5MVdn*u(_y|-{CSW9Li-}c?uhmyt;L= z%Q<5da!D)`4-fUmTod$Dpv6g>b2-!aVIa;5k{_SD`x1x4QeJ$A-fSf-yfEyLwX~9u zY`@5xv_6z;p>NoZki^_^f)n9sz9zq}X!#b4Jt-ZSbD@!xblNC2-PV6in!&u&WAAcq z75x;C7v0jIZ~Vl>Kr(MpZmvper7yk_RLp+ZILbh6mZ@VS+H7o_ys+r zj!eYVs0V8$MQc%Tadmz#j!}sHUKAhUz*5X5UD?!dLmdWyA~^c;7tm#Ows*UkNbHre zhGrLRn6!_;n*FUbQvh(TaIO7(9qAf4?x?ylrtlb^yUKxKe#pfI3%zuRpnn z5s+#jyU!Bm?Ji0dJf20FCmYD+HG&ACzSp|L`1jYg>*A&}ceeQCeY+WtC2}{i*`~r7 zW^3-U{N9+Y)Q+;cKaxK8!B}h7d%^EJohzHbUX#p@nk1V)h=Nossl&kO&=ecVMrHY~ zucl>uIX(XrAuq`oyY0eyh4hwK?XqoIpb@meS=Ma1P=YV4Mz-8t3QGm6epbRphM{=e zsLE^b>QMJuxm)xXkdeQ5qP{5;uu19cnd%Zuk@#-HVcbld$}4>jHZlIOczAQy$6`*s}K(lq(W8+pojuoI z0CsB`jcFL%HjL`w-!?ge8Q+LtITl64T z^({fP3xO$%Z`}HVd!X@1MJ=prP8^cvLG`J=JPyVP8vAT!FmPyke)%HeYtT`H;t%Z{ z7rcH$`3q7v3EGziGwU-4v(MuePTc7mc`*lV)>jO>OTJVo{a>6`*cH5^emGx?K<4>} zIXJQRK+E5w+aB4P#)ch}GntpE?uPaUYJdUd8t-9!mKZL=N=i&votb;z>@GLg)sl1P zCy2#1SdgGdbn{6rXYyqpSZuI@@lL6T%#~^JHo9xq{sLrd)&wo=H3#Q-9Cn)Rye~uk zdEM@cg>b1MQBTAyvj%dW-)v0ik&C8uI7aX5)91x(>FaBWGrFOAxCfjK?-H1bx9Vf$ zJkyPDz7BU*5HTP0N)_)@w=S$XJ%{XDwYG{Q{4rbfu7kKfp=rcw#xu*ovj zo@OHzwnxcHKh`;f;bc~~Nt75?IN2U1Rr^$K9+&L?K8yWpWi0(sR@G#47-um9^&VZi z8+i)8pgI{;GW{HeUfe$YSFI0y5nCvzK`03{MIZ_%W=dmQDIYp=FDhP$;*ZsTKjvTP zT$=af_o6n--8mJ4yjxt3J9*%1E14JlWzMo$TY&T7ARq7W5LDdX4;4+%tBP`)yrx^o zH}Y<>ByUP(W?!u*sge=U8;wf|%nIZ%uISOF`Y)iHZBN%<**Vw5Sl&wcj4pqOUH<^A zvdqCY3nz!0kMenuvKX8%CQPOp?-y~3&tx$YVR<#CO)WAI#V#)>H^<$8f@GOtnVc=g zw3168f^8QR)g5Ih?DU`J{$0|Fmx<7pd=(2`YXr(fLdxhQ~w`$>!-(%fu z04G}hX^+?c0KZ`mZmYTI$`oZe79I#Men{Z<%a!@FiCduM}1q+WsU zGhf4_j~?co*QU8>=ED4kkV|R#&Ry!`lV&HWayQROEYp+NC6HH@MSOAlnSw=cQ!2bl z{-gF({guFDv&h72;#-O=HtCiB0Gdww9i<;sREqlc$w&I-G1#odzbg1jO>SYy;jeEk zF*!GdZmkMmTHHmP;W9wKyKg2Fn#*i)S$Oa2#^qYtJH`>)UG^f%=^`*-j0E0P@|iYB z<7Fttt5td(b>CIe)5-RC(E(Y`x+F>?rXYzVF@-8yzK+6yrSES2kE;17N$a)}nJbj% z*Nf}#%8jGE2V>R*WmAztGgq=F}OibvIk8ZKX28CJtbUQS?0nSjkg_5aT#oj^Sm!Nv2lS)^1 zRhA57H+cg*hDU1mUg%N(03FwT{{W4Ct^DD|9)jA&w=a^$DSJ(9>5q}Vv|LxmkojmY zl3^EX3djkK84?lVXhE+VR8k<0Z`oUBj#-KO0rlIeZ$eh-039$%DHrP_M_oHReHZD{ zYUv~hUopq=d3f`G2iO0+DiG7?_N{x$6_fy$jshGQd2`q z9Ffa1%eA~_I)36;>M`1_#E_#&<1)V?__vMcFD||h$XK<<9_0LXTa1P$F1aoY%N^a7 z)sv;;^gk9#OYE*bt$F_dB(|$xmpLRS8}lAcR<-%J4N&~g#-tE@x5Z?r{!il7AIUsq z)O_oW7G875%l`o97$v6W*fxmsEIzRF94f^8#|T7x(*+v;0OuGk{{Zu@KBNBtJ>zk- zpCoZu>VK9vcz=`rLcnrd9m|-L8G9thFj)<|7lt3*g5xQ11(V!N^jbqlYWMyew#5pO z{{T1eHVsUR8i!!S5_}zON5bvlR63)iYwxnyx5~| zps0(bjpL}J6zCLrQrseJS5BTV?Gftm{{VU2c&Q<2AvDPyg>}G_lvPMhB^{A-s zAdaJg(rW(zU0>^G75EoMjfLWa6~fo=*K*dznsJtPdt-AjJ2mJL%{%IWQgI8~}7G*uIs>gn-{{XN50BlL= zT8Bcf@$%itinTTm{<29P4m*;Pt@uA6xvmX>xWZvPewHTPgS4Kuf4xYl62cVGUY4|Mwt)Wt``=yv0MB%K>(D!QU4Gn1(#?V3T!wnK_{Qc#55|^C_WuAJVWFrvP6FJn z9~)~?+Cv!pb*XKSjS`)DsG;(Px6!6f#gUd+=0R%&(Xkeh%lQ5~evFOfE-E(OAUZO} z%wjG6I}}=9GN0XIc3mx?CSfwhj0iEV!6}ryY`Yg_a_t|5Q6mH;en0HEmwb*F7np~W z`~iW;4ny$IG{BpyYx_oGq;xCTt&I{57@%tWIBE%6-j_2HSNrTq13$(LgFnPVo2K2sj``QRBcS2ELOvL=n?+q_m%+`}|~gkZtmUJGxGb8=Z)d{N47Mr)5; z;2FQS_!I?Auu+a*BOtauD2gn;Cf#BxG!AsF zBzF)6B@Gz8G5}?bm86W8Xrwd0T}Tny#@(QjNSn$(uV-lF+Kcvov!Cl;Gy#+M5XxPc zcPF=J5hlV~>$-@?sWt0XSao>JZ6mlJMz3MR=^MrNiZ)Sw#;|$OoA?umzF`dYW*T#o4f0QldTtamoo7Lw4W9oC|c_=?u% zYPI6riuSEOEatan%i#V|a$xuvq2gZ`;CSTmTZVY;Vi1dXKu)Ks`mF*x?^4CdkcA}@N|U-| zQ&FegWe&qx7UDK_l0hv6jSv$RYB~$la(X@L-^uG*Z(#cuSUf&Ka$gbfEQ!c|ocO)X zU;dgd)~`QK+`E|A`Y4CRtw`*UHIDLg%;4!w3;R!E*gDhGV zR@cS2j5Mp_R7j!%iuTNQDvjC&15hiz>PD3%ZMRns97!ciV}afsq+=(M7gI1g#UN?f z7rThl$D-GYI+YYxjVnd!Xro$GUc52%VUKM_l6t8TQPgcGjVV+Z>_2ut41JhlrniTq z1Y1I*K^l2HF)EmoZ^wsciZMwVG=3=h5l7dGKKxPaB96RK(#0JZW3L2M_VAJ|V6Vl4 zZ^eUe#e=CWpzb?pE5Q{>Zw{Uax)4Nb#FZ3iLO~Y3DEe!7NsihTNuiJNQrZOu2$A4| zCXPrVP}b1y&_%D|+gIYjM%E6J82s0k&WklaDehR;NZerZ3_=OSHWa{{YgThoejA ziIowIQ@S16v6gFN;#s`Ib8FJaQgHgw@afh2N^Z=JM;DT|JABW7o!=GEt5s81>#+|E z-A|2K-d~`XLqg(=o{>a+B-XWbCE?@vWKN8i)QLgI)dDh7&~&87Wqt)|D>9pR$uE$;PM;@i5veb>tC4LV)P!8+V>+I&+x74L2;NS}%MTCrYcJ6C zRhD{=6X4e;ctCr%DX zr4NT3r0(PJmd}6b{YzcwU3ggKUt9kG&+bMSB=Rc_vx^G*;Pu$QBr3`eLUgIrwMg)G zW%9^_w5<6WEr+?^<};s@$@i7N{(n&$kpBQrPvX7a-_(R$o}^NQa}$-#ZH3KV=H}aB zPI82kiz-D{rrkZotgSuDcXx!i7p@tJEOo;F05e~Z-h~+YGj-IT{R$N0Z;4Z7{#cZy zR!*eKQPiyLHp^c@ZXqtXTTM$?wIm|Uz2V;`uvK^ zG*vJAe~f~(a}k4+Ngg6zrQxaVAJkFchLOz5lDoxfElzQ_Qpd2KOG+$xYplzDN>9_5 zpzlvuY$l|xjd54jr+=}>G z=Ht-T+KFXaGc}~D9gSh?fSPLQ<9{vuo&3Ccyf@;j{&XoqQeh37kX=YGP0EhuxUGwtVWo@p!C|(BrL`5~EXZv}qL&gPtveMV zo)_ecy2&yxFQ!JPd_Az_d&>>~ZoI6qVj?{{YzW8hCne>v#KqQWnjr zNSn6DI6XBMf-i=zQ?X@+hPdfs+@dY1-1=u_vnbtN4@Y!Vrk~oI))wAH z=e`?~l8ju6Fv%5j#px(VvM8dGx2T@>B;G5{+ZVXR?}ATqT}@?5@!b)g(8r9{{VGRpo)snNRzwNz8u`y z;`(Cu78h5sz_&Cu82S@_nA9lB(#zDEvn8pJ!{2# z{=|1YMgIVbpS%4}4Hi~=Bs-kp+(&WX`VQVkTu#NcHp4=mw}(=kno3;?iu&~!$jdjP zLU~?UA4jonm@k$80Pg*W{Yb5^iJR_tUCQ!9cr)C;QF(ioHq#ZgvDXi!+IIJKan?U-Z1ZRCgEOW*cIKfH-0{-Rgv zQuM2n-%;y_BJyv)lVP0dav>bybCN3llANC13Y(1I4Pf&`(yVjDk4A7S--TghTHe@B1t(Yw+dU_aUrF4?(;v zbLG^1hH1gvz8m6&gmju@Rhx-Xwv!l-Y$P;BrPZV~)3d{YGURTHW{Qx}wMYE0mb4VQ zKiB^Ng*}OkXEqSNN;+bW$9!ZzuQyR^Np>_j7Ax##9sxMliSP9@V7YJLM~j~W&IJ6528qD?k_tgml>>{0u?y#(zmmit#F{#>ZEC4&|mVa>~11TopXHW;wm z6@+!VMJH**o{}EI@8x45Cl)59tVP_T^^r~r=&KJyXqs*f6kn;^okr~>LEZ{o3CBWv z=su>!tzzeFZhK(+9r52%*jqsx3kq%KNLo9Um#F0D6@`f*qAlEvl>N$5w60Q|SyimJ zT;WekcF?MurtQJuXPZu+#r_iSJLor$!k4=Pk7jv9_@a#RXwXs3HG$Vp9QmnVmuqxN#%BqXX+ zQYymCYT7(`Im2{whS+pH{Mu|G?|dh}6M@2{{RtA zcUF6qmP>eqz0V6*EUm*Q9LSQ>3tZP*jN5YfZXtBTi=3R7MwTzr%TZa9`>3Jof<=Uc zTT`)NqR42}U0G|K*qn35!j{!PGB%RR%5Bl9@Fo0@4^!es&w{l#x*Fk@M%Z)au!XC` zxxvlN@OV}F*+09AIT0AQu;9|WEL)Poi+UtDG}2;4wJbG>HKO} zj_C09?`gzMRgQ$=VXj!uVXbu~Y!e;$Hp5Hnhc^=y@Ywdkn$1x}o4lJP8>Oj|pDfQ5 z{Rnj@)ZC*9$^xRJ^)W`AbTc=xC`#GOTXMq~my%IZj#g9k`e(W+&d)50$;yOdJ*uh_n4tdp50cTY0E`M;0fQFHqVNZQ%M6-pKBI`7CZS(x*K1{{WgJDAZZvRi@J}Nx>$hwu2WsEhjxL|tQrL`? zmC+eaKT8#N_|?nNlIVOWzotjQmj}VA(D)U49|osEQK``Q6*?aRq42V+wy@n?(fpqd zXjiE_t8zUVCucq3i=g#)kt^~_H&&4u%fmgrCBGFiGBqA+Xl9b# z9}x;`sh_!+zf&=oxgVrk3*^vOVkJ$~mtprCi?xgChqfB|c@@{G7w9`JhTGh0L7`{x z&hcK7GKE#10+U)ZxvJj{4@qQbSkTiO;i$aSq@u?zgOlnuUd-gpsiskN6TGy;k!@@& zXnSGU%1LXfex_MMe-e-X07_mW6?A$$6J~LurMj1}Z(sZnd9FPBJ=sf+c!Z2!anG7$g*0RjaB1OWsB0s{d7000010udnt5E3y#1W{oVA~JCx zBQP^U6oE8SB$1&*vH#it2mt~C0Y3pMJoe2(Qn;|4XxQ+gl~|z-k){iKiNlDV&c~+* zvm~5%MQSU44=xT4MUu&W{{Y@gCPofcMwrD^ySqI`hc_ig#L{&&##^J&)lW>r1TikHw1x?QCpYE$|+o*SBAbf zA=K)-L@~eW%sg1mki{ntPK`atW5-P-Vqr(+hE5f_5n7e*Czi--xnId`ow8Xk$GLpC zDtJePRH@{aHT2=Cn2x_ERznL{xGgSZS-6SlGB~!Qq9yv0(ZPv24o?z#OgJdmUJnI|+RRNNwcNWW7|DB#EJ<|usbXw9 zLtYuxLNZGhJfFvi;K;h%`!;2^7lUoj1u0`}ycN&rUz*SBC|lfON&I8E@bTrhawkM4RAS96m+V@lZ$?FXT!-$KlM&R$Yjj~k^=8C(9|U`| z$|F}5LffpJwiO~JwN7Y!w;;7a;j>~<)wtCi7?1Q=>@2>@BW$aaALI4-O4cUgtcWTq zvQoXwPj!qq(H9lUi-bBhQp0T?MYVEOr3CpzWNJ~Crenw5sd6R5CkwV4*#L@&W* z9kXh`v9Y_k8@DIG*10H?bl97qmZ{WxT+~-K&Z7OQ(N&PHioQ%e+{u`-FycnyRNRT}<0pF?lt#t!wQ@@J zVrbOxo^I`V|+nw&h#4U)Zu@Ry>zd6TQng(GhPG2K%F_RFao-4qw%n zIIcgZiOD!=9bhKMVR*}f!CEVe^5;2DsUr{K*^206y2^$cdQ&2Dh>bUMlbSN8C3!YQ zmCB{Ct3hluny~0r^ds29mW*mkW_37LaWG^;lUi9B#!*m_iHA>XDW&N=lw~NoF>mfy} zv4-pJL{PY(op%(T6sf@|SKSfAu?1Ait;aR1K&wG7!qmxs3u7O^#=ML*VJZsl=Jr0$ z4+Fi2UJdC{tI$iZm8vQtw6TVj+qsBGSbPY zwW$qKR5#p|X!QxcM9PVa&Rz)r0LE)8dT7L6@*E4}b7Sc8QE4f`$>8Cll`QOe7TV43 zZp&1vp#C&w%T01mxTxNiFLnj^B((JncOuHzbQFpy*`dYZ$u0*5)wwCoNxHPka*EWb zisb(Q;^iOWt0cYkWLF~GjVMuzwpYG;4Er{@r)EVe4uY+PPEqk??NYWHBfZQE$-}wT z#itpOX^K^l(`7jmxr?e$sv#zo1eH-JrE*Yvn_O|N#V+NK8Bu?Wt18nD#cLuxg|Mh4 z+(M@1&CTtS+W95Hu;~tR(R0n&_DqCc@t1Vz1!Oh4@b zGPT@JiiGg*V`5NJxy=~|e3$SwlwF!7O=M9U(TQ>{*;c|hxQA6r3WRUCx4BoeiZ6;O zQ=17|gA-2Z;)tSZjJbMH#rH-sFf8=;5*-R0)PV_Ca(G;#G-I%?$-z6LVsTPLW$qM_ zhkPfJty)GRYGxT8;(2pYtok>p$kzogR9PHY`p&f6txIf=C(*U?k8ytvp2PO_5?#fy zY9pMH7jiPL#+puqwOhlbVPg*Unm&rn9-3JVRktdQL`e)9eWM;61HyWlxc{}CUouUp*2ag zVp{0xd>C%Zq-nsiw&=d1sA|ID+UCbOF2rAQP*YVURbg&&V?8i)DnimQC8BAaN~SG)lBSen{AgfHhC=Htt~!-1 zQJ2`QPRxCTT_bgW!BAH(K~r(pff1^sXIQHVm^X?R=y_~;5e7w~P>j_HLq=U)@bsea zew>9Sti9i(8Uj(s*?En32?CVQ|RmPtlfC*M#D9uk|!OPOA;1*J8Rc z3^pfX`;-^q$;L}@R;J_JPN?5;(_wBq7w06aVve*cSfi(^Z68@}ny`qnG84VY6T@Vo z!RaL<$%O4fU#E*>s*P!ixjLvkJX#$*>l+cd!Q(D7`pNerm1vGdRPuY4tqS|8mfN4~ zPUL9lax6u*Hr(RnM5u66kzJd+WlPxDxlTlRMH_V*M0TLJ zBk?2u03u{vhg!4FOYzuDQYu$G85q#g>WmlbVY~?)XHV)u$CP1B;mE_15Uf~6`(%x- zJ(06M&+I*j?Uyzc@F1@6Ez9be5zF*NIEi_){!@dDwyzC zxPRnB{he+GiF&a*d{s&^F4aVG&j#DuzhO(qT{ zRmCm@&jejj6zh{;h3G7rACkuB-cHeMilU){rlj2q?#(NaWsQp%PJ`+@4s(^tRePC- zIH#pCO4SsvaXN{cM=zlflTN5}q*V+^Q{NI4>TvxTf1|7Ey+x;#VaiZ+W*q7#L2QMR zL$fz8$w3_CvsrzUr4r4Q&#hF&t36ye{F(klov_jA^%$NEKAY2en>AX7p-73o`Bvg( zNK5*$RXr?e;mg#CjRlW~>%)FdyQjCU0|ynx#2RRepq)ywUn@}XQ1XgjKMcN3*J7Q1 zB_!qfQ0uT3GK(YAV8o14bc!lY(QMV&sS+;K5x?OU$jM9E&yMCCo&@zrW2(SW{ainb zI=-9Ieylbyad;yxNR<{=rd(8wj&fU@2-x0L$xBNV8;+uxSgu`1#6&AkX?{#ry*4H$ z%sbqTC@Tou;HgqBf@)FIGVzzEBL@;R^szQ)QGunnPh+U*<-aPRLS|mdAr zG)U1SLn9AzF+Y(Krm|1*Zskh|>OMIvT?^Vd8|)|vTwYCu9uSYLsC}E*`xVIBVKF_Z zRyeCn_a3Y_qY)CrTg1AtQEK4TBUH^yQjx5zinX~^-CynXE|DuL5rcb?!&SMW))aU` zhbJ~Ez>}hE=PpmYzO(WsMc7K#BP8Ra5S|R+wZiChdzwq4^s_(m687SM@fGWMlK%kE zrPhDhf9Q{`{onrp3+!*W{1l+zqt12XDt;L0I#h8Z9g-+f@Fddd;Tje#Ep&Bit>oISFokPyb;8dZx=&cX1`W79v4q9 zi=qB3xRM`BihqlV$>~BrDGA|6;;L42vmqK5r3bMrNxL>QpSaMbu6i&}-6K>Z@QCF( zZaCaZj>eNs{{Rcr`Xx=W`}{ck5!swnd}PEc3BAg)qBzQkdl76+(2HV|9hlqFYI;WU zmgtsTp>A=KxX6;rga5<;OAr7800jaB1Oo&J0|x^F1_J>C00R*cAp|iKB0*6FGGTEe zLV*-gk)Z|`P&XeO;qqT24%$$%yT(}3xuJ^O zMX<~ml`FMk^E699D|5Xdoo9~tbb)PcZDQ6MzC0%9X)>Lm%FOWz=z^TGC_gw0r||C- zW=6HVq8eBDHn_64HQI#{p9I!`Hm0Rvukil>6PEjOl_EJ4#7xk>Mwdwc04d=!CeUvB zupk*fqu?)E>Pf6vo(C-}{{YI+F7QcK_7hqZYWxyv=OrgV`$B8F0yxGPMB5osM@68@DbfI>BJ)v4vvnO)#~Byvf|w07fJh`_NTcu3ub7Gtq`O zgUoU36cY%pcZMqFmB}U)iG+`M0Fyzr3@r;B!O5Z6Rs~psU>l7(n#NhF(=bx4%hs^m z&1bw&vrud+1YOHs@OjUsV=UPi%rLpRy<*#&@rD-~^vn@bO?$_f)2?F-e;5^WUYUVX z-;54p>zJj@jMlMRnx658BkLJ0_{A<^Pgr%ig&VvO3uD$dZ^jQZelg7Lj+KM)9RC2# z6u9TsJm>L>+~4&=(6&x<6}_wP0<3fE7-RUwE6^g#Wx+qS@Shnj9qacjf`E;;K3wN3_zfuCum{TDeKld#RtmD^t9I`UvvksC2~BG^4k&b*L+JA8VzYE+Q12%&qF%JfW724(ar`I5 zrkir7Pdtd>PSm!lS5(y{e>j&b#x%2ZQ#NVRjmsQg5Ahu|;LH0paZ&V&-CmRsdBGsk zkO6v@Jg`imbFtnoG%R6U_MuqhVhcr1S87LbudEAeO4?}yJ1!$M z=Z)ZiFmVEzA}YVAe(y*mZ(2cUxZqPTF^I=VuicCq#Y89_Ac{c@w2x@--W{0XmIqFz zk%;=ozgW#<=^me}8^sT3uKtmjpcR9TzpmR!#_*^2gb=+=A*Jt}G+a=V4-lJloivs~E?ub2uMZ=A1tm+_$ibSnc$TX`k_mOVQ1C zJr^)IehaIi`I%|_Vw2@2mzL@@%9y%Vrc-(_A_}INW}W^U!wH@rsTTREESMpZbhFeD zL`-)E<7o zqzU>xMCnCMR&JflEUy^<06!zk#o54wnV{7zNavL1jt{g7a~k3ooM6_FKq_;C0*t4v z35=0S?^vPwMoGMy&_XE1a}2mA=7v#NQ%v`YQ`m^9=#cLIu$fWJ3b zP;u=8dJIKQec;eTROT!4z;TKkKqUPm7(HOUHr@&RAR1<{dV?9paRhv^ygkDN;6bLt z(ho@fab2ijKoO3;VHfwH6NbBJ^)XuEaUaGA+6w*h9=_{WH-&WlAi;7f1c zf1B7tO?8%)Gzlqaq9~)9u&S!e#Ly~=n3XnWm;5hA`TIt{mZQ;kg>MpRo-wLi{7zYa zggm_llS`sS@}!AiLlv0KNV;mXnSvJZ%&(01f)2$b8EO zS>fl6BX&{dSXp_s6@d>AIXoGFL3sohvY(B_qkyJ7>^b)+^5^Z>?1RQkcT zhM11Pn745Upb#^HD;drphZG8VOjjQ8C;>5EgLuU@j%K^kDQyo*!+S*>3WyC}l!`$@ zca8kLqZK{ln;oEb0tn4h&4{Y4VO$#^3g>ehd&b+Gh_A{70HYM%JD*s<-zJc?`Ck#5 zQm|XMEMRUa1WXmCZV(RRyjK_y2Y#_P#JokYi%Bx8%`y83pRLwUV3!f3(uU=huyYF9 z*~d+!2D2GfuHK3x{3F36{{Vz|Vf~^@Z;e0JY|g&hK-yxSkj7YG9PJXd6QrLC@YWao zvsi>&KXi4A^xAaR>%br9^=cWb>Dn`LuAI<%!(4gX+?6m>?}L~VDO%7lFld%=nuUnO zT!N|vB4x_fk;$iDdsD3M*y2r%JTi_GWnT) zT8MEV6a$okB3O1(<*HSTOeU1A7up_9x5H%pq0<_qOGq%&{1;Kj6ue29%HK#bJXS`f z9$o^?Qx?n?H>?@jN{}+k2kg@pPN7n>H1kdxCQi~P2URRqbb|{&O3bY-zAl}-tRQL< zbu}AK(p{84(iD~pE}6LI8iat{yFqWFK`t3pc~{@O2ML>~34=7$w~&Yjhn|_*2N2}6 z3Rb&gakTGFExfzUyy<=97Y(l_6vA5Gwjd2s~OJ%lTYf<99pT*2&^3w|$uO~GG1NlV^5Hr##Sg&X_TGtGJQ2zi_6MyJGlN@$ZKO(@LIUqR~=XU&_#(n`jUNp=)}Sl$H5f{D}CF-}&rtOb?5Yk{;b zlfv{0cZk=Ha z!tIYK5ijr45YpQ=oQR?!bZlT!ICSZN1X57S;0>UOibcmXF-lq&3LCUx?p@=S@xvJq zyeg(?nC7zL-Qwy$F~Fo1K4ulSv=?)3N0(6NXO+bc&6;QYhFbykeJiK9C3| z(FX;pb`TrCLkAn@FipazFfH%R9OAj!0ItR@p~PFc^ntwuEhq#Uei1u-ykeHd=PLs- zb!%R+gVrhdMGoB~u$nAAT=b#szxDFL&df+gL|SkwX1 zE>^fRRk0US4f746Z!_Gf*Jx4~EGWQtiD6rqQ!uk7fK7RtkV;hv`Fj{j=3@*{ML=Q5 zTCfG}oy(o!l3^mPXrwZy;!f~%`mHveYD_%IF>4d`J_|eiJ5o<3oOvt!p=J1nj$>)G z^9f1v1yrAST~3<1U396OwjJVTk5MjGlk|^9yN~ygQN+{gH1js-VnNlv*(R{Eb>3T{ zCd!zTQe)wzwL}1V!RJ4Z~2sRI#G z1;E@Cc7yx)U|?r)TVrmRFu#AhM<-fb4$#%9qzZ}_&S0RVsA{}jE&Anpk+@pT* zCJ}AI9ZfNj6$A>7v0Jx`MR0Kky&#S1xDj8hU6a!gdiuq<5LC8l(mR#hv{So9CL@ozJ8RdSPnGUeo|~fb$Q;J` zQA*m?6pNpx1O_za^V!}Vn-a?h-UgppDoo{>RdC1%ht>Ek-WROC;t=vfPno1?nz;>h zuN2ZbA)&Nd^_QgmAkwES!}G-5CaPH$n^{iGAt(55vTxaoSA@+_JqU?9e;JkbX9qf& zc@UFylcY{bqt25nh)aI&FSHg4$!k`=u~q`^tqdj1P=RYv-YP{FVtJ|7JA>t+gvhQlxjz#^is z*AYT0!UFvQ#kQ68fL!@@p^EKq%M_(5H;!$iBbV7;&<}`!S;amuJ9O0Ut(DZMIODI0{OK)E*W}eYbC|ZJAkd76pKoIOq zT#G|>P2EIX0`i bg@t3J|6Q#;X}i$f6pm1D2wd?F+amQUL7)Z&P}JDHRzc0ZPXa z#^)lx#y@A5`8}Zt!Mgh!??Qztp~Y=06BcZRVX~p?3FF=dPMzh0Hgt(2%6t1m=`|@Y zP2<`Xw0{2p^@+MYWTGf+bYZ++gtV6OJS_hJ4c5(*P1H9j`a)@ZeN2g)eW7xv-?U1d zqn|2#fSGx1RfKf4?l%GtEDHRpvSJGJPZX7~E5|0ZoJqirgs_QC!i8frtgc)BRoGx0|BVq)X;I)|87VwV@%0Xug2TWZSBkx~}CL<~6J=<_*F@a9>ju66lP# z-ZPk%(+k{ZSC_B6HIKz8YiS)K>JQw9YqLz!FJ@wg_CyY7A3d*_#m6khQKev(9MdyM zGDSI!Fk_W%RXO#F>~KQEC>ED$t9nBy0fi|@V(uyjBJGGT@>_6#SC{=lDN;inz}hPO zwi{P5L6b3w<^FMN+S59kbbys(BsJ8FYCiI*g0>42=k z=0nWtMQS@k{*ZVKr;-wH6=h0JWMlsT`oqh%UYqoTL8PUU6 zGMCa0Kza-5))lk&Kt146?r7qzX);}{3gwE}Zc3P$g839Ncxwkz40I%%liC!HTJqZs zElnxrq*PRn@T1L0tBgH^GKq-PZQ%sxhQm{VSnzo3BU zn2x3^-)N}KL;$hBy&z_QbP(No2ye;;#MXw9kay@Hk^uYB=>Yzv1x-N)y&&fmtYfTt z9iv_1TCx^Sk4)N$g|@_amyheoGG^+_s*~nFDvwAr6t@wLlyEI0&_`A*a~glr?;q2H z;yQ%C!nAXf_9^$s`^Fi=QK^c+Q@ia*>s{iefC1&}NKoi%t;nG73s%hIQ*V|QQVrM@ zCnB9-7d8*dkh~&T&a2#G%U}&?ByM1|rKN-@&I}dEv{W_Nial1&i?NGkuRVN((&)Hy z&F)4axrG3r3*IZg4T3q3v#RZ6KYD92=LEG;XR# zNH`#L071O;;veuhu61kN}-PV!!w)nJY{j&wFxE_WuA$ zhSlj+WccohJ~Xbc6EySDkfT{K8a~~nQLI+X)s^Q@aUT+oF2A!o!EHI=zWg&1_*3RS zom-nrIf+sugR7hNEoZAq>l6Em~;{b0+?0;2%ctdxC`9*yr!~XzAv~NvMjZETCq4LRCO*WgC zPo-WO4_>G1093a@1IGMPPyWy0k94${rkaW4<@OtxS!r2zQ4NA72Oy;=w2P|G&47AC zHF=SFggVS#A3qLWcM-&!Wx=VZZqaLDM?J%&6_(p&ocTm>#f-kZ)G)H98r(Qwt|=TQ z4h!cHEtyLu0<;jyMfT$`85oID&CNl)CD|8M8~0ofCk)GBP)DqPk9ZB^K+`X^GiRangW`T1si*P1I)9tf zZFF-h0IkE+Y2T=cekb9jbkkgXYY&wE@Q!^sGSt;|QWp`z@d;vL?Zg8y$ME^Lfz8Tt zB3zAR$(qe1zFrjOH3QJZqo>p=PPW;)S*DC34Zx3<)`4vaZoZJC_b3uLK3<}q!U0og z{!kaTVz?W&M@VYraY(2Q%e7&y%Ws%D7LHp~!&4SFNLp2C>l8gZMR}X1AX1rHF^f;# zsE@smG)%CH_AxMh$A%zzdczBL?*!K0mm)LG&_gg@Vj|o2-D5FaZAhmxcXJi(iopEf z_M{PvZx!q#9e0GsSR?nK4*g*Ej~3NmQ!Jkh(5-xuQw0A2%+-d>XnZ3?ocfuc7Hu&u zQ1dbRMuWk8Dq2g^=wt)~t2fNY)WeRi<^bjo4fz;Qr>t=8_(d))cUVg{t+O)|ZsJR< z-bbcmg*LV!uN0A1hE-{1?piNF9P4|(FOrLh7K;!)C5vgEu_@IV%O5ODcQl&AhiGg! z_k|oX;4J_LV++L$%=2@Np@p>v68K-v95LjyDy#uPwOEGh2#YNGv5DcZylN>%=7JcO zDCA2%p0FCGl9xS8LMa4S=827Zy-ig}sb6RuOMxdf-JuBy!j!zl;dTg3;@G~{!RF4; z^Jo~-$yV){vR!K?nQs2DMJs8@q#mq!sS^+E%JeX`LQ$5c(H7m7JBSK-E3($G)`3Mi zpn@q;Z2%&bf;m>!pvKV2Yg$1!dc@5>wm_XOf5L4%%!)_&&x73l6*^|ike|5Fd~?B; z&k&Ne)@cUtLtb>w+%0CAx{vDvS)ygsX|)N+ALTIGjUa6%y17JJs~CT@BgsMKoViAU zCtQmneK}_+-UhG3=Q&h0N&0q#(fGoBs#lZk2hOk`L9CZLi_K}F9`L5-drnyI2|O$1 zf;K4@23&J8Q=x@vl$JrFfMR1%eae#-j&W1r69x3A*qeRkaS=4uZlzuU( z)oNLrSF3iN!g*wGSPR_3`2MR;{{Xvq@&-WShE|*9{CAE{R%NaB^bp_a-Ww{Tprkb3 zAOPVj23X~GrAf>MCiR!|Z&L|-4~W+D4xM6K&}C`Jn}H0=dn+g*e(hj0QnJ>cNLEER zs`A9Tfr4gRZssZj4qK^+zL)jk)&}akg1g1jZd{b3;1~sjyMmPjZSM>air`Bpyfy_1 z1*R+>Ck~2uh*mt^<|>c7?+j)T5P32Pfi$v7Efl(xVPW--UU2Xz*E{0Smk+2jFImQK`h7RtT!MEK4@KatU`J?RmsWRSF=3?>+R2chbg4~m48xE1iKeRrNQKxiKyqSXrJ{cM>e76s^0bp$!*Kbg6}GS561g(RD+69=R$71_ zvjI4RN-Y+Qs^*lag|Sem@|wmQX?+X>isTg#s1L*gn7}jw&&E33I>vrt1aUcv z;cZpST}*G048YNd$D~OvYjC#a>SN*%4QT?6?jtR*#aC#zb_29f=hiDsoRA~1>lGeV zg54>!H=Cbh76Z(&!&D?&UL;#mt}~b)gAoi@dQv5ZAoFB*hNo7fVmvqWPfpJ3FZ}-i ziKLvG{Db+xmJGrq)hjp69vh%^W1~r*Z1DyIX(^NV?e&C_CW$E}*(pH40s&_L+ih29 zy7Ccx$Tl&*C(R9v%s2$X6Jw40yE#+0O7$6#nwLe0oqYZS&df)5P{IJ+VNsk+Wa9#}ikTHs*KU{qj= ze@G5xTWrcVG27HbCgHHRZ3f5H~JY24b0N%Ax=gm|3BJ{hWe z5uE zV`1U2oSecAtHP!$e8yK;U$f7gvV&E$(@C+RbehMP;rfGe?5jK9Qk`i{$H$Iqs#YW zYmd!wiq~vr7}QwZ#$!w<55&bx1BU9ggK=7}@D0M!w4_jg8kM9r;E`Aq`o}=Dg1yC5 z2DN-Q}f zFeGsKeIkuhoYpJ^sSnHT4>G*$ej}>xA-OG3m#e}RXDZp-tV=aj;y00?gT!J0C$uXi z5e{7r^@)zHnaXJ`x>Kl&9j0*t1}vj!CSuj4xw4JCQf1!}g`g}gulqkZyP@j=xQ3t_ zAlQhi+Br&5jw>0q<`#+!(iT;PmC02ep7FvGzB5a?X&a=l=M@2E|lM;$ZD{0t!^@CbkO$Z_!g|~-_m5N*ivpBZXq*297)GGdYfh(T3TVSqCHKWm& zc&C*tpMIY^_KBJ!n{^j6Gp_NkCdtEAZxrl@iZEb zi7l6;f9bCjna@x1Q|2~X_iGC&gE%;|c)nLM@a;?c_K9;8B+Jf4b|3kRb<%iRmQRJM zmb7I)r>qmC4L(G+Bx>ZTKlKQ0FN{bMr+Ijk>mORGrqyi1tq|R-kyhB1USTe=*IpPv2236QR ztqCcZ3TB>=p>bS_Ky;yMP}gW@BYYSE2JLZJ1-zps3z{2Silze^0KX`Q9HzZUg2WxX zke~*p{P9W;q;4*b9&)~FMZK|D-ZEh<7&Qiw+BcvO0%p4&kwZ$J(MC6O04WD*gBsP5 zGTl#%OdcC1m^AtS04Oo&4NOk)BDOz_c(lo-sq!Z%i&vhwVOTR1Wb!RK!}8jC8rCd& zRkCAi?B*d`F;q4&Q9+8J$FNEVLk-&%?i={t+!D(rZ?vs*9-xf%j8h zyU6T0XmtoSBjta1)qah5^s*%0Wb=xs871E-ukYZ>FP|H_h zn2EZr68`{(Yi+q*6P26QV6LW2$I{(JnxL6&^@6UWPpLjwT~3x{=`Ag*E_{|EB20mT8h zFP5;T;tkZa!%!AAfk@_Hs9==<&_F1p-ggi|-tNDsQ@j(^TB1IX)FRW2MU_8O8Sl~*`ixRN zZjTD^8Ewho`ZKDWqwl}=5lCkFrkfT&MiT&khy9{wyD>2BF4R_S+8>zwFEPwusi3-m z?F_iIVU1yIRjX3nY3<1hwXtwN;sRYX(fT2(rxsK`yl%U5!|XDxvN3ton*q zwIW%6xy{W;-=I&zE|_jNQ!|jE7^s?M(P{-?u9$pT zFJ#8*KdfCQq;T$KCw#=GAm(M|PX7Ru3S2BVQ@^B3swLQY%bEz7^eQc^yIXh#a<3~U z{D_-WQWdT)HyDJOb1O_V<=FehhJ*#WrMizuKM=7X1s$MLio4BPVWMx!rf}iiEOL(G z1?8U6L0l8~Ly`)pP_3S^WVQ-vM+3AYW?h`QdhZrwd?D=wcF)!xV6@I(Dl-g4w}J5Q z7PSmqJ64U_C?%HXr*TMDdfuK>`9m$&ytX}h#OF%Rceaw$d20)>qj_1NaN3D1ZYh}m z02c7s0C=Q;ZW5RFpno`<;QH=g5YYqnIgB!WAvF?9Nz~~k!QzRcxeF}Nm|N*`@}e=%*VYc2evU?}Q{|=275t&6{*Rsj zlrLQ!+5Z5RCulrdM>Ud3wYc*6gc;r&HCAOVX-x}1M>=$dLzVek=@PVFFaASN#?vr) z3I0(oZk3XFnkmFqtw*FGOUDkXLF)oo6-wOXouLc5+SH^}F*hH0AhuZYQ06TY7!Yov z^?_Ex*0uG3eAwlO1xV>y#f(cCGYF{d28UyuZX>v*#uSh!dc|tKy?EWxT4i ztz)h-3{a2>7(TH_!}O#CJGVe!Q@sr$xC5;sq~*sXdzv&L>u@l{XEFLotgYy}=F-W} z%ACK-DeD?(Bjpzu{TGr>BzP2n%kH8L7@)WSA0j6q6;(Fla}+{_O={6r6epqT(MgVR zO4|x7=j)8y25wj6QBG>b8<=^859a{bc$BeE7v=6Cx_nf2#VHFdh~l=w*riI4_WQsm zZInYxmfb-mPSIePQgUqPHCW+{2Flt$oC%Xw_fWtdw#2ZxGrlHw+pKa@<1do1%XzqZ zz`pl;aJv@uiD*mE3kZFTaM{*SBGs5*a}vYYq@i9&D(05?vAkQu85GZGH72su@ctZC zo|6t46Zt|~FPIKhjVKRz_@8%i9zs%<;Ot^xqX4f!DN6qUXf*=v@Ff~vJ|M1YBI+|W zXifbhw)C|q&{W-Qw&Jn6s849+RUsDXDrtio7X?q_{Df377K_xW!S6^$vx8VUXq(1psb>mad7_5ZmIa)U6y=?*Tvk8zM}y04r6lIoS=Q z%Lvm7xj9m+hz!J9-FrehX4Sgo5JCHw1v|qs@-qMdBWMimOCtjHCzyk@PC&et-BSS# z8t&1|0lBGlNyqYxO$JcUFoIQayBK18t+3k>TGOOtY}Vp8_kq}qN31%GQ!!EiAkfk) z(!HPxWW>MqfVW4A>sZie^pmHHo3fJ{jYBofsGifBy~%;^So91QJQ7uFXN5?$w)q4x zEotIh)%>DiBq$Z9LkTXn+%6r7hxz835~Snl0GgGVEDT~9wo`(H#p*$lpr@0sc$vr< zSlf8$zetd>+1^yM%X=Er->gw9BAn-+bJ{K@r>#3eE2AiMKMBUv?-HK@I?^qdL_y{T z1FXuZuJ!w7<$R#}^f97bDM3v2hG<|3~Zxlz3!qfMg| zc~L8I1?4S32Vv#Ax7C*yc zdM`*<<))!6{2-0(!C}|hDvAw7kfr6ZL|mLu#LXtAN@{e|jzqzLr>sqsq|ES*TOJb~ zigx!9JWE6Y(dzyuFGCW%7g;!cn3MKnFzkCmDPhb-wKygNFvtaoEr4QLtvL2yI3`+= zZ#=5D$9Uk(OHVUOHKZU_B5bs^1DCy_@>PHty{8b#mk{3@pV{5c(Xmt9lk?dh4nd_w1ft@C$=t=Z2fijbP)ad}? z-`ynRyj;aOR@P8*dqWnu6!IHQBc9i;PiUqMYQhv(ndK3*OT+oCs9Z(o%syqt@F=Eb z?anK|tXp+xI>W1f)mRnfsPe_O;CZfsF2~InI1B~^4&yLCd1HKUXn;>qi1LEg)EJb; zl&&csq;u8tsM3ukY1Nva!T|Jw-Goa0>7UL#B`Nza4wEdN+=3aEc%X`e9b$iws}aMv zVx3^Mb6YHpKMke)N~NXx`b90P4TMYL3g(4t)+Wsk>K=(MNfirDwDpgKfk-_&#D}=Q z3zlm2p&duOGM19!Srx|T`BE!wR4t$=&U(PAm(3U|*AXo9+iwq+1qd`7!Qv#bOxlpQ z9%0RlVg<&$+?6?po8Vcpf$P9X%b|+hM7Y^ zqM!JOb_6kRo&x7I3R~7GRu;c0cDgO}AgS4-lro7~&N3s1GtDsMFO*kk4|5{vswv+Jf@AQfKX_8W2pxXL5hF?0j^o>{O&x(sCqZx9zy$mvQ zBgvU`wh*Fpz?z*iTQps{?+8|6QH3VAr=%+`RVC94Qwvin?iCoaWQY_X(LCG6s#^zj zIpy2h5@0|Dro7pShJwPw&yHA_{3ZCwY}J~loAJdWZok2w?3%`6{4@JD{*YyBw3C)s z$j+RMJq&zLfvN9EzELKbQidg=)IPIMFCS;sF*u15b^ieSRO8DtjpY9Tsx*3!4f`jE zYPE9A*vj=B6`8j;JD=>vLqq%lVf+f%dyfsSQ`VB2-2 zA$r&Fg?gS=2M|p?3=*`pFkmT8T0$zfmSD^zfNA!x6-1yts%ae9Zn%v@c1VzWFfrlt zWfi)2hRAyN>Fjuc=P;h5eg7qRL2_Td|wcXO5GdBaK+6uHTH?9n+6~BpVvr_q=2XPRGOwj9y@fsD<%e!x-L1dY1-!`I$^pUt z@pY=yIk?84T1es6?ZXI>r~-gmtx^8~bc%8{R20d?d9D`hPgpN#0@KS{#Z=5eFBWt$ zvNu-ttS{*{jzdb-NdExqEhCwFG;x306HkTV6Y^_8D2N&@Hf8h)qnZjdUm@wjAIjY5T z{{Vvl`dxI1I>}p26Xc40A@!f5x}UPl3T8Bk{{XZ49+AV>=_jPUmDwtd z>|^663H#C+rOft?K9TNsWvz^QM6Ex}(I82f^5)|z)QKp%_tr`@UIwq6Q{Q-%BgOPD zqj13SQSVB}8$qno>LpkG$)O(N8vS06Z-*`Cg+ExAY~`?1_B1h6s>`Mjk1>k&#tmr% zl0rK55Wpe6Spa}M(KhL1FGF@9`Bw8{2FQy1ygMj1f-7*gm8BpRrD>YQr;_7dUa&fy z#7pmZG2kgI%dxf7rzJau{_yX0;?Y-lmIR!VJyvzLk3{yt9n7RYpL%9a)N@5PLaKO)+%VPOC`Tt!NIk2v`c1In1A9L zR8}RPULAR^8^X(AM6y2i<|ay!GQq|aByHZ2%*Kk=^DkJK;$tzdGVBQUiZ|@jEIYt< z=4CerT8u=gp=xMly`7=ZTtf0XD)je)p!_RAmcZ1QEUf5U;JD3VSazshX^rg@E(MU~ zR-Cl%AXvOf&50@7>krE^w_3qy3=<`B`$oE~eV{5~%Tb2J4!MPTmZnbqf0P?i$&-eD z>2G*WbZKT?uFvLU+ORH50%FqD$Ek&7=0=zo;nts&O}wGz_+WH_EY+?VlTG2fsM6|W z*)mMeE_zVy6ZD`H;kv_of_AX<`bXL&kApjzX$QkK6K2*~zfLdd4hzaG!1{&z57LzCGy|!tnU)-Cz6+oYD`%($xQX3^@)@%C?wTxUa@gns+&OSS`&%D z6~fwW|TTZo<38 z_X8~Qvi5}}H&u!XkZ?_Q9iUtI0R~ac8jI2eUo2@;3~F!v^9eBHpR9h2C92Yc>Krfq zF*r*ACDj3TiJq(>40s53S4|0-q;zGiZ8-ihVy&C3wLyrqfxco;Czz#b!k>&8k5XN+ z&58)+4=e=*rL`hLf~di{Drr;g7bqj=%H|7!Loq7Bx<|^@0)_;!a}o1QHI5YKEcYLX z1bJ4Qa`cVA2<{=S`9}1DvplB?!>{y-Z7f`&?U+3ya%ga3v?jX?a~DiCDlbqLkPDea zIKVM)nX7vj>0Z%k7PGljBQ%Uu05gr@TAaE^i4)t~Gdzz^ok7%lzSk^G#1`8=y zDAnMzQrMSpCRm$N)UN$~p_sESDM@Do=@UL;W!rM4u$N0!=lGJ-KGlm9@`G(|gqwHl z%|)gd%QtY>deLAIDDA)XgUBtqp$#eSA+MGaWSgfXvfV4!yc?x31&0n_5A%!a8e+c^ zzkB*X02-54Ca3d?KQZ$WPc%`jvf$Q`c0D1B7MLx;AX4w72Dh9gYfP`SE=zF~CG>^; zr4&_Y*vEMIjyOAtF30*rrnECy{2M`_UsJSCGUai6M?`~Bqj^&EPN1IAKf~t_^E!Xo z-Ml2#s=SH0jUKO0HqkOjYqvG+3Tm?%Ue+8X{*YGQ8?-8N@XV3GQ( zFU&DWVLc4Fgx2E|Cd?`UI6LAMWHu00p?|~m?j&sbd5v=Z1_Zwv(lnXaA8OMzSL!zq zCup@2wKr}gy-(I0FDk2NF>_qpK45|Y7`>{u6O$CjJiDA%tP8@FPc7Tl7P$j8?+WhX z%f$&4kI{A^%(m5PB;1CFVG~gwh>Fx%l-?ixVid=Ycr!o5(rVXREPmq(AIw0ND^E1a zm>G_sj#vlwXoD)ln4PxhBF-6^UZpu;q_uje00kMOO)dzez=~NvpIDZz74vs8L0hS6 z^!1J!)vY-o{{R@x2@F#()#k3*-UAxfq*Q|7wE$IuSmu?aBQhL$E+_VUqU zUlL@sxS4r7pGY?l5LV(>uJMy{y3#{mzgU|wky7b|UOJAD>3iDMbuF4eN$!<@iGF)qlhFzgb+Tvr-RfVhl;$qA# zR@%FR=?g*{s#z584QW&JIw!go|JY9$$8fMy#*Gm+y z(h4}2F>d0EPF1~qVH#}CS&4WyQieEWjOXhTpWwW!Sud6?qWgQqqzVes;>NI`IK~gO zTH62xB49MP{o31){KcS^s?zbi6t59lT`dPcj0D9thLozW$%5k%cDqF`Ua^1uPMBE1 zznp!iO3C6H88Z#SNIXyaf21a!*OXA!U?cTW;JS~8E_N!i~jddGS-e4iOXGj9oX_sAIQM_KCxxbVa=u0n@`ic zC{h6ixy(qIEwpm6>kD@+JMSFFtw4aK2us%1jSi+=%l2Ot=sG%)3diOfMA7w+XqBRO zrk}eZfd?)>lqDuZ*$!hQpvk+F=)h^D}fp+%N;$RA= z0^30yBC{S{rR|U%Mh~afI7A*X4QXT6B{MKHKW8x|wME-v1hQ_$Ggu$~-NNJ7+(WH$ zQlK~TiG0YdP$tZ_p}hxaA?-Q3%GCb=XcHTeP@79Jpgz$28ESd9n!BIr66NK2ZfdM6 zN~-kH$vR@&P$}i@3w)(5X-6iz zdUu7jm8GFjrA;BF%9wCN)PO;YWJxIV7tH5l?HbJjN+VgNU$kk30i%JprDId!v&AQA z$+QueN%GB;H1jVJrJR5&&jF0X-V%tH+e}NQ(CBe3n`Lh_5R_b7%hHfJvPW1{dzrCy zf~G2JeEp38C4cK09i3>TPX4sf%OK?jbx&Pc4>XXN1RR zSVlN3Doia~uF)tctz2?_W5w`q)4aV_4`%-GlQZuV7rMhNX*JRqtnDtLC(9u92C?CO zDntgVabzwqMjZFR-f-fT7$|&9?>A+x$jY-pJZapdM1ykZY<5`Vg#ue_uE);cy za%N1rWqF3*+AlREZAiA-;@(k@tXe$4MPk~sW6ujigf(kng|t-pO79mcE2X<+K@P3v z0T$iN5Q5pP#g^i80fJCoaI3$pSU+dxKK}sH1x*xr#k+`dlJBBnSkkZ&d1cv}8i*Uc z8l0=r4N8mFlneY~T2uBrfaCKXH**u|uBS0IQ{x*y6IH!ZU-Ep;*c z;^DfeGT8TvKo*p@D~O!yE@LFFTnydTkgl$1GODM{4{;LZp`|j)TA_qAsb=083P2E{ z0|{u?GBWw1V$H7#oj0segv{*BYxVSjX&k_*u*wYa! zqV5*O<&8yHv70Oza@Pa5;}0p7t`dURiC_CMG*>KmW?>|#9-V6kT_RnYPZRj+0l;sV zL7KZhVt0kJ(4$_3kIU93D!&#`Q7&Ly5%q)@)|gtJYC%<{U3qR^STYD7@fMIAkJ+Kk zBI9TwYOUG<7M5kBH*p6P`P#i8hTCO>K~qXSP9obTH)N(`p>2mQ#yf?}P;Oug?msWQ z4qK|gFf_zJ=?{cTzIu=I+GJd}?+7Y+SYd$?P09UK9WfUgP-Y7>P;4TODzWe;62En# zlak+9W{NY9H-JG2g;Lbx%o{ZX_Bn`;Ta|t zAN0hPD_28Om(=^g976PSTK@nDple7O!~=7J4#BBdG&^JK019QT%mB5Rz*Cs-767O^ z0R-Rh%90c;D8Bj+iIL41Hv^7XV5x1tGY$QsXWJTCn$O6(ae%!m0_H;1=R0BvX7ZdW zEk9{vOS^8+tW`r2Z=vWSVUE8sT*^&aSzUpr z=L>4962Ok`-C&fZ=Bsx3f-O~RZfR+?Vp^#-%RFYIWS{8_stD!6hU*gEWy)wUnX5%z z*z?>E@{S`TFr~}S3PZp6=!fVEzKddnjihM#2i%4 zK}BQx%lzTL#59jLN{wpkbfC1q?FNS@Xa*KvQ@mI8PEIyrK4qJiyY5fp;dkhr46bqn^k_Z&)m5P$F3L zIIpj)0;c0FX=3jH6}Okj#-ulAhtznd9`m{?mmsJPj_zL2vL%b3wyK3D}- zV>95WADm1VgE^@&YNNbbWjRIM%cevTZ^W1T5s}gn>eZHQbY!PUNmFGoGg$cn&r5r%QUoqey}aIh|JKYn1*RpEb10mrWJbT8E#M>hLof@K&U@xwy*JkCb)G`SFcw7 zkOJChIpSxZFPam-q)rQ2i~v)1^zKX)0nNmREcFU${{VYLnR6#wG_V(`-_ihm$Hl6# z-|H4GO?k@n_kf_SPG~yCZZ1K>_lm5&{v`u^(d1+msi_nuCKWE~tZfHn^bjfY3fo9o zgyl9j;tb6>Rc72wxr8cCwSzD;?;2;ELrc4m0sLU|SP#Tn5F+Bv+t!XD#Qo zXj4HqJf^T_5`SkxVr-`Pw9P933f+V)=>)pa&B0-qnR6`pG_>jM4UbUm;s~{rtvu0QWers$ z)*JGi-g}Y z+Um<%0opDDl2owrs0gX`g_^~JysCu$Uhv5GyrzD8>b}&ZG^v|Q6=3K>u<1hsh77hd z2N;1?#4?G#V}4W`2w6P$A*(wnr{@k#u`Fz~YT_u)id8Dq9$^Ga_sI=0MD#aGe0Q80{aS4pY=mp!VvgE=| zQ*6#6w54>~xP=yQ%B9SzK4?X2P|X$Lw(aQ?9pt)Ft14~5O8q0ke@GMx>Lq=bR9Ek= z-;^xMZX$MywBs$w?jln0C=gu2o5D~Oyk*h0h9S)t;jT7`(wGm1^i$jz7Mf7xDS<#L zTL4lK8d)Y_+zwG3%ng3*2CLOiC{qTs6cEZcVU``>O3(b*se%x0IzrNx>|m}<2+bf{ zEU?W*X#|b#rUW$7Cg55M{{T1>1p%5lxpyA0?>|D4XH?dHkXZi!lr--O)h?|8HIb-W zAMx5add(K6Oiz`jOXWVt^;QC|UCpW^iQ;QBL8$Y{<4d6YV}~*2y00rv)3->v#SKq9 zf&4@_0g`x+4G6PQxW5)yJg`Sj@#3BpH#~hkB;#lKPvaV$B=?v+OGh(-_IDMfxIWPG z*m+G1NiXCrWY1_0qO#@=B)0U48nq{a=ZC7651AuRsFN_ykvdq^DUwIHuYS=w$wN-e z)R*>-v8B_?7}@iIkIpTdZT9m~O|TRJ%C(}y3mkOfSN`c5T+CLTI+p}fOnlJwmN{34 zC98W>?hn=^ywIX#p+&b~A)2MQPE{}{N1={u5kqv}`$FN`Ze|Cmr{e>bYc$c$vZivc zu3(@5Tajt&{Gh)Rj7-wCMESOhrgfrcsq}_hnMHpH;igy1GK@ogVZ%$piYS)g)gsw# zFpd|)YyMwD-W6BkSVGjbbL#;OoI22y8^zN8E0-eYpdtjadCamJ{0w)Aufcn!C~6~J zT6T%Dfd`)!i*kIz0tGqpfwl3&4Mwenk!$||h)Jz;STLwgVE+KRWjGTy`ojXO((R_$ z#9NXJUZspI!2GwaH6@H@YSx^`axihtUf_X!yM4>2uftV7TVGQoYXTG+9)(1SRWc(+n&%BV68_s zF|=E9mI9gS1l+WjMsP-9u2O2$=}LF3RaX~KupQmIMx`I@i_%57cd)}dqUF()&)fc-!|}uV7k1ole{oAxBb}y2*Fxn z75UoLqURsp0@_y>Pys^(k3F?zq;k|TH%v--{U9ZwCCWIXK$sko2(-*>Lry_evWnD& zDNH~b3F~e1 zI#L3>sZ%W!0(`o|YCJY;Xy%WQoFA-HQKy4vJGovE z&1oEsD7?hFRI+z5r%q*<-1aV3zP)J^mPDqLBIO~q2m;cXun%7Fe%Cd1AMm+p`9&m^ zG;UrY$K?T!);`euj>|$X#Cj9)(x#PWm}0RWZ9LwwIC>$ zI&hrAU@Exv-T;~aDMC`UH9pam=5At_U{kaitbR0`!jSyg?-H*jOT4aWMYqIG;uI3X zlo;#Yonl>XEL>g8R-aCT(hP})=BJoqu%ez^vmKzBPC+3^#y89o^1%#A;)SLLsF` zM5&r-r|$|HMz==8nognR5$tIS-*xIEO6tL7w6r7MB-Ky`^E1u<5i(+2^Bh}iu>yh^ zN*u}tXI2Ha6(YH6MF_XJFIWpi_*p`JSe~-RSN4R|RK&LuZ)4_r@Ue9R5JeZLi;W$? z`>;a3Mr@h2Atf87fYnhhw4@73C+^!=nii_VW@O$f>%?kcCs?e&O7$LSRkT?BNsDEh zSemOpl$e%j&q|V_i&&{E%C!W;%O4W86FP|D%L=xRAT%3wha}rka+`1kOf@urGULO`+LhWzT z0c_56xj|lLr=$jyxS2NB49BESb~6jKkgo=5|vk*<*X#Pb!nzuZARr|)+H*GGkQpmh z8HLSK>!q+25Lg!briYcF%W7KK0K!YBExur2DmV{Ip1omNxO^}?*~hdon!>@>xTFg> zk9zW|Y$1mYQ>*jzgTmA-yO!QbU!Hozz94y=FjXd&t$ULOl;M8WZ8WTWF`P663|ECt zAtMl!3(EI=Mg9?r0b1fNU~0!T17R9_TuYE?$R z!UySpg-QPaH=~pWvQ3%c{qJ!Swc1;EA>aQ1ld*}KdCP0dY9Dyu>MGhv%}I+N;~o@5 z-89~3ROt&kOB8f9sDzYwQuV<;BRTWLvp!=^P1aee1U}ts5`hL%B9ufFvmJdPCD>s^ zVbJ=*@c3XcH*_S$R;mrWp)*tK6H!${-AdGCm=f-3Ybg0rW<)g0O98hJdO)>CzZZs*AXbG3YD)sGMgpR$`Z^`APyvBZ)#s5UDRs#v_E4Y!{eG>C}2exmF~ge2Fa9*jYeYs4Fnil`yM&$r8b1 zDq4)fyrnZZrj^-6B3tmxzHoV(gbuJ72X!?xwPCF*%vRR!B6UK7-?Lie5xr>waFz}% zEyTyrL)@XPYo*}AOi5D7Ch%9ILWh{*VQcV(zL@C%Q7EZWYqS$EQpc)t0K=~Tg^FSOlu=6cz48d(9bsx?()-8)NT)Ba5r_1`Zf}|=;twd?) zFcUdDe^^mNXAXvCM)AR_mEqh8+<#a}p{9{D4uJC30ILx?ysZ0sLQ5zzpS1&KgYme+ zl$-be01xK{l|wQ&D2^i3aRts8!%@Pkc>@0c-XI2>X(HYtECg3J%E74__lKeSKvqmJ zH8qM3U=1-$2(YnMepO{yl#@Z2spJn67D5myflg5umRrE#yL1o=n|R!+)yajviml~S z<|Zaw)n+(QHUb!NQf16-sObfGgb~QqZm`lSoT?kKk3W&C!$?X73$_5vN+x$WC00Yz z6@-@IjKy^p+l}B7DnnASa@#PSgYvSKgATM97-;p+`LQcZ8szY&{w zRQ~{S=WzmdmTbCeCGq65eBPe$(&iyahMz^u)2E!4mqN-5324ZB3Sd}-+N#}cC6Ve0|fSXOaqmxJvOUJT1kieRSX_S!r(EWsfndorhmFwH3r|V z@%C;*_H_kEU;C_fDu)}cePI@y?r-vR?O49&m{8j&2K>&@%`#y>Jj;eE2S}2ue9k1Z z&0baa2dq!6><^w>N6J<6E>PT%HiWW?FpKp%U_Uy<)Rqqx0Pgx2$j6&K z z*0!j^pGZ-|nJmvYHx>D!a28!{Qe$oEV7C-9%mQMl0vc+WjCf>Pao4m=5;$ni!hE%6 zY9955Npk{BX1Ds%u(cbDW*MGsqYzjnU-orw!W?1jn$FBIZDMSq9!T=vU*Q367}%ZR z$oD;CP{C}jl2NDA^-4-qarPoK^tn`EkRq7x2KU8!ViSjTw_YfE{{To%R1R@U#|d49 zm~7?^vfAT`(?Fo7O4cs8F&VZA6f(+!qi7VB!c5w%X}mDvHG!#|QV9P5nz3}Sq3da8 z5Vvzow_;$eC*`^|vpM;QGp9E-eW1&h!7Z74&~Fb}Am3>$#AHVR&pswOz>|NJZ}WnO)sSJ21?WG(8t;+?Wb{FKAENSnqIP1KoU+zIXd z;huE%WUI|*n%jS96J<+T(&nvYvakTGN_B1wm0lT_GP{4@SeVLx_$H-SKDdJ^o@t?? zWL^10#eQ4=0BK>ViPEI9TBjG=^R;1(x*%pP(4A9h!xn?l`XAeyejZiJf`*SST{~&SvYw?`k!c5 zTNYSaGSfc(@T)G)ZI`L9@{cl^l{6<2Cg%94ZN|iyCTT1#r5Mr+AzC!fVb`=g+ol`2 zcvKi79JppO&EU%!iL-+xvj}CFgjN0FgH+QfZKOMf=2?BZM7h`SgHSZL&$qM$P_lAn zYE#lJ2Im;x(#|Rkw*{I%?`StDC32t-;SD&R9M8Fv2%i$+ zQfewc7&XDJ(K6m^=+`VYM&~_i9Y@kQXBT98#=QU|gDzaU7Ozj$AX-glRwW0m898hi z9`I~hOe5y&95w(3m3IxqGBa1^6k1{}URIMZ=d4j!Nw`!x;FgXPtj~&<&6_Q}zer|j zgoJFm&@iV@SeHPm8_dtVaHi&DzGY$u@PVr@Fw0u61fl*=%%+926auKV1U3)S1vx;$ z6|8(mIUF??M8PGu#dw{fyU<T9FrOXR*D{3b-`wh($ei0eQymM3l7o-cOT8g|jL=^Ukiiqe3l%^{SMssT0NCR`W)PTI$tpp;;KNd{o z6>Mx{J6C!`D*RJGZI_rXO3F)lWv)--v@6R2iJ+OqH8k{q09zDu8=`-Q>*cJ;GsnYg zd64%JH^RI^OwDJ6YMR{^rN=0R*XWo|ku+U}&0^)cT-jylQ=?9yam%dRDn<)m?WXozawku32mD5X>#>k~fh z!Ijr`i~e#7ij2ZG3$HEo=>%Y)*@t*1%%YqyjZ%BW#JD}nY_)Xj8iIjR%s(w^eY-*c zwps^0G3^FTW=jlJLE+%W5L@L@EJZJH6>4Z`Aj@#B*4ou-EhB`td=Z?HL(|?SnXATm ziVNb2h%;;zw&N@~=Jqjl3Ww%ddrYx^wPzs@Q?A+(xxFtnv#mb8Uc z!E>4e{9?7s%II-}{9^zwS_o@@=YwCni1FP@%%)giyB7plu%UE$$Zlt^aaw3u^ui6;U5Gz;;Y9-ro zd+8tit1L1Cc7Q8)`?f3d7z_!uTGmGE=@O=cKnIa=h?JqOyCH^0)-i!vHL%J6Ri#qX z9QEcsqlnx2N}Q7uC06qK)tG82gAewp#qX#R7xsVFJ`}q|!UI@8lqg2#Cak^0SwnIy z*&X9MsjPCZC;(b98t)77EgjlZ%qHV=)qi>dP7SU79-8Fo^#O51(81MsUq8bRM78q! z!wHB%8#GHv^CkpS@aKb-{?NK@cMevuli+HT%J4+VY-Lvy0K^H}S%gsKYl9pIoAym( z8nD2)`bPkAw#xGm+fU~fhvvKyF!${miA_0~@Y5B(TJ(UtJCSoPU?UO5)u=&nOD?D~ z{_zod1X>GTf`XKXm@cE%ipxt!_k`ns92}KaBm2bElf;!Ut&(STq3acwKKXKqkn1nz zKBg4bxUf(}qKRNmlX-}VqG3|1Zqwk`>jma!qVX+?^Kcp@`;DbFb=rPI`K*~Q#OJjWU7!*SI&N! zgcAT{mqrsCIgCv8SKPt?S%axsftvpSD49mM&+IuIm%#pL}s*B z>xj~^P{A&>yBIj;CngBWbq^jX;CwA4EWu438*uVu_trcC@|=9tZ0>c%o#=>Utx4Ma0%(lEHHbc|gtVjp56Opg<+)l5pAT!@lG zmRXBbn7dc{!Aa+qZEd&=d!DhuLo!ob_O74IO8)>e8fmi?+NjCIrpkIWOHk-&F~rFW z{NiSn5tB{AwP)Xx?H09W{FL&=k2OnPC52Vbv^AK$xU&M2`9(lRS+hB)Y6T!Votmpj zkn$Q^t)rWX*Vx0gt9R_uVC@NSFYi*ciHy%`+7|hJ`@&!|k=OXcT|tgkfiW3t^RGrf z);yLSi9O=!iEGm;GaQ7uIlHlml>mdaV9i`iZzVdZ_|A^0l<3B4xE@*|S)6$NVrOPx>>Zbz7V5UM5%5${|up zC|;~aOl&{cBxaE3JKMca$9a!yd@GG+|2p!``GGiHIPpVIebq@53R=M29 z$9Ve3Q(Q+gG3(8f`9hpi(j8W+Mnu9f0_tx~qO3(P;klZ&;wEbRF;l~QNQ$h)6qe`r zyH~h}(s;g(V$j-?ZK^l1iIV~%I{A-i`&WmPF-M#xK^~$wQoa}Od0YK8fci>k zd{*mb>6}ug8cwELEe;x+z~M|SD!kH|;w7gNT)EeiF()^E-mx!K^(`;pEb^n69;T6~ z;kDAHc{Kb=g*|EMtUFB{6ccO(+LiT#WyyFpw#Rr%vkDGT zwP4uXGG8IOn5`P?X?R-FC2-~i&TdN7L(y;Hw$%_fxOowFFb)kSd51`t%EcAtj$*)y ze<-zFr5dzIZW@$%Er3NbL@H5QYw|NaJdwg#Y{997prHD~mLrvNDF{Jq^IH|DDqEU^ zKUl9|MXS~UwXO+OR)KI-`9Xkcdr|=CcdRxrt4j9}RBm#Zg4p79O5zyw{ow)Ji9(g< zVHZD)czli=#WOgXg{>eY-_kt;)Z_`ALOX2?bUL}-BdFmOMU^0^zrJHp;u^;#Td}`G3Jh@CN&D#Cwf5*X|C((95gf|U`I&jmv~d<>j+w0(x8h}EO4)0B{L%0 zVl1@Ys-)aE5CV(tY8XTk$(9teJ>6ged8x}y_m8%COu?KCRFV} z2_IOMWm;f1B;lJqV#Ju?CT3$R8-X36)j~Rnd_gWx?Q7Q&C?_JPJ$lv^%Zu!#tGobw z_S`E4ZS(Tm=?QZJsD%P5^yw9$P=54$Ko}voVhw(5uz}xb#wuVT!WE_mST3Uac0D1~ zk!VpstrnYE$fL0|tR57TCQ7zCv$NTxb7 zz^7APq?ypVTfi5y>x-y;?X5q_OD*TNFR5f7QGib&7V|_2kC? z0INI3&>=$g?Gn*0H@&zKG%z;?>li~GT1KvQNC_wmLja_g^~?nlo$Jk541eh(tW0T! zv=vtA99AZ*0JEpkIL-}h;n7<$Ce^Xf{3%A6jtrD9Fq)tI!LY5=r6Nqgj9-ctg>Ix& zSNDN1Z~-1uSYB#(^?_#UdiIPPd*T_7m3O2Qa^vRd9WZNHG?>f7WhTzPk;(Fmsg3Gh zWkX-Q0W1P$HHVrw9xb8MYIKP&_Kk^(eGE>U`ZcU4;eDWKwQoc08>}5KifHu`S#e3I zbNyp4V$8(JC2Avy;d9ebA=lz*tAZf5m43tGKvm?oQw=XsDTSxaOhwvxuARU!E=Pz1 zDS)wODZ~S&)H5uzR_Co^`mPQQ$McI3()?6ySQ36DyQ)>C^od;N_TJ^s_Jsk@n&u^< zSoo!_<)GzXSYWS4mfSM*>jllt?G}x?#`P55Jrc5qB_WOlr?7)*Z)Iuj=3b0Kl(r)*zzu zFcma_CT8A{rbMYqP~04?Of<*KF{>Zfu6>nRZZ(PZHma(sOkMe)7pSn{2rD=`%1kWB zpo?c=Uy*^@B_>l8_;|!6Z#JAN{NC_L;fac*OToc`bkW41EDkq>BI``feXh()wdqNm z04wVaS`nS1ow10>r$Au+@wQ=$VH8|U0;7DIK}Vwxy9Sltt5yI%cmip$fNJ3=Wjvrf z-nD^5X_k3Fi(0ic1b^ZK07|zmRFC5dT9>U>QTK#j6x02#k743URwa7@+txK2pV8ey zczoA2Ng9EA)BRhlOw@gnY|S8Q@${#OFYyqgT(6~`zFl0gLOtPh-Y+U%w?_C)e6d>evgOPm+{s%>fHjFfPQcXzO29wP6aN5p z)7ST&-^j$mH4>RwxeT|iA*GtoVyCPs8J%fZQY^iXEks>XYwH4(-#O_BOBz=e!jWx& z7IR$2<80OyQA5frhEo~mGRGwtuj34NYb~g1h5AA_5@OSK9&cYr_*}N7NPNP#mPz!b z3@xNBHv~Z8@XZD4%uNA`rL!H9q*p&kw&8UuL}mGW`(#Dk#Z%en8LX+GGE-~ zKkRgm5_Kg?&RQ^oP%bzvP5%J20DSu?D}xN_o8GJfRG4rs;yT{SRO9(Xp*R-hy`6+{ z?Qbm-VvN=uoscZvZo9?wlJ~IFw-D^R{b9I)CR;FAmSlREmsJZ(9D==J&)#nFMQdBy z4HQgjVGba)z!&bVe~eUBkmDn7SRBNM9%YLX+pNnpG75_Q(-bAVyTr$4V&-4NTd6Ha zw|GY6GH7_xz%|>vOlBhADmPks!_ZG0d;p*uPTRsBW_4Z~X0?e@yz^Bndo3~jVP~fe ztf-oDaTBF|pHC&qQsY7!>FwShQ?AplnOa?pbP|NBKYv*JPw4*uh>d2I^4bk@*hu~m zXG_rQG$bBnrxHVZFvK>S#`K?M)}CLqQv}D_JTFl%St#bjIg2OBc}za=i)ICyot#G` z#?!xM%Ej_^T7*%v$(m^b-xHZI50-B<12Adl4%SonMAn_*%|2Y2d`DRiOGkJHc9&Tx zzH4Y;Uq4YN{Zb=7DvR2v(NW`iYfj*k01q0_n(j>4$Bk&2IG2F>ui*qQi|9q%?B8l# z;$ydq_;CHvt5Qr=JXb@^017oph;Te>!^3>1?I{Yc;+`5BoWXT!MPUB`?%EM>-|b6? zA$(&(B?Cdk)GfsIkN*HV(0OV90M)G^6XO~dyQkV05k~Q?0GDn_)YQdKj_7b|DE%Q< z{9aovIf^4hpAGQMTTh?%#h5{qR*E6*!MAuaW*XGu5x(nECAd8yfH@0OSoH5K%X?Dmri-wfm&`dIBy4qc0 zxBN+l=5q#Q`K6ke3}LsaIE0r*s4dO_mZK~@en z{3217LCJcD-U+&f-!DieZVOBUhBHE9V7QR2p2j8{IafDYVP?z4pE9=R*St#$o1H|~ zwC@8a%~3%W{9=G&$O(5`_lt7Y^)XuU1;&)Yjw;ryw4qvm7{~!Y-_|Y!^A53f0;!kr zE+5J{XkC-xfOn1^re&aAaPzmkQI%M!zMYI2I+X@u8>SE7L`{^J`w6_?+7^ZyzIyBp}-!U;(TcVSBbFqo@OztI^u}S6nKxUC@H=0;a;v#I#Ouastt*TAZ zNmL`>yiT9t6DIhEi75B!i8NnPA7}A>CRYWFzG}sEv#tHp8(cex!!r6u#g;4glLjj+ zhaYsB7-gK(^w$em(*`~svPm5((M6vny`CxxA7+dw{{X5pEx#D@Oph$c&GEZ_G3IZ^ zJk9vV?0@EuoAHjZyGLKwBscs(0f$b$kt*e?-$M?oN(ZhZn0)v!DU49SBmJuWq3r<% z76T39<~1>qk4Udx(NEOhsK)W@2vMj8<`w2N%}7!E8)ytOE|z0l)u0AG7l%zh{{R#V zd_G{P`;o%b1YJbg#MlVP_k!q9<8N4AH=fD{2L$^;LRDE1(QD?4D7s{+V(w_Pm6nSz zOPF8g9^+_9d^0uXd<892`1(T6Q^-=*S!_tUB}(U)E@Z;O&c22%n2r(LQ-(Qf+ujk~ z#ITc8lKWCIWxPTNYUD=7i<12yWEzYxfKUgx&=?Jd=fbJPOk-)g%Bmr1TfG4>R0F>; zh3kmm-$r45py&Sp5aY{dC!R#C#4bfFNIw89U=^IZ)q z;obp5*CXB~@X49~02X#AY2F$2ni)wpbjGH)OhUarm@xW}(d|g_2|}bv^2{IB4387h zY9z9n5js&3Nr`Jm!f-@w7Pj4td2Kz@5%9GW7u?1smLBoU(>-Go8``m%hw+cv82jFl zk@~A0BWT!1mOQ_dc?kMI95$))i+6!=V9M2`Hx^}TDVV;j-9Tr60 z_z6ng*Zr#grZAGxYR+v@6n!IU#y@L~;l$~|T3+#N+0M)exGGj9T_$|%%^H-%0rnJX z3e}7#PY#kZPlqD0i87YGRU?w9C}pj%0#GvSMj*OWu&TPh%>rWziEHw&@Qx-cZBf}O z*@=3E_@-YYs!$BYs*KL88q#@M3r@3{&jmu2$JQrKS#K(2_6Wf_sC&>ylEkop>*f#b z0$lNN8?&0-66F=+Y5265w(%>Tu+r_%nxnKfMF=K_5s0QXq+9h3SQguk!y+tei%S$~ zg?EaNV+~54^n`9AB!h}No2vv6w^@ejVJmG-RM379t`&t7n9`fVnu8#w^caifQfM;_ z*>5v8p0tYj?LAtM#-M?gQ2-;flE$Hwe^?^oF-YN~12$a)5}O#BX?(J@VUzEOmnu}V zZw$CKsf0>XsY+w(7K(n->{{V-tcq{(^rKby;$@n zCp}KJ7q+3UJD8U}12|>L9pOau znOE9^74)(u&PNvuQnANlY6XC_njJ2%VwUC7Ptnzztyk0J3;~Zk2_p z%`*w_zxzEuR!NI`M}}$SshH2KOabc>%R@7i7mpk_XJI9 z!pqpdHN6S+f?LWqp@qviubhZ0X&{Zx&@BsInSp?)4&pEB#{;A?TGaVSgjHg$h)^){ zf??Rpb~1(5qT$R-n@R0>06edg>DmI9wsoPw>4pu=8_9YD$Zi zm?E)8o@OlB_b3Pju*?YpwYE{AKJZGA?R6@Dgc8LpACZGki(^>kJ{hRGjSJapMyyls zb&PCxjvX#j^0r_y+NXv<5Yh;c$X2%CFNZ;6MX>)Mfv*@KEzqQ{tKiq`5qBB4y(gwR=llpeg+x5D_x`4FUpKcH|GcKJ_)QS4LFiz-ajZSsM1c9!=%Y%Mo@^N zn&Wy#KiS$g5wMP*tIHl(!Wavq@cmTsf8oh6zi4*w$p@H+m}~e5mp8gG@8d{~?!sV4~RC?EF zYb9L!I#dz_33eHTE##=c5nq(8O<{^Wqb}>E(K11G)hqAD)2W8L!4ppBu9b>IHjBhH+CqG*Fe}0P4~=Q&b`zws#bg~8q<``1 zf2?D{=S&?8w^ojC0P!FBWD^|T1>!^h0JLb&g7}dC0PP+*d>6z|{{U$4E$}Z9$)83jO=Z3@t5aM>NRH5rfP64phfTvWL|BNl{8kZafaK^0u3ez99P zcXAlScg#wnv!uo=K~7&-7!4$$;v1nvj&UoPueVQlXPV7Bio%+Cmi#|Rl;*cN;06Z~ zEtXKIomLMtkE~LNW%bU`ZBx0W&`PzA{GouT5D?XPOthFrBX7~p2Q;b}Z`~M;Ar-0LF)S#wGh_&u4#@nmGasBO zJC!l1;&ak@QC`t?xwhY=H!qvb3&=eEBDQ1=;f`uwIWcWHZQ25a094>au}mD&;}_U2 zyUiY7mb<~ItvyV6eqQlgb7(uj<^16C{GH*Aznmv8=L^fA8zlD74z96`5+loYcTjloi^r{GLxP zP9k3>LV+WI^ zH!*Fzw$_y~Ffgqq5Guw2v-2naTeE_AnJw0jMhMVF;Eg2&PYX|HJ?$5CH%J0s;a80s{d70RR91 z0096IAu&NwVR3CRv5>UCap(zE5pi`;yxFw2QV<- z;1Jwu*252Aglv$3@_9t6q~)k}S&fR~!T5xGs->7?Nq$L-=!-t?4!5YX{6UR*57HzX z*_mj=u$EGX%l`m#**{J74mg2Kzc7ExbU&GtRyH3{-O|f05evc~Es@$f?l$4~ts-$r zHjl#&+!_l+BHixMmtt~UFK|N;P74rOoUtgbSO|fYtxIFL6$o_^Y;*-;%vL#@t7Xn3 zwK9}xOOMVfRT3**o2!ykgnHiTV@u`?7w zoc9+g?8UgqeOH!GEMnBqJIZdCmFB0}%z?$gScA-`PE%*2c7^oL?=D#U+HO#}zjEDy zK;obRO0)-4Oi^k}MCb_jR->qxJ6USW*|AF8p**4L6fV0*LuNv{<{-dXeJ@SEmZVzWjur~!o=7L&c&0f)7Y zDivrlnPz6HL=w#j<$TKV%pfPkLgVOnt6rw2@Rl>Z9mA|-3$~7a7?pdA zx_(dt_*^&E$UsbAl(vN01t5;7=FtEVdmKl_g0|UA3tCD@ZwIWwLyH>mDd7taVB7#V z%*MB{5ki<1W|G0$Q(&e6eq&Q$z-!DXAYGF()uGt)3+qkSGTI!Zh-I-H>T*B@=3y0= zk^tGOUnyW36laJ)ej1$3m-CbMpR%jOVJ%E(H#9jX?>wTXV7#}KkyFhz4w!1Vwc z$$^N~2YX5gNP*lS1-3|OT@G#wP>Dy>)J5AF;Ra%bH+C5Ca#Hl1PN~C3^GHkRp^X${z${ZhaJHfV(O2m$omk=)D`C8mzdux(2U;| z6~lq*3J)fUN+&5|B`qr+9gtPGc}TSt`hwe7@8z$bnNxVJ{lYoe@H`BA^D5XToE4e| zB+?#!Gs>PxZ+3Lz=avJe3?i8XnU0fe(KkVQHr%n}X;Q-II}m8#8o(AMv*u7PS$xaa z=)ta6FyRhW#u$Q7ivlwCnB@h-l83px0m7VIse?s9>QP}Wt6C_=x!Mzi?%-kVfT@95 zUI>kJEx98bUWt1s{!qK$QsZHmx|m#eLd5B~tnt%cCIRRo&@Y)}8%Mz_R0~!Z<|dZo z?#~f)7P6VQOP$ax)0RspAX_HU|!{cB*0bQq70T|m5KYz9a9G0|cyH6;wEWA9dZD-3I!{39|DB2Hk56<{wt!@0Oc@ z^X52c=ff{h&xCVXu_s^ln^d@gncjx`7^j#Jd_<4}>z@q7;cyva#$4mLYsOlYH(ok( z7ijG0mLsNK=Ifw!M5=BzG@G_-HIE36sXlPc`%M~1nsCFpWd#ZzaWKQ5f>T*pPe|J$ zxMY^ld-A5H^f|#UKm>$1kJ*Gh=@l;Fd1X@L9I6|JScu#zQOge~z^Dua$1YTvj|>gd zm@O#CX8^`HOB-}Jz*=7fxP?U82MNxR;`=hmu_@&!(iSuFp^C(RLD@CV<8m!d`qVvAs3}mokmtt2Atx2DUs?f0(Qg} zt#_fyPo6--{{SFChyj-rN~m^t+_%pdL#)gWP@fA@=1|Ci%q&vPrLG%MsF5vzd zO~5aLR+bsj`1 zEQX=tThz5UTdp7_wY);gt`#X0VI;c(WMm6(4ZKF(RT!MlHJw`xZL!7?LHPu;QENlo zWRc$Cfnx;`+A(k94H1_x>z9Ne*;fOo!benM;zbI@qnXCVmKH<+0Ldzp)ps0-m0bm| zlp9}36sny`lQ^=WN>MbtAPN~FTumSg^AVN?9_2DGMwnGt(E|{OS5Rn}2hh}fBT&r& z2eT~Zp^PjK?czSV*U!Knxqt<)YcYkfr{q1ji-da3CxG9r4xr{BTT(L#28nwK7=4fF z3@-#gZ7vU}+iVjD{6nhvU=SD-WJYW0!R8C*8VD9%Ayjydx;P%wl2T=R1?9Ox6e*&; zz+Tn|bu!hKAsPXsG(KSoR5WtVVi9zgE4yVyCqZ8l#Hq7=LZUWh5n&)k_NL+`lOy2Z z!YZ{fUO0$7LKg$7X*`nV6I`!?TWxWf@it)Dc>+awjTHWDWW++m%EZqD9@(mE#d?+} z01}6kcSUB-<-d$q5g3ad0+!!`^jxZpR#1nv*~1kC7Svx_UbB(f7*Xqb<^`2^Kh$N^ z(_rd1$Gal6FEs5l4gHiY<3PO#$Z8aoEo3vfE_wo=D6j=;9$>(M1>wO*IYPm=?jRKm zyv|H$L^etsR$01H0}S9TSGnM*H9@ivJv^x@!vH4$S*W&!Ov=L3lJ^0^!ND>RHb8+w z(w5^@w~(kDSa9M}ikQ7ZuCU5Yv%T{TCW@ofE5~G^-eXk;K%zGRnXpH(yx@+B%j}B- zck2qayrb2l%?3M@7-L(G369deXSO13p)&zo;mN6)@l*67sK~l@7XoLB?i;^xot5k>*bT$B$T^E91L3Ep6_M!BKnA@ML^!S!MnKN>flNIf**xFGoSbku)x(iNzVBh`$nKH~`pWL#{D9Xmo^H=aexlx0` z3xxo(o;X2k&F;5EoQp1f?g>hIGVt=m>-SmqYt+?`d=%<_q_Sh!%JQ|j>w~FZjr&KW zZc3v}-!R3AKwzCP8tp9ksYT{!ig3&72w)5kDN3MRjFE~wCVtfxl!69>0MyMvX>FL6 zko8wiDl=Xn7fNE)?8AbS671&8TcMRf%xi(zCTqAUjAPXu*rhC}DA8$L!&)<;>K5}g zCW(0EQSMMJO#cAvQC7IZ>QLDiAI!)rxlFYI`;6IvkgY~M*n5^LnvMurQ8da(qbO9l zw#Mc|wAh+wlJN;Ct2oPm>Nt*52yQmY0C_wSz7Qq|3oDfy6dTCARI!}|4u(w{mph^R zn<|3d?iEFqlD)vISEU-AU#=lw!!_U>{6-6#c|6-W0gs0NTU;}GqYLWccDN~_xC2ic|{_?TkE?Opho z462%3-v<@;O^bcVKZp{7fjNB3p3paqxFQ(cfKHfb1g+chOk-Sk`&V2q0%m`J%p=4ldT}YtZZDZeC@5vOxQS7UVF0!O7k*K=R6{1( z{Yz_}o1ZA`3ouss5ZbE%uWaWhE?79A59OV~T&xVEafxlgsJ6pLV_inZnFt0dR;wT# zJWN_H1DJ+yE^t+~H~2<{&~6|p!)>nPlSfr%^+wz_+c>QhckVL8p{cTKI;lBG~I5C3OT0Fs~I2@u4_JN0r#Aly)V+)#3nkGQc0QW+F91 zQMst^1xh(6ZOT7uDx zi)_H+3YaZF(rNu-Fx3XtOu>5oPX7Q%YN>t2X;T)!2QY?{oM8v}?jJ(LDi|pqj4Cb< zk28!VoM1W^Nw0zK6++lY$a*HNj4-b*rGa?^iiJCE%YJ^~AnB64jt+Al$~z4`+}AZg_dAzxl38o&mP`Kt3zqU=yM3D?Urq%*cxNK9-7!u4 zvb@E9k^3QHJHek3S5QI@K8eX0DnK-q6+@9CRIUPj#|-%6n)5e+5Au|%p#HpiQ zqWY+i4A3GdT$Uu<#TChMQA;XaxPTYQG?WBE9-D1$JjU1&%sXE}nTl^kvPC4TaL59_ zQz{iV7Q)J{4Qh#@4IW^YVV#LumKAlVZHr?Yimw=KmE7Hh%YXwkB}=7ZwEOLj)!B@o zagU4CSVZR_*a3KPC^Rm!0jM=>rpIiF2DL<n^wel!s7I+8jK*aR`=dHa_YRG5GuDnshH%qIz||Xhj328R)dw!IHw{T{i9W3mxt6Tp_HSl;xf2-o3RvMrsKD$%rXF_ ze{jsQY22#q15i0`RaG44UVOz}tFeQqy9XSYPd&mV6BkkbQnppt*iAh|0?Ip$pNO}6 zuwUvIQFJI1F+3xy+fP17=BrH&IV(n6^KjwZBdBK{A2RL|*L{#)t3kH?p>K^2#FYy9 z=sf$BxHbaC>G^>*fy0l*gb z1=f3kF$A-hEk!<2<{fz~k|?t(5kcxAq`us*fs;bZAUlL?86-ERKGJ3`D&uk9LCz*C zR9M+EtQ557XyXjh0*(0^gtB0@ChEg!lvJaa%oiwFGZ&OYpgtpos@fT>O;rxzyrYFO z{T$sUNktfkaw4$YD$pJYW1=SeiBu)=1VR8>R$a<8@2ht$9LHry%NEhN*io;wmn{+cS?u zJ3B<6J;kx1%C1`X4KyiJpK_S6yg!hRq2L=iH`l~8z+B?Hl=4p4KiXkh1g*vI=3!L= z6s3O`22e^;ZN>8_UcgPCeqy1?qgaYl4OIUC8k!8xmtS$-1qr0wX!vM&@~;y!94op! zy|KlcGt!zESKAG}cwvlHjT;n=qM1=<{Qm$ES!sc2>$u}rp?r`*ZeOU4t`|rmKk~(- z2FMgMz44iVM7a5`E*YScL02k?3Pu!QtU+^gJ%$%4f+0MB!TOAN9iyPWe&ANHHn)y2WnMYJZZ{s*` z?P!iGdKbS4Rrs9+q6gp%N|w%`p(f#J+#2YMgP<6&#C$n&jtc(eeWeQz;tJ}5szwUE zXa&NNnQ91KkJNq=3lD?b0hSF=M8yPoNQHU5OO!a7P-tOEMG9xpKl>>a(BZe-Z6T8o z4n`HLOt&f2L~%&TZTgi-9YWtdVq{t^W=$B3XpoHiU<(AXP4fm`DL3u_SGfUX;-I4B zUMTnEg^0wi&lW-yHDvbn0rn9s$^<2Z5gm*N9gdlTpiARk{$Llx*mO)muq6+2s8mZh zFPrXKb!mI0DYgX|{^gbjNrY_BK*8KE!cFAWZ6+=WsH2m(79RqbbXb#+{2phFJFWdi zC`=ZgiANrKf*nFF1CA5hObU63csxO#bAelzcGsH68n$kXX6iJYGOH0)OmRa5Y^4iFjd(XNz7}? z@f>Yk+^}4{oVi6C*G#B@F+Oc}Iff?d-LsqZ1>lfBEVD~h?yI+m^dbzSRpw|7l%nUD zZiOx-T8Lj};87XZ67=ESdia>YP~^yHGdYz)i7Agi%*SvE2B-GU7X@(@f<>;mVnKelvCA4;@ax86|q`tfEv%4YM@l~uTxx6qv|dyoHFj^ zn7M_r{{T_)tk4_Zxqw5kGwuNB+(bkhB5fSrwTiauf@!$cwxHl3cq++VGd2Mm;^hGj z*VhvMfD#kwI6d6sTwE@!;v2YtE!24$f zTrYBj(#}tFAywr1o2D&?;$SQqd_qRvJs|UA)X(r&7>W2 zBO|rBqs{t{Sh92{5>VD!4JPw9Yy~{KAdp_x4RJT{7}&+i(?RC z)V2W)ddYj~;x1NRZ*NfELMq&To|X=~2D^q@gbtW_bLc=AvYpeLJK|w!pAMXRmo+p8 zE4g!ji+M7}--w@5oQ2w>&v9-;OyhyXrXseOcw*Pt4V3CBK`9e@Gu(CG)Uh%v3~YPE zxuHj80Z_2WPF|qU4jQ-Yl$4IX{Ktg5KpDpFK9QY;XpaEU6uGo6340-51ic|D@df6k zaNH&e%7vN7f?$NZiURN%JrdHX<-Nvy*^RSaIlzu|V9rva^_2->#k3PZSvk=boM(xX zeuLs-Ex{S+W`c7q*EkBARTr2vqO}1iVTUI1a_tVN)*u$!0bD^6nY6h_%E+!d1s9OK z1OQvI0$Oi&13KsUm4mQj7s)OSFVizhmB_QZjq1p1J$%Xt3n_o(i!FRGt?IXT)7-_5$$qT8~w~*c~N*7 ziUU^9;%`vJru!ojl1s2Zq+7#k`^%h5H4FEFjXCBa2o)_Q^7k`=Wn~EC%nDNk;Y4DN z4fspoxo#MFJD(mAtJVp3k{29QwgU1Csg(xU^5W*o&^^bPvEtbHdY;Hzzf!({JzF${ zO3N!7%Bq*XWg(4XUkPg57C^HMYEy+6QE`qG zfWS1hT*uK?h?l2=*h6Efu%U)rJA72GNT`%8?C~(J6Jy|&T}%S9^YakHX>5ERBHPAv zxD-AaW7eMfg0xmq;f+x@ODU1;`i@Gu4G3pY%gm~$=L-N`u}=gIRy2Q-Uc~8+xv6E? z-!vx}=H(a^)ByCkV|xW@RbL~!i64|u`$i-n)v4>bT2>>`c2`rD5aM3xc0i(#RITsC z%2trR_Tsph#;~c!X#){Z%cFpcQ(RUjK{#cFs^6P$;xmUu=U+ahb7j0ashxQk=;6B< z_wJYe0kRJ^D*Lg)aELa-E2Z-0J_9gMkWFo zLX(7`-@FH|Argy;e#Xb#=pe}UuE*7!VgnUG*x2P4im-oFL>B5eg_u-tp{e~jX3oi z*^Yyl@-vu!7;jmK=T^9{9wv9^h2Fm60K(-y!&sL)PC}b2)kSEyYb75&+~Qhdq`ceo z3SRmuS&J$^C7v~i-l}HlCywL$Y|Gbo6%`RGx$zC;)z7lI>TzDsx?Waqg@NALj0u{Q zORnLtouRa^liVGa1gqBuAzat5hCU_*?BFdu9D8I@oT#Uk{w5?=;+{a9z{Zx*;T7lr zWk8z0TVzUIrY4RqHce$wf5=f7Mn?>yys90EipIbjsKGXZsr}4A6}Pxkx|5FOcFUtt zvG_TV8zUZ=*`01#P{XOxLjWc<>!KX}wRt>CbQw!(a2p^EW-J~-Xu)ipTIM)lVRH~( z%&{7YQ**>Ss)usd1aXEvJgc@Af`FsSC<(g5xOixk=Mu*lt!FFiUooletV;Dc$5X+i zcoqFK#s(K3*h>TjTLW_9xK<*(S2EgIwcq-qT(fA2kj0Av;JiQz!B$>b)COoStnjDt zGD?EUzYo6RG(w0rF}i@s>GVo~#{>?Ka}mummV1i4Nwt0-a;ypIROxwF8n z5Y_~;%)iuEilD>F&JL!nqtLaUGb^G)t-(lGk#}0pR|7F{Q)p_TQ%PKe| z+R)2WGdN5qK46Pj2|`Lt`HZu>c7?LLgaZETw^ZU;#I5PoBe{NAp+CsUFyy8;hz0$^ zt(SlV55zapvkv(j`y~$;BIhaIAfPQUwLI!FZqQjgb1*cPv{s1d3_)Aiu_aI&OLO>$ z8B|k}=5Sfn=@kmhIg#88O$bq&d6rB$Rc+sx=8>L=wZ#ruP{jvM z0OYp@%3X##m6EA=%1tITZj zc$G&0krTIBpU?3yFpa9}l@zQRaNqNAN@OEo__uQsfh~-n8&*SW=~w|nHWJs_+(0WK z1s9F;3ISL`=YktCrPy{^2YG zlbEqiINM*Hz!X$Fj{TEzL@Pg+%(c5;hUY%XQEL0L1H-$FC2~Y3N-!0~;DA~>t?}D4 zMQFAo&AgpXdIAeJ4#xt4TYfpOP+&0PtDM??067DZB|J5gV%H zh|B{bnhe5IW1*jDM#P-=rTc_BijD6mygkaF$)JCja|ME*Q)qOGT{uJ|J%#3oe z+vPwiD%N>jz-$V=VYtvnLiX_=Z~~ls96^_>)4_}ZcYsG13jzvlW6I?Kg0`&7BY?V~ z`tu)oMY6JH!zM4wF&7RdqO7?~eMLmiH`x_)KzHZVsp(Mj`j;tgy0;uI85X=5L8(w+ zL}WBJtH-E6vtjUe8mkGixrL$i4+PV}Q0G%M7f9;kQV`3>u5OZjzue^6o!G zr#S^dRNs4@ksB7Z*UWu>>{EB>7?o+Nx%ch|4J=r*8TSGKO0S3CQznv~3v6Ys5siKY zu3#JL1l#b_aNe`VyiRqQkiwS=#WmhdMZ3_B-YOxd7?pny5MB-2&u~x;gwLC~LTe@L zQxW%P38${pHRadDUvuhd2l6=a2qEZ# zMW+g5TjDAeSSGrDCW>E*@8QJ%0D^2>$RMDUzD}BnWe)*B_KR@cGHY3cR9rP)K8uRhFnvG5RbA_uH@h`e7|+|A4VNu-3+)HsbrqKkQ=Sy} z?Q~25W{7Fwc#Ww-zCSNl6ByrBT@Ex<#&7`I9$Kn`QAP&p&UuW$xGA$=%*ewQg(dw4 z%ig_m^EP2lG}{>#Jlhw8be_d@lhZuA(7o?rc0Sx1kgM| zh*UUB$EF^lMDId&pYbfpPW}LI**O0IHm3e&@>GzeDQU+(Pf&ogEHik9*Nz>6i7>GVfWtP6CHrk*AfVnj=R_&(8m$EAW zQ40rsODGEu{B}-w2L&(l8f4c4L#*O8ofIr=Rm3Pe5~=qL;_zU3XCMPKzM%0LY6XD^X{R0UsZv0h&zNO;nQxV zbM9*louHQIrE5b%f?Azg1CHGn(RWpMFzHfG7%aZXlT{ynFT073Pr_fsIQ= z3(bR9$v38;sPT&D%*M-5CmY>5xsvlUR5l(WxCowYiSss-WKoVHJv>-}->wQnr++Z3 z+qWpy?(xCCq>%Y?LE;JzG+~^sJ2m(G%pX;ykMcx^v`zhp^#t=v@yzB?SP^_N4D`u@ zSoe%@#nhW|2lX2_TAZW8DGCjYx_E>aY~upZYO1e6Eh(}TtkfF{CDHosAjr1Ia++OP zdn2OB$dq1lkFs5=sbC>c6;NG3Vg^@^VxVB%Y`KtQcyXVtr&7BlGqot;E3Fhi#L}7@|ok zTiU(F{G?D>xUiD$!E!7Gm{%+-HoB>9<^pzO@hnRegGIW>iA3aL_hLsIPa5e;8k+}h4D58(4 zTQhm&m*IlZ2o)Z6JAjJ7Eo&$+L2t&PY_j}DEV>Qk-g>FPvYwHA{-O&Bx*EKeThU?mFxZS1%r7E|`Nfi6+Bs+-CgN*0!XjfQ6gsH`-qm`sIZ>laOw9b3A_ zC5F6&x1hmOnY)&Pn8X2>km@XcWtKW3Ge6Wz$_9IThA=+602F54 z(pm0}d5(Y^qE^s@OAC!=8Nx%Y&Iq=a=+p5$x)Rm@0IdMSo+*C0+|Y0Wo_lG?R1n2R zzlZl2scXzSW65R07TP|etdW$Df#P3X#w=V%J4sY+>z6uE?Tou}w}G#?;KggXIXj25 zNj}|i2UKfl;Kjhjz;1JTASgn>aAi|~72OpbgiQj|zfsbiwng;lhgzLvX^l3@5X-M0 z5K)#OX{1g1)C@ERsrtypkVSZo(}ChN;$2xC9j0eyS%a4hT;yGQc5UFO0ISWovi;O6 zkXB~$KQY(^Ic|}&66o=Ga|LLr*n`Xf_nKwCEo&`i2nx>v0vp?!Z_0%jU$p!Alaz!TJ=2AvtQG9$$!s z>PBMqU3D!?2uh%#r(5PF0Us%TUnI*7s-yDr3PghP9upiwh>$Riht8(eL22Q!^A=E; z%5XKOgz9bfqU!!&&Vk$2eUaGPyB9Y@RRCBLhewm;2IwzGq_FiGplF8$&_bx2&?&*v zOf&_-&nSkoSBi&L{-bq4y<6+ba$;Btd4B|F7+s&wNppXh8s`RoGCNyU!1_{LUYsz1 z0z)J>6ssjTU#WkZ%RE8Xbi9wKf|c`~Er;B3pJpp=s6*~I2sKZzWRy}XY_GE%vdH9P zAoVRqd`nJma+7;Xw;ad*akRyn1hMa!Sy83PcOAp+GyY39+atGv7-BBK@d-W=!Eq}- z(HQ`Zh0RdP7pZ<52M!wW<}DWB6qvW}dEEqYtS!GYP@pHXgIhZef4~$&-Tv=Q(UoxzS*qigcz)>Dl%Ocx- z#BsT?%6Ca+F^YuOfIPDK#8|B*48b0&0pD22lnbSb)6*~KqpCcucO;j(J{+*TkiLtS<1H4V66E$;sSWH6wH>djq*(r#Or56>Sn1w3`3qhWHz zVgp+z<)pr&YYbmenie%mY|mXn2&CbI=kWzELrGzkmmPf%m7t{}&GH4L=MSis)e4Vs zj=jnUTY*a>O$?+xDzNhwA)oc&c$*Y+K`*A?FiMj1_&pPq<8AM@AkQ_nZ}J|XdVI6k z$+EGyDLyT2qzA*!Di!WuqAf$aj_)C=_3Ss)2 z@<7Zm0Dth*7Hlzu_DihrB)1phCNn2)e{&(F9ldJJD zE<|c?bpHTw!kXZTb{EbuF#1+ORo;7)DpQ*BhP7y-2Z$oX>LK!r7w;NGqmI8ZyvTlU z67~9?dW_-)wQ-wW5|fS;Y29BjMO&}u$O(eTj)Jj%;^GF>L($W5KL)Gz4-8^h77vLd zqo`B?9#C)vP+lQDjSg=+%j+J8L z0rk-txXka4A8Y!FzVV5(meC1d(RwtvJfNJ*<#J5CnUWmm0k0m*^ z$Ao-*QfgURTDgDG(*f3CVpgu}EGvnm0Ci>Lj~9qWw7eX_8CZq?0B?vXxNJjv4~%YW zEI<@F{{YFUI*8(FcTbo&!$P_zO&f1(?0{H_AC4}*AhoDvM{l?h$f?cSuVkz(hDt|% z36IOHD-Jq{?3B!1>bu6elpuv*>ci7k((@S|g|si~K3ePwd4=@^M!CBxTztk&#$i@C zUvnk0Z-Y{zbWUN$$S=wtRVnW+n7e-k!GEksCrmo-V1>xRz03x*V*&3Ql{SH$K*sdu z@L~u!V#9+PY8H&6a571G!zv>|9kt}*xtDItB_qSqL_QTt$*!G#lKsPeX=z1>ZMTVE z3x|uyUcqp^OQPqNVC1FCTZP0N=_iLc%A9zNy&;#Db9_BU_%tB>yTra25U8WJVdCUm zaeSJYK~yX>H&uzDp}Up%nE3ImPu%mxnz`*1EPr8+N{#iO=4h*7n!S?vz*W!4ZG_B` zOHJA`g%oPT#9LiUofXkp^#su>p|e&TF^j3S;x*zD&@MGD+n4RD*qSnZu^Mvw=2Zia z$O5NFjcQ>c1h4qBE1G?R_$K}#7T0TFc-CN6793r6br5ja$Zga)GmT~B`DJ%nQyecl zYjII-mpa0n)eNwMI3sNNA_hSkP-!bk>MVDM@fCJ?fsfqNN8=9>Br^~R{Q0P(bC*^t zV=oK`QXD)lgs>VVINUu4Bvj5t8v*%_Q@nS*^A49ak*4vtI{Vrz_b(0VKY*P9%amG3f#RR zF^EPqLYU*0FLeT+vD6!{+FY220A#dh?Gm`i<5UB8Rzp z^H$)ojom?DY*T=yyph)2Vs-0cKNjGINYdk6FxL2WI&0v;wks>=%ub0b!C6GHHji(K zWu4F!UgdYTzK!jsKbgG<3-eP6?UdqtRYf1Q80xQez4*Ri5vMd@nz&vpUNznQ%#Bk; zXf$n^g$70Q$64LV<)ou4Fo@lRwh;(LPj0gKjhantD^6lIEOKqmVHpL6!_0EkSy_L< z02m4fU&N|I5UsVo8B_wspYot3E@k|XpJ?5FAz^`5#j2%gm4!s-GQga=l@s#Bz$`(n zEHK{Xjtc1XOu=iK{{X#8Gcm*MC%mD+OI<`OZW_3i7Fs(!^cNrUHRxaXqPQcTUQTHAc!L`HV;xf?@!iE~ohfpDj zOR9Tc%ppnu7--*aqH5^W+3^_oIjc+na^@^&S7`SHK=zimToKBwCQwfr%(5=OaleV= z%$=)<(2bxyv~euxmB;|%xs{exj6K7M<`Hn%S(FD_y#jX}L=nnQmwx4LD3yosse)xS z0>|?)x_ZOHB1bp1#tZqmpsnTH9KR8Ne2Nzg(=7232%L1qy*ow$ZFL-;ZleXEYXW%r zS}Dx)0jZcKDuAvTe>_X%s3Yt{E>Zo#7+PTlkGXi7;9qPz6!}m9wkZsGz;3UIH0%MS zPF7%!-?e-s^#az5D6IzI=kdCxfxB3easxH;xA~ihos{ZDxN`z=E9Br)d53f`-tn7&5y2;pcjpK(Sn3zL}q( zXEJFldZ%$uE?0f zsi2hH9k7n5`5btb0%`*b!MnUc2{7ut9wI=2Qh;mEo75%I72{enc06Jr2wwr78zSml zi-zsI%|%V>6rtT6K{f)7OCE;es3ld&Sx)`NA=e7EbrfP!&VD5e;RS_2yw6)r-$lNUy_`UFj_$_684MLzO{E_WKfIO zEDJXN(a|s1k&nASFiWf;i4nj>@bt!^L^i8*-a3vd6%^6;5-C#`3ho7}EdxO8JX{Gn zLuFIj7JlaB4>E!{iFOs)00k>%*6K7cD`Hyt#-czFT%hdl9ZKNWt5Z#NaUOpV6a={a&Dj(cWqmi)-3KdxIzyK6V`OjHQ$EumNA$^Se0wT z)Hd7*zwThUBS_RBj(1G4tyrw{{K0B4)qZOQ0(P>Om@cydSnGdr&=F%BnBP?}7Hqh& z2?LCG;BU-WDmk0AvwgwE8)$>qIhl!bgOeLr7k87n!;hIkC3B7ji^e*Ldel+7a;@;x zv4Do}gE*KZ(9XtoJ}9_ycBclVS8TNlWJ0PQ+0{o$s9MMtTsU!NS&XkL=OgNJfl*$f$-}m|_!Gx&5GDgNamSkXq<=JZXJ@)*B~g9fx0pY9g59l_Ux1&>s3bF&$gQpM)4 zYvKcHi*(;Vxt)S?@xp}HZ}N;6;t}90cC`qCw+P7|l2JK%%vXpiS~t&g zI}V)78U+HFqr-Z)lWur`)CDj?xh7z?wi|eecEyu`$m$nt%21?woigYg8_6L7R@4X` zmng87l*Hc96&Qo)F!UC^fGn-p&e>0+@-?;-ozxr4mh*|NO;{{f@JcPRBHyg<%t#fd zs;%=Vx?gOf)~ZpX9;4JU6}dfom8eO@!z^7_Q)k=$reBC#l|&mUDqKeDp4?fd#0IK+ zlU^^kxFc)eTi!ysfaI-oSn_y_v4F;@yl0P4wL-bqw(J}+49;B({p+}{#WBvz&hjh< zh54uvod^Y5@oYLp4on_J{rHUTt0^wWo+*{i!ULq%vCg7eBCz?DO5N~+65m)~6?o5> z=3v_spf>e%#RHQcBYd+WU^fHo=i;NRfQ0r2XgbVPk;lN>tZ@`hD~5C}c$}LYk6!1A zLfBW(8?mH=F@5o_cDp=9W{k8|+M?7{t}?>AITU+?lj4qhJ|nT3lm(kLtuldHr!iY0 zc4Zn9r5q&%1`L1~n357wg%g{<9ZD2Z>7*y<{(C3f*Tnyb^!&xza_ zD>*I_@`gpOZ+VnCpCGeZ%(^CljBZlwpf}XQ)UJH-A}zF2X^^%iL>E zOQ>*zZs#viXDXe;dAfk302LBY!(^(>zG0Z5vIia^$?~&Xnl9xdw`4Hkq-RNQMZzDJ zILS0&Ny4KnD>XrRfQ?~+8uain3SzP{-mwiobpSvub>WnP{MLUn1ut2np1)H4hU-fl zxRhuMQF)$7xN3)xSW@3xGv-n`l3O1War_5S+}DnyJZF49p=c3DY^Mh$<{PLMVcJMwwdy9>cX$ldUBvt{rF^TrX{nd34u^FOz?CgwWb4g9D6%eBTjo@FRBo-Y_sjx+ z2Yz_x+|cmLz_wR+D4kQrGky1#!+ZI`h*#6X*XAr2S9*^DZ9re zTx(lqW7w%-SIYfsjM1C9LMW^~K-ZZ>%tESY!n5WksN^tgda7nsMU^k`qya%IU^{Dh zmIlk!k?OxP%~T3}%wN8yDu%KC2A7}A12k)F&E;#XvbvujdnXX6GyZv8l{Mvi7UM~U zc=W_6e8u-#cLuJ>l%0Fi&y%@CCfyV=G-q}3Iq$xx^K+Ncj0dJDZjtW4JmOF=v>`_F z_=`ILRe3RH@3?R^C42{2>QIUyZi3oNvMoI;YOB*S6W1O@rY-#-w=B4dEE8YB^)Jdo zul6+nlzClG!+vTE)v5}kZl*Gn7=~6pZWM}-Ia5>bzu?v$h z7X2$x^EZYCmX36*1&I=(?J;fDy$ zkCCP=#q>;no+G^nMseih=3Q#7oAXQpghG#hB)DgpHhB+$63I|}!+CcQ(5WN~wZ7Le zOb*%=1~izGCGQu>1Kudw*#nZj1tPMc#MjeiBye8bLX?hVUF!D$q-Fpg64Zq$Xf1!2 zFBMHP?^ea~3|KL_RHBz!JJh!ZlT54UBjy8*ywh|!`IY5%6}!mtiIJxUoDJ|CVp+kU zINaKfx*`%8B0tJGY*n^+9d{FLPGDiLlnaq;(A$DpiYkD68NOf?Q*l6AYvu`24n>|^ z93{2fZ>hCeZNl@YqhZF~OrFXJCY@IoI(nRD&o5OlgphP9jt|_bvNI7MeRCv|t-e&hgCfwB;CE*_6x+>Dpy3`?>_SdKk zDILJ*@|lKa%Y=u7X0bEOdjOR0-w7V+&F$&?)%*KmvEtGCokYn#Bn zTGM-rp^;Mg>Fziva8--5>S0=~EA)&g20RTqiC=P~VG|r`S;H%$<}hdYh^oq|frmfD zRTjmZ=A3>Q*NKw0)`*uMQp?(M+{0EY(}}Xv0<-QtZL3r!>FAbawN*jYS8=0+)K$?s z&+ZNmMo%5wNJ|y9?=qmX2%71ry$`h+z3%)%FDeIV;v;bagO>7k5U;c%yYd0}jY;xV z2RO!RRv6H4-9uEWvK9HMTG4rNg9s+7kmGbX-EnUbK}k76yGS-{R3P(C32I>2>u5A= zsYlng9H(-J@0Z>~XT)tf#EY&4K2-ccEs^#>+%GC=)>~n?QIK0xPn)i01|eYd57Tne zp9lN>31ruRZ+Oe9SZeILx6vsUe){%`ZLFfkAN_?~o7kRUr9o9ohQAjtNIJM^I5SgNn7BTe{X)VCU9=_%Ulr3RxVde?sc#D#_VXc%o z`i{w%VGWjQn|(^G?_thPlD*TcHvBl|Uom#suHOd|(#>*1D11X4w84AO<~XQ>Utw>X zKA^J36vbLy2MiD)0d(c2O)zv(TJ{v2Ak2Lm8EtUua|t15AX$Ys)O1KQm-5|^wRg)v zyVC3@F#gifh%<+>&^W;U2IaTTfIeBq{6(0DE(7)w$8mrg+m(kZITuY9SsN}a7EJF{{SfKBPG>Uxelf}oO6k7CYqB;)MH#daX0uwAYFT!l!X*1E`=$6(kY0AUP9oE7mgA2$A~Z2CC2;xX zBZU9~Q5gCZkch8_;^FMI-{BisDMeQCiC6VYM-6z6YZxo+FF#PEfDkfml*_VT`9!-t ztq$$`@fQq^JPZ6wEssu2Z-NGXjyh+Wi)agxHin4jrRI?8B~=Vm^4U{)gv-!FHR@i7 zz2CsyKrU*uyXO3Oi%?z;zC2@77}Bv=Y*kSCl>l$5lb1?C7z|G!95pjQ0F5}Id9P9D zCBb{wSR~#t@!8X+GM7YjM%Fcw0GfsId&jya;TQ&%4ovP@pW@4% zos0-8uSO-{GMmnz=IAw*%PyVVVJbS!b(i+XFsTk@a!tC(@iRnzX0%wxXlyOm;Qs)# z7+VqzBoz838KBy$Zs5hvX}52*NUP$Eo40kiJL9l6EMxVdNGgl_Ibe#`j2F-IE-^Hf zXANG7K+GButg9ZSqCm@&u~wgQ<=&V>R368fLWo6jY1lra$VmlgRXKl%IFNQ{F3>D# z5}isO$#KIP0l~kR!lTWo0_YsZIZlQYEWR-6YlM$ur41{@rHYvk30LhIgV`xAXGS6; ze8UsuxSKJu0M}_-0;`5n{I&+>mO8RzJ26mB2O#Y4g@9NFFmosUM2bm=m&6e4@h|~T zW!wO!012C)+-uGe@~pABR}zUGjApqYge5_2-{n(f?llCq%tv-(#i?6nI3}7Pc<01F zPRxfxwM?v$3q*2ixG{rlV&>j!1RLKTLpuz9Co%EYdd1xxO*l&)Y3Jr#>~QK;v-cKj zUa{h@{>+4RO5Af9k1udeoREgbs+U*XPbC`CR+T8~m^oJqOk*{UrL2aQ+<#F5w=kVL zsr{@OvG|sO2Y>t-jtPg&aN&UFa}?nV(b<$YfbWK*CE692V7?JCeO58nQZk86Pm|Hq z8o$^Kil*22bBy&ah7|ASB}>q;t+za*nuD?$dnWlWz?8J2iVsmDFmrrdIn+g3;lLZ1 zyV^`k_Fuwe<6sOhaXAG71ao5qQUDWA*N8cw;O@*=WnQ9>cS`STsvq(w&l zDU@gllvfUBNaU&R#;8ZV7qQ38LQe0snEe`-fFl)BigbQGM~5Z(&zkvT-&DF0LJQ~+ z(FbLDRUDGDEvs1U3}DLm<`(kZM9tVol<6TR50f&LFcQEs{UQNU+ri#Dfk$O--^;?Q za|#0R36pzu0w7Cv0_kTKnzy#Osd_xh_VD8unQ)(G7KWI>KU4tkd|SA{ zE6xq9@Xh!XsmER+TTLUrSdC$3bs24J$55VyFJWWpGRuV)EGAJYh@pxv#osG-hoH1_U%@YdO z_YxD*L2W3wHBAWWtS^{>gKHL)=-1q(cDEE-)90PQv+^UW_+|`w9J_L3%ejba(x~3A zyy~;@^xZ_X8j|%b>QMpg&zk02w%`*`=gQU;xXGMKMx8qmJaZ673WIpyYAf+PHaM2A zaO_jzJ{O446~>|RjI!on_`Kb9D=Dvzs<^T0KSJL@S( z!P*JoeEiFF2f&)L=E&g~vYG2z?0Sy%b_Ywd-c+I#!e=XZQ~I6Z6SvGQ%0`hPS65-} zULj+(T>k+2Jiu6DeoO2Vyj}0j{v*7?8(AxqrbPk0X1>zKn9 z6tzmL^%botl?%zPF$QSqVC??@7gHFvB&$&W0NAWcv*19v5Qhkm;1`^`wsW0Li#;_` z(PgDJzc$x0;EsKr-QJN-n7-DOEgNmULU3D(f;^&?Ra$I#1j)S1n~r>`uZL2>XGuxV zM~T;Jy~1HGl*$Z*f^eIsq|HNGCN31ZcZ=kvB{*&f_8CrU0~Sef!;#|PmqqNDo&EC@ zORnU0`PYeUe~gZI*v$CKK#ROgvDqrj?PQ}Bb?1&I-tefgo05r8P$Niaf3(GPc;UzE z_W_MX2(yZFQPiMEiMc^*R?7kqinw1}p%pCnpECvv@*r#hN5S0Zw2%_u(lwcF&E7yVx0H&k>5rl%*_J>yVRS;U^9Wlm?yhCRq+SZ@ zQt3qeI(XYWd%di>_(DkcqK<3O z)V&lE?Pq2$i1s&v`7Z9MaT9BWwo~RFpeUIwxac#%7OH@i(ZFl!DFypC*lke_n_2k7 zo6)!gg1H0P)D$SaY&CuPWe0_7x&i)-&jlY*S*Ngwm^7^$cZm2POCy%66%eSR>@HW} zmWC`b!&dBjmsyF=TwVrGP*SWG;IVdoP=60V>jbgKw=4y>_nA;h7;KN zeqh&A9bad+D9$Ntn?LNWQ4BKqbto#myBj%tKsFJ%EBEm-s$hC8pEM6kQk97HBS#5oBnMWk|OVTcim)s7&NIuhOeO0&$2KAD&&iw_Wn zfu>w0uKdGLfL}~^*M>bC05DzE(DKjA;L$I~3`)chrJ>}^7L&H~U`=n9W~HxC7ZmVy zJ^~cMk&8Qm`7MUAbQUbw`sgiIt|^mo>WZz8^DTDymupq6E@leuICPtNCsD=U=&Ak_ z6v*yLcp@c)Y(2$rrO?cWIg3XYs257(+_F$w25r|0DA zq5l9P1`nr`Lhdaxx>?^B&D3&o-;M8&h9EXjwZ6B1GaU^)Wv+@b0c2a3vu9&g5BG>Aum(rx6;Tq|aY{B8fxDN! z03)H(UBMRCt;>jBqlAVPBHt%|5UJFyoFl{HT`bG*wBKhu4E0AIz^Cp=b@?pHQv)75t0{(#qQnZK-|@6CmvF9dMeHdEzrB%wPWag==cn zt6n|JdK<6arzLBtjc6)WKkqXMF78Pmv`G$r)o@Hri$^m#RaNTYva^spf7tx0 zNMr3umJT}z7^LOZpTxr0+ane_B?xM0%`DQ&vGBTd9*FpATF9D&e z7^XXaGVL8ecJ$P;YHH#hG85l+Bm*tfx`qcdy9aDw$G@k_5gk4fW@FK;rN!YQmQQKwG{=B zER5$qpc}BQQqk5#>^$1_7YtUe->OcboF#;z?2a~U1Nn|k=pxUFf>!|0MiZz40Ab=% z6u%1|<{sCCFn69>h6FTA^GYWt7?s+)jv=e~sc_2+2w|#uVnAlqD?U+MJkRlh68``) z+=kSpJhhq%_lir|d`O8oSgg6Q=pft~n(#VpNDew?6JRM=R1a?e4I97vE+Mp9?45kM zJ-d|4LR5ZD{7Nb+(~73I9j}#Sor8e)1Yp_%*AeDl*)R2(UM@-_@`H-4P>uZD(aQvI z<~Oubd=*Yn;22d6M07%40QM(Sv zn!?kC@!|xQ(F~n*$0`B6vs}!~5P2-+^%9Sf3Z{oh%8k7#wAV3BVSvAx8KJ6Yp0{o# z1!%j<`VbnUsMYvlU}n6%{{Rudi!@J#s+R7Ykl#=w>_O`0P+V>0@x;h5qJ;~VhLq0< zc5C#6{jnwRm*nH9iHevLk6vmxq5{IDd|WBDCv)A#7%D9ZU@hFvjBd&7x}HA}d0$A{ zK=?X72~b-yUCX(LGMuv8(pXz%O6Y=6-L_+taN6zx7fm-ab%$mw5RuSi{5~`inCnus zsp8OPx$TK9c?-scmeOM?{Kr^d1ZcLD_C?d^3wlamEiGs;vX(=|KFE(E1Nz3w^BZZe zTLXM@OSjoz2f0ci(Zep1s^kY10E%VWMWCkLeqExVc+vG z_tR*bd}1Irl8c>diGdpE-xAco(};d~jhMS?iF&+Ts@JlH@L9f`rEt_{+(vCx+G8|^ z4l(5Zd~pHd!an-b=`%~KWBBHD+#%I{B^^?C_U<6QxMuAoEf!ZwmLlJf%Bn@@s6e6Yhp`R zFh;%(WO-zPW({zO50g3sR;`pMSfO81>#Z^YS6|!(j%jl2)KOZHEAre>Eud=dxM7wD zL4SAZDRg`!AoPL>M#<~85daH{3z{Y~+|G7k+$q$xRTIn}(hyZ_XKT)3S)`zA-??3O zg&YaVJ2yCD3YDzV?e>FZ0d=XFIIF@vJQm1XLU8Jq4qO{%0aEkZGNYvv>W08tyW?htT&KvRb(pf0paP4ByuJBjV}IfSb7 zrw%3?4h>AS4Dm24Y{3;xPRN)v5OXVkkVe)%((??J%EYn-(46N16&kalUu@ODzHiDp zOn_?ZTTWuzXWf<)S%T!97SzPM0Gz)~Mxm@tg*#YQ5OWOQxT|D^r(wox%voxyc>eV@ z9ikBh)Nu&GJl8c8{{Rx;$-8CmFvjRMUVRZ42MbMGh}0KgREW6fLc-%N<@8>hm3iAa z#>)no-yS1Oq;$bcpVSeJQ)qZHA2UIO?Ca8A>S>#%2WJDxFfZ(+Y9qXcvo}M`DVhN7 z_b^!so8-_?R7|oy!oCp`NXN zHwq&%^P9c#Q93?BHV=W5w~K}{7kKoy}Yrxw1HHr;l0$tZ6y7x zbr)@kJ6pj~5{75GJwy$q@MdKq=bXC-dO&5elQC`#=c3;#Qtg1en3cm)!|$lj^DO~) zZnG|;*|hR%1*?5W!h4q8M2!%>Mpl7vWk|P&LG~_g|=inOPbE;rkv~ zn`I*}6FDT-UK%n+9x{kOxa$u~T7!raye&Ms4#-=!C|R6@F2#1M?IH zD<||3Y{YgVcn6B5PN>zp4>-7r!a8Ql%{(ePM;$|&$^%Nk&2nmG-PqHxYrt6v$P{5( zc^>76?`xZ@=pN&xeUR|x1Q0J#<_Xcr_$oa@u}mFGjJbocr-EQE-&4QOvtWG3brR z29surcNIm!Qr*yU%7T{Cpz@poDkr!(N=7cnSEcTtU`v&@3A4&}&wM~vj}#uFV>`A<(RbB~}@VRF0~Ly|yn;)LM@ggif=iMN-G}Gn-;*-p!GAkUe}myCHchg&G?l}O#v`o zYHncH+(M@_*@?2{@(t<3D$U4Z6g^fC2Qe*T^;aamE>=fOCGqmkggNH|Ytvjs$Jtk6 zu-8Tebp42UoPS=H#$+|=77PbsGR?g{wR{Z051?VBsIDhFqrslOCdaYU!0miYPLJ_F zlbL^R!4~10+S5n0Amuo_9$`D-U>FI3D!Z)vdWcH?rFYGzsFxfsH&WiL&vDVvB=0ilNZ<+iRY3}{a~r+JJ8S<4ew{o52w?LJM@ z`V(ToY@pz;JW3Lj@0+S*8eJ|P$NMBpzZFuS?cb>1FQ}rz=$vf*qqezVJC`fYFe&(n z)jWg{I`4fvO_us>p7u&CmRb1Cc6y{^+#?wkX09Va_~C6mMp;8>U)%;-^L1Cl9yK{1 zA5ka(Rh;`J4VY6m+ZQ9~MxO^+g3<{D{IdaVMELP_arM>0M|8WFM6AIUdzq34_c^43 zk=Wtk%)AKNsHymk44%3)@34d6_=3EUN9GrMX)UK|#9-@~%78pCLP{a&i?iO_yRJ+Gj;!|N| z9!K9k<*rrN(Lm7iF_=2^D>^1_>^>ivbSq{6!2~H<=kRMS5EcJ!*0_qM3Wj66^%vU|RySoKq zu^p8_-9`0m)J7q>?%<4^Yk=a0Mm{D|fb9BfJBRM8I@LRrSZ#xW+tJM8U@W{Z?Wu2f z6ednxOj<5<50;}qr!h^)i(%evh@Jlco4Q~({yTbQ(-#DXvt&a&EmbdXnuKfyIw828 zFqdF@jqy~iJ`BVzgWbx@r{x#@xWD%$7JO?=w*Kh${pbFpD7L;>0NVY^_DCq^TzO$U z4F>$kXxUVC5_JK^l93DC>|WypBf5_{jTLts(^#1^*W9A;xu=*bCgqqQweBDF1P)+w zvtf8JfX>ZBktj}OO+x0=NrF3NDD5$A`At@}7Z{~&-6t@7rYRYXmR(w4L1A>n7{h*o zc+2HL1xeE|l?n<;W;ofbWkdkwti6;M^kqRNyR}i zGTmOUE!V_*PNOSPR2Aa+=RDB)m#h6kgwqN<)gZIQE<+$`UN;EvT zm;pd&CQj0C_c;~65y+u8UM_1R9)y3C1WuO%xznk37|UdU#*txxpM@&YTHE>n1d@ zl9iDwsU&9;bOzW~)hD!=g=`etrvSIc}(!s00=mok}%Zd5E~Ul?T{+)eC+@Ru+CCOT!`@gCZV zaDm>>@WzNX;wnSJWnD~n7PW4eiy8o~)Vy~n9K{w(g}*(=%mQJ{ndz5i(!o~$08yg) z*7|{^nZz@jsFo|9dzOe`#_A%q#v@acz9zdt%%D<(B){qn6Da6mbo1?iV3ABYzQR^1 zN<}!Vu`icF1#9kQA~!;}&;J0J7UIgkEaaAqa8xbixU8M6Z601=PgtdEGLm)c{{YF$ zS{LV>%FZvml4U&0hY3OFa{_=j3R@^FC2y!911pQE!8NBLr;w?DD+e}|f9xhBG*($t zxM9h37G~AqA?IR>=(1#I1gmZ?J)StD#vy!w8x7=!+^xcxjlziF!|o#ToQZlBE?uSA z1#^6-m=gJId`588C~}A@sK9lwYUVCt*X0XzQy_2kO`&ttmr;J0o?_HIZAAcC zWiSV_I+ak6UVbJ3yFga4{j%w*(Sq7y-e&yN3+bb_cCzs-ZUgue%<_PT-0V`|yEF)+ z77n3w=nS*+FlR$8t=PA&0$&A1gJWv(%q+@!177t7t80<+%NtZ5q{KCNwFKs+BE;@b z>SUqGYc9_+lLE#?dGRYNQF$bq?Wlxrr5#I7+gG0)?aV!l4$CapxX81Y?SBvuiYr^d zczTJNXf4%QP~`!yD{18S9+?$l`8zyHx4hs8^n*|VXt&97)j7dZuQjaVQ9TmXO0?2w zs@}3pvNwgAx!rhd=p{;4=zY0}IdDdA#B&%X)0f3S3&ne59rXeL6D4)Qjz~U&xwC3K zz;qN-O3xUD+2%NEAIx_faD1U%U8!5w*+JQ;M%F_tZr1iv9ZR{y}@f*fm z0*!GVcQsZ)*Oifk9aPr*N*)Un6s%4-6Y~e@{{Yq;Ms6&d^R&jx2QRSP#B76s#w&2a zgrscV{%7HsT>EYaIA!pwexP#g4M$_8Q&lZQGp?NR4j}J^Fg)*4s~c(7IB57HSrWGg z`MBB2AdEi@GfE$x)xkEPnrn$fMLNeaii@^Vz*2nDyrjJpe&F#(^$j5w8>7ihyu*am zB}XE^>J0k!eY9r5a=I>21&m|x!M*M;h8jcM0h;u+wHgPf* zR&E8opam-)B?{iVbq6c5ZG!JMz8Ha!L0T`u8L74Jdrv1 zYZC+Bw*i#7_9d2b#?~I5;t0|(3;zIUdt(Ysc5}ZlM**@8_%VJ&UF&69?&XNgaR9*% zDvdrRd{a8SyWt+6Gu;j>BW&()4HRXB-K|gUi0^Z{Kp_S-qHWsY+{zTlUC^N~B2j7; z2w88Lr@ZJU3WX>S<|jZ3MZ5DZ+{FI?EPaL-O7GmHZ3SVI%vPcwV`W`R9q2ZuSX!8C zjNx8Wfo>s~^=zn-u49rCb>A#gQon=q1&G*F;J`SVH#~V@I1;L@UvvBOT7a^35PiWC zi*}#g6f$9J2wGoO5UvZvD#WG~P;&JIYm%8|0WUc;!mUaxCmF=~RQ~`>$lBEx&+aqP zf%!#&YO#NEfB)J53kZaq$8_6)pt z^xCYh0%l)rWNP6JC*GM(m&vf})$K&Yr)e*KaZ7WDWA4fX$ro;wK{?qXP=8O0x*&-( z5eo^fv=@UN_X$jB#CjcA&E)yBg8KMXG)9(QB8du2VGe1kAQIN+GpZZ5QAfCZM(|;d zb3@J?_$j6i#ILZF7~k&Pgzgxw;qSsz6Ap4ODOplIJ?wuBUnv|ogR$?FucE%EeURBR7utCH-r;4B78irFv2!Z5q?;5Sj`_Hh{TKwy=$-_cF1 zw@iklmumpZR2vcfu|YjW&x@1cV*?aRitB8cF$4K_K#51SN_yH+ z?5l`hzce4t(LW+6T(Q&U79zq`ZoZR`%b)lmG$YZUy~6$3 zTpo)&Z8caA_r2opiqM5`I2Y4mk%IpKK?s)+$*g)HF&fa^sSNutf+lFDAtsLQ->Wc> z)w)E_^K(QGl&&}GMhtvm%F2RqF8=_6_+l_h<`4%5a=dtGz04BR@xr#mnamA+qgL8p z%1YU4g#l65u0%7c9~6L*2<8sQly8die_CeR{=F$wg0~|*6P^O`BZ`K3*4}>h%Rd5$AS!ka+=pV@G}!F&yy$J>o_fQYoF@{6 z2t?&dbCS)kgri`XX5&*IZ~GiP)LIMMEnz_7JNQ0BCPPQMNT1aDcs-7~x3{JAxl*)4 z`qcjbb8@X+A@@uX%v`4h52B=P`+MFZ=PmeSOG77#5obkcoQpK?!;H|bg#Il@mc!Fh zik)&2$M?W^Fcy0RO}QCJ_Mu0s#X81_J>A00000 z000315g{=_@KIrLfswJn;i1v-5dYc$2mu2D0Y4B1;--?rlbBSw=J8W;zh-PYQdH^8~+8QqRC*R+GUYTyfON_{=aS5v>HK@hqx>EGgDn)k8CQ zq@p;iO3gD(<#d-96wEm#)HzfrGdq()T1G3pVOL}Uw7MaUBUS`((m__!q@#+KhPFDd zK;4umrpB)qZv!xTA?vF7xrKBRlV+>R7Ys|P`+H_nNUi3E{{S&vbE!R250iLsDbP(H z)S=APsGA@fg-q%*cwJ@?y`|YLnzaohk{HA$n2s?3r=2BiLkuFOAmTTpDqiyINEA~R z(`4@~GXV_IZUEJKA1;BJnj>wrVEJ`r7|=qj63|A=45Co@=h9uHd~pP;4_La+*q0U3 zAi*6sS#a%W{67qE@r+O?l`d-CzzvYOz9#X*TfoFMDk??Um70#}sS>dZF&$y85Z>S^ zE2Ko)3#*RIZBEb~V@06L;SvT$9%Xp7^lb-H7g>Rv5`oiQUjya`nOnYps4QK}GaSyP z)*j~HQO3<-W!aQV#o8+-uo|k)YGK)$O+M)`SqI(G;gJhb*C@7~40^a}vt18~igK_MSR^;@5jWUJ*-B_lOY!Gfd1& zMxc}=#t8PEr*>2c9d(yU4PjU%wV0`-mz!l!Br90&H9{mYETjv|ItXA}vDMH#*rUI9 zs_Oc~-G_OE@VfOBX>hHwI|667rx=dBergRZrk0M++}IhGydLg1vPUfrL?)MHN*>@nVpDr zWg1FGqS9hiI%M})Jqvuv*6kH|lq0<-9-Z^FO6qy@FG%jUnOTC>yTK$z#*O6}Yr+Gm z_b9w`N&HY^FLlxBleATBDf~tl53^b7@4Xm6!~=Diy31(l(U~8H&s-(pY8{R|2(&=^iVd!&E}}O%_UyovTGahZd2vR#W4%f)$P)ypm#k}fPK4^2s+ee( zrSnNlCE}(cu-PL^WGi{KM5qctY7+*)Jbs7f2&SI<^TGy(yYX5fi~h{xPvoeb zJtov|DGOG#KBfuPg;H}#Lb@QOIGVMr5-&)ou!@RA$q9861HhE?v+n}Oq9P$K13OK4t99Db=qk z9@hhc(!Hi)F}v5et#+x15V;(Z_44A|9MURVv;lFq)UDm|&qy%5+909`*z5H%bg{9N zo7x(c%tn}8WxKpkM_|&uE4s$Mp%`f#T z4D%mxyQHIsylk@&S~;W&2oMCMW9El?xc{@h-q7g&iSaQh#KU8L=gbXT6)R$zU5yeGo zXdbP;fJH}zqwf47>N#AwV5k^*%Z{ziYc-EZi)6{mm>ZKQ2RZQ4EyqWx+H48SCw!WBB_(sD#vxcP z0a%At3wF(QYcMM2lf;#NQv-=q+!pZw-X#?fN^Y1;_fLFb?J?KjV0u@oT%C-yfxp!7 zjbgNo^_Bvg45i`=Da|4#FPMpl#a5is1m91n7TV$#E^N$HaRIC|EIFj=E&_XUq6^ed zALL20Wb=)P*@-sk+ry-?V1FY8I!fn+$zjY&I6xrXb9e>Rp@;%0(mGQCs*E#;Yb?xF zP0T=O7x;<}gVu|Wa<9#wnQ&aMT>?_U)9M2z(`Te>ZKg$a`nY1q0h2r-fFJ;;lrf6m z^%qDjDpIAe4Wj7HV+&fC9Bmq5VrL;fgXCL4(0`-Ggiq6sM510f?+t~USyi@`ep$kT2Ne#n zk5lXy;EXLHTAUBgBQ(_RM084(Ekct%J4N36v`bTI@8jk_$kgFw;@g^NHrw91TW z=;0^^{3Vp`ik$d-Oj7ttU_cvXp_l=z0c&ZfZeY_WVo?IeVJ^VdDl;luPj=rkev8^( z3yh_6M==2T{)&OuZTQFO{{R9VHJ9Qm3f5@bztnlIY{5XNG~zcmxX??mxOHQ!*tj=V z!}7nVMEm}td&l3Nu%H>B+i7y%fiFj`bKX*g*ZJ^DZo1$siYe>uGL+2(Ag7P=G-Z{T zFbEcH8(xz4W>h@H5u}fOS%zRbtQ1S(1%-ieX|!()60|0=9-sMY*-GTRT(T`G8T>_l?fgd&S3;B+jye^Q7GJ zfB@z>=>bqGp0QSkF#^jan!M6F1~$SaH7vSuF54yalqE)3H6|3MA%S7xHEMJ^^V%yN z{{W6$8afGLRi*PtGUu3-q;p8r^ff+Dn0Q1S6@JgCHBguO{7Zw(%8X(Rm83?ps36@t z5wXl3vW1$!yrlPp7RBjs)X7*BxdQN~F1jmTFh);uPv%aG4zC!EG}DLsRNgs~W45 z>KH{kpCq$TE`|4t+Y~@gp_Ex#{{SK@ASqIVM&ou=TQFOfrKrBdpgj^GPxiPk9izQD zQiTY+b^3r+zFt)aXPQT4P3~h<3QBVjOI+GyE&^pWcOj}~m^A)C7!X>{@K+1ShFeI5 zw-Tb-?R`du=>zQmSGGK{5p{skreYfzS}liuq9CmAzf|c49B2nW5z3olIzTqfK^=1* zPz8+`)DkwNN?ME7Fc){@^)*}CArRI11299J{Yu?2u(O&=2rSmec)jAgS!LUrO@s=g zq{E~F^9_kjhc=q!is&9<2M&_3w-KwjnUO(3Et5tdvdlozbyt=@a5L^)2o1%KH2lYa zntefaSdHW*vuanj*%)jyEmgapXev~!imIMs6m)dwgvn_C01d;mrij9>@5k<5UnmvW z5q?A5U<3(~cYer=t)eY2G?_TsI$O;qYYt)v?F@NNtX3`TLg^Uc7OGg{9})E|GRzU8 zP!}>3RJOhTrf$Y1;VB&206XW?>6Jc5#A`=VCXkrG+6WDHn-$yQ1iqh9gF%7o;~OT> z#$aS)ftFBa=NHTqqbsF@B1}5y0ao^x2h@3s_C$|r^_MbC$<3uj4zbeB;X^PX!-Ye-RGr%_VhCXhYL)s4XT@395X3 zpcLx`yX*BTfQE??Ev{kehc!EWT|lU zA4K?BknYR{_W0ukxrpbKR@XV1l34=VNr5$O_}9uPryEQH-jSmh%tQ+7{F~>T(hXSx z+F+`X*%(<>tTLsUHp^hRYEl~@mh1ePx#o}!4J`J4W3uf}#Ip>=ifDuk8_c;mq;uUg z23kW7qzf+1pzg-P<(o{y8);0|oWv`ho~$Rd0{Ti*`vrW!6n4iwBPxz@maN2Rl(VPu zQZ`~RIjj=JKn;GN1J}>&zz+WasglPDM!!&?E;LolkO+jQPvpQQwuX{{vKE&YZS!b_ zs68Snbq9i{pNU4@$KipKh~aMk01#rPrE_Uo4rwuh<+sO4l@46+dO$WbVi!rPY`|t2 zcF)^LfPt>_D(nwmw7J#8(opy%odu_k&LGhalTqi-nESzaB|^wmc7?&6AeLOYVN6V+ z1Ba*7AX&{N3#1xEbeQ}m7=rR;jo7imp;t*XDpJTT3^vLsJt_E?F6ZhJrdaUQ$%25m zirE24NWdjPwz!x5vHn472;K{aG~Q-py$tr!61A*&?FXiy?}A-+Z26sMb>*q~xnaEB zdqJO685e2RZ_k-Z9^VkK65R(e01FI9zBqhEI`x`n12#pW#*t|5mA6RSmLRLzAjvfV z)>*VxVt61IF%GhY<>Tf&4VVH=<9JOENt(6N3JgWma^^WJFqJlTKBEX?36{Oza`5;; zK-bvbMY>Tn#SMQ*vDu!tWg2fm?luk0s_F6F)Z3$B?;$9gDoJlej~F8mf-#g zb{AjN#sQ9&?e#PXd$NlsW>)L~M>HrZ5SOf|3+5u}VJV9I>FpOmA|uT==3)FNr}<9c z9y~Q6f!{yB&%Dy}YKck4mjyKgHs+9KmofW-QSgRA@_=mv0~O~5JYP{IgIM6qteb#j z5kvxO1(Zz1JP1em2nr40Ql%ja2nld$Pc(ov zR}3{Q<&Ku#4MMh5?M;3OPs<1%&+FkQXgvnAGhJhn2Wb}K5x!|HS$Nc}VCFwiY^u_x zh@Oz@%T%k$Yw&xq4N zR7FhR#tKb!JHf}KWdz!}iIg2aR7OFr89OcD$b9gi+r4bWPGXNaIlAO{PQG{1% zf|r_0MwTr$9K;Gy=8!>Xn6e`KwcjxtP$fWhG3hW?$INdE zhcxdo!u~mM<`!lMU+QAhv@Y8QEI-Mpj=s}Dc7+F-64P)rHG);eF0{PN&hRsRWmV$` zwt@Kcm!nU|_9%6u#H@My$Iz2g;vCqVBU!Fvo^sc7`Tc_J*Ab+(r_^u*kMPhZS?8@o@4OvT_@PJ3FnA#6S zwOlk65~*lwVCpp(5rOAh+xc9He3~f2^#w7{*TKIQT{5k1(rB~)sZRZXp2c))iO#6e8g@Om?mbD+zkH! zB8iD?4aB_lfk9#pc8e9Oh-AHo173fIm<*UIfxsZ!FDEC@RYc#ONEuMV!b9^+%aqp=`E?5oBsesqEUiS8b}1P;Q=*Iawhl8 zLAdD^@M5D%Cmj92v^PcTv<#0nhPm2Wv&|(_oxPxHw$s`ojotS*v%Ma03v0*H1XcV< zDDy1KJCBq_pwsFiqAO<7<4-jI01(b$ccn^bwP(&#RI-xl;j(6`p2 z)g&nccJL^GZT@YTH>5eJ%3Dp;T-$ghD|6yiiscZnb(u;FUVb34NDW~eRZ1=(rVSM4 zkOA!ekEhBs6pHtA_YCT4X?lI<)&=khk6gF2}W$PZLYrgOhMUzOluOzls3F9!&38+jG_{6=A zk&@PLUZe&RDdoj3xY8k0ztjeYn3SoFKA^E!7`P&06=dnpsL-j+zZm?*BR#z_?HU2$ zq{0rzr*8rBl@P`+W8v}gX6-$?O>Pm}Lz~S2LLr-W#6%+b#hRn$MZbvIt~xB$Nh!E; zDfuTrM_kQJ!T$gOu8>m`LK(}z+ei43Z%MJ~052%_nH97@G7WBWqiY&Ql|)vQ z!-uEpc*l`x)GEE8g*y?x?!BMavm6S-847M`H8Fu0pN^4kAh+->t)XKZ?mBg^k`YYADT#C-!DE(c$pBYbOK~tD2H;aLTSROziItSz zQ+bxAi;7W%FEnUZy8fj=69nS^rG-(yC&CTnmO+AAsb(_piPat~2FQHOL9T@gh=oL+}L;vr2OkShLu7}gY7 z6+qMcnPh=ZkzsQRCQg}tb%KqZ)&3fk=zK#5E|7MM_-0z8FSUEc9Uq(emuEdsl%QTn z3u=P_mBRGrgkf-aM#7f5W}qzIc!T;Q_a0oGT>3x^5wJl}D<-_=vf$^mD#>cmJ0%28 zFhF-djpIV@q_8OSjH8XdN}vaw?S)X%t6~ z#MN*LR7IDVfK_uy07o%XFs=R|3P1!2x0^sVdP+Yqid+SV8ia1Rzlca%%uSh;VDR{s zm?o)VPH7dn<{;Bfve8mogeN?vilDi9tYv!N>T1T1q*iW!|HJ?%5di=K0RsUE0RaI3 z000000096IAu&PlQDJd`k)g4{(c$qBAphC`2mt~C0Y4DEh-_wzK^a-1MHM$j!f z!l+{t*iiw4UpygoAw;*MqzLYHW>~o>FNXL_LW}&ALC%vUI=+xf3+VyE%RmNM%grHz z`b#K}F$6o%vMiLsmn`a;YT#BSrnfQ6|#|pnA1oBOli%+!r?V-4XO9+6`pONrHv-7()SYtvFG)QJJbc13iN4spUL0Tkv?CV7Z}QfXJsCN3)` z3wM`@MNu!V&;>zIdcy9eb7ZpuY(?H-j6&uw6AoCAY|FJJg13FYVZ!AZeLFtm5$u^I z+V1ysJ!YC=O?~|!X$Gtu{F?_fg&N|k-coAyi+We7@_E2evk!H{1a`Ylz4Fo-Y27N{ zU*rpxYRo!3(jmj;00GN0eGpP!cfntOlI;hCns%x8w#J zSoDC}*LTfAf|EdV&E`JG&d`zUKM!f^4Vp`5hvu-S!O79yTY9gKc|jM^h0RF$JSNJw9}bw{;fGYtLcUC{Wig$`eK` z=X|hty_;O1O4Due0ZbF0ozkCQeAkM_^vRC?*kr3OVUEFs;V4x}8G{oJw2IYh+56T} z1{ZXk)6xwn%n0@K?rPMbgs`+rDwR%jjhsz2n86O#6oA6(GVX3NgGe-7!dx#%KGgiF zWgt;-Ow3M$q4(Apv+4GQ^G4s(krTf+n5)Znogk_GdeC%VlJf4kz7M9OkGO~whjr9 z?-;6O!nPTEwmevY2Cwp9kO+G7lkPbTfJR+vrseLr(oJt`;n)q|V2n%b! zX0njW^XbnhtH7>p-3qEr{=T9WYJ2=E=32|A(fKj};t5gBG3yS6^7AY=cVj|&S>Y1d zhfN6*gC^cbmuz6srE~k=29eqGUec%!H20VRSdAz*`r!+5=zc)WZuEi|c!dm02BB&m zX$mmw6$DHuf@{_Tyb|gFM!LgVl|{g;veE4U*a%6g=kvoJFcjr3sB8HCKp{U25G?0C zA-HKRcdggtwhW)lKm6jFvzVDL zoWuy$H}d)PfDI3JE+QTTq1fd|eH7cuA)NGJ0k8I;Z46iU@&cVJes)Vly?>Jp1HxLW zDRon+Hz z<;$8uhxzdY8=7;!thULB5J!(?Rm%!$>(5WD_rS2GJoTtr6_XDy&(2_8X%>OYh*L`S zmUFr)qV({sAQj_oc*`Wfv#%4>hLK?a?VpKsm`QTiIlKi+Tt8x3Ilg#Cs_U4BcOV8_ zM<6+*LrGb)cn0ful`daNP*lVg>fxqf%!DazgS?_Pg~vo1S9g?FqX=+ZMz5EoLF#+{ zeN29yo=_PKm81Y?XFg&m7km%dw6D7B+4BWLwd(T{&HXyp_F*~x#)Wn1;ctYbb>3RO z?>^y;tdWCeQhpzk#d3E0coe5DqBf2FG=XZvq_Q)WMWVNl zXPQJ@kfsT_aHN-VsxN7@EhXL|lM_bemNy5lKg3{(i&*f)oj!d&-gRf;PR4 z`7miiUV9Za1JB=R5XHFo`HfyTU+-it-Oq#e2y^Yzni%UF(DB}}nB_sU@Pp7=0$T}|fv)kJ- z9v?|!F&9oT_beC7o^Z1TucsMj9%O(eb6*iC5&}8QMu_5G#t2g3(l*QrW)NJv*Zfql z^z7O5o&!XvhOm4?48slDdvfI(yZ%&e`tzO*)YxW{P`+g~2eJzz8g9lho&*U~l)f&AxKZf{?WJG#pThP?jvL?!2+vq*oq z{EtU-l>sA-d%-2IkpjD4uP(@ywr=bD)?K3`3zeqckpaK=jI}SCM1^WUAwiB}G=%1p zHE6E>d%?ZS2Qxa&AfXD%ZvxQ+I!nDb#BPx)yG#6(f##9!_4=K#bJ80^wVMYtmeJpy z(E&Ph+EABFeM33{tu=^Jv68-@7G06IOZUgLORW7TypGX?#yZrW+A5$kgps1RYK37M|xzb=IG2_>{mlMQO zt^IU@CVa%eQ+(b~EcQmh=#2rqTV6JnW!?a43oy%f{{RKNLtBPY=9$b#Oj#ag6@w8| zUVzb#cf(jSQ9K^IKo;im$mf`hqhrGK$HdE*Lr!ZEXPvKfTE>rWHmHKBcjcw{MuDI6 zf2cHRx2IWX)?K^zeWqiLraLV!%a<%|xAG~qwa>0xOHXgau1=i$j_~Sue(TwB1@}J? z8*ZnM?ji}%UtaJJO(sX1Fjo-eM|LZDPKthhLkc;xH9JCzi<>#51vDVhh-*^SOtQel zsfQ4!Bqmg{$50nv*a2(IK%@y&_DTSlFVZz>pPe89D(SyD;Q+T7do}mo0HL7wx_+Zw z>2o1kar^6us;eEn&;e8NtZt~K=f)vs-`>5OL>0opD4f%s^D?#h@r|gkK#YAyKsR2v z+B|2c*VLo2OFjMl<)rLA%(dO!N_V*MitDEX+I{qfNvx&Ra;8#O2v+@&Ee02S$JAPC zXakz~g%}LkyxJBb#o7pK16fd6+Q9AT9>mIeN?UPp3SNG|62~0kKDls; zhChU2w=|p{uwI-d^pDTRY=Gm(_Esii9i?#LH3#osNkFch`Obau0m{a&-=4EbkMb@I zB-qe>$`oq=1e9Gtih*$4sdD#~9F$6Lh%Ma7oxdiA7$;-e4wuXj!l44UhKv>VbnZQ( za5(4BHGt$aJhXr?sN2Iapx&B8TXuEq{miV3nfHhgYuD?UxkVIt^R@{A+P@%Ht-f9R z!9a?$>Ii|G2)AL);KCn!^Mp&r(#6M^`+%z=Y@IBPbC(%*?Vqvl6)Lv+{frf*2em;c z)0mCMtJCrmxzb&F-t$??i)d96EDHoSUkD0>%FN$%OeGg(H4l@vT ze z=pPSxMOb$opA!gh9CqE4SrmbM{2~s+QPA%E_o+jN9QTZEPp{sQ#o>O9T%mL7T${RP=pK;S>na5}8*uVzHJ{XM)M?RocXgej= zIH#V(jv4u^BZkf9zec(N*Ee_$67*#V&a`TQ|%11LlISiVdsGa zw@=7ew%vX_?}Sh}>j|`8bG87bn?DcS-0ZjplMAVXjS-lu45lt4im98HpOUDlp%e?E z$2gR)+&zL@Ru-wvA~M4N03hF-+8Y>t%vdyrq2?HhHE@?!=Q>OU+3)6pfT z9sU0RuxtZ}?(Fjt)DtI{Y*yE@Q2~nitLJMiC1`m1W_XT0Wzab0ARt#R1+>PVk!%F? zjRRAtR zrYdd?9K4c zPZA(b^WFg2{vMDh6JH&)olfu6vr&TI`G9ts`e{1RAR_S?ft2DZ2C~wIVk+5nu47x8 zM0JEOt@;oOy}uEz4?{AM6i6`3zdV4UF{$8>57zkV?2VA>5d?=$r?-N*-8r1Gfxu+rIv zE?rqDVdju&OiE7g5YY-L{{RaxZPPMMWmK0U4)mKv)d#ctE`5HZ3(R}CWO z#9IOtw;Mw6b4h!l)aN=!ZEvV^yB|!zv^RyYY4IA$H?&F4=~1Q`r+P%W@AH&!l(@#} z434Bk2^CG<_k;m93?>GcuYh^SL)k3Tj&PXCj9f~{Z2%~COki$cCY$x*z@YCR?dL}+?&ircpoEgHs>IwVV?xB8Dk9(qF~Q4ln~R1{-Zobi@1vagy&9+iy^RxEqug7Jla&-q-(hjma;lR?gA}y4FLL7-{kObDX&uE3aob-!pIiHz$ zcEL4b0!I|)Gcx7&#JAcZOubMHbb?!A0-Q<%Z;FD4OrcK;SGUJ5CZ~6F8=XEs!i~9g z5?lW11lBYB)?ghX8o7~AKS&3B=3#*~{zL~-OE@%h0D+lTgI}70ql}#UfK`sNkS`LJ zh>9>3mQiP>lT(eL**bkhm`#tKK7A!)vi@SZ*VAb8Z194KmAD0^g<3i#HBkXxlEU60 z%xhhx`jiD|PeIx3*dh%~8!GXDmXPxPiX1z|3x|x9T;c7gP*WJpF|!W@1Y_{(H(Z=95L4fh=T- zR%-xv3&zqM2b?9AHTr>j(TQzmeIhD3{2;XU-=xaQ9l*Zuu%Rv1rVtyzOQV0OR9MAJ z=Fx@9Sn7uGfo!n`9U?NFCrL6}8+X(KtimXTvttgU2i7TG61EXVi+DkBCDCNXix6nuxY{s^?XZ2a06~I%9b13`7v_Fm|?WNogjf2a++eIaik_mMJ4HQz|}IUwSDmg zwA8tk@?@A%on6tab4aFOu3&(Jg5Gh84mZDu(p^Q)Ii$j>>n^D~Javeb9Nqx}s4*5> zNkZpJsZJMMAabr^CEd&dB~ybi8MVZtsRaU|3W=dzSSqb=;EYh-SmSz)=Wx4*46#;b ztLga;r}zp2Z`@E7&XSUm3%|&LQBhuLDDG(f!#fdR{>{jbLsPn9bsvxrP2zW847sGb zFcuw=!!a1Vy}cq@4rv-JHp7^OfyR_Z!BQX$CCuyjGQev9AR$l|rQlCEi?SgAio0o2 z#@~{c?|tTjwDu+0GeA0gMM~~UWkaM~5K$@xHw0RgRdtsaa>CeH?v5ADp`czMUTz{O zr!HeEA@_vu=^X>5DiKth{zjtRS@ru8sLsD1aA+nu`2YpFK}G0@CS`*Y9rP^*CJ|iuHx}7pvR}#$HHr{Bq6JE_@yWQ`T`|tcTxu}hw#kU_>)#wdoV{eYo0pj80v9q~ zHilfZmWm*>24Ul}G)rj~l$y%K0>Nnl?LQz`b7)}1R%RTl{w$CpfCCbiZ5@OA%9b^H z{z9#|`@~wPu&BPS64@~nZ>(EEx8!lRF{+WZbFv2GBq_z?5Csv?GH1g1>j-dkm7efD z-;e;k2%T-eQV~NKL7+GMg1H%ism>4qbcUAe{DF2tuGrh*`78?v3skk|nnOyDuD1XR zp=wxeg{@@(%5zB3Hh>)ycGei#U7F7H$_5I9NRfs6eVD6a8J!Zlgi)>~h(mBg!@3=v zU&(%l#L$6xjIu&WItad9NUYI~DM`T@QBu?GN@Z>NEftuXFGwiNENn3>b2J+JMBRyE zqRl4ICSX!VI5RNTUU44DVGSS#umBC8kS?M9m5l!YmaIaMEBpg&C$yzd)OHTe5wdiJ z`V!Y<%rMhNkPku%YC|PN#1hO7i_7qZ`Tn8pA8Al9(eo`wlTsiiXJjt)L|z$IzA}_q zhY<&RNmrsaD&UDBWMWw4=8~G}Pe=|+{;Ou-qJ-A<3hOHAO`4}nyow2Z%+bGK_6G@s`FEmAjY)5&97XvG4ZB(FVNFYf;ikJ}riE83B6)UR> z>r1UDWk1E##EWkj4QP77KzXDFu~4K9Q?Uv%)yt{Uo|YlI-TJ@B^){jof zT_pqsYC$xEd1H#N7>X)bQl)?pz6Me(DlM=f5koK)MrULiBtv2$Vy-{Hy(VM8naD$W zk4cJ`VsY|^M!ASWoxhNwEzN!)mCncxthWf|xtG2bNUzDyNl0h$%wlVyc}snrpNLpi zF$U*jC_x_BeZU=m$%U3%ONe@SKmpne-O3wLTX9vIrV%pG)-e&rRjeXKj!9;a%QCbh zhBrq-H5L$V$Ot8sQNZ4?L>K|93sb%G0)TSmRf8?Csb!{`%4oMpSuda11}m6~m0ZNe zI$oP?A40b~PO#FUqyAVIDmUGiWU;5P>n|^n4MXJv)p;<&Bjms`dq(ny$$&LalL2&| zP=;_3?M#nUH2Ti;yhOaFh{nWXQ%P0h07{1%i0L94XhBh^>aa%MX%#KzkQyxrG+0p5 zTG~OpoYDp0g6Q5Is3^X4iy(odBD>u8mFF#a-w*}QPTt5NR!Eq#Ltxz0G20xdTcFLF z4T^;qP)7tCLKR%0J7EMO%TSOARZ7z0iD@?)iI@0iQp?9hOy8y|=6YT$^= ziE|m*5WB?hkXlPQWyT`_0YU!0mxMssh+WHWT)m=%+L=rcDr)IAfEL7bOqrT9 zNSn%64X$2u(ghG}tSI5z>j>6O;LwA5^GJzln;p=y);Gs=yKV4+ke7(jS!tsm&+t?o zn{_+BrGV{Eo{oMOJ>D7KFeSyr|xH!$YoN=Ue;noA1e zA#+J`^%DTTX#~$UTmC~DZWc^?#L9bPCyBR&^JFtEg*J%V7Tyc2tZM~u#;hQEJ*LOp z&P~Lwq{_FHM7nkqi%!g}mlt`UmMGW}1>4asD5zL6q={ufqNa^N(-x}cu~)1V3(CO^ zFwKlCRhC57Am-xJzF5*hMv$z?D?A7a&oK)Htcg&;go%zb?HGL`8GFacEG?cj?ZE~sIXS|^N%an?&{{Y>ZON0J(p+9ol(G;Ipz#X*z0H|?_ zu7!JT?j6N-5=;c_#DyC;-%tk()1|~K?O*6Gy8(80uB$3Dc-EI-uujqZ7ZR9mb@9y zCc(h(Tlr5nkKS@r`@A~H0Eqf!V6Lz~zudo^41)RG^-s(L;qDL)YQMuJKK6(6y~Gku z#5eO8b)>=fxbb@o7U`m7)ObMv#8O*8{{T0veIykqAM=N4Mui-654N;Fa_ewvf9-?O z#;kKKiNo^JcF_3f^z&@CV^Sj7nEfj6_$CG$e z$D_0U@K1n2i2ne*K1o!%{{YTiYQ+#=a2W2S2g3$IxXXXr7Mfp5f4CHBwd|kn0xe?1 ze)kd#l-hr#!5UjcAN$r_mO5lF{N>3t3_s@%>4?Pt0K3J3ybD+Tz zj{3_DeScRQ5=kjFh^m7ipZ@?%>|j9*G%_CAd|Zz}P}t-#F!BtG6r?=F0X<4RRY~Iu zl(Pr$*>(1Y88H$S_<{ama216=+V2Mh3Mxe1y1=-Cm7anovds#hba&V zmaZburO`ejOni5QfJ;T5w+*QXEF@Bo2{6X+1}f8rYerDuDWUuV_~z1ZKe*Si&NtHN z{&|Q;Th_msC}ty1?g+JPAEX23S|BF_NOEVlB{X(7s?xvQ4ccw_BM8@Or! zlu-K*GieO)hZf=_`V^lSUixqka1cWO0N7yX9r9f{# zxCIgobAH?lS%jW4Yas$}9dP{z))H6*IG9_k)aqd#;oKU>>&g*v%Blm?5=`GSLf;3RrG6OZO_ArRW1f0zWkNe9ObEaXA6raas+Q2J=P zpcu{%N)4!0JQ}^rs?=h-Hj@sl4KMcp0JwySTFe?QTIj{#Bf1~@&82+`LH^~vDS%3T(#C*A0 zL;@#qI*w9vR?yUqHx@8?637j|xE$}RQ}oA6K{5}=Ske=cJn4_b(o}eSF!0o0uebjI zoJDnU8%P>+5AW}P_b`UClZ2n2u~Yvv%58d)Ft#d;x_2B>_&S8z8D1O3EO zgAZtZ!=N&2cjo-Twjc$WqHk(bpYC{lLZP)kE=rUM+COmhuUf73K4ORoG&Se@je>dN9G7?JC*nDydP5_t%Q>VJGzsxjH+NAx*?!~(%n zQ!7A?QGQRjIRv&Q{^3ek6LkBRz_pkcddDu&^FNuP6&3sa!wEAl(q(l~<$p6+CrCSR zeHV#tD9Q%u;^Bx~+$j!Y(IFZWU$`!!oQH#88MCX4AT34L9TjqL6Gy$lfFOki@}M=P zJHZ~3`BUqVv^$X7%xJLwx%xOl6fov$0A(W&&EZsWE<)g=CflN7hqaQi{{WK=q&BB^ zW%gUZD!}u&ve=3-eEncGU$ieo_5I4mERCV-`*7((?GpC<#_6=`e=@*6z^5qNtVs+H@GE$?(pw4dX@`NJzs8-J_`0bXm5 z#M5ki4mdi5s8{{t@xhcoi4W_9ukG^h%>~lor{Oz$?3e3=h(7B2f~@p>|WAWxW&g#&e{{{XqUGXtT+98%xq1>nvbCC{Ty3YEJO^1i{%?4%v08}|(REjJ=U)&mtnv%F2Kq3zY z5L6*Q;F(6+j>nS}8N?ljznG+{*dZR`4y)Y$H_VDW1`_PQxLm^>{{UzABkqJ0a^+n> zK)G~gJChpQ51Y7am$z&2eqrAP<`4Uhn#SDUt_J@A%l1AQq_9tC+;dG~AL9_ffW9Uq z1Z@lEG}{z3zubiqGNNH~waCBBB`UGl{$j?!9%KIiSykk3Nigcrjz#6P{5y)mxn+0z zh!QwAr`d#ZD7XX6lDbOhKlsg673*jB0;4d0)A@^#n$;F%&Iqh``-v{|$$tz(ku?4$ zEyxOcwii4pJ~QK$v6i|$Us__O6%@AYzvdZ7Q@Ed#n8<)FuPgny#34#3`9GO-HGuxl z^8y&CXzywzcpGua$k+-dDi@%zF}XmIVh{1hBGSjL7*^H*+lxa$9Dbkb?WN+daR3}A z0QIZ)Hz2j85$Ey4!l#1Z0ay8miUmDYM<1YNMioKaoPKQOVGjaj3bVOlg5sBL2bb;y zNOn8)4<}p=og<(+Pa5NaIKUTMewczrH?8PvnKhN11V9JV*?xusWb2Lk0ik-ys2sRQlEjR1)6x4?w=MsZ$&t4crDMT>szpfztl7_?mFak!STYCI6 zO1V^^pk92%qGD7>iT5UG8_JrK9K2xxcxQ5ef7cHa7N*(%{2$^ZQ!^SeKMJS zAU>bWC_spF(x@)u%w`Q@`P>++46E=1u1OKvS8K$4S^CW!b}q!MY>WGZ zU85~ausg6!)b%Y`F9tMtZ-P-%pjNkGLBGf#PVtd4R8iNWEWgxWF3L`vTMd z0C?lYSqDMKTyQrvC^ZwMF%mq4UZdfQ4oQUMYCRv!B;@r38%GK_ajv2VQS{uRL@KI3 z;<;)h5g}DQx4EzmrKs`wf_WI!2Lb)X4Q?PPlUL(&X2aZ#6KV3~1P#FGS3-JsAjMJ= zzwU1uD}Au?dxH+lLN;7(<`5`IvhF>*b%lJ)Gk!ZxIS`x+SEK%f$;yO+rymS66az_b zPhDe3$sN(}sNkOZ*;)_%VqFSS9JG0tfCeAIJil{*4h=&}y}{MS8%KV)jMv|XQ_N|j z14y6?@An#Iz7zxgi~wBHweNiW#7ufZqIKoNjDiNqVf@QSSt+)+?l}$A2tF=FukKOw z!Lpgx8S;F=iSQ6LaG)6?UHD}P3JYiF^C4{v1x3&E2qR9#`ppHCEx&_}2$J2Nwflph zO^TQp#M$ctZUsWR^}})z(Ak~ys;6}^j}NW4nJOqpa<3zd1qSUufBS@4SVwNR{$uEy zGNbn{+)A`KL$t^Kuua{q&-W(hgLchl5dQ#A#})c52>AZt<4Ysa{ln3s6py)RkPuh= z;$ci(%y1N^sei~j)ofKg=X*Ua7tzvxZ> z0M<(PW7KwFO5suI^9TZx1&Mw@2lorz@GYciEwA?wBp$BZ5FHa!@b?PFbI^{ji}Ujf zhg;xDAAeUL)J!`aR6e)ifIdLtpcoD7_+nKUj}$O#<`knBouFKuZtht@j?YWf|{K58QP4 zMV54-KX4MedV#p{ZOt}a-a*4)Oi9&TNa)qSm}*8HiP$;@K=K_LY&W-e%yWE|FTJ{_ zxaP8?4+!^}ajF7b5q&)jhh#1y5BzTopLj%I55Snw>#d||w!JYa^2!{7K7Qk*1-Qp& z=4dZ8LbeVmj1OmO2JAgdJ|Yw%cYb1E+*weX$Fu=0>OZ)EUDfRO+y_q}D0w$9bGd;( zqm3w<&vLE?r+*}jZ9Zzvp?rY0{ z6h`(3?jm{_KHg@si-9{&I_Zf>Ms*W4ne79quPa+DU(@jf`w2kA%S@y1L- zC%eopIS4j;(e4TZAOmCW_pAX26iWX9F=WoP_}&AP$Fpqz0F3gKb-x$xv0BO+7O0h8<=0^Cy*=yZ>w!th^lwAt$yl6!y~fVdZT3Ax2GJ8zB2Xa0jwxn^hiM2eH~cyGf-y!xe`MxKGhAj@P*C3&nr& zg~c-RqyBsOf|8P4FApEwR%|x&c8V6`%wXp>7KnSRE;d(TYN`x1?mER7(&(K>i1!94 z0)RHJsk6ruT5urUuMPhIoG@aBniV@ZxI=ip3#xnY#w{Q+hP8Q2IHG~%ekJ*bF~vaq z3&R(!YPQ5zRLO3@VG_Tm+&~oLx&Y@su45%x7>OK`MMca~AQoZse=_$Bfd+*B;AV%rQS5t-n-GYp?fHyYR3dl(0A#_&_d~P0 z_a7bnQ2BBchSXlc{lJ7bc9+ld1{Z(~`fr&`{UAs5&6?zwHTq}cvLD39cGyD4G|oAp zvsdO*K`&no!Ae~%n{Zz++&iGh9NTJ&iVnZroCvlXdvM1lR(cj-iXA8q)BgZ$iSGHs z-5BAhE2Q6;wdq)MO=h!Xiby}@GFX}tZ=wCT0uqv-(2;+-#t?xGsA>LW3S1BiczgZC zH@P+0YR>-4_bVkzSpYh!`G*+?M*Mt7&-}1U1tb3e^v7rRAc<8h0}MNMH}Q`{ft_n6 zJDTDE6J8pIOV|v6bccxW$w}jrsn`6b9NnhYrFgHt=9Ij@JBJ5X3t+5}KtH4Yavgw< z!?X_0On>Z%pP<;p#iPq0hL61+?nr?@EI z`SpS=vf+6+-?&yS+nq-L0P89+Bn$CRu*&H(_iE5RA94$#5j`XK{{T2_Fjq{ld0N4) z5mt`C96qi%5Z8FbC)C6uk3%|xY9P1}DFQDw+PmACOij+^e8zx|^L(YZ0i-!oW!5UF zQB^4M6Jm26Z0|}`C{F~Y4F0uG(_`_&43vVBpU0ztnH)#J-s$c;t%G(h z!TjE9j=MDRR=YB%Bv41+l*yN-bUj7+g^k z05R0&6X-v^#_Ml<{71OpjutOpIJFR_e}ArY1OjR0@%+S~SQ7;AUtHQlTM9VC{;-K4 z34ioAl3fEGJP+moEhu^h&&FFyHrw{UGAcIvK>htOA45Ug z@WenWkNmh_MCR9VxXL6&;L5r!jfeGz0N;9?C&}(4N`kvza8scR*0GCAfvfcW#9*r> zMQOY)^c}*l^~5Obk66tg_+)f8g0=)VE=0dzgUYcbU>IUqG@3r+tzlS7JHP<>h!?Te zp-a0T!+@P^h^tEX1?4n6ZH-^^u1CKrpDhIc02KPieAdt^{u}=Q#sz4aFhj(z8F+M~ zRv`ZXJo%R{UsjOs3d@G;u-Xei*}FpmX9WS+c2|Zxu@W^89Z9S#WND9B8Wa8|8z`zA zu=LlMkj8)lpjs8)1Ei9*seeInm_{S$uk@$)D3-zvi0iTc02sy)s-O?e4Q^a5H|G$= z{kS#Gt_y~5{r$jAM*tF2`_?)VS+rIcYp!K0jMOoy2A<*lFA@j>a2@{um=YS4i5k8a z12O$mYvcxOsHzpgoYla}3poOlrYUeLAt3;Ni1P@>&WGInw&J7MAWF6VE*gTtkm-l0 zANs(lqz=(Y>uHZu&ncpw4Aqqw&@R;cU`dgkus5r2B)$9PM@tboanzI+M^6I3F^;TJ zZiV)2OjQR#x9s>o?-vjNN70y%^AN>2)DiUS%m>PR)QWl=z*h=DjCS1`o?$DAKw(Xx zfja^k)}22;n7phARAl%ka?PPYZ@uistfRi19F!P08Pr@MmxMvE{Xe)A*%C*jd3TCo z0nkb%I{Yy2vJm=P?le6CKaZ~Ci8$pS7wh|tz(ToPk6eY2ZNzN1n#d3gN}OEY6sc(5 z_|GuZ7$89KALc6v3qj_df0z=5WU{{Iuc$|FjeK&`byOq67(-wy_58tBXo@Qn`!X?kHlA8-MQ_94X?H^Xru*Rnr@>Ji^H+rMKhr0*xccC+;y&>^J?Vn9Rp2 z!p>vSO$Fn}C@84dJav(RvtRzqd(l!`E~LUHs0iGexCQc7MefW5QaRT7zj2U2SCQsA zhFPq!zx>L?UK+ap0E`j}su9N!gg;_%kC|$$yaH%?6(6P+h(Y%QHuhirFj<))4QpuB z8HTHF_#IROSi|Zb>MK{;o*Z+v7XZ*o-ZuI00UI8hGVUHY5|7rMOmY}E*@6y>ZntoC zY4kWc2VcwvXsf{lwfs+Waxe`*BkuTo#bEv%LqkdCZ_@f5v3@N6VE(%S#0&B1KQmhR zHy-E35t#Ox%V(lf{{WbQ4%iJqTtvnylaRiT=|7l@3-}}H+{cGATn2;Sn47nIXQ-Q3 zse*1jF0Rx)Q~vSl%li(=0oMD!akI4%gZ|IlBkCMDpm--vZ!Bh~iBLAG(WP@FFDnN)Nk6(V_4A?@5vi;UF6bZ>9FJZjC^#sJz-RlZHZGrp0CMhGd zUI@1U==za^Psxw04hzE$lgu22Bm(~5R{*1v(hfPV(ukkY#+k$|0FV6Z0OVFyH8IY@ z`a9!-fh%MmxeE%Wh5lfLFq-y(!+>s5U zsr=q;lfuF&{{Tk>odW34sn*jKEmKlaZ*yZ=U?-e8$ks&AFLAxG5|HFi{=xk)xQJ;(@TgfG%ENraSJuX@4GodanCdBoPXKQ{ zz;Ov=_%EULiq{a(`ha-z%nfEcc@LQP90&%x4X49th7fn$3mw9k`nV*_&|o?mKFqwB zRpFnlH%I96`Pz%rVHE8I9*c%W1E+Z-i2+ls^;qC~V4}XE&bapF9-H7cUxMu}ROe!A; z6Iwl=F}gGarnUM(-XFBv8v|%rg^R&pLWJ7ia3sowTNU)Uy~Y4R@jQEsGLK0-XCe0% z+AxybA0lL)3^IfZ^_$AJrCMwb?;@e(p4TP;E{{V3a(kV*tJi&-Dlw-47&QdT!4~N_@9E=P0dw}4omOM`zm6doO zMV(w#R#TrfKIHdGqN(>8hP z^qXI9FsKD#qjy8Q{msx^UqZY<-fO`l1{52oW)TA_L<)Wk?gB-sX zN?&Ws?jUkejs=<@37wk4(DIt?-Y>;oB%$NA{{W15`ZKOooBf-{-kwqbL+@E}Nqj`< z^pE~9B(#LBh40f-Szb_qWRC>aAf)O^s`?+#azb3{29P@pvv$-G67bW^Z3Sqn5O=5> z`<{d0%&b6_b^OH3dMFAf_?pGi0OhF=x41dcXKiC5R2Q7aAYo%I4{uLaVtF{Gp_duQ z#}W<$P!$00)7x>i3n#K-(X_~Y5+lQYxIXZm4#=ChmOM&{Y~CEB4&)UyHbp**nS-?bV(g(b(+LKZ43t;amOd9y=-X>Y`VzRH0%v4O-IbXG;Rp!-`u>Eqi$K@`;3?^ z;Ivd5hFe<_77iY<$O4GW9wA_4@&LY^tL7pUs40g(4j$)2Fbe)NN2!HB&-lPA7imN|RWDHYHxe&GMBQ=wIAfZ)_!7rf80)&H z^j5|v?raMS8bIj{{{V5OWe^vc=@L)ea+sHekX`GWzRlHSh+fbUBcuNSXD}MjFMtAB+5E)IH3%D44HJ8ADj-94wi`(! zf=I1JMt~X)uJXthB~Vqox0#Va&#qa_GEQs7*cUY0&5_bR#v-SCf6PniR zNq0O(i)dj1!^((EW8wrL=Wm8y3Kpggo649 zhpsUITA`}hc9zh>O%G^>4!w{gLI>eKin#>jIp%s3fY!B zflY5`P7~bi*B~Wt^VjBBE}(OzQn0^;B?>;C}UVE_YE{W(uCXi$I)N%?Ykx3;~EGm*Jy$*toJsZ1V!^uYx1iWKi#jo)E#K6p4Suk0{>&gH~H$Kpg5A|V2YdejFb(OEjp}a=5UAU~ z`o(9Oy8i%E+^YvEUyb(>mU*oAUv+bJc5`gg{{XLxlhmJ6L~H$S?;|&NaY`nj{{T0WE8yU4Yh4i> z3aC^iO`0$vh|>b0j{|BHI||Q8;Cib62cU~O-hD)$7PHtQyutvWZI`ttaWrQd) z(6&Q>;$vk%04Tb8izW&NLERcU!{Le37h6F3b?J|rognqiHw!$c{{X_;Iyf`!=@b?4 z?MsT6odgXoLr(dGQ0@cjzXtweL0G2}IDhjQIZ_1z3q)ryn#I~A6^%*Yz0M-LUEvp#8PBEA z?YhD}6=L5FDz+e6u?o2Cb{$hZc8l(GxGE&Wd^AsuYr6c^x7|Gg) ze;AolX!Jw&;3XPbr^&?p!~n|V*lSq62wy|?9K*F}8qqscVo+rh(B7}^mncfp^q6Is zjSX<Wdi{^6OZ3XIV~BdmKA z1*;$7K4741y7ePeI6BA4`7NuY-l=fyP=Gx@mj3|j6}gD9in{~mAIgSeER<6G*SOP} z3_=+@zusa^IiiWv{@CHVg%PNb&@si!2#VvVUj4?8({xWhuX3pAMP-L#%+m!>gjx+p zm$;O3j51T8h@0ic$8L_Z((UIlwn6GJ;yv@;;(U8t=k|Vrh6|ZUwwy)0N*%KVcP!WlDaGQvFIw*-V zc>e$?n*}uV<14H}UHWpf!PRZ( zwXAOb!~z9IfH~Yps8fiM>W}HXZ$j{+cAMnOz9g{*)i$qkG0`mw((yR$%Krd0-f6LM zkgNOB2Y)bFp7kAzdNoOp_Ai^g0eFnD$2&oYje1k2F&Db%_SLACVnz%xS`EJg^9LkR z$AtH0<}60xMH_20JNE=GP#xCx2pn68&*4$l!B6|d657)<&}e@#0tDCrKKuKRVzlcA z8IA+1+!O`y$Kj1Lk()>3;+T1d+s@|dYewg#aawXY+ z`-AFAW$19*tP>1=t27)xF>E5!XTW^0>HF=aI#21(-r%0OwF1Q8kmsL>%sy4;$$L`qA5P> z{{T3;9f2voiI%O-AJg2Fao$|5vQ@4Gy%g+0@u5FB1HiDPj1%`ZEigwweFV+2wos$L z(o9;yoKJDR@JvgHMj8Q`TeR;md0^C__ylyxjUOFKiWh|c0CFWGBIZp5F+9XQFX88Z;W6RS^>qvb0);*Dsb@EhvpLKvI(slfP3x} zVOk|t&0eRI9I_bU0a%q?pPazCrT7IV3-igFW6MHxd8bwK=TLTa8A*25@v7e+pCK5m ze{p1D{I;~xz{6=881b=RNrv-f1#aPqq*MEY(cg<;1==o(%to=kL_@IZQ@Eo9MEkDF z#iQ=CMbmlESOot7Sawe5Yv2OHLs(n%FhEC%{O&-xP;C?GhHKmyYyhz(6*u*W+Mtb4 zY<#CRi471jh!Y;yvjGt)xd1IFd2*5OVZk7FL`1_|r8lTwfSwG<76Fuqr2r6{?tP7= zS$nJJ(X3;VLYS{ehw;LhmXo5>LOTBdFvyCKR12B`{&j_G*tG??T1a37 z{T^JkILdAE;g!-JFspGA(tb>-n*@<|r2W21m|!gglW4#U$GeFT0;zm zkF#9JC}1FliGBlje&HVgW(+nCzb-l*LWLL?Ja4DrS2ZN+JidR}T(hObWHe()&=gaN| zH#z}xjejz!0WktV?CuZM2MkzU48NQ*pl3j5%sK*KF?4^x=H6^VA$6?;KIKhutp}m? z{LQ5EDmsr@hh6m&l_l@{F@gwPu_(GV+|hDqL#p|4VHOrTmi`-yDiKKn^CcH>16uAe z^a=WJ{{T6ns;66aiQ$NAvajU6VY}BiN0RtwxHb({`Q*q5H;z+Z$%v?g>!aVy8CE_I zCGr0N`#HRwFw*9L!VNoBuZ8~roH#ALfutts*X9!n&XwsMi0fmiGUEUUHdQ)T^X_gB zV{A)hO=hzG%4h-n$et7yp=CF|xFAHJMd9hz)5ahKQnnI~0)n{Dk#Zu!_kwp8MEntm z@FBwaiu1+V77ML+v$#8uA#c$S`h3KYqNo9H&@^0yfx%b^3%2GD3Iwd}^;fgz9SL9% z9YM0O!pF0x#tMdt*H}1POr8={P2CuV6LsKt0S!`rm`UsUu7;sR^qRQ$y>speX}--k z1#YPo*UC?i?i2&u$4cZU>*g(JH0oApcGHo1W)!7_Ev(Z7!0?86E9;^yE#4B0jIfap zU0I_QA#3NrL&sm3?IbKE6W2a`19+pB6o5RlQs$hd6J{G3M(j(kxS)VA03gI2W*Dj!G!4trCh^R!7yyW?sQfy? ztLI-49nj=40i#TiaJC{F`HM&+0dUtyHIA^^R@52_RA|o}a*I^}s(rJpl;WHKAT1ML z!}A-nl#JiUx_Wz%g!z>sigb25=eaTuvUZ?4Dejjil#+^zJ5eObYiNNpkx)ki$5Dtk zyRjTZ#1>nN6dDrhPGI8FfPy+|--bKf>m=F&qbW>5okF?bPY(9wx=BiqKongacQ+fD zFt6}{$oYn$ZQM&-?+v*FcU%* zM`M$Hqc8$#uZZJp!9^$g(f2MqMPL>~iraz(se;`$5WntSG|X>o{{Y|IUl43aSLhaC zKS8vV+rF}E8s}C4B?%(r!Bmx2j)Vlcv;auN?BPFuF?J2q5j974cwk`^EnfidM)5{& z(nLfalUU#fXVr8)J_cg4SXvFgkCDYhmFkb|_sM{FgN%55nIno1E^J*UHV&Iup1jkz zgjhz7iF|c16^Kh<<1vj42GIFAb&u#o$c;7i%DZzLK<~`HRy53F{{YFntca`rxHe(; z5LEvFbGH~+Kz-K#0P*fW7PMOiv{Ak}Z9p3GXf+pHUE}R#0*rzsBVE=q1}aU^BVQ53 zd!=PH`g~l7SItQZoo%T%nj>+9@{;M+t^C7DC7{&>n?}kVz!Jj3M}juIx-o-DntHMh zjkoh4u_T1RJ1F|X2;3=6kby0~Fd+E`;1WzF-lW6@PMT0E_Y=hZ#1Y!@-JxxdiHWR$ z2o0bDOWoGwx~(p?fyC6_us_^@t>01t)!#AH2tu1^X(AVxKV=8R*H_S7GVZCQZ zLjf{ce6<-VZkJ22$JYS`5q1G#Esi+6LAzwrIcMBd4&~~<1S7qw;P()LRt~X6pSd`a z&V-U71-_ytc5&b>c(ZepRP!GMvXc#Xg6>^sd=7dBV(P9RZn(Crl4gUbrVh{w%3~ef22Ch`3 zz^?_!j*qy%ZuBhQ(dMuUlxRI~5-FenAJfin4uO!@!AMEHVOkx;L-fl*Mh|SK^A|Z9 z-u%WYgq0(C4R_oc#y8W|_fsijQ5ut=_~g3~-GToAPX7Sw0~O)OcJKavVi5QwkerN$ zGgAAhEA6fur510@3uoANAN^vZ5wX)BpubIF0~mxCq$E1~j)QP+G1(L}&EP;TX>d^z zXv@2W?u^-Ds$Rnmk>aampfz>=W19~wxE~u_Lidz_KzAAd$HrO9LZfo4_RLdgE&vJ|?#&`Jw!g~G_h zBv7a6IfG0DlZFFCPM_u^NpFME8acZ$1PerCvfd%T+|jB~iiU*b)Yd8<7J|^(w+4d> zjzR1fMWJ9)4IDpc(v7X@2j|>G5&F@3ZTmlF9>4K`dGfUiM5`+s{LkgNGg4+=u-E$52XYR}o z*5_ypLGUO>f5*6^aG|{{wH*!gF>Hiw+$Oz%>jWnhsr@#CE050cfS!sv* z&<9bN(qXqG-nA79B>H`XC*}VYA>VC)5G%@{_Aa&tSAyH zCv$ij-5!A%>bh|lNnIe=8~}fqsRTCZy9G$UcieE$vJ}x((0z9jqZEYueUEX7E~)_7 ziMxlO#Ft%)92$ON6auPl&}-oN%wk6qluLFQ=r86Fg@iciTBS`t9DP=pO^raEkGPf$ zNqib@o}Nsw*7q$-WZ(WUbX`(?LU(`e010}dS)2AQCM|8$8W-By<#SZ+h_$Ldeq5>@ z`A}&oSI?PF9?r*~{L4@_`#y>~?n?xZgu73sNvd*HDl4D*W{N9YQ^h%o^F+bCf1kPD zWPo4)0EQCyMdw5Qvc}pt50&k52Z69J_1pZ-#&-bF+k^S;EeYl-aG%ZGM(R97lKMtq zrBZ&b{{Z6=TQv@U`eK>ZzuJR*Ol2;;=6C=soY?ixaXv@~8Cc4G%A^^cG=sP{DVKqJl= z5(oIR8nnd)qo@HTAGqR&255zF^a^6jOl~a=ND+vk4V6lG)adIh7k{wRQ>sS?yb7yY zP#b|UPPK~Y(D6fh)&o2|$m|4uFdCx}qhf$;R9;+IW`oykErynBByWS#K<*A4T&Q8m zxX{jsUoJ}v(uCXyP@KER5crb=R*z4P9}M=TE65^sd7ESyBdXvV4Xn2wf2ctSjQ~ej zWoBvJ6i-%ROch;pKDc*(_2QupvSsaP`ws6DVw<%AqE61x=!&2Q#6a1CNbRkX0F z_09@MHqv1pO&fh>5`xsSDftj;_h&Pqk8Fa8nsdfJV@c9B!F@ONDr^fc8L*M z9|ZpZa3dfED@d)`rf9iD1v@Esk(W+W;O`A^)^!5|@M*UeDz6_vS~Ra2f-=38^cOK!85bAxFmC;W#j)O6>A1kgx^=h^2O_yv2FZ1zA#? z1fR^e{&PvW)B!5|zy=i^7@8`z z;!9yHfaIkrYW9a*O%?Aju8VqX8FgDU=Ku+K1qd5_?mvPfgIE=k4QG%`u#Sybprrd z(&S1|uf=g2ij*1#oei7T8gH!uAD}^}@yp{-$^&UA?vVIl;~Vv0Q-8)xIvd!rWK;eN zkO^H_cVrw><~5}pug6hzC-B05aXR;M4sRbI(dd7C!9>NxJdN%Fv!gqK*Y!U!H~|XN zPyYbSO(IbosKPT9WF7^t0XUdD4HDtr8)-0o>S<}U2lR$magg*h{T+pVWCaIisUT!( z<5;p6o1%c?Of`|0+U#XV!Wdqv_hTkRC8raPfCF!4031jXENfr5rUR)0ZKP=aa}uIU zYR1(F7mEI3w3731BjY)Zrp-tc8S!_UNQ|KkwK$>NG8%&{kRRFZ7VaKRQ`4!Hw-Vi%p0W|l8{Kgo!{>ozpXj=TvwH-n7NUYq_3n_v?=zzU@lOPdjGiJ&Gq8Pmc zpNS%F_&8(gyj6J&7#hTuG~ob)EGx7hGsv<9^>xQz<-^hFfTAZ{)wH(*QTC1@DjEu} z%wUX~)&WmO$aTnzROxSnO$%~n?tU{GQ=BtQ4FDY=P?~Q8Hi|Y75Dg=*<_O)dA%2Y2 z=P(Pf@YtP;`G9X>DFUao+PHyL*+Ni)k`wUOHsa{4&}{flOrg3wu?vto%ro&)Zh*HR zOnZqRpxL091*9W;gO54aAq0vhgimr>x}rwO@DZM*$j~%E1xB^?z07jtAS^*iQz%w> zh32NB02YT+DkImy1JR+oe&vB6ab`V`AT7)E`ngbzS zyO^3_$P*SFjdWSe25AW*2~&;mw$ z*^i{7qEQCT0k-Q1C!kYRW{@KXTyPYtCV|C&>%HL=zQBeMX@8@RizWHWLJBNj{N!$o zc1%MpFG^r>lq6wf0iZVP6=H(aaY~4E(3QoJB+U?>XH8D=XTdHsKPq}R%m__pmlzGk zkokeofNDES(Aa6v$T!zoLI2EnL$F2@^G>D>Z+cTTnb`TMC z?-2dQt<#U{Wrvt5vVcY^{UlFyF`A$np>0sY&=?~c-QFJ7kD1J17Ag=kVGsBB5i-Dt zNDW`1&qQNbX37c_!lSEI!HPpary%cz6d-o!Ge}-}LMm9TCnlUQ(O9KByY&y49#6Yv zUNuky^}{F-<3dUxLO=D68k?##_}FLeZUbPJ-^A7~MWa*@+5VY+8kY8BfvlVTmTMvk z1Y@^qpVx3V<{tGlNe@qOaTL`Oot?DT4UorRa@}7RzGD`Rkc}E4t~d^Mu!2psXXZwb z^6VO&s7anchm|WYUJv+ZNk5Fy=-|IJ@Os;U^D2T`(Mm-)aVDA?4wNXUY!bxW` z^x?)}j+~8{b{C^VDTxQB{$d&Sl^=vRzKmt16qA6in4(%_ggCQyro}K*e8i5(0Hvjb zf!({vpeajy39`Gq##+6A*GGZ$X@ONKP+Bcm=saNeAxN!<2&63LQOk~%eI1nCJ-~J% zwH}>jz=D|@ST8w%L<$MJ!m&@!xe@xpnN|RfcYkwU&W&wIBSyYs7A?Z0;Ue}2xmaVQ zg0!oR8$--Q7PbgbMGdG$%Uovq5EF2t^yW3xIz&wY;3v#54IVO;gT~0rRXeg9M26^X zF-u&*JcGO=D|}$0x*A7!+{uxG1Jj{*0W7<8_=@Ns}ne=JUiL07^oJ&Swh; zuOdFg=bXk}DD?wKC`aoV1Zr1R4HpRN^9u&F7Q_ln*YhBKglND9%{$YN-8&pLOVt<0 z4|d0VTh?^gQBze)MxGw`rxG#Gzq<&-*N)`wVL@CzX9CfY% z&}`e&y~ITTOw?^uA4$xZUJ8bm2!}8!640G&uWE#8{{UD4kqm#pV&e)(5vQWa4Cb5~ z@Bm5*(2>*ol{DW4$ib%U;{evUf271mTgeI)!F+3ZbX5S}lZDC>$YRw$U!UAOA_BtP zK*SY&U{rx4Zus{p?4YC1L4fR=0xSr8{{YTAJ)VKSBOL}k8UbbQ;V0?)j5#pNNV*TI z{xSOGDj65Neq<+T)V}bfc-x8oi~~nf_wmBO-9z4gBQ=*Hh3Xm$b$oG3 zRXRE#2E><}#EYo-1_=D;+`OEnEwmKrqFk~2(7e<&eAmoLSRe)oP(fIyUr>^Rus08J z3PoPTjgnAb9I@mC?`Y@+RQZO64aWr7APA1S=BN2<1tX<@!vZe~(y6LyLW!?%T5#t zq^nJ&DEBy<$%a2zh*sX>9=fnrD$-xP!Xok2(x)i8Xt}8?I2sU=ss#z=Ffif`+A#&R zNrB86Lp2tgS6CJTt{Q+7f@tA|!;L^cmDh9_ImHu+cc9Exy_nstlH$6WDILW)iX4qC zK~>v%iOQ%CM8-y(2mUai%yL(O+}nYZb#MVilGY<9+=yhtbs7fnni9Ru-a1E4Q)D#1 zFe)Q3LlgpQd+rk9djWJ%I25=O3}^r>D#8nDkwnlKfdcvG{daJJrW z?X%PT$2VJcfTn^#+xdZy!Y>h9r&=GF{{V&pKJtkP4P^|xK}i^bsZ!ly#*nB~?H#B# z)!qV9C6TK%B7^7SCPZZ7-v0n_fAe?mwF~XVr|kd*U6+n$JPqPS+5&lW?>*Pe=sQAm z)!B$vd5W9}=q0$Hx>!9Q3M_#fOJSy}2JUk2a{?t`Ni|>R35vx?7S*;Z#5Inc6L~34 zleU%y=vmw)I3*n8Y**9nYH)!|qA;oC^CkA}p&%Ei zF>z1?48_x2m(SdD4m;qFhkx!7{(Ev(1O{u|E~qMn%3K;hJMK(1Xt8uJ+!tUppT`gf zg(eR;=!s(JaCZ_laR9`xS*~S9fkue(fkCZtX%PaH06LW#H(GJ%6K)dPdR`jlRz+X} zPfw}P)*Uw<-6o8n3G^}98BfJ;pByWWxkWRuB7`D+#-G57Y+Fq=t@Aeo5!QN-@0m`O zs+2aH9r$E)o$fjvM7c8$bzFgu1v2PYPh-C{-ZB9tfXLWE<$mEk(kJ$IhNr{KzVxIC zEi+QBi_ESNNc9Grghn6cJ7tlq3Z^;@e|^e_*MA@stm~JA^{OFjOYu)JCAm6MG!jHL zMVLDif~_keibF?zz}5*F9o1A){$LkF&||Th{v_5PAHboq0M$)8VV=YZ(N%A=rPaqZ z$iaT(F2y;XXX!*L5wxh~ItEkK2rHYjPuykBAZQyVk3;4=ZTT=<1-gFRSc3w|6Nn9v zv4)PUT56F?*tMe#p8X>d#vj|d1@VZp&%G&o?(u< zVMN;qHS>RSe;a*OFc4d#HlMJPb3&dW4111e05E}|CXTg(aJju9E2J`qG~=MC61N({ zCk4dQsraA>J0xbgj|q=J0EcF^4q~o_Fn&=zMbi|?h9QQk=tvEI;BBSC2CbMhEZ!YG zk|6*QC^fC6Ta(KCcraF!qng5_E0IW}#SSdW3ZrrUn#(}y(Z)vrk*{zzRUzzA5}O>; zSPUAJ4D}cLhhiNqDrEMK_YN3vn?47_@|P4lh?3D2q}mX;Wl08^q&gO!VG@AbmV|@W z%-E;t=u(EC_|`}cg(Cj|JeeE~;9%g}q${4VYSIt_rGftd1~6@qDnihIADKdz7MFra zb>#O24)#~2KwAaTiVKAFct>r~y30u;Xo!8riY9AC^-LTP)-U>b{^wqZE4(y?fowl; z*R&cf-Twgh_X#Pp^wNjD$wG7qBL}zu4Zpl_^~jx4l_1g zBLX0AIWiiORKix$TZsN6VR>8B#g!+sx|+a*qj4J%sJ1n+AK}aaxCF3t9<$6&ZPM#d zA+kZ6m>Rse_=Jig=^Rw$qJR*3H($&S0b9)xSp?7=P|3(aL9{lIB+7~|P&Cn~P%k)Q zF?Y~?3DjG)?hSO9)mqk&kmKeuz+(hD4x5oTawZ1Jm3Tza)ya41V@#<5Qm@f`m}hvF zn9{>G(J~OY&{~^LcirOq1f(<(=7{)x!hnPb*?|E7Zr1?3KpKV(H>Q=sV=M7TVyw4r z9M4ZonI%v~^UP41v?K|vFVe1bqz}lzD0-DL921F?E%t(s)EZ6;72q`uTHts`;VXVI&FsMXfU|_ zBCsx$HnijBBm0x{m3kHP&gb#uB@ohnmgSa~C|V^6t8NXXku`UL$MydJaXqyQtLPq^ z`ebo<;Stas(hrjr+;}eAxUHX4=03*7pP+GQN`=C8jDgsS*q^wIRajn~SKERqb4LRz zF^Gzb?mKUq8(g>bbUfkw!7LMDcZDd^(EEIIaLCdxp7)$o-h_w@DZ2*Y> z0LD8})ZqJoD+d1n_~N5+TqRW~j06O!jll#$LYQ?zL7wv~=3q3^#0HRC9Gbs@l|mgr zeKj%E`3sZeF;6vwm`!T1Pry&P6W~O#;(|l-2Z=<1vXVM(%oI??07X7*a^RT<1t^23 zetzOYOfp`>Y`@`%TwQGiPwwq|jUy8AE+Bse2FEfJwYHNQKbcJCcUL1SKp;>VMM?%}fmNh*O3> z;>maUwxp&5&*mjWmJ=~Hv2#`aV@lcrB(9fq0RI4ThI`2v+-QXRkk)Sh0641ex+j>@ zW6B8`fU8|$V5kfQ)B*8n=39t8Isyt{i;LZvF)xo)hV4Wj!Bs?23r*nRi1W*Z_ zL47-$fRYiQ3XDemn6#`1s;^#dtV*q z(KWaytn6#=?i6q`=&c0+leYl|*9j<{)Y6+ZiP8<}AKRaAd5gC*QFPsjEmq$#+q!~> zQ=ovF)Xl~);G;w^INjhSAaK=`7l{YlE!aG21=%gJC$|dB*LECA{rxk1(VCN@YoY0I zxX%9o5u`CeOZ>}zf0QVoJ2WJ>0=_x&SoJ(KHxhaZ#KZ@Vt+{eZ2whnHGe?6Ig`bM% z)zqocJxId-Vu`8=q@WFS#Az0Q1+KzGUF$!h&tiZG4e!2SQ0$}>ON%l(_XPn2eqV-^ zQsNGo;<^M4Ni^#UsFXvjMAEP09V=i86GLG)4Py>D1lx${Y1(6RIq4MbH#F`P2`r$h zq*ke|<(MoXdqN7@W1}siMz;Dp9k&)$K-@$9Cl7g=Ch41MG7B|uI8#c^0&RUk{BwB; zrBOhy@R(|Z(@}noC{bh90v|!M`I8p}R8`Th%u;r$kNL+zlsT_?ktsY@%pf-c>;7dj z3^0qwP5^*e1~z>*-}J$^xKRAE|-p(L93483pV6emlR~MuW z-PUZ_YOn#{tt_Sn<0)Gt-3SO^?uL${DZ;6x#lkB<^jidk8{Y8$0IJ#qcA!KL!Eu2L zq+J?87}R$K14boCT`X(rX3&P(3Y6BMB@Gx@DT_d+h(|{C^Amf~CX3M`i1&b3GK3OsWftgrD%PfFZ$Oaud>ZUZlW55kii!Fdc~U^cGPFcO8k3L8}oL z!?|DHrnC-C)qo8mg`YyP&E=L4)SIh%kFgYKdZ1*WX?NKPOnsHYGXR!Lww}wq$nF6%?A_V9o*5I_ooqEJ^>Dz{k z5nfn2v(=d5y#^9hRU4!NnWSmJ7K9}YE(lDfbLf{%^@A4Q8wvwI2N${!`z)y{@BSG@ zN?OIk|~vxB2G>hb<$1H7n^@S{72@zQ}$lTYZs%rHE4k|Z!o-HKpW0}~B4Byuwy z3gkozSbseH!UX%nFAxGgrVjJE9clp8vH6$dbbs$IZDlC*{K~KqXdJt}Qq)I1rLCBY)N=#Vdf8M(JuAr_FE zwtvUC(Ip(vl_F_NKAE+zR+c2bcx(D#glZB-G;{=`xY3jtQ_G7Br2x zrj`N_hAQ3-2U)wsa2)}%jkYE&DwIw9G_BtKz=IG$Z049iAG9iy}D zG9$9()jO0EL8tCsN{9#pLDooWn4Q~#F_+2VpK!$Euv#f4RZHDud7)@35Lw!B+yZ9g zR#e9p&*jCnpJpA4LRWlK5+McC1V#Z(ZE=eGA~Y~4%@S`LLE9Qeko19uS+PklO*-Dz z(^|sTl2byR15Xulge)_n=OT?|!K*?`m#EL`q10^;TX zPQ+!v(F$hC@rb2Bp8%?k1Ru;ipa@4D0MU3Z8!kznDh`VW+%(6o2_1T}bN$MJCXUc1 zz>)m!L3E5YR|0FLk8%XyHS8UgLR8)z{a#-@oi;9=vVmNBVHI8Ki^+(&B_e^V4&znc z6bweLg){|r5?X86o{xzY+N9f6QK%2 zQ(~zpymEi+v4~1*+>tGx{{W}Cyz2BKR3O2uF%1?G&J|ffe=uvp!=>1${Gu60WEYeb zr*6(`8t88*Myoo1HH(ZW+xjVNDCw4l1;HTP4 z{{Z+d0fWrygSWuN0X-b1&X0Y-*b7AhDh3;0n3*i~*V9Oz^AO8I`~VK1{{S%nA|aYn zZkdP)M6UX~UYszSF(VlM1uwh8LQ1f8EZGR0$s{}gIv$Q687u_4PQVXhxhagoH9#w& zrP~K+Dkt=d__&nHt6Z{BPZ+o=u1Ek6*@Y(15CjH^yk&~ER|8!hAm--CIb5%?HD*4!Q9qa|h}7mB7&xk{ zFriSZ*8m7Lwt`ExjfecgHQS(_h|bjf&O=H-It*FMHHpS-n8iehEA_57b_}V40NMQd z;7M#`MdswL_+Z%}Gl_gtx=Hr{%JKp1j?=Dk=RjCWwlMY9@3>{ef>%-O@W+fQM9~}3 zdBY%IxI@BkU~P%4Q)^rap}Dvh*BipMq89=v>6G-qAyfG z91R<{s31O9f1ctPcrhCtQ5OFInbXhaY=-E!Xv{IGtQ{H^08DCdnyoZ#FVDGaVSb*G z^}8g?P6U`67iY^|WC~z&_&yVAKiuykXk+6`!#NF6p#dP+XUUBvQH=}$J5$i*Sw;*t zm9kW8Go;S?h0?|1cg|tc(94ArNA+={6d2!uh*@uca*qiJT9N5r%wj-d4Q<>vYpwGX z)Uh^eLZTaS6T9Jy5Ck_~V+bKIr(%YMPR?S>WWn8rNVpNmoN6E@<4xe9Fry)4c#CEk z%}Wrdi8}6(`->8P?CTLu%$frMPxU)x+8XiP>cQr}`QZs zQT~$%q+)vH!^5cx$|Lx)J+034yN&U)+Au4-~C&zVJWfbLKQYpQCWWEDX~-_ zs_u&;KQd(<=#~$5(dyy7U4O1;f;hv;g;y#yI`*b za0Hzx67PT;CmP7mU=$%Cl^?9W$=m};qVCWiH!{!K!y& zfCJMIc{1udQA_i3VHBcm-GVEQpGL9R1OnPo7+nI|a|#eEluKQzS`zmY4WTT8CAe>S zMLt=rYPJm{Xl2dHMObBZNp|Z9)uk&IfY)F`%w>bEC{Wx_X7|iTaw!M_0WFi_2C{4< zU8zQbmFF=wSslA0Aey6gT&fvY1nVNFhwgKnGcb(+2EiTgAs}#<7;0(-P=hd`&;klD z`bN<2p@cVtdX?2zMA3+ov+l%o6NaWCS^&<$0Pt9h^8j(eE`W+PAq|;7Km=%0MjrRh zVUsq2<4_==E3LUBCIlD)7DO`l8i-BWi{_yZa0%!Q$^c92LEqfH&ePSqm_py&7cPep zzyl*%Hy7}oEfr!NfczYJ_xT9gX`!Ljb5v73fw&H<>v;DxZ$#o9(u3UH$}kjM3mYCx z@+M!FLWGOZm`qz(VQrPSJisDgxxqA{`rD8}Nj8QQ8WFONJF*WV;(3qG5y=CJM6L@O zf=^TZ!*bBrtRR|=Y9DZm5yFWq-Bbq#Ua1k-P%BHb93Rt`1ezDte=#gNfWfY(r-VP5 z7G7mefI=<(!3(Qz1U-y;WUxdIhSV%5ME#k)6vR1IBrC3&1M(Iv*18nGa@rd6LUIt7 zra4HYvO>Bcx40F+>e3>RXuY_s$`q0m!M%9gNg;!j_v$*@6eb{{XfH z;l?4LDvri~FlSgGX&baUvsl839iR!LrE6HDLLc@6PgtmVn@+eyAL;kU9nC^6j6w*t zRK8&)YY>y{QlD2C7YMG?r3qS>_YBvBg;J4W8)g8#1ZpdqB->@gCOcZ7H;N*3M+x#4 zYQ8?4f0<*LGyqh4tVwmWL%@BGAK=?it_w&mkwx}ED-9kD$a3X1qHM{a8xh=!C=TYh z;x}7rO0=8^zu?42I7U4 zkpu{a{{XDkIRe%9;6OCMc9ea%Hx(Ah#dOzDzThGjbTJSpDG>+eu+W~N1g${_cG0!i2bnPuok_G21iK7I0v|$E>O=r&x*Q;iD2zfHQY~;&Jg_2!6)36R=ffzp z1+N7=8J4z)#n}m_=wQs+xmrL-ty(TWG5Bc|Wd!0t3_WChQUDM|q9Z$vFrNG<2uY#a zZj8~TD8*$VC!nElK0w@Ie8q6ckb&gvJ={BCh+EUg!Xk;#Hod{wwheF=CcCSw7SDNp zArj=;x{g|ix8ujs5Jmc75UsB1+oCak7#JjxvcV9ouxRzkERtJPC1?ydsEp2nfx<17 z4NQ8F*dSX?AR4QJ`p{*Kz?ykrCa9s`BLcg~98!tfr5+$Edm!V6ePr5Ifne zIY5!y*J(%KT<#ahK#%a)o5Uf71$`ou_~so2H5mFyOZ)!-87iowr)`K>v>TX7eVA5m z1RHkm7l;t(psqry$*fP@f~N$I&NgdV4rB>2w+j4uiNR5OfiVSa_GPf8K<1E9{sJE} zWaf=8rixk);CE+2iKW;Zc4GF>C>^m+qZ=^r=5hYU--wuaCdh#h0ww#u+(oqL)J9U9 zmsm2?#ZOi3>%C$rgrPyYJ4t`sl521<*slT>r!d~5mZ2CLm9toaV1#}Z5=mnnz+wQG zKzhUevLO|N3!(sCuW^%SkrI`w(J(8(Y!nX-bUp4MzKAup)VFi{FpM(lqtml~+!(n8 z90)&(ZWy%`EFuY`@5cFp95h!)w5WMM+@NWx3SETdc5@o#5t^T!bRu8*!}xo5f<~;j zdN`VZy2>In-5I6cE{`HBIZU>})F~7>?dAx>8Aw!z3@6uc!2W*XrFE(SBeS?l#ZRO1 ztyJXGU-K2ZZjmEYu%MeUg-F2B+Vv5Sjxq^Z^-W`D)zSRIp(C;)QnzSpw*bgO)D%Oq z7wLlxetrbCiFWc_6srosAnI#n1A{P^*h!{lQ}Pe1a8NjtU;!rs5xk-XkH`dwYeGTZ z=AJqtv?&7W;`s(BAkp2;K45?qIjdnfkH5LM=$kC7dcR&wvruM1v~WSM+^~sw)zd6A zR%M(7WJ#WLGkE2*w7wu}#4I(6AOvjV$H=0P+lG^kE<<$S0;SxyU+TVCU+K>WH zZwNxJAVNCm0uwIVn3MrPDufAwe9#fF1?g6x{{Y?xRPm%%5qAx}#E&>`(s#(ww{9@Y zcY2tr6I$WJ1q6B~qE(20K44aAHBuzo5Px@p+4mrYN`};3Mk8YtQwj~f)(NKGsOXEubWyO~N+FDv|>! zs&$Bn!p7c!1|!AA7#C6oR{C5_a6|J-qf_AGf}^7p(tqY}77=N{>LX{|K_MyvtPA)i zXw;1(dV`Nn{K^mZ_ol~G7cb*y~@5)pQ1g03GW#gU_WR0X?nk-WhDC98D)=Ca#iq9r}I?mG1CkTTN$01|nc z!4th3)IJOU0Gx3~aV+$GKX98rsgX+XE;J~x(kMe@ah=En6zA!PL-iIy;8L}#pOg#? zfCg~b^C2J4?7AXQej~gP)FTp;K=6XP=ecXEHGr=G%~`Anc)46ciKGJU(9d{?8E9b!B?3fV#-#9XHWQY(kgGHCy+xFdy`N& zaR8f#e-U?tYTsEbcKiV#a~2LTjhm7v8*4j`eXe$pd)=J#7Koe@#TL(E?Ed4|cyXYYeU0XI{)geWL6u*Xx)6_})z#5IhnF|+<~37b_c zA^a8lnlZy*Z6M25r_4A>a3GKoDXxa#qv+HifDnVy%-f*QVsPmE%nLNqqZVJ;-Rzs#<_M&bEIFc z2{e?(D?r-DvSEOx*f=f?-~QqSA1fNxG|EzHWu>$Nja?G|0D!e z!)Ffwkg4~M2ee@oOeUQdIkmSsaR+|TST1xMu43AGc&3Q-#Omaf_Zj3xX}Eh$QP=JQ zFqo9tTNjEmc&*QZ;1r!?69t_7GY!(4NwI?fM$yG!7UQA8q%j`L1<@T0nA^29q(}sh zroLgs*#k`87lSLUIh-$9Jp}7m(n378*e6;g_}(tG3JO?Lz0(~tC}h%wdm#Z*wOlma zhV($F1I6FWG0cNfBSWcvyqGfa>OwYwu@>p9r1ltS7UGK(l4j6(ME_y>TmEk2vwXNKPRUQVXI1^Lxth(P|N)jcuXda7ZpVG^2!g zBc;k$zPd&2`kJ`7b5}Lkf)=YZaJlvYw!Pj*aN8WRC6c9!U(~qC&{H7L@(A}>B3ECg zJ6$g8ml`y-f!UF#1sm3BQBkKDfs_E*!yKrnV)@E}tJ{u&l!5?@v|F>d*wr2yh$h4N z+y+*F0)Zf$kGQ7OV+$X1z5f7mjAL0KY~c?w;YXG=98?UOZ+=bVdk_>j?xnnt$g33< zA_9n4hVr%A;&L?-FB`*UA(Xrdnnq2`BVCD>{iy{%<1c8N(5OR8ADJjXg{tic5Chi( zPJ>tl8(oy{37{Nf!96^$y2L1vR@M|)0j$HzL!uOdD)>psicu<~bT*4V88c&H9VV@- zuI|3#nsNT~7PURC$%^hE)|(0Txyhrh5?UxiB&&{rwUPkWr;m4swh792sHNu3c(5x4Bi`U;2&h6>g$@q1tt8%QexdKg&^k;(Mk1#REvET>aOA^3&k z5&JWSwsCGXYS@#Zj8l*qef+R0 z-O9Fw40iLY0s(kTF0Q8X2FApE5iDKrj%Mft z_J;KR4=34Vg+5uO0BGQ4EIcbyd4i5hC_3_wY2jY~@Fy-eQ8ngkk~#ZmtNGUCCWW2L1V z`+dcdgA$v>+Qi7nLnqLXA12Pc!o7f{)FQOfOe|N_8V1Vbq1P?z$VTWv?2FIbvaOb^ zih3%lGyKSO(?ky>Xb21D8rQXbBQa8%)3YVp0s`%(iTYqbf<=RncK#M(Dye*8`4H*( zhjU)BLKOGI_YK=-7o((b{8D1lppV-nL!ky6Ae;|Hkh{58^@s>fM(g>T14h9CchJ7! zI|P6pH^(J#@GyS3unFw@&-uf(Bw8=f8){+7q6Fd4?^+`f#Unzn)uU-nA&Zd!KnB8f zlDop303#)XhZ=v0k9x~dI^=ztn@t3UYjNagyJi}!XPAlF*B2> z0!*lB)?7K2I`QHfNQ!snG)xi5w*ze{yFA7F1Z`-y08&mk$200ICdH)p3sIvLt(n|= z{^TjS-E~7!8DH*ie5f4RNiRaj+#&qTs0*+QrPr8%bQp-L_YeVQ)T`-Q0r-MS#J@#!+jQ|6Ii_nUaMbLkUww$4e>3qjrV%FAZQ>2-kNdS zfQ2Qj3T+Uxf4DR;r$id7TF(27roy6Bq!>ImH;Tup-3Et-h^H_!l|n=`avTN6NRiNm zt^CbyOfev3$)F*mO@{GcOjwExAn9AU`9N?A!)Qm(aSpN#R5eOaYIfs2BkFBXAD{7z z<@7{sgH!8{k2d0ue=(rbCjAHgWriGVss8}-3ik?IPoQy%M2<&lFE$k5jtkUji7jkX zTi)=MCoFX%L{y4?%+z{}05w&RiT5Dp-$ewFgzsrsQW$I^!BRTa)1Ma)Xe5*QNJwFyC^-r}K*qzc6`viRI*h@&)0^f0J=$b2P)0U;fzCeLw!d~k@> z7NHdj?f~h7)D!L1D)xJqA_Ih;GvG<=#j7;Hw@v`xTt-=rguVy~d}Cl_I@m~} zg6jVOagD$0#BmMo@{pjEH4&8_8@N+q)rlofl{O{TEcVc01OOV19x+r0s9w&HUG^DE zRh_Wv`sJT)JZj|A6{@RLrWj4iF}M*QVtA7iWyp#^-D0DmhWs{$+s>nQFPVN6rJ?{z z8@9E~Athxox8l?O%$k-$FVw5s^I0n;nil>7x_jI(NHq^b8mxj2^PqLr7L|WgXvNY3 zt5~Q{*7`VWpH^N5oEmvCgb2Kk=!j1Yn8MVcO}mTc6z=Mg+m%H>+*q^Ss;B)|2-rkQ zT$_+dh+cZ%o8|#c$3`f$jdixMz5M||n_B+>#s``;LXMaIJb8&hWa$RHk; zVWALZrxXEq{{VQhTBc9*{lwJ6YX1Q52CmZxh>5d#WVC@mLfR2eObGxo>#4zYIfJGo zu_B_%5Ax{9kSLU^ERkaW05XK;5uy8a_Zh5(kwcCn?xlBpx0p4jq?`VCAe|6QG?+q_ zy{I~%L`+A6d58kGO@7(P3FP*HPwReXaca^5DP14v$$_|b8i6UjZxO7NfV2a#=V6JY z)xfDLWCmQf=fk$rr87*~#}iskq8QZFCg*W={1gRL>woo=sJ1mnD_HNsW~KFE0Z(17 z{{S(F3T%2o)f>;b0jeaBf+#4uUycYMWz>2LtMPGNgm%!TqCY>I#SG?)tP~L3(K(x> zK*A=bq1|&4r=_7?fprG!QxRR8;u^~XRHwPH*36AR`4iiQT*Rr9J1>s@qvw zXY1LDE&^fJ2RqC}YwZ{WnGhxtlmK_uaU3ooE4jMq+$B{ZV3q`JT6HD@a3oO$**FOi z8;d7kuG+Gbq{nfI{WyCfCvu-lsk zXsx2`2<^yq;JDFh>F16iO@s!GlyUz6aY0qzXfMOt%w*OB@CqzKFD6IPactE#fia*J z^3_Rt#4uX3LtE$XPV}NBB^k;r-8Gr@?^aqXtXTaS%+*4sA~celcz8X za2j!^O1FE%{S}QamxPcx%xKO=gdzxts7iNPre+j!H+b(NqMf_xJt?tq>Sr;UGpN3a2u|cJW*Z3r(v6A{6z?HUPUAQcdk$I1-~^d;P}*tdoTn z18|@c;d|DOE3fwBO!!RM_Z>nj@r(e#Kj@f@5xL*_xOEcH-}#iHcy%#o9!CEFyra}; zf9oyhsbH3#!px=G6HU@s;OK)c(lI61F-CT~0Nv4n^4v0oyTetK+hiD$ab9e-9)3QU zt(|8G_c5qx5@0~=$yGQ)wlqd!qD0yXvR+es6Wmd6g>B)cueiCYmb?nuAbfEkSZoDv zTQzYR92{+^!=_aTfjj>IFE^SB3^1Wvb==qhk_tX{4j=izE0G96wjXnIyoZWFrd^SN zQa>%!Zg>|g-!_xA9*75#n{W?oDl(}7Js#n<*&uuyTWP!A1x%ad>ei#ni7X6-cf=>S z{{T{@gxXqHe_8G@6a^Ph>94rL@_0+`t^nwH%pu@ad3K2Ojy-cg9hBwXV|s5Cvmyv06LwIqQw z(qo@2>VTxsM{@pQPMw4!5k#Ydt{RcV0ACdId%~O`bMgs9K%zJ-lMA9&g-*xr8bG9A zRUZ5&xK!7(5`5GR{$cc_7t|^(ox&xh^3_$Ku~^`c5b$1<+huzA;|2bJhy{2JDVnhc zN~D%)4c+^W?u)jly8@d30Gu+HZANL$QMlLcU{i3A>ZxU49K z8+GZ0SF-+|>Q~P&QYdn}jwY1<0E`4GR41h#CjS83c|}g!PDER8D-)wx5K0H{+;vQ7 z)lwjzmoHA8s~CnZ<0mi?2>c+R5J9II$Q&7nAXjYjFOd%!bSAg~`HZWduzq+L{k71` zh0s3tE5rI=qXL6_h!qtIcN?jRJFVlIgg-CbaiVM{@Y-Snqa8+9Rn`lqLwlMzJ84Gm z4b-(5BmJ&Haj1%ZU}WUrPx^449adZZxE^z9VaA+Bjw9mTQ-Pxm;FncI{{X{XHI1>8 zVNHa=0qmhJ3=27gvZ^2!!j_ue@QK@O2ox7%dKksDzO0V>FNxjY96f+TRTQ<|-fqvK zhPo^nRxCWhNQc8v4XX*QVw8>6Ab7FvDiL{0Z(*RnrdqJK4K%v>a9acu1*qLe%`n7C z06Qya_%IESzclJXwL0Q#(_={c0QGf_b&660>)rP>26mxPR&5=>F{_FdAj#M#n1Z_a z=>tZGR-?>zCaQ86E--DFOP(5~Oz{xr9z7;;NJ$bA-xnJg7#K7;VXdw13)>XXn>2v` z0OJ>N3K-a#%U{3T+QVcHUjx?y^s6?k*7SLlt2LO?P>h70m ztOAP<1SO8>qfO_*;|R9}WYa^e-)+*ZR1J zPEK#VdctnxQZ(vB#55;M<}6YpQ~vP>@&s5Cf?0E+ctmc{_?0DV-E z#V|x|EL3%8+}}ljQ&p;~k*dFaxl^E`^!>#|NVt$4>N$@!*3(%}FIJ)t`NbM16!bst zRh7CpqVMO9J_z8oX1{*+-fF1qC;UZ~)#SfY?tSq2HF7}9CYXAUH#h6g2 zHV<>uFj zvsh)=WI_Z|5qP;oxDJRCMhu&gA6g8=wnKU zr*y;b5}k^&i9+__5ncL0O!&G7SqxP)5~v`Dt8sJ&4K;RTQ+!NhB$BYxLY(ja02o=; z+<}8i?CixSY9)Xh#V`D0QmCPTm$U2t09cYHi%!_EeDf}_WW#jSBR*ivWI;N6KUaUu zSct5l(I2Dc^P|X?<~6Z@cdQVWbwyQ0b)Rk^X%>l0sBru+A^~Rd(ntLc7<-g^!|3Y& z0C0+^%hKrmDZBuce8#>9poRoTz`&TnffXhFA23mpA=WpIB8pQ}+;>_7uHuNozB|RD zuFB$@KsMYW61Ln90n}Y-$_ff_U{NG|FcQWNXuyq=1Gy2HZ9OEyh=ddKCgmg_Mgv>6 zkJ}qi0)UU)f?h-Ei;O7rl@)V<_K!|~EsPz<2Vtm>5~#D%Rf*><@3_UmFeNmb>hD+r8tXX;Df^3rQ4vAj0{;Nv ziCj&;USGfFFaX7{H=tU2VA?4FP&OX2f5uEeI-$6NNNN1S0~QdCF-mio>c*si+h&Jn z{Ns79ji_sIo^IcmpY71Up#c|f+;shu2(tWzd-r&D(lQ2|ad}Of!mnZ!#5Jomeq^T? ztAxR3T`JQp`2)_$3DZ$JK14gO8BdY|!ygShysH+gS9WAD&2P8{P@#+J zkDr;bAx!%Lw@?LNGeDi=QLTm0#)S}at`hR*pm!ntBYGIx@iyB)k@weJz#6Uw;A3ss zOc;=k147fz*x=Q?WD-yc@IGS#qbhfYddm92@2h*#OA_ zb}Fsj;Rc1KS_^*LPjh(jLKks6hUtonmV#pIN97-JHhEi8j6{nCZby%xeaS|y440sQ zG$_K)f@9>i0t+8ImDPn|*ff6s0GZWeB35u=F&7M@I2DugOKfD0v$@M<}>RS z#fe=+;M#dISZqRK%&<|9wju|vq}E|FXjx%FWS0?@bx?H5FUFE zbhzAFNE@q)!U;Cz+oUEu2F=<30E}v+^aW{@2~CeoR!ilpxl@67V8p|KNCj+*VE*7h z@)3fMSWrpxIcGPXnCUv&LwHq(8X;FGijHfz$8Q4iTeW}+x^RpRS!&Btl>M$2Thn$0 z)dduLFtuz|<$ZXO510ta77kF*Vo(rPTaekCPc(^z-JxVZAi&hD!@k{0>i^M>Jq94qdLa;ojE4W4XAZ%2-m61$fgzwdHx0nD~EvLhDa<@>k zKHi+?+$a(t1#JTAA8a0GvjS#7MXt+(IACV%bn` zr2B?4R2H3|n573uRQ<-3DzF$@&O6o#1bbbD+15rV zNi0F5JR0b?g0NE(@qQTYiM@xO`;4C4R{g-4cSnC&J(K|{5Z3S1871n0_Y%fUvG5C5 z#t8~+8N7a;(oF&VxwavyimmlI5Yjl6?$#Y_|1}dS&vryR|MP5qxToA z86_wewMb?ClWe*I9Q8N%Ch21neKw<3&386#{sR0196+hz#Z}9cAc}e^wZ;-Ak_V&x<|x9>IlF({ zi-jtnkbd>=nYDu%BGd>Z^YMdFsY-PpwevS7En(~XkU*cw?l2t_1kr^EsEj^01#$*~4NLXzCAtklkdWJ?0N$`{i|(5BBlyhL zkT~9I%s)aVI(2X;VCWd0Tv_;^4!tPe-umFXF;rL#>IYplG9DA`kV;Ql16caQPYQ*5 z+f#q$Aao0at3gK6?YTiKU>b@AX2Jc)g!Y|!u^T}!)w~uBZH0exN|rAd!x$Nq*nidu zOh7{XM@jv`+m_^y=zrK{!h05fJAdTFkm3@?D-jJpG6rFbeo0iZC$q9jEPEra9AKiZ}q^9 zL3g|~X6yd|8h&FyUHUNyxJ22MO82G2ZxrrH0MJw3<5W`G;oe9nQyBqWllz2;8y>I% z6&1_*f(MYD0gM1kF53bB2O8bt|g!9@=T?W9Vn1O3ggTxs?(5#!tmU8s;cD+>FH2@bIu z1>Q3@@W_SN!++eYrNn7=wv7G2+7Sc_5`;81^@u6Nt44`LD(|xi1v)Z-8$!mveaN{8 z$RaW-tYN%FVRL_N68@FM=~B^TE}B6R8~K1#3lJMS{9HDSj3G&CLW#?9e8K^MYXEej zZwn<03++leAnC*^+qg!=8^QqIGj6{D?7A=op5(0K0bRBVb$>BZn2DxzZ~VqWdPm-D z!VocorGAXC4@c40t>G~9qUuQ0msM@_jvIceYr)l)3A5NZnxc5fJq@Ckv)znLDk{M2C5?7XHS z=UgN&y&t&{2*kIb{riC;YD9v%)OzFA1O$|h7nsX53A>@fiSOK5#3CDuP4Ch!R2{9* zq<{%SvmnrJ(zOA9HM})(#U-|#9g9-{#MYZwIi{}0Xu;q$M>X zcLgl>IB1HY0eawpA~6AUMxctj<~wAl6WiH8>&Y<_@p*=o0D87eQvgqF!X%pbIHWwt zL3aACrUerSATmHf7oV7Auyp}iPfGsc^<4-e9l{@uO43d~Aa(noxJiaUgw$qKv;ss} zKF)+siGm%V$p`l+6}E%kfZR-ec`MWC3620R(kJ_gA*6$Mi%{E>ct{#7TucZ}(&u(Cm!Xf$r#NF8+JC#hXmD7Y!Lo6lO~DL z(N-sG@0nfsl!{1AmAI>3RkbUEqx9Y%VL%07D-UohL7CF&+g+=2jCymnn%&UkCLAA7 z;YMwl#oiPEr2%Y*nqzR0AE)6XP{LE(R}r0EMEH)T9Qr+=1?r3Pp5rdM5g?mV>v^6V+UTuC)h^{_}^F>HZj77#YgVF8c>^(XTjDgkrufq{*SRK)`p zsfrYj$5?vM7GMC2e9~bi1lMq?0=T-)H75C#61LG8l6rSe4)pH9ipDAU#sEFAH-od8 z(8|Teqr;i93gm+8{*Dka?le=h(Tw{r^H`w28C1J2L#&~TphKiT*AnvP2MP0L*Y^u3 zy7}myKZndgg@CdJKy}8kiqwk#0K_r9P9QJHZxvJ=#`AhiDYHQkuA9+{hNF(eK}O}m zjdom0^O~-1!m~_C09QoEb^!D>fTU*fpZLzLVpIHwHnbrxrh$x$4ZKXKzT?F;YKXfW zU27dpCcG5x3>IqKR#VbMAlNwZnP3J%-b`7xBaO$_1`wk|xOs_@v(OV{X&0Ll4V^;> z+n{On4>5@q3IM7X&KADlJJP%aM7}vyVWNYQZ>zjb)&o!!BoofH=B zn$|=MUFD;{u5TgSRQ^8GUCCEBIxj+#X19pGB@7!KL0IDgb%6_F=uP^-?P8$+0KwMU z*Bv(+JVD_P#{!IpM1qhNPwB4bTbwXP1s=TFhGL@;#C={|S3QI+5%f~~fo$zV4uAmi zp^))S9|DfcQpwDt%3K&+Fu*=x)SW1z*KRxA$-Bgk`k{HFf0!Ntfa(Z4ThGX33I z*X0HlQKAIC!eq4|CZ5cYmfN(jGz+OSSc1}u$Ban ztq0Z%fC5kggx#w2;75p1sG$K-9Oy7ri`|P4F|l=cPKUnAe{m5gRDY-LvWg|C(eeBo zWeW%ct45ClPV=57bdmzPqO9w8jARXnY;^wRW!MNtKghLLnL_X&rC$=7hL=1taR#B2 zJS5G(RfXr@wvBPayn$t~sjY7Q48I$CWZIvl)&{OvoRk%5#P{6T)dTuJ+?=?~5Lyp4 zl#+y>B`>*E=}0Kz?{Z)<7&n3MzT%o#y2cL6z2Lw=kUziNaW7<@5YYT-i=>}A8t=F) z5#u%g03Cmr)~E{AVglNQab7C5XbCa|2dypavEBtxl><`W?jL}rLO&P2+(CFEul`t; zXl>HZe=w;P0EBS)iAKUKThsML`@=`G^C3{TMsEfre6iA6u4Oyd@n3{lB;l6kWc5 zaQ3Mi{4KvQW`SaT>id9PBU7WNxPk?;udnx5=TIeY+xwNvR-dc;m14G^_}kVuB|@uj z{lj3mJe+%vAVXb8yKwRv5CS*LlVXQ&4r@#T1jT!Q&Tt8vs)zBfm@rI*Nc}6^UAG71 zP1}4u;a(@ZMs%hm{wC z!}?)f?BbAy3U(X8p&|evCE|I3MA?y0SUP{12EbqxEAnyg?gh@|ZZfj(?k^i!O+&qb zu8a{W4iIq1nFrL+#*=2hGk^gBBcF@|q)jK9w)0p5t{0<+#s@JFY6&g|;;g{Cit6LE za2lgwBEJJ8N90Zy38tp7%ao$StWD# Date: Sat, 5 Sep 2020 14:38:01 +0200 Subject: [PATCH 083/313] rewrite 03-setup * change from OpenOCD to cargo-embed * update a bunch of tooling * change to micro:bit hardware * removed the OpenOCD testing section, we probably want to write something up for cargo-embed here * Since I use gdb-multiarch instead of arm-none-eabi-gdb on Fedora (and arm-none-eabi-gdb is not available for Fedora) I changed the installation instructions, we probably want to do that for other distros as well if they behave like Fedora. --- src/03-setup/README.md | 68 ++++++++++-------------------- src/03-setup/linux.md | 85 ++++++++----------------------------- src/03-setup/macos.md | 4 +- src/03-setup/verify.md | 93 ++++------------------------------------- src/03-setup/windows.md | 27 ------------ 5 files changed, 48 insertions(+), 229 deletions(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index db1787b4e..683c9d34c 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -10,56 +10,32 @@ microcontrollers. We'll be referring to all these documents throughout this book: -*HEADS UP* All these links point to PDF files and some of them are hundreds of pages long and -several MBs in size. +- [LSM303AGR] -- [STM32F3DISCOVERY User Manual][um] -- [STM32F303VC Datasheet][ds] -- [STM32F303VC Reference Manual][rm] -- [LSM303DLHC] -- [L3GD20] - -[L3GD20]: https://www.st.com/content/ccc/resource/technical/document/application_note/2c/d9/a7/f8/43/48/48/64/DM00119036.pdf/files/DM00119036.pdf/jcr:content/translations/en.DM00119036.pdf -[LSM303DLHC]: http://www.st.com/resource/en/datasheet/lsm303dlhc.pdf -[ds]: http://www.st.com/resource/en/datasheet/stm32f303vc.pdf -[rm]: http://www.st.com/resource/en/reference_manual/dm00043574.pdf -[um]: http://www.st.com/resource/en/user_manual/dm00063382.pdf +[LSM303AGR]: https://www.st.com/resource/en/datasheet/lsm303agr.pdf ## Tools We'll use all the tools listed below. Where a minimum version is not specified, any recent version should work but we have listed the version we have tested. -- Rust 1.31 or a newer toolchain. - -- [`itmdump`] v0.3.1 (`cargo install itm`) +- Rust 1.45.2 or a newer toolchain. -- OpenOCD >=0.8. Tested versions: v0.9.0 and v0.10.0 - -- `arm-none-eabi-gdb`. Version 7.12 or newer highly recommended. Tested versions: 7.10, 7.11, - 7.12 and 8.1 +- `gdb-multiarch`. Tested version: 9.1. Other versions will most likely work as well though + If your distribution/platform does not have `gdb-multiarch` available `arm-none-eabi-gdb` + will do the trick as well. - [`cargo-binutils`]. Version 0.1.4 or newer. [`cargo-binutils`]: https://github.com/rust-embedded/cargo-binutils -- `minicom` on Linux and macOS. Tested version: 2.7. Readers report that `picocom` also works but - we'll use `minicom` in this text. - -- `PuTTY` on Windows. - -[`itmdump`]: https://crates.io/crates/itm +- [`cargo-embed`]. Version 0.9.0 or newer. -If your computer has Bluetooth functionality and you have the Bluetooth module, you can additionally -install these tools to play with the Bluetooth module. All these are optional: +[`cargo-embed`]: https://github.com/probe-rs/cargo-embed -- Linux, only if you don't have a Bluetooth manager application like Blueman. - - `bluez` - - `hcitool` - - `rfcomm` - - `rfkill` +- `minicom` on Linux and macOS. Tested version: 2.7.1. Other versions will most likely work as well though -macOS / OSX / Windows users only need the default bluetooth manager that ships with their OS. +- `PuTTY` on Windows. Next, follow OS-agnostic installation instructions for a few of the tools: @@ -73,16 +49,7 @@ newer than the one shown below: ``` console $ rustc -V -rustc 1.31.0 (abe02cefd 2018-12-04) -``` - -### `itmdump` - -``` console -$ cargo install itm --vers 0.3.1 - -$ itmdump -V -itmdump 0.3.1 +rustc 1.45.2 (d3fb005a3 2020-07-31) ``` ### `cargo-binutils` @@ -90,16 +57,25 @@ itmdump 0.3.1 ``` console $ rustup component add llvm-tools-preview -$ cargo install cargo-binutils --vers 0.3.0 +$ cargo install cargo-binutils --vers 0.3.1 $ cargo size -- -version LLVM (http://llvm.org/): - LLVM version 8.0.0svn + LLVM version 10.0.1-rust-1.45.2-stable Optimized build. Default target: x86_64-unknown-linux-gnu Host CPU: skylake ``` +### `cargo-embed` + +```console +$ cargo install cargo-embed --vers 0.9.0 + +$ cargo embed --version +cargo-embed 0.9.0 +``` + ### OS specific instructions Now follow the instructions specific to the OS you are using: diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 0c32c66d2..584655f35 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -2,11 +2,9 @@ Here are the installation commands for a few Linux distributions. -## REQUIRED packages +## Ubuntu 18.04 or newer / Debian stretch or newer -### Ubuntu 18.04 or newer / Debian stretch or newer - -> **NOTE** `gdb-multiarch` is the GDB command you'll use to debug your ARM +> **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM > Cortex-M programs @@ -19,12 +17,11 @@ Here are the installation commands for a few Linux distributions. ``` console $ sudo apt-get install \ - gdb-multiarch \ - minicom \ - openocd + arm-none-eabi-gdb \ + minicom ``` -### Ubuntu 14.04 and 16.04 +## Ubuntu 14.04 and 16.04 > **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM > Cortex-M programs @@ -36,23 +33,18 @@ $ sudo apt-get install \ ``` console $ sudo apt-get install \ gdb-arm-none-eabi \ - minicom \ - openocd + minicom ``` -### Fedora 23 or newer - -> **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM -> Cortex-M programs +## Fedora 23 or newer ``` console $ sudo dnf install \ - arm-none-eabi-gdb \ - minicom \ - openocd + gdb \ + minicom ``` -### Arch Linux +## Arch Linux > **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM > Cortex-M programs @@ -60,11 +52,10 @@ $ sudo dnf install \ ``` console $ sudo pacman -S \ arm-none-eabi-gdb \ - minicom \ - openocd + minicom ``` -### Other distros +## Other distros > **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM > Cortex-M programs @@ -86,61 +77,19 @@ shell init file (e.g. `~/.zshrc` or `~/.bashrc`): PATH=$PATH:$HOME/local/gcc-arm-none-eabi-7-2017-q4-major/bin ``` -## Optional packages - -### Ubuntu / Debian - -``` console -$ sudo apt-get install \ - bluez \ - rfkill -``` - -### Fedora - -``` console -$ sudo dnf install \ - bluez \ - rfkill -``` - -### Arch Linux - -``` console -$ sudo pacman -S \ - bluez \ - bluez-utils \ - rfkill -``` - ## udev rules -These rules let you use USB devices like the F3 and the Serial module without root privilege, i.e. -`sudo`. +These rules let you use USB devices like the micro:bit without root privilege, i.e. `sudo`. -Create these two files in `/etc/udev/rules.d` with the contents shown below. +Create this file in `/etc/udev/rules.d` with the content shown below. ``` console -$ cat /etc/udev/rules.d/99-ftdi.rules +$ cat /etc/udev/rules.d/99-microbit.rules ``` ``` text -# FT232 - USB <-> Serial Converter -ATTRS{idVendor}=="0403", ATTRS{idProduct}=="6001", MODE:="0666" -``` - -If you have a different USB <-> Serial converter, get its vendor and product ids from `lsusb` output. - -``` console -$ cat /etc/udev/rules.d/99-openocd.rules -``` - -``` text -# STM32F3DISCOVERY rev A/B - ST-LINK/V2 -ATTRS{idVendor}=="0483", ATTRS{idProduct}=="3748", MODE:="0666" - -# STM32F3DISCOVERY rev C+ - ST-LINK/V2-1 -ATTRS{idVendor}=="0483", ATTRS{idProduct}=="374b", MODE:="0666" +# CMSIS-DAP for microbit +SUBSYSTEM=="usb", ATTR{idVendor}=="0d28", ATTR{idProduct}=="0204", MODE:="666" ``` Then reload the udev rules with: diff --git a/src/03-setup/macos.md b/src/03-setup/macos.md index 0d0c67f3a..064f8f608 100644 --- a/src/03-setup/macos.md +++ b/src/03-setup/macos.md @@ -9,8 +9,8 @@ $ # Arm GCC toolchain $ brew tap ArmMbed/homebrew-formulae $ brew install arm-none-eabi-gcc -$ # Minicom and OpenOCD -$ brew install minicom openocd +$ # Minicom +$ brew install minicom ``` That's all! Go to the [next section]. diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index 59996e065..c6f9f79ba 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -6,24 +6,23 @@ Let's verify that all the tools were installed correctly. ### Verify permissions -Connect the F3 to your computer using an USB cable. Be sure to connect the cable to the "USB ST-LINK" -port, the USB port in the center of the edge of the board. +Connect the micro:bit to your computer using an USB cable. -The F3 should now appear as a USB device (file) in `/dev/bus/usb`. Let's find out how it got +The micro:bit should now appear as a USB device (file) in `/dev/bus/usb`. Let's find out how it got enumerated: ``` console -$ lsusb | grep -i stm -Bus 003 Device 004: ID 0483:374b STMicroelectronics ST-LINK/V2.1 +$ lsusb | grep -i "NXP ARM mbed" +Bus 001 Device 065: ID 0d28:0204 NXP ARM mbed $ # ^^^ ^^^ ``` -In my case, the F3 got connected to the bus #3 and got enumerated as the device #4. This means the -file `/dev/bus/usb/003/004` *is* the F3. Let's check its permissions: +In my case, the micro:bit got connected to the bus #1 and got enumerated as the device #65. This means the +file `/dev/bus/usb/001/065` *is* the micro:bit. Let's check its permissions: ``` console $ ls -l /dev/bus/usb/003/004 -crw-rw-rw- 1 root root 189, 20 Sep 13 00:00 /dev/bus/usb/003/004 +crw-rw-rw-. 1 root root 189, 64 Sep 5 14:27 /dev/bus/usb/001/065 ``` The permissions should be `crw-rw-rw-`. If it's not ... then check your [udev @@ -34,81 +33,3 @@ rules] and try re-loading them with: ``` console $ sudo udevadm control --reload-rules ``` - -Now let's repeat the procedure for the Serial module. - -Unplug the F3 and plug the Serial module. Now, figure out what's its associated file: - -``` console -$ lsusb | grep -i ft232 -Bus 003 Device 005: ID 0403:6001 Future Technology Devices International, Ltd FT232 Serial (UART) IC -``` - -In my case, it's the `/dev/bus/usb/003/005`. Now, check its permissions: - -``` console -$ ls -l /dev/bus/usb/003/005 -crw-rw-rw- 1 root root 189, 21 Sep 13 00:00 /dev/bus/usb/003/005 -``` - -As before, the permissions should be `crw-rw-rw-`. - -## All - -### First OpenOCD connection - -First, connect the F3 to your computer using an USB cable. Connect the cable to the USB port in the -center of edge of the board, the one that's labeled "USB ST-LINK". - -Two *red* LEDs should turn on right after connecting the USB cable to the board. - -Next, run this command: - -``` console -$ # *nix -$ openocd -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg - -$ # Windows -$ # NOTE cygwin users have reported problems with the -s flag. If you run into -$ # that you can call openocd from the `C:\OpenOCD\share\scripts` directory -$ openocd -s C:\OpenOCD\share\scripts -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg -``` - -> **NOTE** Windows users: `C:\OpenOCD` is the directory where you installed OpenOCD to. - -> **IMPORTANT** There is more than one hardware revision of the STM32F3DISCOVERY board. For older -> revisions, you'll need to change the "interface" argument to `-f interface/stlink-v2.cfg` (note: -> no `-1` at the end). Alternatively, older revisions can use `-f board/stm32f3discovery.cfg` -> instead of `-f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg`. - -You should see output like this: - -``` console -Open On-Chip Debugger 0.10.0 -Licensed under GNU GPL v2 -For bug reports, read - http://openocd.org/doc/doxygen/bugs.html -Info : auto-selecting first available session transport "hla_swd". To override use 'transport select '. -adapter speed: 1000 kHz -adapter_nsrst_delay: 100 -Info : The selected transport took over low-level target control. The results might differ compared to plain JTAG/SWD -none separate -Info : Unable to match requested speed 1000 kHz, using 950 kHz -Info : Unable to match requested speed 1000 kHz, using 950 kHz -Info : clock speed 950 kHz -Info : STLINK v2 JTAG v27 API v2 SWIM v15 VID 0x0483 PID 0x374B -Info : using stlink api v2 -Info : Target voltage: 2.915608 -Info : stm32f3x.cpu: hardware has 6 breakpoints, 4 watchpoints -``` - -(If you don't ... then check the [general troubleshooting] instructions.) - -[general troubleshooting]: ../appendix/1-general-troubleshooting/index.html - -`openocd` will block the terminal. That's fine. - -Also, one of the red LEDs, the one closest to the USB port, should start oscillating between red -light and green light. - -That's it! It works. You can now close/kill `openocd`. diff --git a/src/03-setup/windows.md b/src/03-setup/windows.md index 4760b714c..70913c83d 100644 --- a/src/03-setup/windows.md +++ b/src/03-setup/windows.md @@ -14,37 +14,10 @@ gcc version 5.4.1 20160919 (release) (..) [gcc]: https://developer.arm.com/open-source/gnu-toolchain/gnu-rm/downloads -## OpenOCD - -There's no official binary release of OpenOCD for Windows but there are unofficial releases -available [here][openocd]. Grab the 0.10.x zipfile and extract it somewhere in your drive (I -recommend `C:\OpenOCD` but with the drive letter that makes sense to you) then update your `%PATH%` -environment variable to include the following path: `C:\OpenOCD\bin` (or the path that you used -before). - -[openocd]: https://github.com/xpack-dev-tools/openocd-xpack/releases - -Verify that OpenOCD is in yout `%PATH%` with: - -``` console -$ openocd -v -Open On-Chip Debugger 0.10.0 -(..) -``` - ## PuTTY Download the latest `putty.exe` from [this site] and place it somewhere in your `%PATH%`. [this site]: http://www.chiark.greenend.org.uk/~sgtatham/putty/download.html -## ST-LINK USB driver - -You'll also need to install [this USB driver] or OpenOCD won't work. Follow the installer -instructions and make sure you install the right (32-bit or 64-bit) version of the driver. - -[this USB driver]: http://www.st.com/en/embedded-software/stsw-link009.html - -That's all! Go to the [next section]. - [next section]: verify.md From f4ebdb8a224dc29da46c68578493cf2f4e7e3c39 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 12 Sep 2020 15:23:47 +0200 Subject: [PATCH 084/313] Update linux installation instructions The majority of these commmands will presumably also work on older versions of the distros, the one I listed here are just the one I had enough only resources on or people I could ask for information on whether it works or not. --- src/03-setup/linux.md | 38 +++++++------------------------------- 1 file changed, 7 insertions(+), 31 deletions(-) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 584655f35..304ce2638 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -2,42 +2,19 @@ Here are the installation commands for a few Linux distributions. -## Ubuntu 18.04 or newer / Debian stretch or newer +## Ubuntu 20.04 or newer / Debian 10 or newer -> **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM +> **NOTE** `gdb-multiarch` is the GDB command you'll use to debug your ARM > Cortex-M programs - - - - - - - - - ``` console $ sudo apt-get install \ - arm-none-eabi-gdb \ + gdb-multiarch \ minicom ``` -## Ubuntu 14.04 and 16.04 - -> **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM +## Fedora 32 or newer +> **NOTE** `gdb` is the GDB command you'll use to debug your ARM > Cortex-M programs - - - - - -``` console -$ sudo apt-get install \ - gdb-arm-none-eabi \ - minicom -``` - -## Fedora 23 or newer - ``` console $ sudo dnf install \ gdb \ @@ -48,7 +25,6 @@ $ sudo dnf install \ > **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM > Cortex-M programs - ``` console $ sudo pacman -S \ arm-none-eabi-gdb \ @@ -67,14 +43,14 @@ Here's one way to do it: ``` console $ mkdir -p ~/local && cd ~/local -$ tar xjf /path/to/downloaded/file/gcc-arm-none-eabi-7-2017-q4-major-linux.tar.bz2.tbz +$ tar xjf /path/to/downloaded/file/gcc-arm-none-eabi-9-2020-q2-update-x86_64-linux.tar.bz2 ``` Then, use your editor of choice to append to your `PATH` in the appropriate shell init file (e.g. `~/.zshrc` or `~/.bashrc`): ``` -PATH=$PATH:$HOME/local/gcc-arm-none-eabi-7-2017-q4-major/bin +PATH=$PATH:$HOME/local/gcc-arm-none-eabi-9-2020-q2-update/bin ``` ## udev rules From 53fed64d6009da6de39f2662a4963feb191fbfbe Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 12 Sep 2020 15:34:18 +0200 Subject: [PATCH 085/313] Minimal rtt Hello World This little program in 03-setup will be used in order to check whether cargo-embed is working and can talk to the micro:bit normally. --- Cargo.toml | 1 + src/03-setup/.cargo/config | 8 ++++++++ src/03-setup/Cargo.toml | 11 +++++++++++ src/03-setup/Embed.toml | 12 ++++++++++++ src/03-setup/build.rs | 31 +++++++++++++++++++++++++++++++ src/03-setup/memory.x | 6 ++++++ src/03-setup/src/main.rs | 14 ++++++++++++++ 7 files changed, 83 insertions(+) create mode 100644 src/03-setup/.cargo/config create mode 100644 src/03-setup/Cargo.toml create mode 100644 src/03-setup/Embed.toml create mode 100644 src/03-setup/build.rs create mode 100644 src/03-setup/memory.x create mode 100644 src/03-setup/src/main.rs diff --git a/Cargo.toml b/Cargo.toml index 2200484a0..c66b88c89 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -1,5 +1,6 @@ [workspace] members = [ + "src/03-setup", "src/05-led-roulette", "src/06-hello-world", "src/07-registers", diff --git a/src/03-setup/.cargo/config b/src/03-setup/.cargo/config new file mode 100644 index 000000000..1a25b1696 --- /dev/null +++ b/src/03-setup/.cargo/config @@ -0,0 +1,8 @@ +[target.'cfg(all(target_arch = "arm", target_os = "none"))'] +rustflags = [ + "-C", "link-arg=-Tlink.x", +] + +[build] +target = "thumbv6m-none-eabi" + diff --git a/src/03-setup/Cargo.toml b/src/03-setup/Cargo.toml new file mode 100644 index 000000000..e16d2ad78 --- /dev/null +++ b/src/03-setup/Cargo.toml @@ -0,0 +1,11 @@ +[package] +name = "rtt-check" +version = "0.1.0" +authors = ["Henrik Böving "] +edition = "2018" + +[dependencies] +cortex-m = "0.6.0" +cortex-m-rt = "0.6.10" +panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } +rtt-target = { version = "0.2.2", features = ["cortex-m"] } diff --git a/src/03-setup/Embed.toml b/src/03-setup/Embed.toml new file mode 100644 index 000000000..d96946623 --- /dev/null +++ b/src/03-setup/Embed.toml @@ -0,0 +1,12 @@ +[default.probe] +protocol = "Swd" + +[default.general] +chip = "nrf51822" + +[default.rtt] +enabled = true + +[default.gdb] +enabled = false + diff --git a/src/03-setup/build.rs b/src/03-setup/build.rs new file mode 100644 index 000000000..d534cc3df --- /dev/null +++ b/src/03-setup/build.rs @@ -0,0 +1,31 @@ +//! This build script copies the `memory.x` file from the crate root into +//! a directory where the linker can always find it at build time. +//! For many projects this is optional, as the linker always searches the +//! project root directory -- wherever `Cargo.toml` is. However, if you +//! are using a workspace or have a more complicated build setup, this +//! build script becomes required. Additionally, by requesting that +//! Cargo re-run the build script whenever `memory.x` is changed, +//! updating `memory.x` ensures a rebuild of the application with the +//! new memory settings. + +use std::env; +use std::fs::File; +use std::io::Write; +use std::path::PathBuf; + +fn main() { + // Put `memory.x` in our output directory and ensure it's + // on the linker search path. + let out = &PathBuf::from(env::var_os("OUT_DIR").unwrap()); + File::create(out.join("memory.x")) + .unwrap() + .write_all(include_bytes!("memory.x")) + .unwrap(); + println!("cargo:rustc-link-search={}", out.display()); + + // By default, Cargo will re-run a build script whenever + // any file in the project changes. By specifying `memory.x` + // here, we ensure the build script is only re-run when + // `memory.x` is changed. + println!("cargo:rerun-if-changed=memory.x"); +} diff --git a/src/03-setup/memory.x b/src/03-setup/memory.x new file mode 100644 index 000000000..9e2ab65f6 --- /dev/null +++ b/src/03-setup/memory.x @@ -0,0 +1,6 @@ +MEMORY +{ + /* NOTE K = KiBi = 1024 bytes */ + FLASH : ORIGIN = 0x00000000, LENGTH = 256K + RAM : ORIGIN = 0x20000000, LENGTH = 16K +} diff --git a/src/03-setup/src/main.rs b/src/03-setup/src/main.rs new file mode 100644 index 000000000..981cc40d6 --- /dev/null +++ b/src/03-setup/src/main.rs @@ -0,0 +1,14 @@ +#![no_std] +#![no_main] + +use panic_rtt_target as _; +use rtt_target::{rtt_init_print, rprintln}; + +use cortex_m_rt::entry; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + rprintln!("Hello World"); + loop {} +} From 004a4fbe0bacc36af8449d1e82d4045bd9254ede Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 12 Sep 2020 15:48:35 +0200 Subject: [PATCH 086/313] add a verify cargo-embed section --- src/03-setup/README.md | 2 +- src/03-setup/verify.md | 28 ++++++++++++++++++++++++++++ 2 files changed, 29 insertions(+), 1 deletion(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 683c9d34c..260efe68a 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -72,7 +72,7 @@ LLVM (http://llvm.org/): ```console $ cargo install cargo-embed --vers 0.9.0 -$ cargo embed --version +$ cargo-embed --version cargo-embed 0.9.0 ``` diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index c6f9f79ba..5506973f1 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -33,3 +33,31 @@ rules] and try re-loading them with: ``` console $ sudo udevadm control --reload-rules ``` + +# All + +## Verifying cargo-embed +First, connect the micro:bit to your Computer using an USB cable. + +At least an orange LED right next to the USB port of the micro:bit should light up. +Furthermore, if you have never flashed another program on to your micro:bit, the default +program the micro:bit ships with should start blinking the red LEDs on its back, you +can ignore them. + +Next run this command: + +``` +$ # make sure you are in src/03-setup +$ cargo-embed +``` + +If everything works correctly cargo-embed should first compile the small example program +in this directory, then flash it and finally open a nice text based user interface that +prints Hello World. + +(If you don't check out [general troubleshooting] instructions.) + +[general troubleshooting]: ../appendix/1-general-troubleshooting/index.html + +This output is coming from a small Rust program you just flashed on to your micro:bit +so everything is working properly and you can continue with the next chapters. From 9f97d0919a168f1892bf44aa223d314466465a10 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 12 Sep 2020 15:58:47 +0200 Subject: [PATCH 087/313] Add instructions on obtaining the book The small cargo projects inside the various chapters are referred to quite a lot but its actually explained nowhere how to obtain the books source code, change that. --- src/03-setup/README.md | 10 ++++++++++ src/03-setup/verify.md | 2 +- 2 files changed, 11 insertions(+), 1 deletion(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 260efe68a..cf65560b6 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -76,6 +76,16 @@ $ cargo-embed --version cargo-embed 0.9.0 ``` +### This repository + +Since this book also contains some small Rust code bases used in various chapters +you will also have to download this books source code, you can do this in one of the following ways: + +* Visit the [repository](https://github.com/rust-embedded/discovery/), click the green Code button and then the + Download Zip one +* Clone it using git (if you know git you presumably already have it installed) from the same repository as linked in + the zip approach + ### OS specific instructions Now follow the instructions specific to the OS you are using: diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index 5506973f1..301039189 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -47,7 +47,7 @@ can ignore them. Next run this command: ``` -$ # make sure you are in src/03-setup +$ # make sure you are in src/03-setup of the books source code $ cargo-embed ``` From 4d7f4271d9dbdebea133076610f8cc9f375bddf2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Tue, 15 Sep 2020 21:14:26 +0200 Subject: [PATCH 088/313] Add a bunch of nit picks from code review Co-authored-by: MichiRecRoom <1008889+LikeLakers2@users.noreply.github.com> Co-authored-by: Diego Barrios Romero --- src/02-requirements/README.md | 6 +++--- src/03-setup/README.md | 6 +++--- src/03-setup/build.rs | 5 ++--- src/03-setup/verify.md | 6 +++--- 4 files changed, 11 insertions(+), 12 deletions(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index abee52cb8..0854dd4ca 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -23,11 +23,11 @@ Also, to follow this material you'll need the following hardware:

    -- One micro-B USB cable. One is required to make the micro:bit board work. +- One micro-B USB cable, required to make the micro:bit board work. Make sure that the cable supports data transfer as some cables only support charging devices.

    - +

    > **NOTE** Some of the micro:bit kits already do ship with such cables. Furthermore lots of USB @@ -44,7 +44,7 @@ Trust me on this one. > **FAQ**: Can I follow this material with a different development board? Maybe? It depends mainly on two things: your previous experience with microcontrollers and/or -whether there already exists a high level crate, like the [nrf51-hal], for your development board +whether a high level crate already exists, like the [nrf51-hal], for your development board somewhere. [nrf51-hal]: https://docs.rs/nrf51-hal diff --git a/src/03-setup/README.md b/src/03-setup/README.md index cf65560b6..9f8840107 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -79,10 +79,10 @@ cargo-embed 0.9.0 ### This repository Since this book also contains some small Rust code bases used in various chapters -you will also have to download this books source code, you can do this in one of the following ways: +you will also have to download its the source code. You can do this in one of the following ways: -* Visit the [repository](https://github.com/rust-embedded/discovery/), click the green Code button and then the - Download Zip one +* Visit the [repository](https://github.com/rust-embedded/discovery/), click the green "Code" button and then the + "Download Zip" one * Clone it using git (if you know git you presumably already have it installed) from the same repository as linked in the zip approach diff --git a/src/03-setup/build.rs b/src/03-setup/build.rs index d534cc3df..c8d8c9141 100644 --- a/src/03-setup/build.rs +++ b/src/03-setup/build.rs @@ -1,12 +1,11 @@ //! This build script copies the `memory.x` file from the crate root into //! a directory where the linker can always find it at build time. //! For many projects this is optional, as the linker always searches the -//! project root directory -- wherever `Cargo.toml` is. However, if you +//! project root directory (wherever `Cargo.toml` is). However, if you //! are using a workspace or have a more complicated build setup, this //! build script becomes required. Additionally, by requesting that //! Cargo re-run the build script whenever `memory.x` is changed, -//! updating `memory.x` ensures a rebuild of the application with the -//! new memory settings. +//! a rebuild of the application with new memory settings is ensured after updating `memory.x`. use std::env; use std::fs::File; diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index 301039189..596390d0b 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -55,9 +55,9 @@ If everything works correctly cargo-embed should first compile the small example in this directory, then flash it and finally open a nice text based user interface that prints Hello World. -(If you don't check out [general troubleshooting] instructions.) +(If it does not, check out [general troubleshooting] instructions.) [general troubleshooting]: ../appendix/1-general-troubleshooting/index.html -This output is coming from a small Rust program you just flashed on to your micro:bit -so everything is working properly and you can continue with the next chapters. +This output is coming from the small Rust program you just flashed on to your micro:bit. +Everything is working properly and you can continue with the next chapters! From e864d28384a7ce979b42a30ced462541004fc18e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Tue, 15 Sep 2020 21:17:11 +0200 Subject: [PATCH 089/313] add one more nitpick --- src/02-requirements/README.md | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index 0854dd4ca..f64f8cd65 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -30,9 +30,9 @@ Also, to follow this material you'll need the following hardware:

    -> **NOTE** Some of the micro:bit kits already do ship with such cables. Furthermore lots of USB -> cables used to charge your mobile are also micro-B ones, these cables *might* however be missing -> the capability to transmit data. +> **NOTE** You may already have a cable like this, as some micro:bit kits ship with such cables. +> Some USB cables used to charge mobile devices may also work, if they are micro-B and have the +> capability to transmit data. > **FAQ**: Wait, why do I need this specific hardware? From 55e43f2ad5ab589c8b5a283d523844c3f1b080c6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 16 Sep 2020 18:38:13 +0200 Subject: [PATCH 090/313] readd the f3.jpg asset since its still linked to in some parts of the book and breaks CI --- src/assets/f3.jpg | Bin 0 -> 259494 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 src/assets/f3.jpg diff --git a/src/assets/f3.jpg b/src/assets/f3.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7a122aa04889095880427794827695aaa206d86f GIT binary patch literal 259494 zcmb5VWl$Wv7yrAsyK8ZW!Xm}p-F9(zcbBJ7+}+(-+}+)MaVTE2IE6xc`}@zmcjmsl z-^pZh<|OCjMP@QdKKZ-xcN-uDfcgIl_Wzi${}bH*BY1dtIJkcW4jus>9^rrb*Fr=< zK>VNazaam2h<{^Y5&m`iKVANRRey&8ILI)&u#0do)Bso<7&si5zoP&O000Ia4h9DB z{|f0}USwD}6d1t&-2WU%|5E?IUH}a2|0ae6Kt}ny1we;`0l;FzVgCzqg-50>X=e(= zpIY^JiDCct-zVqPs_lETZ*HoEZb{AU+YdTZp>q^FE@p3 zuu+l9z#d-!dZ16%pLfRf)|#Kt;LS7E0>cWH(Lblos~w3Ax>dzoaYx^C2@V}^x!Rp> zCWiP7-~$KcH23iLQChYvY#LR}5u%{4g`X>b-EcHgG{j}w-F9APAkfs_lhXyNnmMM1 zP|dM&r%g6P=`^ z>Zlqz-C}Py1LCBJyW9IHwz-ch*V)(0y#)$Y`-TEAu=1Zvz92N{Nz2kXV&+ETQD5{? zcyyY9Ca>Q=D#X1PL%(8^TJzY(4TeyYtr|exag{k_$k0qOv+_z*>3=FN;Nlz3tOwwR zfvnoc!Ocmzvg4M{lzmZS7Dit7&}FcXrj@NakDt4rc_a}Ep3$R(jSTfscI9Ka%ptC< zf1gT>_D=QeH@6+lRR)}Re(@#nCW?UvayHvAgDKu#u_p!zT!%c#cdQUbFD*e6A_V?0V$+>KVRSI}@Q7PVZlEiSfm z6y+0fQkZc=vYKjv5*xs~2=li>%1RxbH;ZSBU?@YRJ+nn3S%7ccHxePPRD~NV5gffQ z35M(5{SFC9LUHKqsALN~C-h#XaV_}CnW2r_7RH;brQ}X(p5ZzSG=I@M7tXL_$oh|WaK8QxzLCcGIV(x9+-3K)$!aAPH{{uGY{g7 z@kZr^NQujHfoCgez+&Z~Pq|MW~@3rttW2l5-rO_K1VxP1Ua#{S$zSjRB zXe^k@%IBxo$#>{?j@t95H@Xs71ni9yw)JWCB$G%>zJ~OMWoi&$3%Z3S@;QlV4|f`!iJ7b!gACe_JxOw zy#2F~t++>A9{yuE< zlk33fpcM}0Ii3rAQJvmGt&cdGnAGP)wFlox`&#$aa+cz?{G-yf{)rsWFDu15xUdO^ z@Kx1hKjp3WVv7p`1~zfKI2GOk3q{Ow)*fPV0xJ2wIiwZ3kZjtry-^yAA`Gr(m?p67 z$YA+m-e>-LD!Ah9s8iT&B*LWNO6i|&4+1H2{2q43nkH=710zY{sE*G*wjW?}<=N}T zH^oCkUs+0m1hpLSi2;I8$nE z0VX%8mO$~~R zjSWu9&D}a_IqbO&s=}i5<&VR)dfccf3KrQY$n^S5#fV)U(bno(J@m2RdY;P10aiq; z_%IgS#ld)-!fExVrAdPFh;BYK-C6OkTJeDrG@Z#0U1h%73P2hO0|t7yWIPs!B`q%6pt;~G{|kuBIXo3aaX|9x3n^GBqp z-_u0>jUg2k%_O&zqCM>*LO{NEnLa|LWHq`FnQjYD(`ws(NnYP{ZOl^=N*&$0m14O2 zTSMf}mgP*QECQXSIqvQii$`TP1wl>wQ#5w0O_&7yaXuqOA^kPuBYiJQm|R*1IvYm$ z@!S&b4T?C9-;Al{m7dFWy6*l`Zl(9#dO{K9yiN5X1Bm`0&=5qjp_12&s}S_ZC9b<cEN}6TH!%(9nJqYQp94NC zn~$l+>0T_CNP9Nw!N7LlJ!6glzY-=H8zd}FUdO4?Bt66jH*)1DXQ*$hUSKHXPueM7 zL}q6FQE4c%LwU4|>e=`)#`jHPzJa#-xJmfrF57ahUeu8-P`J&wI0WVM+2NGY3zglMysF>$`-jTW=OI-K9aH% z7$U-%e)Ib;t+?_Dw{YQTb%>pIYSR?dVGr8hVA%c)$Q2QM`vT`nOFlOhD}Yk@A8rf> zL&I_z6mhpd45!8E-0CV$v$dp*OpA0z3YY-g^W0yh+W*g#ks}S0El%F9I-Px4JWRDg z)2vx@S!lta=~?y0G#;(b-wPsUJaNbfZ>lH0qHil~x!~C<(fKCazKD~q)Hro&BQCHN z)Xb0o^6l{_Uz4IRWVp*YRj_5vyNOVDsQ*5!1e@{wj#EzU;+nqki07J@Y`P#26{1*L z*hr&i4*#-3HYwGGDBv(9pjs6(Ea91U;MEr%tmaZD8dDrs-Do-BbYkbp+q0|eOjYEU z4cccKSLmvhOqf*N^CR@N(=`Sd$%bP;O154sm9C98@+>npl5|_2t1jH|yqGo13Rn7N^kuIm3;cWUw9iyQLhuDAqu+Q{c25@WybL)VM-B|z z!kQ3V2$ZDb-~Iwn#Hns4I%{UUjCp>%)%b5)qJbG;2u+udx0(vADqY%|rzZ`D zo>)XH=%2gJMgr)+c~hX%+QUl?H_71e)DO$qr546XZAbv(arE?IQh&4CmH-Q4X zGz6}`FEuliiQ_98(D|;rpR=yc70`GK!XRcmU2+QK_qSQ7jLF-hJ@4^&;fr&C@1v0@T+h;~+mp_N zNrm+6FUnO9zl4I2t&@i+PYKzLfKTzP=f}HG6d2T`sMOyAb@eF@|;9O>@r*OrZcs~gBs>+Wgx5jr0In{7O9>p)GM6e~}kP|AHHAwx> z=+pIymKMQuHP0748$Lz7Th*r(Lbw(0AM{RDPmpiAu5aZf@hAU@9R0qp3Cc!dla}q= z_?*&(&PxksDnA|MOKNTX9sjf44x`I?^T*M?to6Zk*IA+*8iHuR&eT0#Rqq{6f{H;I zP6`|;=;P9`Z!5PIjkQu%L6n4oZ>}2(D^`L~l9i|WF<8jo%40EKutlLiCnS*SULf$1 z!e+nc7(mQ2CQYDFQ_&RB%cTKlxt2Yh2>dieCbeWIJ&&o>^3$TUVx85{yR9MQk9AAv z^wj87-yE53pF#1;uXNCw!u>!N^7ZdLtM3VtFU#$sllws^$}85vmg~{cO`NwYXav_4 zZ@R6B%<(z$8mP}Y8LwM(_kRJ6drOv?aM2(E##mMUTGQt*7k>dd*#m09mhPeF7iwBC z%kpoo%7N>AKj;HfH%*PDtY2iAQotD@2L)FNTSjESB=>s`{Xh0=??)^o6!XcNS`<`S zUKb(!>sJlLZ3fPP>|UNF$Sjk7P(#PxuQNN~5(~va1Bg_Y={KjfTMh4#;ifM)&GxZ6gO5!@QgS6m-k+yq9XeWr*zgfI2#f zefLT|6dIUw`=E3VrYN37c}33^Go6ymx(ofJ8Z!lqK(NpkIsMtNVz<}y3?4P(8fOBw z>f4Rp;+s6$E2M|IgcN%6ks2gE{y`quysZXJd z-{cK6OvsSif-R#R&###boHiFMF6-pE>~kaIv$bz1bvewU78AG;!C9|?R_&i^-<-^W zU8@#I;LRWlC7o%xb2guMg*ocJH(_r&WjfOmcxj3%ty z!rm7Jv0%*ka0&T<9%=4>G#P*sMpY2BMY`8TP{A{ zdlS8wLaF}!EPKeIV|9T0ASoBlaIPOHYP+Y#+_aPE)fq(P@#z^K@$2Ym`=5b@i|Uu< z_rHMC(8tASo5u!@DJhZ{37zb6h6y$rfH#R@^+z7ditd(U*? zUIo+`(iZAumfDUx2v%AB;-S&7U}{NBpJt;v$@cc+7F+X)qD|R@8JT&!gPXFid}3zS z;c)$!GyyyTve}o`?$sMsm*5I?{K{1~iaFyis>2|qdXXu3(rC&7`o#SjmFswD{l}1H zlV>HTI>?n#N{@b(-rXu<`A5#+P&XRQZb?^??I;@K52J_Wos@V9oul3QMt#4rBV=*k zW2Ororn0qZ(j5EHS^v5dG$jX`aQVBUig^AQXDRa*d5y$-p79aG7xh43SAD3z2(v_u zIlr75!X~-EKE0)3wMQKdS1PImgJ_H+hg`DxUY=py&}~w1!!i)b&%3l8q=XYtcim zCdiSXIR0K+Yy+aXY4uIfA*MUkhOsltrNPlbIZ9j5+DgU33MsV~*6-uN`LJ`nrpMc8 ziwodm%Orwt-=%Dof6dfI=*3#H+R=cI;4r^XibnCo&4339t|{7$yL{9rH(1$|V{;bF z(CC=_HBj$37t^N#(OtT?bKDxmz)1%x_kENJ3&$-7<&WwMzRvo^0hphp=DVldwNhs2 z9Un!|nj*71$t3=f}*I56%$(F*@q5<#AJQdFBFo+rN&7qr z=~kZyc)wU12yJ}Xvyh{!By4p(i1fA(NIW7uLR9>oK(D`)XBi(jY`WTf6~T~H9BJF_ z+2B=b9u&u$;118uM!xqKVC>|gxRkSZMEr`3H!~dFMX<|@+B#_cnJKGlh|4ZvJ2NkY zIMUibowwg>BFnuKV6hdMCo-iYf|qAcIdkS$LXH20#oH7^O1Ez9^aW+*qL9S+$54u~ zTCQu}#6(p}3K9lvLijQitXqSg zOTKoocQoLxhtNZlZGapAb$ggG7a66y<@igr|qo!V1JA=(8G9(^jyxn zbAJFrCzZLDf$z>3%X6uND3!{(n4szbP5bCtZQ5z#sSf{T;78?Yl1Alkg4csCym%$n zu3VwMjM~~b4CW?6mHq671{=#Gnots|$M^#-HY6NQDI!a8kA_N{ytIe+sog){8N$Ht z7mH^~k8TVSr3c|RZmc}r3k9dlC0L&m$-=M?sgqN9wTeM|?&=L_J5ZuwYV;XRG?90?>{=2+;wv99nE4o9hc5_T=A-To%V7dC#DA^AGAMs>lYDD>N^AKu?% znmAl^xUzpeMHu0Gn`v6rwdf-(u+Q7A=^f&UIOa5%ql{;PF&VZg{{p6~%iE<1&4L!BvA-LxypZf=I;^L zD-0S;v*EX|jNQM6`;f&2>*)^KgrR&;=kJdUNC1i3jN9^CyEVDvW3U=}Tef;Fhp_7p zA6h7SJYUD2inodIGLYPV9yDoN$fpv})wsh>&`YLi#e)*+65|Qpk)^YUb-*(|HDojw zigRzNp8yCn<3I3U*9x=9YvX(TPDWZb%kGj-f{~OqklhQqnmasre+9Q zp8XATBr{VJ>YJ*6BmvK2JB{Y+p!EX?yd|}IZJdtUmYkF@=kon7hI#U3%|J>dEncrIupDM6NQVETJ*X zn)EuAr)$9fV?yhg{AG=G(w~e`f}_kfEc#D)N_}0jm+d2qDl~=gr~39M@c!ZA5BjL^ znQNimFx8Obhyn(Oh?%*GSEK)sU&8ww=}b6A^I*-7+c^7H-d?8m#ebP&x+Ttj$+UU= zjT5)G){HH=MkbD4p%USn($g*;;hIeTN5U;0qwZiPV)55K399;{7Ab2AewB>4xX-l;yl`~yo+DW53t4>xzT zF@D>0^Y0%1jR=}N13^76N`GCiI`k{&ddyfD&b0oJlVc!>1KrcpNfGRC5^eeofx1br zj?w;cHG=4*x(v)0P-Nb9dVS};kJB9+H^>BLa*W$%;LpBDC~cK;3i+eaMaa1K(zCj% zA1Rlgwu{-v$+?l{!h2%k+{3QB*Kz6XmyCGe+G>uTABI>VxY|I)CTjTgHr|x|Put1W z+)fNLjckG#pqZaILLHR_HB~_2@h2>Tn#oC|u9CrrXWK~jF!Ivl*ET&EJFX^9lU$*%OO}6)8lPZT<|k#$IRrKY(wh3wv(Yt-1kp@T0|dp}Sv?j|%zZ8fy=>9p_@DBeThGc)5#H zA5uS2D1hD~_Pg62QpNzbG8nY3*bf@&<`!y@4Jc(^Ae6=aF~B6xhN~@(#TPJ&*%9l-6efuU14chEl zQW4p4+@y687Yv&0dAoEQ;_li#JuK-)Ptv0f*lrOL-(If>$?q`P(iT1s*)0a@&LdE0 z$_#1shNxoSgAmXkO3Prv=+H!6gH*-d#5Z{+EdLjf9^WM3qw}IYV-&;D5=?`;=y;wQS*OWN8_BER9!*QhKx%-1Npy>g?Vmo=#)-(=dKY zIyz-~x6Iayx4Y&nK$^MbjfLL?$eDddyp9)u%G&3h5UMBeAKUnZ@xs=jCSPdbY|+Hk z&+hE<_7^D)2YPM>IS$ z+m1pfh5m40{)rDff&?RRGU*!S56kf zek2~*MT%jJQtUQhm;wj2hXbwI>Zv9)zlp&ai=Fm4jwmGv_?ezOt}9=3?E#WvM5Gy$ zp0P*Y9MQdCDj-a~<&Cs3MTd#*9)i}qYl|77EK`2#%G00bfWaw2S+e5$UrcG&Q z z!8AGBdmGJVa$CcU4Y~uTO=#LVcJsNjN_pTPS|>sguCW!FY?8x_$?jOkQ}FRb6mu@K z{9;qBhaJXPqWGlsdLEG~7kN;*cmeV1Oey{*Pnu?rvX$dgL7RK^Le^IDpat0W3@zs}uhTOsUdwN!3(+OaLC zXhcmifC~j9OC{UL3YDQhw4Kn*)3u*S*2Lv$fp+EbzGwo}%QK7}Evh82m*@#Ol!f&ZMdrGQ z^H^qZOt~`WyEZ2V4O~2a24h~oa)SMKBYSZ>^m^Qoz3-=o!n}Yy`Q=u8D_>UAq*zbv zS0SIttwi9L+O88(JS;4`MSBB`C_Xdgbg6_g{b>)VKVEQYakzBCjNn|rNR4ax_Py| zXZP5I!}H8YT)4pX_~pAhuoUpw9FgLz@0P5de|XahbZ2xfCV2KQc?$nVC5!9=8zNPQn-tE>9@%XJx^YuBRX&eLe8fRj6 zzGt<~v^W)RWmJ0mXH6>ZD-9>Se8MW9ycCvg$;|3?j$=8RHjsrK+058Np+itd-atb~ zNZGD=DEpG%Ef1Hus)?gQJ?}6>Jd0OZrjqxe;@!SVW0V#RK=4xmI_1K3ITeW7t^zY@Uwi~PS&iyvvvrzx7&@8{}?rtS5(I!o^Wn#R`WKj?vli6X&kfe> z91cRmomKaGMHS9A4g?jx=eDA3dZY0_%ci`xMtT{gw@gjA>^J>Or>Ky4LSK}vY}R4q z*FFimp?cnci6_$nx5O~wrQL|(lY3zWoL7otOkHSlFkx@T*6j z4$P4xo_%8}%)&BQRdI2v^A-s_)8-SR4G>T=bl&Ci2}ZDOFpIQ3DKvn)__~01EE3ai zILnaSXz(BTw!LNNtj5N89Uh1T+Yk{MdsKj@RV`j5~Berp16N!7b!>*7|9BsJ{r)Xhd z6@qVH>LE<|J4Lq7(Ag5cRGV*n7@|n^9ho-SF_|3HVDEpm3lW6;7Gpy5M2aE(M&o{U-fa!az7w_iUHva;=0c^L)RjI@|;QHWD5 z_AK1IlRsn2=fz-KYb~ZINCo*+q(Mf`I5Mo9pu+3BiN*Wo^dq{M0w`{h>I-s2a*;~1 zf%pk!)_XRsxZ6HO=ap-L%7dCMS6=RnhGWkbC^-@ajGmNHP?CVgF8TmAW-E4Q{HxgN zk3^1KZUTiH&3Td4&(Uk0VbSl}6){E%rHK_75PfMOI+;k-;5O%I8Z`D<)m*t1D@+f6 z4#T|$D`P&L2g7pqEtb9V0-J~U6u3|lw>`RwPy(jsufI9%+|C)r_FiKK#px(ol9p}p zL`(KM3SWyjeD_59IROd?4Dk`QHB~`aY>Q9K3q_apxjaqrFh@bUf*toL-15HT03KegY zK(Le&1$?Y|y)#hB`-l)6XB~}~iyUR7KCC^lCG8TZu0tH}cPjFRNzD8be0 zQNoSy^NNO)&wSQW$Wed*iJ8D(A*0lyw`-+Os6!Z31pEM(acbljI^d9QBpH-jqhBH} zqb`VN^crgB>MerqeRpz8>&qxshQHJ?Z2 zYtOx^DiAJTng2V>eJ&YB9)0pdUR>w zJyW@=LgeB~1uf{)`oTJ+ebrkUc!CN1YloAYBSoH+Hq-DwBsH2o>P&SjDm*#cS!UKG zAxSN*=_$NW_~bv*(M=7BpENDGQD?P7EXq_-N)gq?CTJgg#%6f6;<`h z`H{IwF`c+2i4e|LrqI&jDZub5YI>)>zOJ28x(0e*1!cuhKnjcqdX6aW>TvJzg3T&I zd1p**i!!2XzWu`Y9!iyt#?OKv0j8y<{s7Y$_#7Q}qhjvw45ExLHC3m)Co1jTybPRf z`C&iGr8eI|)$!q!fWzK__$iM2AGIU2b|sd|=tIVTB*vOn*6|a_=`?eh9vp0We?)O` z5oo85ttqTHfN;7dpz%CDVA@Co-8OKlj+V#3=smw1$iYgaI`yEd>?>tKQxS1L@DBi1WG zGyR4>WeNI$B9~%RPMM+7pS_M0tYzVfO?NLRaqR2#`$(jbr;=%JUc>TaLC&kO{sXo$ z0BXSK8!~KQl{1>_1)fws!etj!3RZ{AyaiRsC4@>OhAYyUPF5TVr-i`K{|H>{26W`g z#Y8DpaF+U0*N(t+8@Hy@K17yDV%k@n0(|x@s-|il*eebTA>@> zM37*7F1=HBtQ*{wkqRtQ&~AQ$DeVa`GMw53NPZLaVbve=8f=5zy<-WP^U=_*OUl!> zJaw+~6%Of?Y)g_Act#E znKvxh2VY%pRjSItr3{zSFdjwM>f}=HLHq+ffZr(0YE<7eF8!^j+*i!UE4&eO#$7%$ zokqBZNr696;QTx?-^on6Cc0+#*^(~Ssfctv8PUy_INHE`_UYd(b1xH4CM;FBd^Vps zvlj^@;^umb2&B)!Cj=I9Z_V*ds_9^-*4qu~W~6O+08XD~jkzbZyC222($2atwkOG- zptgg4P2bWqq#0SL_y2ZJz_NI1y|spSih>zKKs_cYRKz^J+)Ph#SjrXv(w`itRm37M zwYAc;wMR!>H0xd3@IJRdcKx@?uMDh8Ke2k+6Oxv+KPco3&{g*W(N|Mv#o2RI`V5-r z&GE7s3OM)FmkbjEtTcAmO~X<1_cUfu9d(~%JHHN>>S_W*c}p~d0uY>@==GjPt1cOA z?S-P;CRIQ;6ZCS|g6zyBs*0PyhAVUDHe`*lh3Zfw{tc466!&#j?-TIplVvVdkjr3% z#khVk7qO@t$nu%Sd}kbAn)ES65QjLeZ#J&Z>PX0e1Ga-?b*L*?e1w< z_GX84QX`?WujI4@C!L|{NMt%!EWL&~3C|wPn%t|P2Mxqv2#=~Ac5pH(RGG(ybK6a1 z^$+mZmQCDz+>Fh~(^p2N0ehD)T^wj5fLlt}ahvpFTtjN2II2OT8g25~3_-*$Q-ueoU>;djVBgGAxSUhjwdzwo6f*9ijZ{bQpOCa@bp83VmD}irqYa!L^u~(p z3|Cq@wV%QA|D3Z>h! zNoxMW$w~vRl@_jNo368yY3a6YxM&AG!|M7A1cVyqZ3yejHouqH+LJ-ObB}RH=FyG9 zz3X;NL~-(xPJg~_5+x@yc;0fY-Rl{qP1)U3%{lPLeBYx6f?#@9B2W;}Q&Ek}nMEg3 zlnQZx>S`iXIYEGJ3i6yF1Uc}1wrF!Gy?BlYAYn&2Cx}YLm;j5{t<4wN?$^*w2!}!x z@u9>kFvhHy@J}GhA=Ha&OePqNas7-s5dTmQEfPBJgpTsR@3rxlmHCjG3H0I5z8gT%DN z3Kn$-PH2HfvM>C5A4F8NW}lcXD?b!Lvrkmyibir8!vATco^oACW{n5QYvkEf#W<#Q z$CU(DRpHSDD=Dz^y-q#mZCUCFf7XbI#ekxH&%1wE}u;-1xmvo`^ z(^vRi;QGlutTsiSRRvNH(2k0U$T0u$P2_$*Crax`D<+h_Z3jn7W>-B)(zpv9MLKd}t}p@Mx*|`6}6KAq4Dt5F))A4-lMR7t*oX z7abV+3|pEU%wTbUB>Ra2-s=AFjMzy|R9~Qloi)yNxkiA&b@q>>z{EhD6(4Z|-N>`$ z-g`7jgsZjNg5Pgf?Gr3NVQU71qZ_t)8s#I=rNS?To3%UAcj47B77|Ceo#_srT|7J) zD!+REo|#`G25@jk+mL$bX|dg6Se{Ce)5lJ0#$_7X=aoGe_m5iw*ES#}-FIG@=XFe< z+5*YcZEcUSuATMj$hRt3>OuaiFJHPUI^FHZv38TJ%QmR{1b-hX_^_1AxF(V2@>!6! zD@E&vwZB`2wF%&OE_7ZSR8lML2hNp$vxqccy=ng)%R`Pg4TQZ-~Kq6BE4=|H4GYa z#0f#j4@cLdliKP#k8TSt344(u9R7xnEeooKcMD?wiFGZocKt&gGzBj468uk&3Xb~& zTHI!HTtx16M&Mo%D_>SfQ$3W^3rV9U;t9RmH%xOox@PWbgwAr>MW*L+7Wm|ui267F zn~I5|&T4V8Dd;kB++ZweuKo*{G5UImwDA}4BDjmMg#lgA%@6XOjo&#sEFyJa8V-%Q z4%VCCOvD}$;%zxn#XUf>_Ok*~MU?Me&E|u3!5T~UslXlDh4CU33L5*(! z&g_afMl)97q*P7utKhZryYMA+%<9ZwzFoT?H#KHNBak!wKZ>vl^6VR5} zj5h%_%NTa}3pmqnwjUFNCU-lvf3ERppE3H;9ND!?8$?ajnqw%|UW|L0Wro{6<6(i1 zfGaqDKf8HxL@q8AzklO0wQw|0;kW%X+m-DxlU*p>l^x{gGu}@=h4AAkX1!nFZ2o<= zE3scL$13}^bCa~iXd}&z_IH?4hv2t3E2MND1u5!kxz;s9vdf4F^nFhFKQvVV#C%i^ zYwJwbhcP-JZ<~HFej`k5Yk<<)4QBP#F}lQLP=$+2a!_dJdImbXK}^dmJ_NU0eH02s zfSAmD49OxqUyC#}E9CU6r+}t{xqnwVMiR_x%!Od*92Dp?v-AEQq>Mb_VAyrdVRmYy zgeV>eOKw`q0n_f{ScFN_cn5FAwCI^K`kS<;S5U(-eDse_YgU?aa}U*%GlYhd6nf9c zWh2FjiZ-H3o&{^EFR#7jGT)yP}yL&ksT75E~hCmwD=i{WVd55(< zrLU}>ts}v{O`Pd{M3duu!~REk?mgr>uutp9I6QR+Ig8yHfa2krnD>x6{2FhVFF9A; zRt*9WpqMGdL-}Gh)S&GMpX}NDbKP=ROT_dMAnZg>hOFh2taeL7mht$x47anDf$}|z zfekL;mj|FR!<{7Qtj&Vi%I|&Bk*m-=m`iXffkwdn_?!k<|ILpHM@`6t!@S30%6d-+ zP2jT@aU6RgcPwqqe$YED{X(kNmHi<6%SPZdJ1l1ff^-I(D8`n&W z^fj*Fo!TP%^POG1<~LM?*NfTpeqoc)^>&R)Nvm%Z`k5!{TeLCjX5JE8zh%F`Ze!Xw z_l>FcUGNcig1VtYH%cg9`f4eX!$&jqpW`-!o%0HJ>?hDks9BB79N?Yl^m(_AR){;N zm#=F@@-Jx=yIa%-TsI^}uYd|0!huPT7+Khz*Wulr_Sckswo^T5zKFXmf?V;({?9Hw z^q@`dP<`-rb2+=hY`nVn;+;sf$+Zv(hH*1x((`rx3}R+09M=W+4pfFi0y1~L^&5}y z`YX{@W|;o3Yqe{82bmGaIZi21m!mAT>KJQHplz&~_fjAMu=Ox`MWx<9!Dzzq$%&{; z$6|#zE;+4DA-5w>4fdzXxEK1pFNl6SC?NhagVQX)-0M0n-rTtzXsB5<1I{u&aGnVA ze!a6jP_K}`Bqytaek(z_u`;rY!0p7a(_E?P&My#NaJ+T&Zg!p9yf9|er&Bin_c@_` z!0URfsn~D(5o*7y5CHXW->hqZdP`|=qbY9?*fVr&wCRtoIhJa=KA5gtQ)yV41;Aai z{Wii;ouA@Zs#Vk8zyfcH@NXnLxNdIJ7X=rztJGa#Qrd^6^>4}89{kCf$BM$}!G1Qf zixH`9mEB#@dD}qtUcr-hE@+aEA7Su++pwpLNd7bH+~vUsU7pKD>OP9Mx&)Bg=!#fv zwO>2;hF}6@m&HrV=w3{)rrfvz=PuGF^UHSZ-is^!y)HpilG?? zyb#Fw*i2hpi%pKL5TTdE$fdf7Nu#EajS{f7PRs%^r(xNSvh@bK`(r={gs!n3l}8h( z?sZ?%!=a_OOtsjs`BX|}z<#Jzx6^|&&81T$liELI51C4L@m(RM<&JLG3NxFX<_Zhg z_acs99$2HL(g`UcWNV=r`G^g+AOo~HwdT;|z%HW-x6eL>)ymNa(qmk3|BO?5(cpi3 z?I7-f0Pkfy$1BytgC-GRck8JdCqY;HTgoW~~)u`a^Ks4q3NqQ;wVN1uV38X#t7YPdt0 zdUeb*^2)01%+s5XcyD>BE`xXSUCY-(T)OGz$n(gRNX9qLhw+p5ob|!2F=VJ`Xj77e z?ajOCrB0Ud|557!<;{w>*lt9L)BVwK(@4b3HC0@9Gh(U|23#9iZ8=Bzp;vTQc(gpJ z1m7HR#*2)YhlY_|&7pk(6baAi1a*0Qi(~=2R>1?{o4rj@oZEZSN+r2b?^Ql6#HooQ zpJ=ISRuYI?$DHc0u3&R0XK+^?Y^RfxA8rE(8VW(q+_vpbXqj0;^85?HS9jK<(LsY> zgXxKMhz5|0cslrrnCZ4JVt5^RT{KtHpW?vUn&BGkDoeBl*7wHk2KU6NnT}gg`cAa@ z&YrPN<6e{vY_>Hxpz(=l-;of=)gPHdHJb2j30o)~&NZ8tpNl`}`*^`=$$XryX_SQ= z>a@`AcS$b!5rzKqIxXdA7T2jE{dY_#MWm(e(kjyz^)0(!Ye6jPt*N@G?lpq{>1J*5 zjryp2%*Z)42`QmvIB|twL$w=(Dg(4RN=?q0SYo1^a(evDsoI>`b=vjE#_juV_S(DH zw|a2>B{H&Cj0Wb*`ltz<(!b1&jFgRh!`QZ^{BEMyX#E!e@$}Bv{l|(a0$v_39UxjJ zOKq#c!e;8k9P!A)Uqm3wh`USd#>R7N^4khRLF&vWw95mPWZ}W*&S7-6>m7hPbF@~` zZ#*R^hz8hG?Cbu4#bDG6d5l0uJ!@(fVJ^uPUE&zgi;o6Fc4B~0RI^_#w3_W_BXcb@ z%AI~_(%}x1s~LxyH<`-12CWQ+Eh*D1k;y{)YT_!bWnZL)Qm;VnS7NZkufKpRu4avR zZ=Vy27RR1>3XKd=A&znx<1O$K5scQD1jI=Ry+K;Pip|OGa=j>>f!q=n?cD|s@99-YoSqZ;N@JjLtT{`Q%WK(t$q!xL_)Qpc}9fdgjFSk zPUHBP4@aRsulv~1(Yx2l{y^7m*2z8;l9{lgiT5dC)l^_WDH0Dt@illwUGhjTp?7e% z8i>GGN(&O+Z9>4t9P#x3*`n9h`9*JKniX51(7XHED7zWt%9Y4#gXyR)pt3h?i7IS(hL0pDq5h6MTG01TrG&iLm%E-JoD)rCn=m0Kq3>XqL_i=ek{lRPPZqat`;~zsz{2uwT4)}fPF^1K^yEgZFLe- zE(YFajC(S84wG{+Y2`3f4N|42@-%l$N+Z7v#d75P?Gem-8*Vx`&elf3IeJqcvyS!o z1bGoLGx^r0njO2>>7&`Zv(;M8x${=HKMPwgmid#(b32;5AkO7&FuMDT42Ah!$1oy$ ze@T;>@P#t7b%(ATH(4Q$8AP)FeLZPNoo|HltTLJ!r#p^^J*bwQJldraI;mX{U+bfx zj~sLIt0#&Od%(aV`g9IP)CJD8^)mOd6P<9P%FQbkZ`8!6Yj%HJCSuBI^>^EaNKk~~ z$_2YR8NoJ>@#6x#0cANcG@?(0By!qmY>j>cJMdu}E5SU3dp>_z*0{`};n8OT|R?TWF)IK3UKhMwUp?|}}WLr+hy#pb0F2f^b zo2_R-yt!-FN)g_Qi1`JhzT-c1O(+bKgc{@Zw|)cO31p^bEw-`mU9&AD3*UU6$MV>~1Q!?dhk#cN7`eKR^22cJ>zl z$LM@~^El70EU`_fizw2+5&OKvmJr~5_Dik>Hz7aKn*NoUkKt`+`amx-szRokn5IfO=@ zCU+fdc0)j8(!vM(WV_lth%Rf&2Hn&qTPqX>#o5rQRQE6Gp>rPXN)l7VJ^U?S-rQ*31Xiz6OsNF7`;wkC1otefD8Q>Oy2u3 zH@O8R&Ua(cdZAY@V~x4?pv~?4{<~Q@ih($fKf>2;S-NB}%_Cf}N(R;B#V84AFUkyHg zydDGB7nMmWqx3cp{9$7YUN<9KPI&`n4l7>_tkk{UbXJ0Aj2&u8rQ6H zIkHL58PZq$`^V=!L2ph0QZ!uTnZ42;6|TlLT@(<64!nX#4?qXpL3U4E8Gfe+rH7MvTArtBdin(U zRf_o97UwU(dWDB=RRZ%XK_UpIQNr(G zX$~^kHZChV=;)DfW^FJL3Km#Tf?B>FAuHizW`bH0lM4RxSr=P4|HJ)Mux~V=R_hvi zZ^Ixf1dCOg_)xem(!-qi22~b8aqx%8G9gfYeYSqFS^MAP_Wsqyr?O7#4 za!xI>Sw8IJ-A|IfJjIktnS%W04_-Rr_O@$2{+d z=Q)mf_4;C6vAaej1AM%>OfpFVk(-FEy;|^FA&1>9Geg)39NimDqTKY`OR_t!Ni}u) z!m4dHrT+l6?Ktt}GsTh@_T6M>WV)Y>e4PIP<@|oVvCpo5o?{$5oRx1()`r&W7mAmb z{{YXK=ifWaII_r50tlJb&+C_X&dnP)9+KnzP4tpuF|G8xZ($DmgW_3~EbW;lN?F-w zUUADH>Eb2@mI|FIEmj;7x@0po!AE-PFd*lcBm@g~2~PI&`my%#{{UETuk~MDZwRkU zX&qbt0Q0-PG4s9im=h@b!+MHN)2=T$NLgllnNFIebk;vk5_e?X4iJ5Dv#mGTcu2=0 zJviyrk_SxM&V}0cls)sU5|Sp7CN^Jhw#NEX>6>w_*wxvLyH(GW1D^aG^W;S3t$?aKCdKb;ta;fn0`7LQM|*w2D$)9k z^va&Xi%skeG<7Q;r%p8K_z6xk`6Y7g%D;f~oZp)}bE&!R_FwYtkJtJAKgZ~+S=P*6 znsy9*FFnR;t|b)3ctS_?viira>+Lr0z7GpYyWv9d7}hJs)R)eCS5e-$Np*g#wcC!e zqsmzvmSWq{Iy=kqYIC0?6oq=bfJud71$j4R6Pn`PX2Dy1p1Y`8uL&+(Sgb)N=*Jc% z+60(Ch%9b4i;aOU-_@97I^Ok<{=u5(h!`*K)k>y~Wg!Uzj>jrW*g)+OaWA^_RJLBA zCXg(d%x}l+zN`ja!K)+$u+sp!;>p!nEY~T?XJ&_kyc(6bjp}R<lz` zd`Jm&{pU-HBezXdva_}7a>r4(us;$~TN*B-)tl<$WT9Vaa6JD2s?8mx)T~GHDPleQ zexK*JJ9F#xQA-%>`lhr)rd>o#vtOi2$)%Rf8!B1bmt9cR#w0Z&+U%yvp=mY`MIl$o zedkfL(0j3!26lf|FV0QaXeu?94DR@NpGaYt(_vVKTofNm6~o5~52bl(_El;s{Dn?8 zUH<@K@5$l5$F3YcWObPBbvVx`y4tEo)Ln&D%{O$r(ry0gE!aKQGmEUpd#?@I{9hles z(eeK8jN>x-w3qR&N0I18vc{2+g)}hJwGb@8ta=)TrTJZ z3TQdg6uRYF>fM!~+dE1qs9L|ybIkt$D6Py2RnxNW)FZ0MEs>zL)meG;&R5g3KO9l1 z)~j^ZwSb%BU>H<{Q)GQBn%OG^W+8lK30xhdu|0A@_VUAEu2xqxmV4S#%gVJ`$iywO zy0DJ_0Ch@RvkWZEgt<+S<*BZ?xG6Mn=k=N^vdr2!92qlRfyt8}TVm&0{?tdZ$&asF zts)O(8M_E<;(2V$Ejk*Ng;*}sw{5)+svi4u6I(2+a&{%5w2bo}bk}0nl)X7qChWEQ zRwydAfLBKD&@;=|*d|uhmea2>5h!~3Wx5YvqKxHTd0ROUOkvOQ-|TDc9_;R{{cASn zv&%h|gNm`p=Qw*z{-MEfjNMix>F;;?`wo8doPG2B#urpIX2G~WzK5avWaW@&NyyxBe)MRzpvNw<<{bU5%W}?s=qfMcc zhQNz_t*C2$F_^c;xr=mKuB5E#Efc4KI- z3?(_lnTT-4hC_&2RmC04XeepUsaIdT9yx{MS;Y>fqxb?rM!`4Ockk^bY z<=i?(hUf9en24&F8-o$KUqlxdndLhSXDgVF=Q)RJC5Xfev2hn&n)$x}0Q!9P=hp-F z*(J&<*bUPv*Q|JQ3pQS9yA6wo{V~q0rDL!JTcF#af3DMDOp$-LwD`*XSA}Cw$!0YG+6E_G6z2wcNKZUpa=POf zjh}xZtjMUfO|`WZB-_)Kv~0~AG5IDu%O)PAnim}9nLZh8#(a}TepS0=4E(z|!cQ96 z%)N8tdn4sQcei_YqVZ)$S(xxZyVX6Jytv7U4e0=EW15(*q&23mgl*F6jUXLR>yqQO zdr@ApT`Fy*7346FHaJyD@Ww1>8N_BZn^bDB`ZBo2!ph;zrzY!q1D&Fh#g@22?_${I ziZ4d_0{dAx1=yC|k}^qp@szD{G2kzNj&+eL)`|lHn9+5L#I-$y*RmtB8=F8I&mH+I z@l z!Pq6NF}+_7Tc13ynUt<7B@iUNJK;uX_3B4Zf=-lviho7X`%5U-JonE4Jf+0% z$>D1iX(q+$hh?LgTY#fb*uvhJOb$saI)KXC;kp-9)$QcQi+2Jou6w=myHe_ARr77x zr?WE9GAN3+J8)LV)9v6?sQk^5*L=EuTNf`Tqd=;yIMHRIp00u!la4gQuk{d9Wo(tf$cT z!8Q{+{@s;u+XLN&SSmI;a}Q0cK$8bsCOS$Wk8#O8rY+GyLO)oTHLJl>sR6APIfI}D6}GUHpQYbrHLWO-JDts zD+ger(ADh>#xU(cgelwZuNdaW**$h_)a1LR30VQ5WNQ-4Lfd7Y`<`?8E_sgl&NIy+ zSID}=dvhGPYDvb$u3v4;6v=Booj+*kHd^?n604?Yj~#^V1#C4|TSl%{c0IM$x2h}G zXvgW)g`TJtQ+@oJ2C;!5-XUMkJhPmdMChwqe5?$};x@NUl9V*LsLF6-vSloKMh7eRUWxV{kuMU;>K=;-vS}HOTHE0;m||3ySFbKLte=DL z^_wj8hRr_0t3;$=w7V+}ma0#31lTl$J8cbw(>iD+6~1?l`E-iMEs8D-9l8Gi@B!1Z zn4qh78W7uxyuhTNoLW5nKCTH^^u`#MBUjg}br8B%6Uou`6gb7yzT-~e|GxY(2 zfsag`NL^uw+XTKF+$nC=HUR3l_X+YTHl^gL(mci!NCdgMRV-fLS*I55Iw4j3$4~i7 zJwE~jN`X#@$jDYK<%QV6WT$Z9J0eUc6jFtmXy%Fg-5efb-qS)mvTU& zjm4s^mfeIJ7Yoz)KWl#0&u2_RB07Z?=47MF?RFrJv$D9$8P8rX9m`D-eX-RJ7!|qp zVgvr_#JnyBg_o?aEJ~%V;%iDny`7M~1(o=6UGaf$oL75{$QkZO2~2lQg_Q-juWX}! zb3Ku7PwB|J2_O09usO=QtEvva`~{IDGz)Folblp3y9@F-rGW+b&s3}y%3)Mzizjt5 z^3HJx$C8cks9aU<(LhYYrlu=hW!Epw8uq=@)1yI$u-Oa3)vW5f7f@$}^!}Ue;uU*| z&btnxnwNLaZL16ZI8VW3X4(BOtp^|35Si%jKSx`6gS%rj9#2LW>-}b^D!bZ5OpDsNiHp5oC)7xcpl0Wn3 z^mYFL;I&VZ_Ku$IYeZx$vpsU}27?*nZ&t6e`uocqvX4@%!|M=?c{z4~Q}8ccBAWTr zv|u{my0YA3TIr%fBs?bX$Yat9>Q09IW06KJbpKEifu4MXCoS$vLYxYyM4C@D1 z>?rx6{L$a;L#O`lHW}yV6l@BLCuvuJirThaUotypO4)Y6&JP@P`L3p`MD=dMX0Xo-qE-L%~5i+W5rx!zgqTH;-Rha(+2 zt*T5??Yi($-wSaAUVm(=voq!;SC96y31&Q9iTk~7X8CmaNiGPDWe-}8m~2d1L9No* zjYdk+*WsOH6K7GRn@)!D?v3s88Rt@=-M*+WbU)i63@`1D{{Z5T`#*T&-?tpKQ!I`> z4%Ne3%uh+3XJ5_L>&OPTVrsLj8|{H8*w0_TKkAj=>Co7STq?yAA3gxh(bJ+qcDI#N zBT9uuQ)h1|=B&yy{{V{nD#-aHTIdgIM>bmi$FD%HtZXaxAi8eRGJc%v2GMU)3NXS* zY}IbQ&fxH&!_LvsQik@`Pt3Xkmu4qb3mCIbI?bCTx1hUmc9O!ozYaW!$5J{~Tc@z9 z7ikQtvZTeypeW%~G<0^)5c#&bSFUvhvr11IFIa(nMpsBe-+$opQ=&skgC?D+KNs_9 z)N!%ftf4PoJ7#Ll;CWB7MrlVrk*kD#)!s1UeD`PD>W#j-20v;5(E4<4E?Lauzv$(? zD=2{MHR^SlfsEGuVd&EapgZ9A9@&iYmACjz%m)7Jp6V3Oi?L+x!iV=~5lBC}qrGUh8w82ll4 z$GO(K55^Yx*1?cjko9+B)S)6GOx9BPO3L4^gDF$BR3$jFxKS%Hz-2n9_t#b+#t(eF zNsLByu?bb19@XK~{QE7by%z%04iCGO)Hhg1!-Zot~c|uStBVN z)U2jVSo^FX5~#(f5rSfTF?y0DI`*(-AmLc%Rv9s|gMrmVTCRu1!r9AU67qc=vUX5@ zbM<7uwghj0)diDj8>XvnFn*LbwTta(_NoPF`G!n*UB`yL?O(yvfefw)(#Aa|{feAgC4h7!(tGtjT9 zfDO!MKKB;+;fL9H`sdd_j$%@eH5YhtuWr~4QAk@`9dmBj*oD<5DA6w0z~uOUjWm)#}ImC12oWq#UZch;BZ?6ewo$=c- zmppTfFX|kt*D5PHFF#prnc$h32uD=NRt&~#X-{@>A}D4^HOSH~t1T_WD=tu(Exp`B zZ-63cUf_k>6J^n8WsMD}ndIwflq&{^SS(=?;5ODpe50!Ewb%PwU6*c#z$B?luZ{2B zbqe<6rdzSOuGD5$>CaF;9?-QoZ2q3)bV#TlwLK=%k-r}--2PNV7u9Ili5S!*6hPZU zrj~kpJ#`>kLzr#nY-A8A*#7`WC|fG*7ZZS?>t>Zv8zyvjAnX?ycA%gKRcm@8tzdR` z)_AMgGOUzr3Sj>LY3cUy7IlGcz9U_j7~MtQe5*b1C##Y!%yUVf_Boj69IBfyn&jXk z8EFzwQbF9%2V=Lbp*mnv)1LU}^TvxP*%%>JLjI>m9l6^*+@%TFoj%mS*BNgMc4gN$ zd~VD-)_|KWfki9smOFE{duJWFjAJ~PZhtwS+`0Vzndg@?E=*8+>$t&7UF_>Hw4BQp zXCHOP9%M#1vp>v#oc7NOvNvbH?aZ?tN6XZU3;V=IS0t;5K`1YC4VQJRC;_*69_>SPHY& z#{Ti_WaJOv!>3;Cek_w=!gt*fPVQje! z&m0mU#cXI$#t;;S+-T3{9PIzS%iZ8STx4M2GaMw3lAmWxljA496!9_zmz zKSi{3?VoiMQr7?*{CraliIb)bG{}@JHVEta0Ql^C8cpAJRK0nn?hiHHwf4;q^=jyD zP`iDW`X!4=G@{rHOhwzF%CNzl+!37ThKU1kITkIDYzU%O7**9YBFgYFghI^cw>$lL zac9Kf&3G767qK2(h|q+xzMlTVs^?(vvl#}j8-l9(vrYtKjMv^D@e9=M!e8mH{{WPG zXPNu`KZxB&xrziix}qzRd8g_ndBAM|K0H*CE4C%q+ILm)o)*LqVB@HRQx(;dwJ_Nf zO1=s<2Zni`cg{K6h(P%uHYk?`p6mlI$q~mTek69sW!}POh&Li3I{`M*y%#c`B`May zWw8`gk%(CuxdiaD$C<*(0sS$nURm-XYwKV(QmwTyn&42ny>V~IjDd9PmcFkG*z0Sk zx}8;B%$Mt7N|%TQUjU_Q@=t2Pr1SNjnzv4qs69y71h9>alI_-3wTKwsw^cW8b-0>& zbr*G62QKAdM8{LzMFK2M#y8o2@luh@||2)nR|BQ=IZDe zb62mr%jxDLA1la^%v0mAiD<6Ub{e5PCX|G2;vE(EHpeZS5n~?Ni9Nng70!7V8H8xA zR$PCCsk^^BcKv((SK)~fa&@bWGDa2O_SI$4nEO7&77{Qzy5U(Obx-HEw%j)cM$G;4 zlCBelyB&xYO1VqCd7k;^IUHtO(@zy$Tb}i0XxH9-7U7lB;O*i&H(f{~?N}GLHKV1w zn!QAwhpCJ2Fg@6E7F2PLTuREPv~#p%X8lzvtK>b4SVe@<$Y!|7#% zS$9vfeQtD*$E3oWEv+v4;+i%mVlXa?phXgvbHYWas>LdPwlpoO8M9p3Ybazp30Q`| zuw4>Yx*C4nr*-1UI#cJo5NmJtmb(tT`(B)m^?5V%8EDFOHw?FdT4A$#7SW-QV3*6N z9>`sS9XoYKwzkX>i>vh;M?Q`z?VbmW8_kxi|qot@;Y4NMTMHGFK%v~mN}{Gn=ZuXVykr3 zY}543lWkRhO&*VUw@Njt>9)?>T79YPKn132`&Q!z8uMLwr9*4A&jVm?XX;$`up(!* z58vyDr=_;OSV%cm(XD3PqNAkiG_dZptF5n2q@1Cp=falHV3~V;-zP>J(n_^xUP)r9 zH}yCD(V6pqk99sQblSr;hZ5NJoo-{=JDE{gmEu-#ct;Tr=!V{&7TJ&O^XvZrhkvip zDI$o;@yial4Q**Ow%3kR1?Kx4wl=fN?J0hr=6H;G+v-`*Kb~vZG&LKO8H#|??JoY@ zj&t7MJjrErcr2fY@SWZ5GHwRj9Wr7DoW=m9$vcqjwoGISR&1eE9lOZ641CWM)V5a6a`gt5c+6#2-vz`od+*+=fbK3ok23A} z<4p7MhWX@mcKpty=eOc+rO(6N^YG`q{4MV>rM=**cL7Civte!@;JXhk(KasF(YAg= zr`rUjZh^7l{{ZRk&7OZwc5Z)8wp?<^dUgslGB)llg{Zd~jhN3r%=4cmlh2qR^{CEx z5_M!PDH1w>s-~IeOu)3q`=3f?c=-b5ERmjH0;|Zv0Eh)^KiY5BRQuL z#Sh9oO-S*_f zWWl0Wt?zoy+0#O`Ve>o)H6rW6q-wcYOAfL&-H+v=hz`;%!bROZ>7Qtv1n^9%A zP8Z-}<=+F@jKO(!MY0Fp$|Q+QwXJcU=H>hsqb-o85+=Bu=9QSGg7|Q*_+w5!>hCCr zkM3-dfao*{_`Kw$7)Kk1u`4sb>Dc7=OEw`+xv$7V>T*>wj|jhF5^=~ z1&{&T5wr+B{{UDtn);2aT0q;XW3QJ|HR{vT)vaRcBh_7dHczO@YrQLa-mKjz&09D- z3xKY=RGyP*g))6=I=M*qSB2X6N5COT^?p9d4zeLd53D^^jhh$#i|99F=)vxb7EpmTd$y{ zgO^*r+IFoyJE#tsHr`OlZq0KU9)9qepwsKBZ>{TfcTI@M?3I?PDie6V`w@IuJou~o z;c*@sTp~Mn>dHBOw68_VlT$Ov$u(uUGhkA_ZE-+S9k#fVNVVN*yEb;|;!&+sq9JAp z(_c1=@HWToZm{SqGmHV8RYX$9yQRHD164n9a?P2WRTc~?HjDj>X9AkLw=aw`%82+v zjCbIlL~WCn5a}|^AMGZ12p%b8loT%mSHQB` zw0VDjTd6(0HQ!Ds5xS~!YBct_8v9&`U-cw3*5RGL;GL7H=m9OD^~RcdlTK>c{`pRG z_`dd|Urv!J*RbRkizwU(v`cMGuP)X#gpe&dq6=I$F$%eRE9GycTY&@tGWFe|~2o#?0Y~ll&Mrtd2$Vjb>4@shXH3O3a6xEG1oRtBn4|Un#Cfml8|aA znvL{Q>u^|5%PQBl4^conh{ZKawi86PO^S$x7G0ZnIJlEK`JO&0lPCVP+=kn%ui()w@w{zu+=gJe!Y{|J*r(@){ov&&t z8@XF$wRH=Bsz7a9IoMb*<12NbucoR+~Fk zm*t^R=2@4V2#HQt z&pq{>a()iG^S?Z~<&Jug<|Q2C${h7;$31HK>(|e5Up>Vf_e0|nU>|>w3z=XRIr6|_ zGHkmL!=*i>;Z`x#BLOyF&U8k0s?SjNhWjil)!jnO>&|h_0LFT@)6~7WmdC)ux|M%? z@6RuzLiBS@)2hu;sG#fHcq|Cmej?swP5qqumxNW96|8(!{KE1hix*ukd}Wf)#SzS@ zzr;})fJ}!AV#^&vZuP>__NiY#O(zKvgzUCsPmqxH^BW_N9PLhV%xny;u#<3XtCbb< z6lU2?Q81oX6NwGfJ!b;Xs2aZ|)E#0xb-rt)D)_>2l$*x7GI(_-PVHX#sLn+0`p>qy z-?+;&=Z-#BFwe|)`<{N)Dm~n()7$1&>CUU;CCpP7pHj+%i=+lvKfW)fqLJt zGJ7=xfLhDM_nR_>%Yv^l_2h$Hxjg*5_2l~dp<2R$SZl$fa!$~kMC7f4?31!;%9O1h z`O`7cCpl!zFBw3r7h|`POPOn#t)W|T=`5Hy10}fBmabVWQ;S2>lrRZ^c@lcFHS6`A zzYgixbw%{MJ8#yduT}WZCcYE zYLKlgf=t&o1nMq)_?#%yZ*mcY905AkyiUnh#JQHVYE|qVRQFhHhzyx`Y@2T;!ra%A zEAp|}GR7K>W`0~AWZ<)Ph!zr6dir)$P%2Xkf<*3D*{|xufPS~9D+gu;I@5b{A6fhP znPGbK&CyeMbs$@S)HGpjpAT(Pkm1ir83dUGJU(Yt3f{5L?j6}k(A5}-kBKOH# z=j_%>{kYSp&B1JW@@cMm@SEGsv10sRGqxhAz0SeJgCxy%2FU%! z!eS^zH9mO`37I&0f)JKV>f;3^ppEfg}zn`Okc$BNc@1 z!R>3TTr>vTiJ*+@Q4Ysjb&68!Ub{7Q7^<34uGLFNMY~$hTFt$&q|HF- z+U5~q)2q}$ms6wW+o!}6WB#N3g#&hXo>Ml}TUb~+b`(8Q!LaS_>g3o7U3q36cuxAn zh+n=iwyAXqoacVzT#Jk6Yv?KaRs)p4#s-K~430QXtdf>f)+#a`LIsaalCl}&0Ti)j zFU=}6XidE2OEsjb>n4?buKTcEqaKYPOeRO#*rRE?Kc@C0>z(D<^KTJ2+ZRI z1lNU|2?CPLHnyyr7lbs3W@I8*?NcaRW9m1Rj$h{+!kZW*bCU8>)|acjgR_}H0%t*Q zBJ5Cc-#H%g>!9K8`6V)EPPehmg{3GsU}nwb1_&__rouLDdR0q2A7olE%nE^qfMCMb z)V0JxSz`dtVcP_CR3+)yZtyIt%;ChX71p)MLl)0ib~2uATL`YEp^g=?WwMEC=vj

    }BX}UhntOdJ+ z+FZBOx_x%sM%1|NLhVGx5CzjH*4}KrSYKGwLj7w7*1;A67K`nK)!CfcoMV}cd-&s) zRa~pY^0s%4I%hanZ8Tdd=DfaG@IT{)$cj)6RU1IruK}sVK2cctjSEe|ACFNgKulaOty=8m=gL!+%PQd84k?et ziG7m1VYzz9u(Yuk)5s|pj!i&g)lz{9&*PNU8L1vt=UmKVcr@1`HS2BRD6>~7*RQ{y zjulTbj{9+qT-fvA$>7?nDN6LW(`8z-5;=CN%zl0{;@r?XsP(r~wbRH7)vml&he+uPKK?tG)|Iw?X+gf#TxwRfPsq z0M}M)O?}AsCe%P1C1_P=TP-;h4gUaVsZ-7njt9F=PV*vo&}R-dp(Y-wJ+Mi5h>ERS z8@Pp*qf}K{&ya=ncTb(-CKpi!z?+5xLyu!BRfbv=6hkA*K- z+7zj4L#tLK)&$xpl2)3&w53{Y&X7i@NY?7vNZ6DoEDdZDcE*j8YQki@7}0bz{ZP}RiV=NNaM{x zJ=6+UKF8MPHBSqJh3U(VNDHfec3AUx?pr=LF32Qx#HEU~1G5cApwAF*sJ)0RM z(W_Fp9F*l-BswiODJUUPp6k{(U<@zzxuR1_?R9W*S+VR0y%sxdQB&`JfI6BiT@85g z>kxmPV_LgG#HAb|QA;f6LLB;P(o-a@!h4glwwkT4JJ`gMHs|n@-ge0KB|Em`1PwoT zBCBlpT{hk0&D1;{;yA`SsSM|uLfEV$W>_7m>{h=MuBxesx&HuB)b9_5yqkbZYu#Yn z*E(X!uH?Gu6iF}5Cva4)OIH+Z6e)H1=NN2(W?JlLuJFD4UnWD^X{?Cd)*Hqb{MpDh zdi6*?*#~i6oY{V*#=DxFaWo8BVf{tcnMAv+*qATgmQWkV0qk7Xws`7sce(4t39lb5 zF!o#Km3J{!*G~SSYK>}OVB0H18``NT*;|0yI+p8=Qm31izZ!M2WvH{*_w6~?4UO+D zv#M(w2EYk?#8t zttjcn>8`C0X4zDWPfj)kT1&S3T54`zvK$+>`_kr=4AU>(iMV8RRQGMMiKmmZ?XK(C zQ-WX1dw zOv#H2Yn@iu|*{I*BK(#g>4;)Xo&+z ztgWhMYN#?Y^I5%-8fLf+WER0uOLTx{1Inz{O4qgfc3So{L!%K8jW)*(^tJR$7U(XmxgyRD(?Y$w8;U@|KA6v8 z74mcSE+$&BHt~%dEr&Cq(yilT>Xq{8L+Z?3w8d zRt*xZD#`TK_6NCygta!0vTeg`O4uT|Uf194mbBHJ>KMi5uq3XX>}qPV`FRi1ow1P1 z+K`OJb?m!O6&_L@p=VI9)%FIhwnz8uFef2GBOJ<~Wm~veD@d!o=E_+f4QCH))9q1n z3^~^5AlT$E*3TplL|dlzHdfGxQCPm=2-Y3RrfjV{s-GYnotVlvDGv)B3bHL3X34NY z7NxQvmN$F<0NsQVM5U^JrDx`G3bM9ZvIkF}HcO`1(q|{ed-W#CVRgbPyt#?XqJ_hptM=P+n3PNmwt}G!_rvIQ_*Max_e@9RqTR_lunz5trIt*-&u+k z(*FQr+-mh|*esNk(@V4%qN%*gL_tJjI#q&bt#R3ve>VwP@HR*XFbs5P+JF4v49+dB2Mc3-cJezEZ+Rjtu&!B68_ z#DKFpaA9$>xs7WwmZ)-_OqsL8T_Vt}+BUHD(g={D-+NRDqA0Ih-U39h#|w^E(`%wJdn%F(E(#n{y0qrPyV00MIq30nkR3l_1tMxQ z0^o-B_w^^%_ONe-fZJmOXrcR2pe2u(M#hcR+goOgF247w>dww}{JZDlOg3`-D}zF) z!^1(cCzNkKVCA-a)Yw>6g$0>E#qh|)VldcybKK1OYjpr87LX##Pk^^glJcxJR8^U5 zAX`j`66%K7mk*0&Gvqu|{j*9+FCaWu8I zpQ@>`gp+$Zxe2x0KJ+gndrq!^n=O zXC9k*%eVo^@R@g!x}<5OCwZUAtrFvm*vj`wVH|nc7Gfo@n%yPH7Pb>}U2==b!6M} z*1EO9FehlKKnikit0^0OZkY*NeN$CetWYYgEpvUJVb+zEx$TW`p`mc=W% ztv-?3(Kd&$b#tu3hBnEwv~^;51WDA1Bh6vQ23j?uLy1kBzgL zb+HG+9=5j{RHXBH&(&n1r>%NdV8xo&?< zaIrCVb8Adj88Vh@pp4&7l9?4m4fiq&WCKgfG;!}@1a=F=6ma=7jF^*vK z@HFQ;XQ~TPpzR?77d3ATJ8r#b={s`QZLgkuv&~Y7+KX-yks9Y5{{SE7x0VPS(B?f@ za<~yqzw0r}WL@{IjgY^T<~g$2$2^yZKb~jroxZ$#evzXYub9ti=l63t`$pQOa-(w$ zb57mhT4j4kfrbY1C=_RQfcF(`XKXkd%D+SIs($t~KatySOpLcSbkz9rT`*_iK9)Jg zWzy%El)7-%tAD0j266o~*k#Q0*J8$hrkfun(~XiG{+sOF{+;Z(gKeGv00!H6JsWMtKL^{h&%(C;ejB%Q z@Xfck;ro7L_=ex#I=<11KM&k7&&2lZ1!UY2Ei zD$k=JtG>(+pB&MQazo>uhi(ur?Zsbpi{l?}=MQHZYrZ**aZhRHjPn&|mD9-&+Zh|Y z{U5JKw7n1AF|(UJzwWZH7~p8e7#|$PJUMnJ74o!^@lSq2uP$bv3CMpgW{=w{J7z*- z%E57TGB@ssP^PfEwBda5pC8;9sojn(5>S%joP1o_y!;pG) zYU%361&?n-wqiH$|HJ@L5dZ-K1OoyK1O^8M2Lu8G009C600adQ1`;7LK?f5QB2i&+ zfswJn6*8gl79&DZV$l$ClCr|#@fRdBf}$ogHA7Qlb0s!JRD-i1!_xoS00;pC0RcY& zb<{A*o^aSE7sGB34wjLe+o}=64i{daFTxSR-WWfB!auc~GqauH1BFa9Msu3okT_Sv zAB)02wQMn_s_=yHuZ2n4uL%Ct@TcBa?7-nu3Lmzw*@W!aua^ zC8yUP0}A0c2?PE&z`)@<34N#j1_udPNB;n^@GzbdaF_o8XW(HxBH<(d0Ll0m{?Krq z&Q>5}+$sM6+Y$8h3GbXt3~_}{f^jf0rWYsu@iFwr43Yl$pBNak4itaAqs9g)!>SzF zw0MY4BA}CQR*w+^yG2&Xl=z7D%_nrid_;R*maqOod_)SJH0*soA`?)MKl78~AWq5u z0Ms5ZCZ$Nx!Q&jFT}MTT4>R|pjBj$IIyV@)dSDb^Y-!UPMpy~FVj@#PolyS(roY`W zg{co$<{Fe_B|OWLM(Yh>6q(~+`aZIhuQpqqpC@!xD76YTbu>ApCo-+h-Oh|bM5tl8 zhNWiIl@|rU8#cvqiC4qyuJ6y$FA8}!#?P-srfCf^^`Mb%&9J&`^EGis zTNZZqw`@<-=c%)TLV1j4IX4!b1rPOKC=$f9FC`$Gom|Zq~i6qor zmm#HWJGF$#&8na6M6h_Yn-xPW%s!4emXt?NW~bo`cK-la5^WF5&C0fiW?E7~*?sXY zmtR7b)%3Od&Aj4miK=`2MBq{kapWKI3?^+>w-{rUySdeP!Y1F``n*hX$9G?k@i2;=PiUG~iG@C}6`XNj+J;Do&%&O^1iRS(ReLN!(hO0R3j!>h; zy~Xx`K+-r#N=-^ZtD6K{owr3>;~XK&qEeerlxHwYWl0&g+b*N4qA?pSN?=K8Ml)C` z3fEyPNhZgrz?5!NgNIVtRou$6_ivvqhlF7|b1h&>jX@3&rKMo>b4H$j7~sZ1rAkiJ zvy`X|y;im3g9>v~wby3C>{=J?zM94!l$U!Oy7bGo;?$*P=^SY=PZ(| z+-?CkjBM1sM;Nf$T)upQ{r3KG!YE~PH1^taIzlae$l(rE5}1CSD$}`w2^#JB5nPo_ zuLtZf+u4ay6h}s6-q9mZa$AELsf4-yC5^f)yJ_2K!R#rVFFy8rDdepw8@Z{uJ+?8y z(+lJ0ACNX$6QDln?d!dxgO*hGA~{!;VI+=&(hpQp%AUtpn|F#|8m_)}ZJqlfve291 z5VTy&nMksR?r5}Q!2bZ!@R{CilA96HeZFzRNSi~l67wA3lxVNLvs2NqMlIvfg=z9G zIh?k6{X~nTco>~8x53+Tt~r@SNmah;3De>to`s$bTv!?m!2Z_Xo`}H@DU3ZlUO)&J z=N^)so=}cGoDAz~0HI12JI6Z8^o(?+s|iRmZfGg8ukUj`FKAyJ#sLp42*{v|5$_cR z>^>2~3#)dcE5@TLxTN2-^gslr0xYO)3C&{(X)(ncLR%XWB5Iz5;YKEs&=(_}yql`v z_zOZC$+81B)WX>b+Z+=o{hC+)MS4a^#FqL!JDdKR{{VEy22}}ZDW_#n)~Rmy8k4h2 zcf(UsbiNVSH#MRXwQFsc9UZYznWt8De zlb9?^N;tLgd6UlV76Y?nSKOs8g2aOlEUCP@8|4>Hpm)RbRHMPjl}p_Fj&1eLn_W5x z)+sH?3>M|sT9!if9_Z9qp2)(6O%xe{G}_ckkGuMt;{&}VCgjTJQlstxlWEsyd|{^L z7g?A?j2946t0#V{7S<#Z)41KiGcH1IyQ6=)bc&i~&McJ-uvUJz&n#8^Qvf zUsoDOyY_FNZ-imWjWvuVG{nmJ-0(VXr0Mxa4su;wbuHmUt+-prQxr0k6U;W}e;}X(*bz zs!LZ#P`~FAETj7~aVgPCx!b7$Kt&7MQW#=#c__Op=IhdQzjQgAqaiv)OAyp5(rw7i zTO)SMZQ5Joz8%ChM=64mwf#`Gord@Aik)JmS(r@J^0O3+4#)*S6y6(UM#eDC8Kl(c zlEK!6>~448QR*!i#*0moWv65s$z@yhw7Qnz}>8(S8_T5c_#ucEZMA__`$8#%FFr(+7+ z>6o3BH%@}dwwJt2VLJFoOLB_vkD=IwBaAYt@P_csaVa^aRpAWb`r$eGDzxe_Fx72t z6$w@N7+ngo7iCK;z{j#Gp5d2QfrQfQ3@NagbzFWE3#HUTvpCAH*kIFU)6U}6;9yf{ zPdK!%0|G*7;YG9I5|w$x(0z(oz4z|`kVx?m#b#Bk0IEg4w`IT0d&9EoTCyZVjIF&b z0ZIbahMIlnIH`1%9;ikXt1&Lj)YBvfHg`b6)du8wdfGVw%ij`hVqqu!*5M2fg~zCe zC2KXFE?Ll48wC28l%q_|JeC+m%COdSNu?XxOPq z$W)ixI&HG91>&JXVwZ^|Dr6FsT`Esboe_v=36xZgUAv1dAdCCJo`}X&2Igr>sY?=q zm1Y`|rS?U856jdji+)TN)P#aI?E?P*7?z_mSi>x`Zk6QQefvR-H%M-wh7m6|RmNRc zRnE=c5re4T+$Use3M*J%P;Pbz!t^64TBym*xTU2!Cg)}Kiaim@Om4YpmsF;5=CM6G zd<;#{W+ic?pi8RaT;~+u?(H4%il`H8)+Jw%m|9TkvXOrO04T;(X_i}Vc9i;&VgVQF z{&9>bPdA6#o2I;^IMP8?j^3DZi81s=86Hr6*{{>SC={8w+$MPE&m88dS43etY~@0c zFuJV4&8SaN1~7#xr1bRDRf&R9(JB6ML8jHlD0WJAV5zqC?FVE(Az1ob$P)e8S2$_9 zF%>qcD~V;;r$6;B^$iHy7`j5KPI^srhk2{ARj1mFQzKHP(OI97bQOfs1s5HqsjO$IU4yh?v3Dr5Hf(d3*ZlKy* zW>7B4%v4Rcojwq7EkBhO<(LY!jqZ1VePa<|o+a6Knm|gGuHM}~5rEp{^tTv4WoO7n z=*A=rD^b7wCI0D-4>2T#K9t<7^MSmrBo%lQm?i8+`p^1Y$O(-kVyLY|B!``U%o7?jvGr6x1%RYL7RsD9h&q5;E>SaT&R} z0x$TrSMrMXBxN|#QKYD4LP$NTAP*?UxPggz`fFh9USrfPZ&=5*>2@g+$Yk8DNbm;a z{38=rS#Jk8@)dI60X=t*q!Nk3JijouPmQe@La@Sc(q4UIm^s~6j0IV4Zl3CwZ+S-D zMBE<;!PTZ`YV`q_09Hu9M$7ynm0Fj38cnu^3k;*TDAasne!VI5@wp@@UyD9BykdIf zvlxPxDx+d^d_{y~s@$}T6!foZoKL_;Fsn<-VMiZu>&^2UBBfZCMiyN_oeDJX*%AvX zlqpw4V2QUM;Z04easDL6GUZZ~hMuYGXTBL17|G>(4r7;`$Z>6k`_vAdfJX%k8A(~` zb?wjT8MPOMF2V}5v|mjiD@`CBG>lWlC2Muaz^iFgrmegmGZdE?mYjZFgQ7?u=>{=O z+|0dMhUK+UH`k+`aqx^5=NT(BuluU>ff&zBB~kwX#J}A!O~CYAPlco!xeHSxF$ZP6 z-8;--ehz6e?D8pvo>GOWDzW=Jj8gbNqsmk!q$ivu;H2c&Qj;G*Xz~^3nyIXiq~>p@ zH$+ZgIz)yimv*qCW!wjD&_L;m-v?60c1B@t9x25oD!%LQ5sY|0WvLeCWL_(63bwlQ zZ)<}Xi-U@KDC(J_yrm(%ZF_^$4RCoQF^5}nn(@t)D2>^+kqlsII>iJkR$!&$dx5j7 z#wb`aT7H`9OQjB^<|*91}B3m}&IP7hCsr-FroM1Wd|PW#*9iT1Kh| zaU*wpP2hRaLTY+iVAveR8?uk(61Wy>dk(ojD>0Oxv(h)k3kXisrKTQX{w~8#vlJX3 zKR+;9ZSE+fSf0CViY^qZJ5mxnW=9frSAVQKgX^D6jI*x&U^ff=Ju!+fjd8q8)T8d_ zQMOh5^hPzpwbrI2+nj%l3IfNWT@j1$rXZ7|N;x+6bR7u$u+|c;%i)$?oOd%xBbnQ? zxJ0_D&Qsi-OJgcWOex7~9d+uXX#q!}Ry&MTv6Its{EDoFDJNZ3xQkqP#Lgu|%Tnx3 z1zDX?3XO+EP-~4*n^R%D#bjzaK6H=m3SBb_lv76c>4}aIW#u0%Jj%7U_mX3Tslg=`SMH+myB#r z(HfEe0JOg-;O2RxP??oM=OGEddSeFH!;4~i7GPf zVOQNw^utrq{{TjnGJom%X&6SJ%JBORldwh$sIfCnQkA(!&+?2rJjBI9poHwseIibJ zU3ji>l=LUdSeu`f7|o_P@n6Llj&@F7wM%J7Qdi_76--Jp#G!ENT})!RiAHeqj;#I9 z-Vr@8$FQ7s`ego*ChHDSSy?>XxA;aQtTy&0ako9d=DnAS?AQalCU5B4X6?<;{7>f+(oTwMTU>$myETDsLM6sBHoO};dg{bkOV-x#jC z#V)AHsL3JKkO)=In#M7zF)rMs*?S<*L#THB6Tc{p991JFX&u zP?VT?a?&jEX5Bk_VnTLERE(^f86DJK@yaRERiKi^o+sYT)BK{NIVB}jNSkKv8*6yP z6EY0AhbpaRCsdpCMSgNyh++DZMMxt{E3m}O#H;jH-$SmeP2Uy75eY zT~z0qry)VDZ?BD_i7_gaI+{WiYichPNx1|}DAQ7)r44n7ccj^^W@9%TbTGP&G-Bn< zJ;o+rSZixo+R=#BMsl#s{Z?U3x{DNu#j22+GS1cJ6y8l!)*4m5eL{@0>b9FbSkfm8h`yzoQH!WCZ zZb@oWiO>Q!TV!H7RFtMBM9>yd%19dmww-+Km7%T2WyDV0O}do*9ru z!GHV#U$6WrfDNM_>4R!N_|+U5M%^t*!;54NS>-(AcOEv>*)~~kFehce((#X__{yZBoCQ3?q>Y4f%?F_K|zPE-=I6p@r`xQoUNGOs!92bFBQJ9os2W!=O} zFRsBPoArYeL5bW?#k{^@J9@2n#36~Q(`Zwi)#Z$1kp@+^oVr47x1!OBWZIRZ$+DH* zBd41CZ$ zd+q#CoVHiTO)(7uEQ=DbtOvlid4L>D@a>9g%g_FE!P8pAlAN>7NFnfFF)xp&+v%tZWyugbYosXJ$Z%a-4E!ZgFG|a_l?w%G zu8Zkz$i=k^9M&0-lah25qS_6^F25M6Oi29*mg42rb$~Pdkff_!I#0$VTV83HnCn{c+GF->NjQ<`mZO1J{V->xwwLZ3Lx zB1y~Z;4BA*_^8auJBDT0N`=#xO>PeTkcVlh!wQ#ie_L~Jd!%XE6n7*>9GGHZcFlgC zjW)&6IG;Ct^A5{gWLm?vyMPZ^N-9_&;b1cfFm$Q1ih!8&qmd zuhp6`EkU||5}BA-_X$2Wj6I3xT*T7pTE3}jI(EfEcunw=D=yKvK+ui%1J(lM$te3) zitCRzAypYP<5k(JljZ9i7ZLP*U#ykN}WPMYUFMd+*sNW;?Fdg zqErP6sNDYm7shdL<|k9QnK+EJ5^fELR95jC>h%UHJWxhKvvtADPkAwlu{5qDEQXpX z%b4nKskg&OSwKA4mc)}Y^cL{%6gaeMPhq(JaLyxoN|o%ge{E}s_;RD`=!W6L^Z=_P$M5{bnhNo}OWGgC?K~Ka%X*YF5bBcdI>D>jMDX{9g zV}fZZSES|>b7DdGM+y}>mK>=|vr2fR6x{a52Gx3&Bz@%7mvE3RrL~R|6y-0Iw#J~J zNM)!tIiG{B{@>~)3zt%6(N+VS+BfBvjAB&>wS1xk^G8yR#Y-}d3Dd1ob=B^@47!XG7(#d0nLJ+Om@CpaEH}f-x8D8~zy{jK3Ho4qhhY8T z0XH{H0jLUzgdqVXCKR$0ea1b~2!MHp!YJ}$W#Z}BBBt?`Y@}^eDZy*sSfsk^$(V8D zbC=M=VRH`#6Te8{a#i*V^6T51&_@f6H9cKvEUXfg>l_kDKFs6Ikz=Nj!i=Jvm~8d> zMH-7xGJIOo);kWd$=xR^2EB@hrJZbMT5bDK@#l!(_xXY?XO)o-{b?=Ns z6#TlU-)}4I9IgTOf%{(wXAsDeq$n!=u}G;%Dw5l%%WE6ljM=k~g{)$(8LFYzC5DP5 zr_=I)EONy<=5dmK@big!Juu5Oz(5Hp*}5eubcu--IH^D!y85;rZ;hge(wfFa$z|ls zRxP*$-#4YZVu>u&wkcbQe~ir9JGt6;!ls;4DP>y(Vkg)u4kX1gUR`nxsA-#QPsmDr zIQC~3^?87|Xc1SU$T>=SU1_*Ne1?&brOhJ?R0O64m{~mJ-rhHgPB5s{5p_9MV6tMLP-M9vIqc1VR%xBF(ob}7)Wqxb@}Z4;-fRlXB4n>8h1vQyiwLm z6DOp47^E;=s08j8iZ&}&6T>wMn?K`cN)y^suY@Y6JnZqGal%$j`}W5N^|>mQ3R=4; zfR|2+?HWcdWY5fGwe>K=Ps%sPw!z)Mp*D$1t1@*a%gt=<=;(}BUuIpV*;7i_v}_;F z3WUOf&~!S-2V?up$m$+(N0MrXAYGWzOIE$-K^Wn*v}T=7^frIj3ZuN`R5Z?sI^Uo~ zmjyD0SS|Dy6}*FQgj6Vp4Nn?Ns<>; zs^wm4l;zF9s?bodmNRA}e?&1N`zuJ8Z&kQ0 z@r*}?>h+33RPK%=otE3phTiB>6xz78?v4uxG$q^be+pxCaHxOSY2Whx`d}TYR02pK zK|I|cAZ5%p#^V%uJ~{I8#);M|GwI~qUiCtB1!{%ZoY6=iwJ;IU=wk!Ym{W^#WxV$p zvW=$O0P~Di=O$^|dK)ND98HeV%^P7GHI4!wQ;kf?tn)z!z9$lt)NgI@sYxzM97yLx zvr>~7rRir_*?S(y$I>!w(Ro{_;|f(_-x6;n6He37rL`S~*Aav&67-_{)Yik4AI>oj zA!eggl#r5D&=!(<^^A0Me&2Dw{{UfxO7e>|4ZePR;+t2O5;kDx3ew}MG4(}>%uo|6 zVmP$x&_N)NkHRpU4>O2fQqo3zmeY3{h?~O_lC+m55Kdr^NW#>X=c=icS+L1}D91Eu zX$fW;Xz#pJGckx84GwWrpmveA4Q_6gi5%wLe^lD9+qNt9ca=|mT?6MbMxG`x4FRc@ ziqz_Eb|cirM)PE}7G=q?5M<>Rn<*kOE*X$qp_^eADcf#{RFiF9X5Q}3-O(*Zl%JYh zw8YU$l9EhKNzj%kW)h;Cbn0_xlO_4v8dNs@|G+#x|2K@~VnbKo60w>!mP}u93j96w41y^r#OA zoKfXUSK@`gk)AVrSFt?(Hf=MkD^s==TdU%dnRfZPk$djE96wX1Po{Q%QoHQfHBGgQ zBTizfiMbiUp-N(74^7dlY;l6mk^S?*Y~st-S((XgN^%p~6spBOy)Vu8hS3=3Y{~o$ ze)^)GRmT$&c+E2m1t9Tzh}*I;RdK4FLCM!N2^R4Aw|q;~WU+z~O_I@JD88FMv8_7x zU%oLMy1tCeBpKx(e#cK(Zr@%WbRZel?mEIl5M1w1t0V#DQ0o3cKKzb z=i<|tZr5yM!%!45)2-NMP5OP|Xu~h@l!;l8b_+sXX-4pp*7F%qc9@uSCRtI4XOe`e zDd<1EBM3b7yqlwoBwU+~oe(FcJ+7cwQRaH>?1U-e!W%+&B-1aPtc0J3H;_mTVQhO9}?3EOi3rpEAjyJLf?8Cm*^?N5857x=@q*Xb25N>og` z?i4@Fbsb}DN>e1KX|pvq997VC0ABiEUV+yNHX6kttm_jleVv~@Q1(iMs1~^I(kZnD zpYOFv^=e z?kHd382Y0%F;8GRyYv45g;9iAn5xW5zc#fLF`H)4EG^v>j87omFqoS~%VQxLH+?T27)2?KAAWJYYXBwJ!W)GBzdH z#Mp{bg+^W1b*n)WQ_7iF5Ia6-<_NZyp z%PVYSdda0wQ!vV`tkJ=vyrPop>pGR+{USF<3p(Jpf&0z=_=TY$-M#R3LQ&2kFbK88 za99*-QzZZslYd!?J`AMP=_;M5F`YoJ-It6%Ed0F^u!~zI^uJLDz-s}OIIx6`ObU9X zspwNRQpP@m6atu zg-7#%(a-bM^W6;~%OS^9c9O3z$|hY@+`{G@-xxl=Xca=?XN=8ZZtzExBNI(MPUUKA zn6quMD~VGo=;B(}VEAbmhO)QXT%_Y*DLmxd+gzmFb`7ydmZ?zc(z3D+<|8tVwiM+` zqFIVm{vlO8)_94R4?JxISgSXDS7{E@sgKNno^Mv&mWlb>jm{w9Rc;G-X)Tb_U zQiUqVv?e%2ca!3|c{J?X;~Wx(rK&2QQ1?;?alB*7Y^_HSN>N>2L>%9`3`$cQr_W6? zo_YfEU!-q|+&qyiT#~s`cK-k_$e`5bq>zU=%W*2_eyWAM;aFy7w3&LpAGq7c`A5)s zjQn34^QeVjr;VI051SY`8ff!4!Sit+Lgs)<^N*2up25@UlzDlFH7fW63`?!&!wqU; zgF&gzR`{mdAwU~kTXl!eR56C;B`L|KGnnyu+pNQMNy@w2Q+EkT=MmKyicWr;D!Rhk zNMLJtu;?#%yFAO z*JhE0UqrScVq#-Ni~2??snfleW!m>geUu6KMC8i`jJ5;0)IjY#=%UnSWvR=QoJEvL z+&=BSeh|#7%c8jY<;NTF(5FuO!{%>`b?KyKvTQB0Ut8J|oXOS@wYKV9Nz_^nKddMa zgd`r%9}}!rD-$)@w9QXg5vHA_`o{)2eTK4+z5FTt955&hAgliX)NjrbE{m92l6k~N z7s3@ftu5N+Jy}%I8G8DBB9lt`Wjx(xs@0lg01|hod&Ko#8cGm=hQ)w~s!YqvI>U|u z)xTs)oa`Y-coB*);wlXC=^P}HEKpYZ7bZA#|3FyrNpD59!5A*o<$oFvo$c^8EnGcB%wc?G}Oe&Q?51}&#i{* zsObxraXDUZ-a7m*6SGZ9R(Qn=rDL7n>%X=zRGh!jNi;WdENy+dm=dk$&2ODx$ud!E zeAq`MZ#DjQm@-WifzUl7rzVq6mG@Qghu{EXb2&#Q=S(Cw6U(MbeE zoZS4DI+B(Ta*T+&PVG^*!ZB_m3Zkhf)IDMA6zX);<3-tYUuEHVcMv&H6HX+NZ;$k} zDvwmQK*{;}FlF3Ati8Rkndh3Ps-JBXcKr537*tl)>YjL}#Utms7b@>ARXM##R#D?< zZwyyvTT9JLn|~;i!!<|gp3!ZSme;?}L^naGx{^@IJ3cnInDLGcWnYt`shO1JIlTl3 z;e>y(KmJ5{!gA?&!L*qw)f#?HAQ#nZUvS%a;N4P+!tKhXyrn$SI>Fb*0ue0XltzU< zup<-UdWBu*W~H3U0PoNUkxp4w`vfULJ77vO)g9wBGLCW6qxr=B3RyIT)sHnxQBp?i zm$kcf2eKScxyu+Egf=jIn%QxZwJ6`l!_q2P#|~ne>vQvx%i|84VvUcecu4{278lPQ zyEO5PPl_aQtx<=WLV-!ldi~GS%61sV7#3oDxi=|cZEX^c@-~XnHRgan z%9vgwtGd=w-S5^STB}<2%TjcOWpRG?@CRRD)+=cGNXn_QHBK?q4N+?aTWz9IY(DKB zrjj4Z6f%9&#isY~iknplUJ&IIF4#dWfRye}FzMZ_SDv4Cmc+J1hRV}x8lHNvxKfo_fWxx2Wk9%2eyD2isba zn`MRXU|=-;ClF?-=%(BSUN?yxMM9OcPE90)Z1B1H>4ev*tkBRLdi>Q4qfT!alWyBy zDRsI8i+pK)IbFy=zN4Ci>)B|-lMGHa@u9%9x(J@SWcqx$1}yag>HlZ z5&(+Lb-4<(q>HKMsN9~9yYY-?F!aS0_N2CiT-)Pr=*Kl#9x0j~H6K=hgMOZFgQ)bt zS2`|&KoFPI#K3W{vkwGLODTr7EN4gSeWb=0mu$YNnUkQ?%Wr+V;$aLuV95}rB|kqu zHjFF(011~S`v%kLx;uYG>|n*T(gv|o%p_dOPrK1Qlk95x@?i-#gx5m z9DTP(9n}@@u9OWSJ4{n0n^qijIpNzgIyF?L_t8Uny!ZY}@6-~I$F)P#(I)Jn* zfts2}I$=H$Q^KxEVis_|VVzdjcChyaHFnpPE~MMGFdZIdi%ndt&fAY615q3>n_+gx z{nUEHcQ6|+EF^EVVfg_`qf1Dm>?C;aiY*MYIXOQ%ti@_J>POCy(uS>#(0H^d61gi6y^YAdI> z@U^pM>ggR&6m<9{f9f~wOEjiD;^jBza{`_DG=Qm?JkTYZ5T%Yy2L8KE^^`zqQuru#1x z#-?AXP?aXEsthRh+WL3KD1NP2nPqhsE`YZaYkRqDPr^7oWfGKbPTpf>e1i(x0A3BF zP}nzzCz6VE(^ZBS;6CS+Fpe zwv@xI7W)iLDa9=k_vWhpP=<+d2>T)&LW21z)FDbK~-r^YcoDu0$ai|Or<%9VSa$4`NZLS<$uj3GYi z&UE!3v?87vV;`D!RTlDP1AVds6&FL3&lD!tQSNG_Z5+EchV*BoXhnYYOKWXJDNtD3a@V{YEGuqx>zn|SV`v?pQ$-8CFbW1?KDB` z0WreeLQOm$%*+RZgwGd{cwQ;Wnh(*Nl1^r4?Ggp`+hnx>?vOur@tXHB-^dn?D;U}DwD%F_Hud7atIa! zu`xiz64kbZ#IBp|AKBGfanw|mEp=&Qq_r~TFJ zjv1}=Zd`xfPm-ep%~GZ5%3R~9Nd;OfX$LRlL{XJRoUBTTC80`2WpjU4$IL;2(+;WQ zFo~5N6Q_4fIzPNM-wJWi`c~2RHudyFIjwg#n7+0#!h6#6+T9|rG471u_G$UXB*yYJ ziczM@G^CQG>TSB`%*8&Os+~!xDRm3EU_0WCM0TH^P&k)z?@pszM9^XE6uJo^D{|=< z*>bDJBM4#{DvD`s<>L@S(66)C-Dqle09+n(pIwx#_;X zX3>UHeU~k^*+*x0r|A$QX4pF-s;fviFZOymp{!3eNR$&ZNe0_GZLuTF!Qp;qUpYCj5?vE&%4Dw}%_FG=aZ8&r=vn~^`_UzKp zinYUX2j^sP!j3Y9CXSbr`>KkL8=R+2a({da48vei-P$7qV5yum>S_}}mmCE5D3@_u;eP#=gg2EIJ7CVZ(NT4> z05-o&Pht}xI@FTo)JvKtt}*r$n#L678gA{WyQ;)>Y>6x`q)AldrdlR#jJ8i#+BV-| z7)c?T%X5p^(Y#@7J4~a}+f1`|D*;OFv`*3K^Q~lg7q-3;Ly=F?V>Axbgn)WRkldp} zpy4A>EMtU)%u3?ZH%?8-NWPJVfc9ewBHYox)AVeM>1fi|jM`}(kyJ{#3xi#rku!s3 zCxo(Q+&1RuI!L#q91BQQFWET-vhA?Hj8xi8z8?%DV3=@tB|oB%%0)_oiC{m$H@?ie z-Yb=f<>F;iYF4vi>C3zu8~Axf2}nenfhSDY*$#W(plJm_G$Nx%lXhv@rqh|lD4P$$ zq2Z)b>5^%YLYlfo`g=rTX+iC-OE0cfr}_EBvr-6js$O3qx81jNQ;+_f!Tc%m5dC^l z6UwF~1xtyko=eT-MBk#(j$AI$C7dYB&e`1@Fes*rCV%e_-BcO5Oiw~YXU)VeT6c{KOvarSjKP^Sht<4%_{JnC_U$bRBT{`fM=6ynEPbMwULvGWxJ9U% zn>Q<8HGg+Vsxa5KoaF&2T8Q@n8dzHWN(asoIimY|plyjIrHbZoK5q=v8dXvDzSsbt z^F}h8X;sBC#+DnllbY7e(2e8dFi8vx(kaScsXLQ^L)pR7`MMkuGhO{Vfmk1=h>QJ{~5l^Q))Ve`@o|vnKn69aXn~U@Mrp|gl* z)^_uJqD!49lBnSj4`s9Z-jTyE{2E9P`oF@crbyK1(%`28a*LaEM+YufrK)PC)(Ojy zl!Mf(800d%cPI!Mx_82xN!tr>G}dImWM)QwgXs+~LWO;wkr_9`^yyirlQA%hsXGru zV+qQ`3zMc%90+mj!snqWyjCc4bhlcoR^80v)GyVbR#KiF9rUqk_TW>%D@Pyf* zkegaIn?@k5O;YBaewdu=P4x7S?LQM!s*X-Lz|P=J?mq6#BBAvYk*x_#u(UE~(M57@qlPIz2zSyI%>-7pSVR==_ z)o8~qzA-l?Q>DZUD0OVV?#3N8SvFitX;MK^BHQo2FlABty(^BIzF@SZ^L76fB(>S!zn)tKhn++&7M_*97h0K5DO zj3bC8@YBw?;xp$+5kbYWwY+62W!uTjT21=(^!*2_IU=Q|lbG{Pzvljsvu6-HeIdzn zFz!LHiz~7iN}6mk;ng~RjuY__qT)((jcq~}e``-j#2B`35z8W8ZLx4~aoK30)7_q` zGSUuPoxL0VB863zs?%DSVc@f5=C|IoPgG>-ta6E`f(J=G@rG8+_BER;UCq&u>yz^Ah204j(_q`<=?j}>IzN>?7v68aF!D-ij17I!<+=u@HW&nNjnR9L(Ni{% zb6wpXQ9Ulud+X3U&yj|z^Nh9{ZO&?c7xIkCT@#IOLYF#kv1&CV;0nmLbcQGb0i+SC~S-*mCZ=B{&RGputC@eKB6#^ z6sc!ajWr%hjwyM4{{WtE=K(KUQ}fRJqZG`#<$7@|KWR|Ka$<=^OKlwzH0?V1>)jNd z6y*~nl+=y1o5S<3RqCdxEZ;fOEINkM@QO|(ldC(#vV*E`-FtdP8ve|cKY>0_ z@CB76X4*n!AB7M#|&9jPmxN`{KNZ(1YwE8Azzc5QTJ3Y z-=tpp!V$_!ihjW!F!IF1sJ6s;!^oe^XA+^6H`Br!bTYm>cKDegn@uSD-=l>&<6h69FMS*9NgjsCzb|#Y7`F7It*QIMQZS^?L;zoeH-!YpCA}#xpe+nibEk zX*%WEy3xUfU8=?_oStRAEddRTyL3*Gj&TJxk5qAUYq44mUl^sC%GBZ}CbdgjI@R;3 z?1}<%(%3qCqsj)~&@K8EYZytqRKYSX3mu-3BT4p*){cdw8yjvqY4L%D?2FuScDGzg z%ebn&MuhPCtJo^rEwK7S_$GYUT$rk-B)T06ZfS zDHQtD)a1E5;EcT@={k6i2*M0WxrW$XO#_Tlb?yF# z;i%c`@QM`WVyKloZlE;MF@_s*Ql~LA>aE6IL)QNQ7_ATeDYX8t?!`Jqbs4cF$i4n= z6gt$E3Sm?8ZIV624d4x@zA#~8dUaJ90cP?9j@;`u_=u-)lP@PG%&M6rp&)JO5a$XK zYe_=okBl*l=q#VAlDB+T@oQD7G*g*pAW6J%$_FBlN#5c8!y07(LjhTWle#DsFKbX` z=UCLKKN!PRNt$}*DD@R)bp-d^`d$(&BaUp#IF9dti(WC6P48wVlOlex%{w|F6RVM> zE@q>(q@3Nu)+>pdMOe+$_Pfvhtzl{oSwb0gqRN?2*GNJY#&Jo7B`w)YjtgtF{5@NK zVy5OqDZh=yqlC)Dy?YUIxfe4w5|BM&g~ldTlF8=U-=BWiqGe4vq=UP6WL`SIMfZM< z29Z~{vz=A9boE6C8c!P2a@uN{#QZ zWw_IhJQF*p^THYU=N=}o8(Ju3VtP^m3?Wbo{VXRS1rAs$2n#;BCy77(b zl9jre1g@kfDLbvSp95&bOG9!6qH`u#(>!N-YN76C1S;g z&=iuBrtQ%!D=#PtB-;p0_eFBCGb4*wrnWhY%khNE1vs865Wr*V*Q^Al+6dv7F_)(S z_tpEbol&Ofz;vcum{nSL{3eF~06xLKI5?0p)bd+7m|B)o)SKxO6q!$NuB4I93CkM= z;bpW*DeS*GK-mkWO1)QRq`j#Y>iH4EWQ5|d{Liy?TIa7RxgFv$19bdHggvg>(v>&P zw*1FM3;0{GK+F_V2|{m@4YOrLInHxD9QTBSc&ALiB<D>>-7mVASGPYi*K;h{BIaa zqf(fnGccEJp5O!0oxVF_r%(*ezPFyvQfzk}yDjSzqlunoc^>MtKc9H`#Xg+Fv}H_` z{go>B-ac;^aPRnts!j6g!UmjGI^n;95H4hE-XbZNBoqb`qiiF)LaO;rFDI zqVK2bs@aFaC^d>imJDisSwJ?Fi+F4C5lo(!s!1YxRaXnv2#LC`Clw{igdQtBy0Yfm z7SblB8IzV&+{&z!YGSEXqEv8ulk*C@Dr}N^h|}k?6H}NnPSKlPnNqWOY=RT2wnhoy z@{5Tu^EDZZ=RJL7dPQ=bgK*_l+C4&D#TM82*o zwzivYOUNf z@r)@d^!<5>c8!VI58*n5%!;JmaYU5uzc%rM=?zfu^yM;ZRpwGpTyS#4yrvzUxc8Rg ze61LH4~#&RN+UP;>91+ z)E}Fe8C0@KiZkMROv$^n59oW3oH;vHVWmg~l&0q6cI(ABGHKje&pCJa1NnSoVxxok z*?M(&PEPxYNx#A>wW;(vRl^iRCItvmZ6{8w0cNm||6 z93M~2Psq6Q>bd5?*&H%zifFd={^*3IAqiRE76n>G8QPU)hA_$;`mEW%IHO{A@MU1q z%CpL@#B`?r06N61+_dz#nU!8-m~u@X&Qh~;GRu{7 z)%UIG7>0VGMVFbvS3E&g(|@`)cR)$ksvNq23XTT>P8DGG{_&0!Sz7Vr+U@szgm5z2 zo1-`m^`9Xau8lcS#jU$0cHaL0J#jg~!I*W&0@a+0+jZif!PMU;QJ+~(x?5P7!n1U_ zl!2-;n-jd38ifpT7-pC)t1>^&l=j_3mN6-18GYP-X;f^^6XV1O)MQOljbITi> zU+}`~bu~#Ym>)b+wus>TwAL$^Q-;8Ak7`M#R5VrBeb$P7YF~(~EVwy~US7TN!OdNR zhiVFRgxIKo(nt}9q-#}4=4a`m-1jbeGi%`(@xn11!#-jXl#|vHB2_A!lQO5@Z=9aZ z)#RPBOm{iM(!wH)^a)aM!#1&ju zS&`+{1s)>$ZcIr`E>dHaG;E~ojD1m*s>@1F6L%Ibr`b(_%74vs3Q))bM%VzV){X^b zrT&h#nS-$^Kk6}yFypm4)3c~-o7>7bFQbVm7npoywa&2SMPe4-Xxrz~3+y=3THYd@ z>uj=C=FNQL9DQW7LA7-EL+{Kol91^?ItX&5$!LMu-4wCS={x|GM*boljuSVdN0 zOH(06{{YhPnw3POM^DYaGZm`EXiF&})0JG+cl_JB8lua+PMWC)PFBs6rrrAVMifFF zudveo)yKjyCn;)-+9h0470gKl>oUwM!%R`w9;l%_F|Vynwovq zq~-Y5Rb_Nq`#nT1p2?dszRx9BBX03;&L$;gC1p7InH42Hu;k@6QmdDgWTdLw`XY%# zVz-IRjNS5)e}IfngC(d_Or}a>EyeYWDL`%7!c>`%RkT~CCMan3isfg%v+C{*ti{n8hqT>qNtYSJ_&S{)oTJ>pYsmLyz$`Ikc-TK^rEuEqQ z=}zJ9CT;A>%XIz|ZGiMdt4c2BTqa;GOBS%by38phFBcB)pUc84E;8ht!Uoa&qKi?d zOJUlKoYRCY%Vh)S#xNBry0#-ktJf9;+-}(l!YmrfBqrQa(U&mScsfT26jV|uXql97 z^V|tK^y*?q1Jj5yK%oZ9E=_ zKZX)C)(wEt5^WZ+xrth(F&ItiQ@Oa+s^g$+{&7{oNSC5FR;BG|U3cH0H%uNn>*P4t{eDdns&_EDg`%5?q?wfZmj%X#D|Lw}r2^kL3xn`6R;s3`-HUljN%``J z)El8H;UOGeoj&LP0O}=@65ZjvGGCRGQ9sB`up?{uUlO!?P)wrcEtL zDOk8S=^YS(ePe?eu_=gNnRPd_XJ_js6^djVt2I%ZPF*tFr2bLDC3;G)L~347ZyV*e z&MEk&ki?s5Q=1d7b(7}?yDP|joDzA!c57(GA@hPM>nQ;y-MVJ;5YGhjPD-Geur2}F z(jiIS<9oK4TG+KZKVYm_SfF!b|M%&KMGi2(S< zJgZDnu+nBm@|?X#Y;a#V{Oqj6%*ncylgPl?94SezqgAF!H?>@6@WOaHtNe%@8&z|2 zpzv!^+;+lvGpLca6Ty`5+F_bybkba;Kd30k55vkoviaiK8RPpds5@l7y$4(?f|<}t zQgrQs!9?ZrTps@bz2=i28JT;1{ljxnK zKb5kCX_$>|7-J6Ns&z#V)nCkj4wveTA5VNLc@qBekZl5ki$J#+d}6Z1^qn?Gm7aP^ zukeW=?1bUu$0&1WQTfso;v|ga~6JR^FiMn+y*)>Wp zHyVb*^KRLDcxeqJrLYwev$Wnw+}2@`CsW}PhJiFsDhMYs^Iq5g027<#45Ct#H9d&d z0>f@8Ee*wF?d(X_C1i2*)UVQpl%h86-PE3lmVFaWvx{X97PX!&V1Lv*`v}%5)moxz zvglzjlXr(L!`5fk-_?6pbu+eNIUZtIG5TBz)#>yIRRpLc#_Lh*(oT#=_<$RgIa zObU2Y5;Vz!?SvlbmrZgM;HLnJ$vI8VIxhJ zN@;Cax^nuRy^&Fpny1Wid9>K*d}D$;dp+a&p0FbsIhPsHzs=@|)(o`8?U2V1^{cObK^QtRXD8Q=Z%H9Fg&THb*9VH_rIvt`U2PTS{-7essK6D)Y1~Uq0l5$_a4a zuUpzMG{cj4Wr>CTyywMaz(v*0*$0}k1UmaHsIBzeSoy_HxbkXTp&O6LiWy>VuOi|P z+5~*|Y1$&FhoteOrDa;Q>bSqvBSGV+juEj<6%Hnf;kwd6CfBz6cEwV(LXo(+<}nYZ9PH@@uihdXsxkUkxZ%sCvA*XTalHOQ_P)zk%`KriV%dn-OaBU zqM({{H|-!83BwR8|&+ahXScvBEuCQJHCHT+|gSFdJ;7k?RIdDS~I2}tucj12z(6NphxckC+T^>+UNKN#UM zyDLkeBA7qMaD@-TLCt>{cC$>IywyTqGm6@8wDiE5s87(|lx38JAt5Tc)PjJk_a{RF zn@dpzK|i+&q|+3uW0y7cSIfz%}+KUm^PSM&uj17 z5)&>ies*!h<#B0QI*rNnu#6DvSf>$98i?ZpKm)kP2-t3!OQf|mF4k44#Oo4xCWP#; zbhGcLo$x+!QIMv!hbEm%KUkLym2b104BO`*EgDdkzYgr4|nxKN8U_&xD8Rb}I69tBF%#xX)yN}W`;dxap86=}U$7yAMw z7)0}naq@DcMhm7(PEpu0Yq3zZ`!w{95is1c(#g+dl~|LrDpE=EioG?;M8YN9HzWmk z#{@dl-~Rw7tR^wavf}Dh`ag{j%FAm?#^^H|o@m$eye@dFT%r{;RE{pg`aqj-0Fh`+ z!q7D7zkG71h$8!8Zz)GHFlL`R=7lLr(OaX0JEIv)EDeDt{USInbN!xS2_Iy9Ok<}9 z(#f%ZwHy#7El|lo_m8Y_n(7mY1;^R`BNSIzGWKym3+sNpns4;9RZ#6ZWs1a?ywL#m zeCZg1fi+T)MN*@3mn?2Ji}gUmH1^1FEq}8UQj-RP9%Z70tt2elQ_RQ})ueqGkWRqWXI9TdC^LJZ)lUnoi^Eb@>DLQ<5QERFZ-^vkUA192=CS^ zxOu5sg=TFpSTCA7ipWtO7mg068N+pHgwBn&0x|7cVzW(dNv2dv7B_B&adfP_xl@gl zgaLc^4>(e$XJj9z7i`muDz&a{n&V#Bm&0;gYIw(uyCJGYJCin^C};-gT@IGE%1bHm zj1xeVZlLm=P;*X>xK%2iG~$F~_S&MoAyAP{QWQ^ZtYWu`7l|rMn#+|bY=IR!0>V@G z1XE}E30jt%!0wDEj%bo}q)Q>d($VH)9OJ18)XRwj#uO-%&_PKAd}6CnnypSH>5E(d zBZ8TAF(mL;LOozcKQ_hG%XEMJiGZymFy3P_V>)_cjX>W8*8cQysQb{Tn*!v=D`MXG zgw4utaCpZF&b00;aImZMQ{@~RJ0UBDr55t-r>Tr#K&{T=dC94E3Xr8}L~vr!q|g%G zPUuz6oA$>F1brs@zq{&TN;{&FG}Qe%&u!SRL-WxXlCr5BBQGfBmZtJ&9Tx{I3{NhT zaWC;O?lzTN)5#+3mO$EetAkwiY%o-5EOa^{xOZ2Zk1eWRzbyaWho?PCu#hn8%s)4rKD9!aYKv* zkW_lVoL40&QutOWPkgDl ztKR#zSopjKFl8!2p3O-rD+|)sfrKTPp{BOW%0Gtvc8P2pnVMOu zA>~*CZGMRMTO(rJhGf*6aaaEUH>56uKI6IUHS30O^+Mo~JY(q9Jx!|8;1kXMeej$$ zPk61PDU$hx`YmLS8{QF2r3o`G^I+vkzjmIHiKQi}^_Qh&#gdzO4PsA4JNk<9iJXc{ zZMZg|YuSG|;Gh2hbX#SRuSjWmh$nnwd1b2~$xNrAzcUOZI8&HIY0C1%0iaaezswh_j*POPZx$NGcPs9r0I}!+iY-s zFvP3#a}!#lC>d>h#GM3S=bA<)Ge?-(LWvz+5I?rt-y7bLJ4GL0|Zk*nBv|$S9n8dCoWdMZSix0#-HHW4tui}sI zCz_&r&9CRDY*$~BpTwCPR?L9u-58n1=GQFa2_Y#3HqiU3^@{s!oINHQY^K|YA3xS5 z#IeE!ytd~H>9pK>c|@6EtUEETg8FoI!;7XVqje2pX~*Z?5;E)Nqkiaai%`lww0Of* zs*a08gT^_2FVymZ&KBArPU6Q9q`2=v+q`UvY*SKlSqgD}=_y}+!x)u^CYttv_qY7@ z9XulkQ>5q9IH|Gjq*~p3Vu6Gyiw(}8Y7>^nW|3KCtQT1JRr`{7>kf`ZnZir%mi8E$ySolMDTM?-L0EKSx6SNw&DYU;n01A#1RHpF@ z4j|Zu$L|=c)Z~|f*p^GFB;)}j-UT zBBwK{(!g{Bdq)TeshX=TPPCM!*G^sc)c4*ooSf|CGEud-3Q|*;0b_iYjwy_pT3cqQGF)V`^AJ_pm zaaZj3?-+Lx%F<{vRQHfdj%>Erb0;r5vut5%`xF#9nU*-jxKsX{k@2)(d6wmC=C?A7 zr8n_c$%Nt=V~qW_Q)ZH*Vb!j`8^d*3TD?rxTSb$98(Y#b66M>QZdZ}yur}+~{=XQj z)TO7Snq)R~>DV;3kZ}~V)FDJI<#j$99ljAWh9@Sl&$L>2ru#=qc7Ir?P~Q3{DJJ*X zRr6bM2E*s7CFEX_N+l$9b;IQh(dH7uRHLo7Z;JGqOsf8M5Zc%7-X&&UY?Xtu6ze6_ zO@GUHSzSlxSeGh(Ta!L6QO!Mn)OO|t^CYYCVA#82wzR1Gz)s`tq4mp_HSjMf) zsHc=~8b=4nTM}){x-3IuL;CBAeT1U{KXiFS$^(+iP3>_Kw90!ZE*DDANmkCd#LjYz zFDUm)zc|M<)+)H6EKNJbr7Y$rs3^qN62r2oL$A**A!de> zWLcWZ#YH*OZ7rxVTB0tiG~0DLnscXd^ooTdan{t{uQ27kdvCLBs6Vc zc4@LEct27a~2lG$78q<+*n!OdKxD5*P7+JnK)Axet* zr9Z6$gX;NKt&3^)ggJt15|qA4B#>0DzaPpE2UwV>1T+tLiY61SNJ!;VeCwSr*%eBD zA+OHfd63^1pf}xrIF+E(_@AstjiRT8YiXNmZc&?*{Qm$bLTaz!uVgmSN&Xw($8=WR ztF-!M_|uo8pp6V+DSkH*36*L^k~*~4#yK>hY&(=^iS@FFpHa(TRiWt$ikWZPvjvlJ zomTOQet8LrB8S72)ofm={bBj+i7C9H53KvV#s2^by&_R{R+L|)HJs^~ejnt0B7-?o zGNo&mu-CLkD_p{-nd8NVmiR&toPlaZjlOn8F5b?UU*S)bJuxYMNb0paqH&rHGz3hy zXvPbccMY)Qpt5s%7@Vhyw+LvbpSH!n)MFUo$!WSWCEWtyXt!55CM$F{6Ng=uZ~zjY z3ka0Mtg}KC_W;UMuU*II7)FTPy#=zA=)Z`JA%`WUIaAW<7A`1w7@|;Rn#9xYFrAru zvug(rO8q(GP?4CUG3^G|iuN6pnZ%U$ibi8`+igT+Dg>n3WnFn7DJw|sJH8Q&@a&`b zaY=aqadsoR(L07E9>S}UTVpOyOWrW%7?V~OZdFZ!m8V|2#t6eQlC-BRka^0#jiU-- zSt%-%{{R$=*;eckBZg#U@nig2M$EuiZ=N$@&Fe+x?0XCDTDlUm1$` z^hO(?woB${X39!OV2nS6(I;P=%%Y^E=n3i86GBA3BILnGBCfk%vq-MtP;nHZ*-;5| zXum{aDmj!(4$3Htr~|B3@bZ%?!_wLK4P& zTKmNNsZ2?MJ1d%CUdA!&X-x?^e(?2-MLhL47C%2N@3$>++@(e*;ZeG^HdacQ4q&9I zSKXIec*S#sHfHdOm}N(da9ds1+Y+21u`Pvc;I%&}=qg%lk=A0FjAYxvoV$z~BWC;CWN>qerM``E(+&%ybFbAH4-qA9 z%`RnDDkWO)ln z?b)J?S80CEPc0i&a7T1YOR>pNoV$c_Ic%KNMlWR&`5R?E^3r1!aqFy(P~uc8v= zO2$)EieDjR0PV5rKhId3#4E)zoPp-Dldbwly}Zm}Ik{G4-EwNdeAJu%9fm1&no5!w zWx!3N6;3lTQDvER>Ycv`Y_r6DQf=^x0nI~{QTvJViJ2MpaT%XsH*G)z51HvHekz`f z=fW|1=3K)8Q)$Yq!YZ`oRq?a*@%H7w{{T^pOTpD8GBUGN$zX>NKCh(lCArk_ebHZ0E9yJR=X_ITkC^ zZ93j$wsh~-1_Y2sFf6INw`}(KTgEG#A4nq;%9asiJG6F2GQbi%bfny(GTlKWc}6VY z{+OBoenq^hZr$U2RQNTfeHAH}MVvs*e>kLYZA_ZN!75MIEMENvFn$(mBtJd#wa zj>|?C;Pyz*P4e{LB8qg1cL$QG#P3Q>+@ZZkVc8R09Lu+h6DxDXtY#fOW5zK@2TTgY zpC%`ZcTIN~##|jSFDk^vJx13FP(6F&5b$oqz8Xu?YdMr`B`zY_wG<2$iWKMTC-9&OL%Dc(Pum`%E@4L5vVr0~BCUyLV&qtZ;XU6FG;9t+h(?j2Veqot>$z0^^KTz5~)Jc$JqiwCuWd%9M`#MMn{^G3=#1 zJCJYUBN$>Cd3vQXTYA=fqaI=zSt?Uxi)LB}#9lEic3xKqKQ`dEGtML{Ql||fX+uo6 zzjR=V#I)8QL;Hw~8&zTVCl;}$bLkXH=Wdg$}zfNz;@khpwzbKP3(Q!uRH6ppmb`qwMw@!$sVyszILygmAl0e&G6x>&d=N&S%nRFFfTP7s& zUL#ERBA1m%8+#BFuUt%HI@GlHCo&03*XY++oW=FYNz2t_P?t7~jbgEjF#|5N>R>%4H_*%{wc~+o`?b%yPqZBRe@wv)v@?6%2VHH8PpX ztuW_K!c0}MjI~x#sj8JUmWF_nrQ&BENmL{gB|jjgGCK5u!VM_WjWdz2irKa)*z%E1 zl2tmLD6oUL9+;uxsyRk#Ybs6jI{faBZ_%hP8jBL@%yd7_6O8b}YTnx7+~Z7CafTeI zRMAzLOPSHLsw)`J4B`UPstI%I^%jb*G{be-G*ae^nM5NU(2Y41w79&f^o$EomG(nZ zZYxC`&u@}nlvt&|iGq)lTQbw$Z=?A3#JHp{e;XnP;hotIp? zo)%Y8?}}AAn>8oORM^bmxL3#FZ;Vms@-&$gNy_tpzP2O}_V3Q#n+|FIO6_DZ=6LzRyU+9I9g%FO_{#vm|zCEPZ1h8P9F9%*r)N z2E99V@r-V%flj8%%&aV?;YaaUX%&X2SilWS%{iN#gVqvtOjDbhX8}%^T)I{+gzo?w zE8`d$Q>mj)OdyUdr9cDsf^X#<8YTW#US!!L-oE?n9x;Vls5^@kWh@jHqOQy8BCOp( z{B*G-EbkaC;rT{AOY6k~$+GN=eD=o(=$Im$-0FGxP5RF^{{TI4jahuqsqf4TgmZF( z&G&D9*gUbW4%Q|b=JRr%tBrho;|ro;I6|UU*e&H%yKS$bjt&z404ta%YcqL8`)#Cf zdS;P`l%`Q|Zmq2+O6kxU^%MT%6R@vXqjAWEZfC z-W;Nu9IVR?7M6DDw@v>52u%WxtRu&9SzJj2HMKEI!?Z=v9eKlbfT6Rz7{aVMEFDrN z74`<5XUZlK$6|STTB7r|rioBJSJo(WX)j_eNlyN6P|a(6BD+DQCHEHka<);kujIp0 zvhCqsR?>O-wN>>R?i~=dEo;?Rv|$4%-B*pBwp5M%(TW|GdG?%_0>wAetean~2xf9= z%4iI@LYe*2eGF5vV%lLjCh`rrZQ~ptzNxHRF7(Q;%wFEJA5?&*&iKY?4r6&FZaF`C z4BVYOp@OlPYI}Mi`LQtn05IJ)(h}z593-p#s&tRK-|4I+gklmYd278|ac#NHrw za!AQ38$t){Y>FtSeGQm>*E#484$R-4k$_Ot-6nOiyt^-L4XxAB7#Rdi%&E77WG2~; z>!@Dcc8okf+Sfxb0#n8irEK42dcl{zJ40^2#@$Hgbm$`(DfHq7)iW?0Dz?pu*JNX6 zrKQ6yu`(P{acUrLy|(y9GEe&xDzs>?tITb;LziBtZJDFN=NQ-q=vO;<9AM`6#s^H( zxK5(B&<_{xlhc;U{{T#ISjQ=LY%0Yd1Fzl&>5L7^jw_iBk;VYN%jO@}8xu(38p~bP zpZIoqMmKTPVzfB5i;#}Nujd&3Q}Zs#y*pv=WwydOy7$ICZ7k7b&S9G4J-+rqZ-h>l zKeFbH#>Dt%+2s_5>I%YdOfvdXfE~Cy^bx_sGtWvqxkXzllYYx8Faz@rRHm6>RyGFx z9PxjIO3%47j31F`UCX#O^(rbg1BbdTbPsP!3zr7ljJ=r(V(U`J+n$;nxj=Hkd6aFyvC zCFVGuWTb9y$i-6<&Q;|alX0+~FL>TSwajKvR23{-4Up6Cu!F@Yi~M5?PtoM~_0|$d z=GYF9Zxk82SJHh+>x$%r!KS!CX%PN^-ga8yq`yIX! zi|Vv0i;W_N(M^iUJvX#ZR;n{p1_8Knwyxl4mz7?qx#mvvKYI8w8;jk?1VvrWu$)U4;d@4oQ#+tVv9%qudw z;rfKs+8brnl>}_+iry)jt;n$^^IXbxpdUF2lA4 zn#6?f8i1mEVp|eVNy~C3S7cuHzWqNat=DF%2vhSb=UU%BFwMt09&xoBBS_C{-ZR`< z7;P@Ol}6Ef7|b(WQ9;GBw5cZLotMp&?dse6!XC$x(={fh-ea1SSdP1ed4UIn30@&7 zLqsV$j@uuHL~wUFnYo&T!bO6V4~}C1#v|bUiuF--6-=oafCLL}gz!-&f&TWd0Uy~^ zpwLX80SAJ~U_ahZgfD{T%CmB>^uge*3fVRAgTYX>`A@<>vb7yV!0>~?OSk_3c^(Eo zvYDOJ3Ggs@DVn$V=2J{ITLA`!cVmUL<&k{>`xx zb>coE2M0KbCo)+0i2lzp7Hr&{_=q?I5nkq4$IvSJMY$=3V9ZQd3~>s#M12RZX?aya z!Bz490LE8@F@>=Vzq>522=*2s0^F5e5cVCeyo`&MUxa-XtpWb-zXSO@$Cco_OM zRo=?H3>-OCPS#c6V9@GGI<&6?9><*7koXuhiLtdOz{j&D(k+nq7)>@~p!hG009630|XHT5+M^YK@=iUVR5m+ z@CFq!LV=N?(IZmf2M}Vy@gy^Hf|9Z&G(*xBCN)!Iq97MkbK>&<+5iXv0s#R(0jQW# zK_yGIYemrXjOs)uUbHS#APlJDjlQ6-Sw?-*y=2E}V!dL_z>&Ee zO`Gh!X&>7@RBCP_J22-+JZr;o0tnB?n6Nj5f8`tZ9M1WHAa|#mm+d!23zKg)#Th zucddsjdeA>waZ{W`W2M_0G%4Rzc@mwFU+}2Uzu{4#+QBk_KXEQ!fII3D%XRG9y>~$LTCsRn;?nGtdzk;l%nv$ebI!=$W#3^cM9@xyc z&CshTs%W8P=3D0IQ&Ln#LfY-F^x8U?P@4UwgNGGMNmW%$`j*M;tUi{n5X>Wz)>Ked zR?cCHOA*qdhO%njKp(C9l#o_MTgXer^z7AELsv&If=6mqWi@318)pqwT_tTTJ1QRG z&PJNKv|_Z8l}ArqB^j)3vV})YTUisOiq>kRinh3x!NF6qvZAAtL^TLUS<3kxxyoi$ z!%``pIm)Iwx<`oTZHZMeR?;*+p_aOmD%c7xyv+D z8j5Q=XsvY{Y2ZEt|Zp7rNexaq#?Y66A$+6l@XwDyub z6l{r}j=jL@@4CWbjhIbDx730@i_ys1UnNHCY4cbwCv91%l>0w$6)R(QHuE9S5`Idw zLs5+elcR|6Siz2LL77g34)u?0{{RJ%9R^4SSP|+k^%<=t{=j{hA@ef}PSg z31K7=&9~~Yry=kK8?pxLGqMkqaTyL=P<8^xr1;i&^sgEq&gvP;R9PBn< zhj>^t&1+?D&i$Ls+^8xero^O``>y3rBvm+(n2ip4qM-UYQg(n#H&ZQ6gBDm!w?og**pXry=iS*7vYcAo`M=DMklYcl9GSglkQ1~U%f ze+4~65>vI2i#q!Jl+|>`ft1a?^z2Yp#M~gm#NA=jL9l!iI@;f;=&*X2pxW(9p`Hk9 zcCzl(Ve>QA+g2MlRadfZDhm#m3c6_DP}v&%-*t+~3xjq}zNGgmxnktajgQpj?oJlL z_J1F$h0;TYJdMuWoa4n*R#a6ClN4&|9T?D#OOOEGwN(Zo zTI-g81^PIlh1H$SQN@V9myqPs^}2#QMhbUPwVUttQN@T+w`T)X6!?uB+*-|Csp+vO zYB@$t_fMw8%}*{Y)pC4Jck+pwxjzrBk%6IzVb!iS$hi$+voa>q)ede{Xln0lib^`R zZ(&A~sk_)zG}Uno&S6s1R2@SK8&^ITRCQ|g1RAS+HUT}T@^+q1k`$JFWINvR|jGZlhxwR;pgC_Jg(Hx3pt<; z>-|(hLx;mjH?{`7ztKQuriYN#4t3Ayn%U_lm6Ph%+TB9hsEtJ(L48iFbAGq`D^yd| z$5M5Voqq)=sB5FEfSkF7$MEkeu+J?#l{5s6*6qENu6;yrl9Z8Pes(H=m-CrlqvN1Iybx1nM6HM7Re zqsYIt=dn=MS5917>vOH};8Sa=4NR?rK;7MaC}K73uWdE-176i8E0VG}M!hPcxwvqu zueHN&eyalXv)58dPaI{WH#WBFSXZR9*u`V0q24;Zp~QuQ3)6gl%9esS=a#37jnSZF z)yA>?7FARYtg4Pzdy2k=wXKd04LA8J+9pp%Xqq>s(Xu)tOa}`oX$=?PUvh}Gux8ob z!s?#7ntCBn=tE7_JwzCb2!*+Fn|7%NS6Oko#sT$v&q*EOVpCJU4QzAojm`SCzp8-J z)L}27c+?Pn991NgucfHNLNxQ(u-Ku5IgZ>x?crsFM-3%N zbi(5L_9)$p*|z*s^Eu~I(R-xc0l4t9P8iUtC>$cOl_>}pnO7^l=D)@eF(qk zoeey=cFF$OKVYGaoQ_I)2B&vc^Ww1hqm71|eQ)|IDB*&uE6u~c%8m|6T<1L9x3a6J ziVB^yXPeQ`iy|17(l>K%r>CcE;zsoak1?&ou^Vs)yDjyHsU;SDHAgp{Vf0U^bE~C-V`c_|J5KdIL!zpRCuqVA&t+e4xsUByKI~}P5;iALlHF2_cZ|FU$I+sf`;&HKK zkH@VUZ&>O=>ssF)uY#Jb(bh7F#=-5q{5~qGsno8H=GpE$I{Xy!$xBQp94tO|{;BVh zo|)6;_C?R0wF_mas1*%&4A<&V$u=VRW{ck4)Qs}hxQ3r)*?v7g6;(X1mGpb|cE80k zaSdEl5}TW5{S^3{AgG2JBW`I9p9JnsWRbElq(6Q5sA(uDWG;r0tZ^38qeM9kG>(!e z8ppeiaZ$E zA(%lUwQ&07Kcd5^DdNN!CU>@VzgZuu6cN)^8Kia2WAfY4&GPd6kJXSA3cT>DOOCO+zUnM*)XJ2}rn4Be@Adck9NhHFY+wQl=RfbZy(ajm(WAf2pl;4k%QErct!)dm7 zhz}gyK6g%lvk-oq(xjq%IGC4yz6sO@TFtrE-%ZKQY&e!z?hf%rU@-JGkIRe zX>xj$VcD#s!(TCUm$jE5+6TTvV{H+kl3ALVHSk_!14 z9h%xES4L<#NR7I1`Y7ugQwG~0=I~6GI>1S2vSjV4J3OE?i~N(H3#1V-qg#)XbdWHJ zg9iH}JQRm!){S`m6Qza+-SGqDBP0xuYUTn+LG--Zg20&CVm7W|O%(G-e1k>(o~@kL z+lp*-^8*uE^-%IB)pBOC#C7PL$_S=pZ_tg}so|!L!LBwt_o*rA7(X9N^`{IzD1~F& z^-;E+kZo*D^;HycPdla8UZp`u_SA>O<9@NhPeo4LP)OdSEc&;%eu^$(U{|(LYwDZ^ z(1#}*$m=T}M$&g>@Kx`7Tbqu(YMN?^W)U7KkcQ%HYleh`DQI4H&1mzYt!r6VBU!rY zL8E&Y6^>t!67S63@UYiqq@3Qtrt1ij7XJWfc+^;Y$O{aKyt&P`V%yua6v(5S8gy>m zo5Od{MOzq`6=|1D*>BXbi*lAW*0XnZ8!TEpki!@j4Y(beYOCXom^4g}i3jErSraf~ zPanF&qHiFX<9WFHDhI~+guGp%p^>g-a9N zXb;1QcUr@k(+*cRr&U`FyrK~Z=YFVBRK*A3%kWb|T~6wmozA_J{n6C5C1YA);@vIp z3kzZ7Qt#CKwBE4EBZ>KEZNd6mRfX*2t&#S*If%Ece)kw^^Q%1{DFMsoYMTNp* z!yP1y>Fx^zf8on!+>Lw|9@jQEJTm$YJz-M9=_;HhY4^D3wv2|nz4};%HAGTWhcNSW zRg*(3!SQvfx5FbN%73Ml?t_yuF~8!PVB}dZs-rOSc?5+~Y;0KLp|_s}NEx@2u{=i1 zM_|ovZd35spH#^+Xe_F5*ra<%cal|p9~_afjdGGLg$rW`b(5k6l3 zMPOux$*{UyV7}JLWy|7{t@JJSnl7hjvo}JjcG20It9_>SAnpY{_Q0EQ=IalfGYll~ zy2GobWlLD&cJwWvsKi*?^rIFb%N#Yz4b=IC1lQevvUs~0*tms`T;af<%--}Sm5njA z+8ybp{LobeX~U{E?QU%fhY)-<=C}<_{-VlELC(Y(U29s}b}T}*m9sRlwhbODce1&3 zwb4gP;mu{fpLFWOEk7pD(Yv<(dl#%4+IcAB)bq9NR9KYsF}gTCl-SFD;oyc=bBUfhK{AhW4U<^oB5iz z=!LUgsGT%KT%)}UX4N>TW7#pSBYyPRFaH2f>J#JqM>uaG7KVW0uy<_m^6}IE0BWtp zYQbybb%v`A#A@e;S!FlNZ>q%Wrr1L(c$a*%M%D$qeEImMk2R?vSP8h-Rc>;_3wb0XwKhFX%BXNDP`9(RI>T0#<)&g*7yke+FT=%9Q%@l>#BF6)XGgUoR`2umLcT3DKQ&8P0H974s=GkUgl!N9HKS0Y5kC-YPHu{;DTieCrjw(AeCbdeXbE89f*BCMFn zCp3N4Z9Ft=4vgqK{{VBvn$E-kvp`J0NVr(&9Fd>m3xdi*2I!K2;(v4z?3g1v`1$k2 zPcG6zcq@Ubp*-17SKUxFx}l5qedybLD#XPFPxma<{bXgZ`IK;K7g2I2Ml#yV)4xS) zaL-8Va96wV{igMUyX2o6rGf@Dd%tAo%o;{jO;*_D*_iBAePbG0+@5q+2UhMY3m@6! z9iA#CHVD~HJe6C_W60@maa@toROF0kR>KUYv=Zu6ZESBbFx+|3Qb!jkl+4TS`lr7S zk1Jf=+qB}Os%$4C_Z7;hrfH{O)w-%4eQumfycQZIWlZ7yBgIv}EM%(U=MWmAtf_{L zp`z)|pKHn*HAcRoYG-F|<&?ClYM9%GNl$%#_EZn6p=oGk?xBJ0AWAp5EJl>n(*~DW z!-BPrS1C1XI}sx5(hG_x!TiI;bSs^~4uxv~<-A;~IP*)L+nTE-$sU{C=g-Akp)?EK zP7G{gpQ|Y4MM}7B8A&cE_@K5YluYF?h3pYGC(?8+*J!h zjz=o|K1!Osv_8v8OpVY?V|KUIQ?^QZ-sg@jSbwJ&h9!=&f<^$xzr}i8=?u6o5^G{@ z&R{#&p?;86(fg7-93@HvcZcI!TRzUroJq`Ce{t4nCGu-6BfH*WeB zhPKhY!Mlf!<@*b(V?}Kz@sf)Dvt+4jD7T!h?o@o*c!%(W^7G8#r>mNlM!qEslU5wt zuHUNUFQ=&5%5^G^Hc3d}?w{pQ(`@S)=K0lDe{}xVsxS=J^TAbC&qrCwi+9~aD`uN$ z!RG52mP&XZCHSvKx!#geAL9G3Pe67Fum0fiU$bxd8otrosM{NAW6SSm@F}ch*p#l| z%O8@&-d_+bHr%mz9U~>TyJQ>q0g0rI3J01BfRR)i){ilO^jxeimuBNpQ0?iokaD+F zKb#75lzEJMA)>^o;gmK;=$<*P2caKndP9Cq8U8Ns=`R_y7vewDDoOB8}!y*y4ho3(Mg_7-~3&!Q>1~@$)^rD=|d6T)r>MWLWrgkG{Li=1MYWJJSv>Ak z^Ew)Q;9p&T(wOjn5+bVq0K0!^R4y!-KcnvlE*( z;sUOg32Drboira+T_u4d-uov~)PcatD$0H@s*K^Sq{9v*&*CjqPX4?cbhELy5EOcoynhB`X41x$n3y}ND} z5sk;`4FhH}-p0>r^v7dQAg81{DZjdJVhw=PNpd$H&%1F8Fk+ znusNM$<(RlYcAP6llBT2c6BXudy1_7<^7>k$QvM3RS>o(5_sFPe|~6=M6oda67wmV#F@m|E>C2@jmISDWO&f{wAWSIkc9 zSw4=9j4?6R8hpu5h9|X@*XM9erKlQg*1}LVjG-4x3aw#;?H{K?xHR|bJ>g-GifA&p z*)gnij*N4Y=&vpE?^uzNovq8kNaF0S=||dLk+#(F{{V}?qo=Zh0F$B%(d}ZXJwSK; zl+=-yz}K{AanrxWM8`7)sqG`W{{SS`xz7!66xcQ~HM~6E&tj^SG;G`Fqwa&OGN`Iz zrl5uIb*+D?0bw<=VA5uyf3~rlaLovsq5IEzuYN|gtxhhsWryNa^zgN^ZyG6p#4zTv zpLs6uPop%hWKTCX9tz_po`n@#shoO0Ra)rX258Ohd=p|I+UczrE7=n|rUreWrLuc1fWMQxIdc7q^0>hI(qIK?AS8{{TeJOl!*;-hUs0sjIlLZmH8g zrmdYr?5kN@3-iM%H%6wtkQWksg%%@=Ifg)VRW(vn6C}pvG!q57k9b%$Oi`EZjjv?K zykZ1G`vUJ38-(OFjz7~yjBQyfV|%ZlPSuR;R$h_2@FE|@{H1VBX>rkD(MK!fWB1$X z?|f{lDfuO1smNG97c)bppPCQVRy=z4k`@~b%^xLQgVjdYGM)Dqy_Oq7^Ht92uvn|$ zf)-M@b2anaq;Zkuu7-)UHbvZo#tYqr4R? z5w=-0()Lo&<&N=cLZhmdCW#t-ldoc$Hq_LzMx8~zx~@%TA3Opy`Jd;dayRAk`BulD zzmJM^1Vl@Zk^+V|Ng!htB^L}jf&(i)S26IKXn<<>g>n;X|f5l4ugp6!1wv1e+Lml(*{!qC@+h1#s^Ay_3 zfXyGn`9c%CN$qj|WZC3!0mps2f}n7Usjq&Y(M8FsBP<5|-?!?HA5hsRneFD4$(-ym z+uyH(nx3L6R&ASNH>x;!JdMn39e)K3k_=g~6L1HD#9JF;Y^(}4bSKl#B-=+jK#Wpb zMX;aADU!(8HWCdwZll8+SDR?^og0kX5z}PMF0Jg^HM`SjDjf#YH%;lZ6%TFN-i16e zLe?@tt-p7qM)AekdzS6$f|fe0B3R@0?{nBZ{Z)I*DCnkxni$`cuWO$?R1-3)mMXZn zIDER0-lU51fahB?ylke@R|Ml=ro^_mq*SbB!$!Rwe<5#@s-cQHO}NmVf68}x>sJSI zp~awrgOX0mi{H=9MPG(bQp~XtWHB5zI%dO=mYW}lxbamL6!o&j91XKs_d(&0yB&L` zN-jFB=jsu|8686^Cw-2F-y|vHa2dtQG_YI%(Phag?|iG0Q(D;jQy0}mJyWW-aT^oAs4CJcnX`&bLTPK|hi9#U_-;;YjK?fE zj|GUCOiW!MxGI@|N1Hv0Xf*YrN%#<= zs-$BB1iO%{g_La$cLS%yC~9dVZfxGwyMS1;nVUKEv#VmX`<;$AZLLwP|a8?MH7A zu;w#4l0%}YbP`$69Z)(4dGq%Ox!W*Y{v1X6Km0pWW^r+An`BorZ0~1z?@x+snOZgf z0Q`h-cg)=Fezx3$A4Np-CXR8khG)Ylm7BtXJhiuvto-t-_wp*Jsz&`(bq}qM`7Gb) zjWc9uC(iG(^?MH$$Q${*=%#FuyJLM6@5>}DX!e0r@QIovcIzsvPrKHl5lbsr^X)2l23mkU&^yAAM*2vEjUN=s zsv5HPQImG`^mTS91NQK^aBqNEi)N^nGcN6Zijp{^r)*$@zkk6-KL{4v;IkW93y`Wq zcIR?wd4@J*)kjL#KnGH+X&7ctJJi%ctjNs}bNOs#!Bs-)b_eeTRN2oGH;6{zdPojf zul!xft<##YI5XmLUxvReQ8|!qX9kZv6$6BuXz$G$kEeQVwyiD~$~6A~Rr|`E7eOsc z_cq^K{gfG7Ps+H-^dG7#6Q+Nxp4hnS^ixn&(hJNwkR`s-!1ho(l|O#A`YQT4Ad{Cf z*>NZ8u=d3Iu+MeZBju=6r!FlsZNs|B*K@8`Pr=o{{lCM#8)elqAl!?Z-)ODU3xv$O z!022N&z-}a#Ycrb(za76%(l#mC)geUs<-EgB7ZUPSX{1>R#TXZ3=ME`=_Kf&FA_tO z@_02?XU+p!p)J^e-8b-2J2YUOeu!I$S_M!>*xdaT6)=c~yOZPFH)#{M^{J;3RLLCY zMAyeXKGZ3FJoHuyheag(=8`vj*Z%;ho{7{**qb(DdWw_M%qA9qV}JSzqx6Re#-8{n z4>~(s5oPHfVj>6lmKN%EP-c6Fy`lIjU4yF2;Uhrp-EJSR?ztP3ED(*8ef>{w4?QJ+ z)%bXX_{_1nx6$0*?S2Yp2S1s+a8_0BWH_u+OSpnO{MIFj!&J?bV{@*lY57$~$*0Yg zGzOeLJLb39ViC5gkVMmK_$q2js#zXCCZoFF6v*Xqb$n7<{(KaAU4-7;dXBz(Q4QJV zId^~BkFbT_)0sHAwqsSDeNc0x*N5&622*jzr>#edx(GzFi`&Rj;`DB%eVgrAs;M@V zF}=T;$Mw6Fw`#@D?J3yS z9~(0mvvc=a+HYQl+kF%RPKQ_#%}#v!P_TkyKVpn`{J!tQ;wQCCMS zyE|~XKN}-7IW~dXDdWiV8Q_e9Nk&tvhu2T)jfXUD36jSAyzNf}Y9SH`^J-QvK-#8> zTOj7&;+sR7j$4bpr|D&dGzyFEus=~{OF>T@0y}DV{H&@eB6MfwqG06pHIqA|>HQSb zHYyfW4}AUAS(-Y+VjF<&3GxvT1=iE@-}0^qbu71bWt`^9n8ufvH&5I$8IGfQ?_Q{i zVA!NSY!uPLX3Cs5I0+VG}^S3?VUUfs&0 zHr$6EDmbP%=$WINYke0RqfA*}XvX%o-L?vX6U&Cw{%@m7iweXx%$)lSJv}$Kdc*4> zrl@=}i#YN44GMrp%F@TPTdV7JF&j5IQ&KW&385S{@bOa6Hf$}ik8qC_H}|~Wt=)kE zAdbL4cMpPgt+I;QbWd~Jxi!4|kgVW!{l&z++MYZ**D9)Gnj>$`I;x&nA96a@Al$Uf zvA;Rq2*)FATop0BL@hj7;MI*~WlLpew4ii55t7|{C{N)w*7z&mf9|La+MC%JrOMeO zlGpo$B$gIswbYM^(ppZX2Ee(ldl#f*{{S%7{{Z}t{{V4bl21E-XV`pkoeXl{=Diei zyA_hV2V6x{=N% z+)BzFdmX@87i|X6aqmII06G?#gSdrVHB^&wSWfnmeu|=+N=lYZQtO-dSw~*ORM2GA z$ADXoszYepnOi(j%E&pyi+6uj>ngZneLI8t{erwbaxdQh<1mkty8`xO4iK#-1w*|1I0sLJl$2o(@Ptq_ij}LwXS<5 zsgp3MYn^Y(T1SGV7pQ|;{KWl3R2PqC2ZMk|y8FoKhfB7H&;=l1*C-hamjLlDS0Ri9Q zUW+>d!6$!f;B&V0sy2nw+k%gK!qny?08T?4?(C+ZCtWqpFuWQ?T+vu>` z*sAd-n8mLjaULJJ=bBY4oT4{d{+)pAJbd(~?{~pZF{f*#;r!e`Ud145?Ie4hukOS# z14)U3-^*oNUi#LzGj;x-Wk$z7ag^ALpOCtN?Wl#bX1|cCT*zZPL+8j;(LJ)%*zU5W zjF_C~^R7Scw}Nxn!OpPl3B|c`ytm>y!hVqzln+T@EC48~GxSas}qW3Bw8&MfP>wExMu69?uE~KnB z&VjNMz$zG8>Tq4>ay0SqTLz6h@Kw$`c^FhxucV|6Xxuy07~M1A0-?4cUDY#fq8hun zZ$L!nHPN{4UX|?zJ1~#`0Ng(PmnwnpWqePCw>gD}POBQny(hvesP+^&g+2V0^>GRX~Ngxhm&t1Ch`uM7PaYqx689sx0 zr>J45jtK|1Zv7QY86`QUlW`;Lsh_7JaMWJkZxmJ~Cfd43b*l`QDw3hJ(+Qj|HTsJ$ zS;*IsP0eZGqs0u92iEg~f@jx7S1ej)vZktzl88qutn8!3LAI-yK3zk5(RtbXXZ<(g z6ZK_^xDC4z&I>~|N7_+=pS2yyjn6(-mhb56OpLjO{?c|W8I-{2?B$1-*2gOxG~wdC zT6tNTe`G2-IYn07{{R<)t#-z?XSnO9axmAJVSFclwb;2#wCxRNoypZTBAiQg4O@hJ zY29F}sM_fyosLyH(zoHBK9)U^d<#d?EO-|9yZeOVTFG!AQ zV{!bh?`kelJI4P#j2%ax~*7*IE8)L+2X{nrVvL{}al3n!iQpjX}VxfddT@?Ml zOQ#FyQ=K@Rx+BgfPd`OhBVwmDE!;)Io+%)kEZftFb&Aa0nQN1EHVVLRSS@8V45)&q zOu$>T5#X^0wek#(xC)p1hGgtgHRIT8z6Y!$t87+KvfSNd=j|@GZOxr;YK~X7YqXsY zM_+QF#a{ZB#OUlbQ{j!;y0Dc<@Y)#+TY>u{C1p)hBPQ+A>7WcsF$mJe{{Tgb5Nag@ zrK;1y!IyCz3QeKBu1%4~g{^d-k!6{+MC1qE# zH2LVNYR(;k243z1o7hdy(P5AX=wqmGv)(>H*sj$E(mYBiU&mkd3x&q$Q^mBFsmEM~ z-MW2jqOE;a3}e4K7X$GAs}zbFnkH4ke4nwp7X?^d4PDJn)ZJ@!uH_W6LP=q=m5t0H z3iF@b7Eky;H|WB*S&h)l73k8(Lg?+SN` zrM>hDj!4}bSl3^h_El|bs-M(8%a_xb+peG}&T5F|Jbvo6tSx^{zN%kksIN%L6%79X z4~Rm;M=n<1R%{!-_CMj!_p16TDvJ2#{{WyE*L(*K-UqEdK_S{VasAkQ^>Woh`5U;{ ze}q^MqAFLiILoCvE=I~}Ws%S3xcxs{u2S;{B=JF;erTXnS)FBXsKX@^zF>f1NBsL$j7n7T!2>ILAZJ5{nsd$ z^7++ri9au$7Txx~UplS3uWY__`=`uW+{Ag;?5jWY-KaLWSZH*WZ2<1@Q&hnY zu~AaN>iCB9nPHUCawZ#VnPGBdss`OX;bKxaVs4Y6Q(_wc*e%UFl|$Vm?|a6is!1Cx zMkj9x)fG&SlitBn#}TH;{q9ZyuCl^j*y?l0bg@%UELAc-B86=G?u}I0+b0m6TTt`P zDnp%(apIhL%hFPLQ!V*?Ld&_winZOMp=`B8QaJNQr?7eXstifAH4ek7U3Pn?x4~PA zq@I}K^z`gJ=Cs^)4G(gA+Q#N_PMl)3jiYJiaqCr5O%q>5Cr{CEMC+<>&4NY`53;PV zpPSUFE$R+;<~_k0cfMF%8L(@0?7FF>hFIM^a@|3P*a+s9#Tdw{*)-oM?^PIlb5EE$ zz+1XMx;S7C$)C?T94&#mzt1!7h8EMg{&~sxUcA@&=6%&|7RHFnp8i~oZ9%8xrQFqk zvov4=F*N$^Z= z(jyNMsPR*cplv|ozqLZ`l$pl`P(!KnlYWJ?%L5F4>Z**1ONQl2(B~F)C?agQj#qT6 zQkurIWngTpn@q^rwCvNcDH#?}_>PIk@R`_-_30J4Lv8tgnFn7&jqjquCW4xdYNz{6 zx1XAHq1xF{;#h?!yALz3a%R$-ACho&41I_Be??nUiB(3~sx)c&DoLcLkW9mE=G+3AC?@N6g*DVzjJ%Oi{t9vkCtINKIpl9Ns!C^G0lBC(fRFl|@CM8rjLUl5frD zL~7&?*#*k#Nf}+c{{ZT$s;bOox6^U+^FoRPlM)xt>)fHs4u-%$~bqf>OO5s%&s+NU@0+5&LZdO%Mr7yP5WHr6Pc8wHrA>%9j(zKr`m_t{qB^wo`Qo17R2$0!QD zjP+eSfb@8+LqS=I-h-^8hFL$m7gUjdWsIJHod~vzWD8DUiw`PpRM}$%uDTrgBx zV4#iF8QMEk?ASO*=g99>O=IZ+zN3n#h)Cb3s54ab7VY1rJj~X?S_``(PFbx@f)nRWmFk0ao@LrHxlxg`#`;bQW zy6P&(R8zkQWgX6Z-(`v60+s<&Pwb5k&dOTGO+w0I+Yo&Bqqzp%w<|4*^lT8>iR$rG zV)2Lde(7{!vX;%4IZrIwMlH>~)YR}+Pnk;q+^+8us@I(@w?k0Qkman*V`AQck1-3gm0VstWp)W3LD><(yq z4*Pei^BqZafbUf;j%fgB+_5{m4TM{vdW_oHk-!0^9OTM@cq&oFshTiLnq@F-BYx3_{iVR?oD>qSI2%IB<8 z+27ov00!n&F+$eVKQ|F?ujr$So<@T4{Zt}4Q6+P=*3Ciqu#c7B;F#7vM%O{6eLg%< zeMC5fY>eHe+!rpdjl{#;psA>QGd@m^gzEaYH!p%^H{ruiCs&Gg*Dd3J(H7M^np{3g zIte0m*yLcT9`IFEbGT=HhzK_{WxPV=F%M~)s(hgJE=j5HEp40B{T4{6V$V4mWg}gY$5k}3wvo{|`#gGuhl=&3Ax8MV>=cxicVUvco?AHGPRBs@&j;1#J?i2D!PWM{JKBJ?j z;k_PhSY!CT{{T#`?`c%I-6c4GK)=UlWX!^y%|Dsm2gIt;maJj||rABgt6hNP(b7p}wC4 zYU$-U=8o=D$+xC^c2?HMUdy3PPwi>*T$-HlAL>n{gMc6EQbNX7GGH|sc=ZJAIwniX z^7F5K>Y7(X`J`iPPP_WTqmoM6iKqO4cd5X(?jcmh_@t67fmBn%+&IbxxA9nEwQP4! zk&kF&%8Lo0Y1*}d=$1E2r(u|VL-R=(OsA%&jMLKq&{btSsiZ9F3T+E7(4vc!IJx_j zb&sH-GsZJCcq!z5C9`|9%&KXhO7R<){T3%#6K_bPJ#6oCsEkb$qdZgE=?&a?IDe~p zhBrV1SakFDQ8CdtI3GIw(2CZv-RH$Unahj4;bd8ul6dd)@hZ-Dx2?QTX#h6Td4ki@m(1uKou1Dj6db{NryS zJ@V4d%E-N@A3tSZOl1RaeRp~Dxe5w!My~lPqaMJuw;9H|antOL40qmsNKiw&Ir%O@ z7VPKbg$zH0+^#(9Q2!-!)JJ#(0ME79&?r zG_HFz{ZpRlsZBf1m+0Yj6$Dwp&VEUd!VRpQ3|UCB!s%I^?k4MH7edAhDP)3n4aHSe zw!WyngFw=%faU?vpq#){SzypYpz@MO|7GLqClTnOOW0`u*&!@@b_D_ZDJai zkDA8hifoKyuA#7V$5B&X@cR`kY?be8#^l%_z4ECcZrzO=iZqbFR;in^Yhy>HVzWLP z$t90MGJi6~YO5fkhFIkw^KaLfT{^l~;D)KMaCp;ySnyOea@1kdx=9_Gx8v3K?^rbM ztuKnEM`^oXn!+k1p08t4_Z#llie*MzSkucRvSuTCt|prm2b&Rf zi84B9VW_5gv(;1#IBP4QdDqEN(NoKXKDReLs|zlvqj=hoS=_LhX03afG{hbXf~ICQP5G;ys)<46%HLp`mRnzz9`UAvaexlZsMymz(fvl z`GtsKH1K62=KX2-WKclah0Xfct61oE*u*G;6S%gzfBV`-{g8x$VXy9$tk&Hf5=TDav zgqC{2*3|1{;S`weS8gR@GD7@Wy4-1Xj@Y_34gptG%qZfQFuCLKQq@}qYwoG4{{Z1m zef8B~^|_*c*zeI`^`+Gh+VBcDL@T-aTK@n<{hauLX+0bQ#3auvUD7%NuXr)W2I176 zVH7OWL`+doWp?HLgQ-sUPW^;uK)F1cbCh(m`)qRMc$vA(HAb%zdu^hR7M~!^!$bF2 zhIy|s8muawImC{Whl+}d7)vF|m|DtqM+?nJWsgV6ekENmD`3*e_?{b2{q(H_b*jHe zy&RE+lh!xp5%iZHNHAPpM^oYQW^;A;EMd-Uv$=HY)~vj54|g-bgl^PS%#$yv={gxm*H+ zlVs;~{BEf$=^~_jQg7Gl0>djJq|deU=IV|}Svi_P%}%L>n5d}U&{Wk>%UCCgx?C(q zt}3ZU&w|9Kfz;798+i(9s7@TnIH)V5j}CMPuj;6(qNj|uR`skKF4^yYLV~U#h;2#c zjmnN#r>W%)8h(LF>U{b$GO3m~k)4+VtG!889FU5A&gkq_LVixz!L`*@AT&`%M>usT z<8?US9$_Hd{R)lT%#v`^&%t5P)MtEf8(YX!^SQA$ zDy@4JV}y<74HY9?DC3UYKI@Cgw&Is;T$5Mg7%P`gVh~dsu*QYu+=UXP}?}_h8Y-kBxr;&*6#3W8Z-1<y`VJHCpRaml5w za3n-CcMkPMmaH|mCpFK@f6nS0L)J3nZ@i?$B9gGuFzz{R^i>#(X{Hn-Ot$)qs+!MN zZcmP+zT*$esXy2B@yx!{wVjny4< z>!%Ty?>;+})iMg%X>)rf*68R}7=)13@*^gL&DBL^MI)n(KX|&S#Ofl6uFq?sh)o;@ zp|9Wa9VwWM@=_U?dVCc%Z1V#ye`kMRLc_6IiR5!Ha;fo2Wvd{SPmEMLyfw#5s4~wZ zva;$JL^6j$a+`jM^%TqnfwwBD*`dhKIw#OJEs@WmHdVqXXT3h+6($zh>Dx8=#a7Zs zP56ZSdKH9D!I~M4x&+Qn@4*LNl2uKcCo`R86XML?Qyq^|^s?>rpAc{V04x6h+*M^+ zkM?OvN*nF%FYviugyL1P(MZVTBVHc``!kX6^pWO6a#(xXrg(+*l978lJvw!2mU=o` zw#`H|-baF{b{f~ZwvSBS??+OulL(rn*o8kgcQ>qk)RN)V&_^T2Ng1L3E`!>H*lrTDbHq z8Bf7h(lZdl8BZH^X}`ekO@f|mGHflo#lKaA(ZduDqnC(3Jr)g3PeE15r`-FGri%!p z(c$IpACXMS@jug6R2A*ILX6puD>)NPlx_AjJS8*GN4HJIip7e=%K%|j8Ox* zRq0h8LBuReKP$9=ZX1<0Fxq->%(o#_Rx-W2EVjDBofbzKcjyppB)-P33Zw|+*~aS? zNy=LBvUqaz$}&AG#9BZ1AKs{O3=*@@X5lrH2F$|zxBeyQSEBeH83Wml){B$wT|W$L5bAvH2;R6P;Nc#?3B_f3-cZwz1A*wEJ09O-$*zGzU*MKNV2wIC8?r z2Xy^D>PczoSlEFD+t1l$hMFSli<71LKUE&Vkh-+kUv>UvL?M1^xi=2`*;58pJV^U# zzp~d+i0Y=5LJM#VLqO$yz@5PkbfRMJRlyQ}IS(!q>Eum#2tP zVoh}IirT4L6?Gt{X8!=yM+Qd-j|4_iovxNr#OW$D(#Qx*h4QyBX!|JrTImF0G}DJm zIAma>O81vJoK+?q#u-J_oeireKt^Ik=oI%pdJ_J9U*#2TNBJ zIE)Utxod|&X#{-Km^D5jO_nEF(Vu6e5wFP{B9gA1)J%R^8_xr#+&ont5lbr_g~;rg z*nVJX^H@$93=^^{gO@eM)eafzvudw&?^EEcq_386b2j${Rp4>YU+Wl8HO(I|1^%lO z!lSKyEo3gz)^O{&SdI?)u*f8*ce48)%K)ar`A!KmaJtT6Y59_{+5sgL^u^YBVI#Mi zy&9qsRWdr0tFATdD+t4kHEcDH_pR_GDe&nj@j1-S&>cMWtWt(nV?5K$A*aP-7*pmn zwG^-Bk*dY8x5+^koZRLM{Z0hj$#CJK^k^gcd8kqfz`8BZol%j%4*3eCCDKbBTJ6OHX~OHT4jI$ zcW8zuRw=~prx|-RP~uZQ2f38O-HIGaX&mEEPBiFH;;wV~l;ZyY6x>m}aM4UNd{nrM zZgCP*4Br(lCe{$zihGqlBNlJQr?@?9)-ae_9Z${eLlc3tq&6OC>rvu#fvyq2KnCs&s(nktNoiDdw39oM z)4JE`QazE)kky)f;V~;Gw35NQ8iDLV}l*#3Fw6k-VbK(&184 zvNnDurB7M2kTE`B!F!`v`37jzv&!+2>b6XVpdH!Aa9H~bWM-Nhp5=@iQd2dTx9GnW zm^7dp-%vUmn#Eyq$jmx-GhUJ6uqR5_yvMDE?v;hP?ynL@q1R8T2$#)^Hb!#}ZYvU! zNpS;a*yyp>yvY`A_WJQyi>Bl-HeMfXK8Wqxf@PE8*>y@~^<27Xuj;T(rfF<0-9Bpw zbb_Ps#+KDU_j=g6Ht{+tvhP~{5Z2?tV-__GA+|PHt?a@@ufbIR0O?E*ZHTgmAi`a= zV#6{BC24Mp2p5sfEzqV0J1xb)TL^hh&@}J(D(ZT7)P5fBpsOgUGO_U7T}wwv$=c}d z3K)GqB-(l2u=ecP?fny>GU8mGb8uVOxf6!RCgl|lXrwb~Ww2u~&QQV5=|S!zGnN$C zols2MfLM;qNYKG;6Dq1XHqJNu9m$xD+A~O^H+UnUpQy? zt*oizaXC*5BNg3sh;wQrY1g0ptzM9Z$1Efb*BkCMvYxEeGiPof{DxFm!+>qtb+y0y z-pdW9bl6nRW39iqTj9N6ZFz!BjstMH`0iLNQaYkSEtmt|0)iPma9B;v&)h1O&fHn8 znCfz@N+(A4G?DLa>nj?FHYPcpI`-LA!tsQ+o4{+;ecuI6*9;-@<-M=+HoCzgFQ=8` zg{|7KEZa#496VJRcdg%j{O=W39L#7Aw)iYjvO1-K?aQZ%#~9`Yb>HWu)nslMRDb2} zQO8m+!r|=L_pB&O$z0}c{)+-9o*BGb#X~cttCBX2b=ZY6uK=~SYYMWh3>M{eY;nT;lQ({IFXq~^MQ5V$L8C}tC<+Q20BkV!XbvyG5 zpV3KE4n$Dca-^s&drT*CqmHVi2W=FTl?|Pw$*qCtK5V0fp??1+Snz0;1)(&H`WwxiRo#k5U=GMB7Sz}|H8>|Y#EGJ`J2eNebr5UO-yZq zXJ0Dyg-L^xIo#CB>WJvgD;=R!*o93bWssff8j0X>k{4MrqFAQo40kz6E9Q22P0D># z)8#U{`FAS(Kvss?wzqnHbwrfSrE%tjCX;8gzKR<9ns|&cI>4%GiK)wsPPNt$UoC0p zYC&0CF`(SnH(1DgbuGKEqN%T_t2dd;5Cq{-yD_&nvvR(Re&tU)fX)hSWP;Y7jy1dD}JWd73}FM+BDl*)LN`{{Xa6!QX3$$~ZIs03kyMlYT~L#=&wY z1Ss4$rokkB$+B+W^CKLR46ZAaI{Fl8-+SG1X9IhqPbW%j5_F?Z`{=e0wU){IlVWy4NH!~kFr009F70s{pE1qTQP2MGiV0RjUA00j{eArmn{1{5MuVHG1XaU?=gfsqh1 zVxh6Y(H12%LvoUn;Wblpg760*L{wvBlqPg_gQBCdv(h$ImBJS=Mpk8Zgrvjbj6{Dlsku;|NYux9gxgoyQ?K z=AYwOF)kec07v-KjfmF_taCbljbKKfrx-}^{{R{}k33`hKgNJdQ&KU5@c#fB7;52x zw)%gKerk88FXKS)XB>6F{xlR(Kf|~KAI7u8ou51p<66=s;EW|DALmC}XPk@>2l>)l z2%G{&00aE%P9}f`F{k|LD8$_-;_&|fohymn%SqX>!{7GSHP1-K5<)qCx==6Adna`* zJN?uWrOfs4(OP@fto1>qx5Su~tmQrvO83(L0K%mOOP7_Bau}^BlPNjCQn)6R%3qd* z6RQYqThdQ^mI4!ijuNP5Y@`C5D@qkfJ@MHZc1xwT0tHCdJ>_v6;v|NbM-towFKo8H zRkY=o22?%L`Oztww7Q|JTEReo*RBnnX$HS$3 z>k7H2mn|!xl-+zO805OptGX4QxK}F2wVU+uC{SQ6AW zZ$uljAZNm!A*AWMNAn?Wnosk~0H>F}IG|N?jvW60Z9&&=tZPrf^qqxxuFPW?3GXzL zo6JAA-$J}h^TDG621I2wF^O66EZ+BV#?BvzklFm)bb>TSi{8CHR_VnD`e z97~*XbBu{XyccwpEqTuzF1DW>o~rKyop@)*TC=Q!C{~{S%2x8Vt4rdJXEd)Qi2{M| zjd;2JAW+1{cvvA`{{VX0Vh#@HkDAJ5Ckp=nwXLKik+ApMQZ>Fa)gkQB`cK3HMjYi@ zxTRx}!s%+`6Y_GChwz@BI$yqX#y%xInmV4xuBu+A)r|Ak(vzv>D4o($v&xR^NYc{r6eV7X!`uBUKCDBd`IU%3}U;=q(X?stq5GDV^OCg zNo}y=JhunbQDQowHsIU|E`)0NdDBixOhuvP6s1foD{)Q7PjzUywz&!kPvcKYciHZ? z%0ku{cqo8*8cKL=jGS&Oj*n%y+LBa`9HgA%o-0b4LDRRxUFI*dxP3z8q$xi!(w&JV zmXh--(y*QB!4tTpZJcO*;DsNMrjaeEr;d$nt%ZdGE4wh5sc?-$j^a>16Glv^@i<9e z4SXk(q7SVhq_)sgiwFgK3S6Z#0c|0Y@!?8BiAeJEugxRyrk`P$3uV9xKA4WZK6LDN zm_l7?Wwno5FT#x(Xh|Vjq#-BpuI(~2ZM&o|30AF-%8L-#VNNAFh;ihj_S0@oipo&x ziV&vW3fz3DsLZn2b>(P4QuK`c%{wXh4W*VwrKvBZoO$!CaFS>`n`#u54M{1GjsE~$ z6sepLa777l;WpzcCw}me`m;_%mm7rpTP+&1P78cK@LI;O90T^#Vtger#ss&OgP+2a z793*p>)j|ysK}dbb%p`brIikJl%>3>O45;b11lJlQDY6^Yr&~=DGexN_KEt47w&=m38Y?co^7l#`88yO~%!tloIvq|a4Ky8b z#hoZZ21%u>hW?hmHE7s$uZe#uJ|*o*P-0n1w@C~u6jD^6M=(=g5&S8nDGnu404#+k z;s=!|KFo%+D@wJrK+Sw+zc_aEqwf#lUz{|3;jhjbKJ?J{$K^*4AJ;?QezQm4tNX@> z(iQ#VN9hXB_KgpuulweY(wzSQ5mX0ycle_$NObh z^vC}I##IKDDdi-ztfj{Z72sG}l5nJtD)1{(Lbs%nb}ouK!>A0aY%c*-F8~JAP!c+g z=wxD*4~ZQlCBPQ+M-GImpNo|^o-+@nq$Q-SkB0zaq+DexMw@n*P~24jvaHp*b51xT zyR9N4sYoeM>$jaT5=z$E9M$>0WaE&jYz17HOfrTNXE2192bOu9Q)yAaQo>D3v_|#- zi$HY0sB3_?lP%_(EvG5w71K0Mdh@7K+eOXyZivwGW3Y!B&aS!Tikvv5^Ts~$RPEJk zo+Ln$l%)`wO7K)>=>{iy-fF}vZEK|~@OMV(* zXmz!)fTOEdtuUr#2NKMcwzMITjJefceMXAwrIb9c6Nwy!KEz9h8BD=XjmW?)O$B2~ zIrFS?$;CTwMa@q;#sRK8V0dn+9MW^k6!0fe;vAnkW_$M_j{6nT{1;iybUECyKdDK2@#EW0Cl2txqaA;-_1v8>D%Pb+*HI zMpFc|ZUyzFTqSS14>LxZhj6(z z3exJ;6T71=?7IHY;=$sZ3& zG&ih%289|Fq4-dvLX9WVvDY;E;#*@}X7{AGrdBW;$3c<3OpeP+We8CBD*C%_KN<(} zCY3|PKOo026-&^R42@0^TWpSg9;TY#lE&43LH@A2R(CALwF-@O@wsv6(~y~m8mJ=xZ^s;I*e0ljL>P+EkR)@(h7Q0 zed~yZxJy`DSqnTHEZY9vB9+ue%$CWUL5({O(74oT&`~BVKcttG5N>!x?=jC2z zpl0K9V7k`1aUy35Kf0ut>T`p|JT*V@ee_o3-svF^>QP(DNLn$=@ua@Psdd%0^pe|! zNY&|@l(}ub;v0&m6W#tGeDl(o48XZEc$&nyr#gYCX?Z1Wu0lf4I*OizN4q@YlZ37F zl(&P=WRZ%NB3fp#mx6oS zuj=+GrIhDRtbk258sglj&LKxk=+0{VQh#+mxJuO< z2@S7KI#l;0+$KVJtq5swkBLd&J5*&sku~)pjvMQmbHA9TS0T+rpu0cd?pGtlM zD@S@ujk;FCj+YhJ@TQb=ggOuA)b`H zxXVghav58|U@PygI<5j!t9)?Mm-P)e=Z>4yK<1R7$VgE#Q9n9Nscr?n+Cn&?027ZtGhNzLwK&1XTG9YGr32JZA9Wq*QK_xD6`5Bx zE9j_{R+N;1zLgKHQeI&QY0H}pIcaZ*d@2Lp3WXV~N}dyL4qHr?pI%$jj~C-om`b&! zht$|to(&GYa4CC@x>R`W47nlD(|f7{Hs3All{tCm1=e5JlSQ|Tu#bODUoe> zFkA~uX+iMdV*;6!n{172xEx4RbnIrkI2sN#roxbw5;bmrU1Zy3=N((5hHXI$WuV$r zVd_^eI#bSDC5|Gs958WR(H5s3;gu>o%Z{y0m2<@h-nPps4W+Dz>f|ZVEY8S7panMk zbz>uyHkT~Uw3gIYh9Z%Yded^#Y?Z`kBn8J+IT3K4;JU21hTvmAfTE>arVYR9>a9tO zEF>M08C7K4X5$-u9aV(e<^KSHswCUyfsgvKtNIkL@D*RsW&F4ct>Q>e&6QEaIZNB4 zDy`wl9dKDyZ4OuX3a=bFKzhejU`LVu3afbUf&Rr+vo8Akvs*@ygZ}_vsw6b%)SV|R z8pjFZf7zNPO}d}=4FSVn&q=J5FZ;FdFZ-s6(7*1Q9u@xpc9pTm_G!X~(DP3tg!>Ms zDNv@`?u|x`A0@UJ8)WsVE!-8s62K^XPb_)VM(xLo-Ga5hr=EIN;{*+;@0t{AYBVdx z>Ko77Rw%t0A=caYl!HpjfX2r(n9#8M>;A0-Q>;Fo8>sk!rA31{w%fF%yZ*xq#olVJ# z_+Ayzid-fkhUG|ag12~?8~F+deGW2IGlgwRB+_%7dQ#sl2isdpVdhkEsV2B_VXciZ z1ZG@RlC>ub3g=Q6HruTd8f7X%U%(1ST6$W-Qm0k$qmZQ&UvrhQN{5jctu392@oH5x zka;+f&oqFrHzbX|5lZ3yZ8Cxh{VFY^3w8Mgwp2N!;B=`FF81k>CZ*!bGQyRqL*-91 zJubfzBK{W@@oF1pi?c1$6KH)a`a>#ly$bRj4M&E=*)rfrM;Wd=hgvbxt?ReipIjiM zcZSBajU7(0QLfe*Q&9_v-Q~cSAgF`ODKub5hU=FUxS+W_B`mD-0Atd

    - +

    -We'll refer to this board as "F3" throughout this book. Here are some of the -many components on the board: +Here are some of the many components on the board: - A [microcontroller]. -- A number of LEDs, including the eight aligned in a "compass" formation. -- Two buttons. -- Two USB ports. -- An [accelerometer]. -- A [magnetometer]. -- A [gyroscope]. +- A number of LEDs, most notably the LED matrix on the back +- Two user buttons as well as a reset button (the one next to the USB port). +- One USB port. +- A sensor that is both a [magnetometer] and an [accelerometer] [microcontroller]: https://en.wikipedia.org/wiki/Microcontroller [accelerometer]: https://en.wikipedia.org/wiki/Accelerometer @@ -25,17 +22,17 @@ many components on the board: [gyroscope]: https://en.wikipedia.org/wiki/Gyroscope Of these components, the most important is the microcontroller (sometimes -shortened to "MCU" for "microcontroller unit"), which is the large black square -sitting in the center of your board. The MCU is what runs your code. You might -sometimes read about "programming a board", when in reality what we are doing -is programming the MCU that is installed on the board. +shortened to "MCU" for "microcontroller unit"), which is the bigger of the two +black squares sitting on the side of the board with the USB port. The MCU is +what runs your code. You might sometimes read about "programming a board", when +in reality what we are doing is programming the MCU that is installed on the board. -## STM32F303VCT6 (the "STM32F3") +## Nordic nRF51822 (the "nRF51") Since the MCU is so important, let's take a closer look at the one sitting on our board. -Our MCU is surrounded by 100 tiny metal **pins**. These pins are connected to -**traces**, the little "roads" that act as the wires connecting components +Our MCU has 48 tiny metal **pins** sitting right underneath it (it's a so called [QFN48] chip). +These pins are connected to **traces**, the little "roads" that act as the wires connecting components together on the board. The MCU can dynamically alter the electrical properties of the pins. This works similar to a light switch altering how electrical current flows through a circuit. By enabling or disabling electrical current to @@ -44,68 +41,57 @@ be turned on and off. Each manufacturer uses a different part numbering scheme, but many will allow you to determine information about a component simply by looking at the part -number. Looking at our MCU's part number (`STM32F303VCT6`), the `ST` at the -front hints to us that this is a part manufactured by [ST Microelectronics]. -Searching through [ST's marketing materials] we can also learn the following: - -[ST Microelectronics]: https://st.com/ -[ST's marketing materials]: https://www.st.com/en/microcontrollers-microprocessors/stm32-mainstream-mcus.html - -- The `M32` represents that this is an Arm®-based 32-bit microcontroller. -- The `F3` represents that the MCU is from ST's "STM32F3" series. This is a - series of MCUs based on the Cortex®-M4 processor design. -- The remainder of the part number goes into more details about things like - extra features and RAM size, which at this point we're less concerned about. - -> ### Arm? Cortex-M4? -> -> If our chip is manufactured by ST, then who is Arm? And if our chip is the -> STM32F3, what is the Cortex-M4? -> -> You might be surprised to hear that while "Arm-based" chips are quite -> popular, the company behind the "Arm" trademark ([Arm Holdings][]) doesn't -> actually manufacture chips for purchase. Instead, their primary business -> model is to just *design* parts of chips. They will then license those designs to -> manufacturers, who will in turn implement the designs (perhaps with some of -> their own tweaks) in the form of physical hardware that can then be sold. -> Arm's strategy here is different from companies like Intel, which both -> designs *and* manufactures their chips. -> -> Arm licenses a bunch of different designs. Their "Cortex-M" family of designs -> are mainly used as the core in microcontrollers. For example, the Cortex-M0 -> is designed for low cost and low power usage. The Cortex-M7 is higher cost, -> but with more features and performance. The core of our STM32F3 is based on -> the Cortex-M4, which is in the middle: more features and performance than the -> Cortex-M0, but less expensive than the Cortex-M7. -> -> Luckily, you don't need to know too much about different types of processors -> or Cortex designs for the sake of this book. However, you are hopefully now a -> bit more knowledgeable about the terminology of your device. While you are -> working specifically with an STM32F3, you might find yourself reading -> documentation and using tools for Cortex-M-based chips, as the STM32F3 is -> based on a Cortex-M design. +number. Looking at our MCU's part number (`nRF51822-QFAA-R`, you probably cannot +see it with your bare eye, but it is on the chip), the `n` at the +front hints to us that this is a part manufactured by [Nordic Semiconductor]. +Looking up the part number on their website we quickly find the [product page]. +There we learn that our chip's main marketing point is that it is a +"Bluetooth Low Energy and 2.4 GHz SoC" (SoC being short for System on a Chip), +which explains the RF in the product name since RF is short for radio frequency. +If we search through the documentation of the chip linked on the [product page] +for a bit we find the [product specification] which has chapter 10 Ordering Infomration +dedicated to explaining the weird chip naming, here we learn that: + +[QFN48]: https://en.wikipedia.org/wiki/Flat_no-leads_package +[Nordic Semiconductor]: https://www.nordicsemi.com/ +[product page]: https://www.nordicsemi.com/Products/Low-power-short-range-wireless/nRF51822 +[product specification]: https://infocenter.nordicsemi.com/pdf/nRF51822_PS_v3.3.pdf + +- The `nRF51` is the MCU's series, indicating that there are other `nRF51` MCUs +- The `822` is the part code +- The `QF` is short for `QFN48` +- The `AA` is the variant code, indicating how much RAM and flash memory the MCU has, + in our case 256 kilobyte flash and 16 kilobyte RAM +- The `R` is the packaging code which is relevant for factories manufacturing boards + with this chip on them in larger scales + +The product specification does of course contain a lot more useful information about +the chip, for example that it is based on an ARM® Cortex™-M0 32 bit processor. + +### Arm? Cortex-M0? + +If our chip is manufactured by Nordic, then who is Arm? And if our chip is the +nRF51822, what is the Cortex-M0? + +You might be surprised to hear that while "Arm-based" chips are quite +popular, the company behind the "Arm" trademark ([Arm Holdings][]) doesn't +actually manufacture chips for purchase. Instead, their primary business +model is to just *design* parts of chips. They will then license those designs to +manufacturers, who will in turn implement the designs (perhaps with some of +their own tweaks) in the form of physical hardware that can then be sold. +Arm's strategy here is different from companies like Intel, which both +designs *and* manufactures their chips. + +Arm licenses a bunch of different designs. Their "Cortex-M" family of designs +are mainly used as the core in microcontrollers. For example, the Cortex-M0 +(the core our chip is based on) is designed for low cost and low power usage. +The Cortex-M7 is higher cost, but with more features and performance. + +Luckily, you don't need to know too much about different types of processors +or Cortex designs for the sake of this book. However, you are hopefully now a +bit more knowledgeable about the terminology of your device. While you are +working specifically with an nRF51822, you might find yourself reading +documentation and using tools for Cortex-M-based chips, as the nRF51822 is +based on a Cortex-M design. [Arm Holdings]: https://www.arm.com/ - -## The Serial module - -

    - -

    - -If you have an older revision of the discovery board, you can use this module to -exchange data between the microcontroller in the F3 and your computer. This module -will be connected to your computer using an USB cable. I won't say more at this -point. - -If you have a newer release of the board then you don't need this module. The -ST-LINK will double as a USB<->serial converter connected to the microcontroller USART1 at pins PC4 and PC5. - -## The Bluetooth module - -

    - -

    - -This module has the exact same purpose as the serial module but it sends the data over Bluetooth -instead of over USB. From 8f2a63ebc716d44e25e29fd9555cb7094bcdb336 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 19 Sep 2020 14:40:01 +0200 Subject: [PATCH 093/313] rewrite 05-led-roulette README left a TODO at the f3 picture since I need to replace it later on with another GIF of the micro:bit doing this --- src/05-led-roulette/.cargo/config | 6 ++++-- src/05-led-roulette/Cargo.toml | 9 ++++++--- src/05-led-roulette/Embed.toml | 9 +++++++++ src/05-led-roulette/README.md | 19 ++++++++++++++----- src/05-led-roulette/build.rs | 30 ++++++++++++++++++++++++++++++ src/05-led-roulette/memory.x | 6 ++++++ src/05-led-roulette/src/main.rs | 4 +++- 7 files changed, 72 insertions(+), 11 deletions(-) create mode 100644 src/05-led-roulette/Embed.toml create mode 100644 src/05-led-roulette/build.rs create mode 100644 src/05-led-roulette/memory.x diff --git a/src/05-led-roulette/.cargo/config b/src/05-led-roulette/.cargo/config index 01d25c8b3..a0ec1777f 100644 --- a/src/05-led-roulette/.cargo/config +++ b/src/05-led-roulette/.cargo/config @@ -1,5 +1,7 @@ -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q" +[target.'cfg(all(target_arch = "arm", target_os = "none"))'] rustflags = [ "-C", "link-arg=-Tlink.x", ] + +[build] +target = "thumbv6m-none-eabi" diff --git a/src/05-led-roulette/Cargo.toml b/src/05-led-roulette/Cargo.toml index 43ac7579f..c785c2d4d 100644 --- a/src/05-led-roulette/Cargo.toml +++ b/src/05-led-roulette/Cargo.toml @@ -1,8 +1,11 @@ [package] -authors = ["Jorge Aparicio "] -edition = "2018" name = "led-roulette" version = "0.1.0" +authors = ["Henrik Böving "] +edition = "2018" [dependencies] -aux5 = { path = "auxiliary" } +cortex-m = "0.6.0" +cortex-m-rt = "0.6.10" +panic-halt = "0.2.0" +nrf51-hal = "0.11.0" diff --git a/src/05-led-roulette/Embed.toml b/src/05-led-roulette/Embed.toml new file mode 100644 index 000000000..1e10d5514 --- /dev/null +++ b/src/05-led-roulette/Embed.toml @@ -0,0 +1,9 @@ +[default.general] +chip = "nrf51822" + +[default.rtt] +enabled = false + +[default.gdb] +enabled = true + diff --git a/src/05-led-roulette/README.md b/src/05-led-roulette/README.md index 1f5ccdd56..99f4acf5e 100644 --- a/src/05-led-roulette/README.md +++ b/src/05-led-roulette/README.md @@ -2,6 +2,7 @@ Alright, let's start by building the following application: +# TODO REPLACE THIS

    @@ -10,15 +11,10 @@ I'm going to give you a high level API to implement this app but don't worry we' stuff later on. The main goal of this chapter is to get familiar with the *flashing* and debugging process. -Throughout this text we'll be using the starter code that's in the [discovery] repository. Make sure -you always have the latest version of the master branch because this website tracks that branch. - The starter code is in the `src` directory of that repository. Inside that directory there are more directories named after each chapter of this book. Most of those directories are starter Cargo projects. -[discovery]: https://github.com/rust-embedded/discovery - Now, jump into the `src/05-led-roulette` directory. Check the `src/main.rs` file: ``` rust @@ -46,4 +42,17 @@ as well. This directory contains a Cargo configuration file (`.cargo/config`) th linking process to tailor the memory layout of the program to the requirements of the target device. This modified linking process is a requirement of the `cortex-m-rt` crate. +Furthermore there is also an `Embed.toml` file + +```toml +{{#include Embed.toml}} +``` + +This file tells `cargo-embed` that: + +* we are working with a nrf51822, +* we want to disable RTT, RTT being a protocol that allows the chip to send text to a debugger. + You have in fact already seen RTT in action, it was the protocol that sent "Hello World" in chapter 3. +* we want to enable GDB, this will be required for the debugging procedure + Alright, let's start by building this program. diff --git a/src/05-led-roulette/build.rs b/src/05-led-roulette/build.rs new file mode 100644 index 000000000..c8d8c9141 --- /dev/null +++ b/src/05-led-roulette/build.rs @@ -0,0 +1,30 @@ +//! This build script copies the `memory.x` file from the crate root into +//! a directory where the linker can always find it at build time. +//! For many projects this is optional, as the linker always searches the +//! project root directory (wherever `Cargo.toml` is). However, if you +//! are using a workspace or have a more complicated build setup, this +//! build script becomes required. Additionally, by requesting that +//! Cargo re-run the build script whenever `memory.x` is changed, +//! a rebuild of the application with new memory settings is ensured after updating `memory.x`. + +use std::env; +use std::fs::File; +use std::io::Write; +use std::path::PathBuf; + +fn main() { + // Put `memory.x` in our output directory and ensure it's + // on the linker search path. + let out = &PathBuf::from(env::var_os("OUT_DIR").unwrap()); + File::create(out.join("memory.x")) + .unwrap() + .write_all(include_bytes!("memory.x")) + .unwrap(); + println!("cargo:rustc-link-search={}", out.display()); + + // By default, Cargo will re-run a build script whenever + // any file in the project changes. By specifying `memory.x` + // here, we ensure the build script is only re-run when + // `memory.x` is changed. + println!("cargo:rerun-if-changed=memory.x"); +} diff --git a/src/05-led-roulette/memory.x b/src/05-led-roulette/memory.x new file mode 100644 index 000000000..9e2ab65f6 --- /dev/null +++ b/src/05-led-roulette/memory.x @@ -0,0 +1,6 @@ +MEMORY +{ + /* NOTE K = KiBi = 1024 bytes */ + FLASH : ORIGIN = 0x00000000, LENGTH = 256K + RAM : ORIGIN = 0x20000000, LENGTH = 16K +} diff --git a/src/05-led-roulette/src/main.rs b/src/05-led-roulette/src/main.rs index 26e449962..9380a52ba 100644 --- a/src/05-led-roulette/src/main.rs +++ b/src/05-led-roulette/src/main.rs @@ -2,7 +2,9 @@ #![no_main] #![no_std] -use aux5::entry; +use cortex_m_rt::entry; +use panic_halt as _; +use nrf51_hal as _; #[entry] fn main() -> ! { From 1a177377cf53c522e0ec8b3ada78476802f5316c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 19 Sep 2020 14:51:54 +0200 Subject: [PATCH 094/313] rewrite 05-led-roulette build-it --- src/05-led-roulette/build-it.md | 55 ++++++++++++++++++--------------- 1 file changed, 30 insertions(+), 25 deletions(-) diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index 420cf524b..cb9414abe 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -5,7 +5,7 @@ architecture than your computer we'll have to cross compile. Cross compiling in as passing an extra `--target` flag to `rustc`or Cargo. The complicated part is figuring out the argument of that flag: the *name* of the target. -The microcontroller in the F3 has a Cortex-M4F processor in it. `rustc` knows how to cross compile +The microcontroller in the micro:bit has a Cortex-M0 processor in it. `rustc` knows how to cross compile to the Cortex-M architecture and provides 4 different targets that cover the different processor families within that architecture: @@ -14,12 +14,12 @@ families within that architecture: - `thumbv7em-none-eabi`, for the Cortex-M4 and Cortex-M7 processors - `thumbv7em-none-eabihf`, for the Cortex-M4**F** and Cortex-M7**F** processors -For the F3, we'll use the `thumbv7em-none-eabihf` target. Before cross compiling you have to +For the micro:bit, we'll use the `thumbv6m-none-eabi` target. Before cross compiling you have to download pre-compiled version of the standard library (a reduced version of it actually) for your target. That's done using `rustup`: ``` console -$ rustup target add thumbv7em-none-eabihf +$ rustup target add thumbv6m-none-eabi ``` You only need to do the above step once; `rustup` will re-install a new standard library @@ -30,48 +30,53 @@ With the `rust-std` component in place you can now cross compile the program usi ``` console $ # make sure you are in the `src/05-led-roulette` directory -$ cargo build --target thumbv7em-none-eabihf +$ cargo build --target thumbv6m-none-eabi Compiling semver-parser v0.7.0 - Compiling aligned v0.1.1 - Compiling libc v0.2.35 - Compiling bare-metal v0.1.1 - Compiling cast v0.2.2 - Compiling cortex-m v0.4.3 - (..) - Compiling stm32f30x v0.6.0 - Compiling stm32f30x-hal v0.1.2 - Compiling aux5 v0.1.0 (file://$PWD/aux) - Compiling led-roulette v0.1.0 (file://$PWD) - Finished dev [unoptimized + debuginfo] target(s) in 35.84 secs + Compiling typenum v1.12.0 + Compiling proc-macro2 v1.0.19 + Compiling unicode-xid v0.2.1 + Compiling cortex-m v0.6.3 + (...) + Compiling as-slice v0.1.3 + Compiling aligned v0.3.4 + Compiling cortex-m-rt-macros v0.1.8 + Compiling nrf-hal-common v0.11.1 + Finished dev [unoptimized + debuginfo] target(s) in 18.69s ``` -> **NOTE** Be sure to compile this crate *without* optimizations. The provided Cargo.toml file and build command above will ensure optimizations are off. +> **NOTE** Be sure to compile this crate *without* optimizations. -OK, now we have produced an executable. This executable won't blink any leds, it's just a simplified version that we will build upon later in the chapter. As a sanity check, let's verify that the produced executable is actually an ARM binary: +> **NOTE** If you have looked into `.cargo/config` you will have noticed that the target + is actually always set to "thumbv6m-none-eabi" so the --target flag to `cargo` can in + fact be omitted here. + +OK, now we have produced an executable. This executable won't blink any leds, +it's just a simplified version that we will build upon later in the chapter. +As a sanity check, let's verify that the produced executable is actually an ARM binary: ``` console -$ # equivalent to `readelf -h target/thumbv7em-none-eabihf/debug/led-roulette` -$ cargo readobj --target thumbv7em-none-eabihf --bin led-roulette -- -file-headers +$ # equivalent to `readelf -h target/thumbv6m-none-eabi/debug/led-roulette` + cargo readobj --target thumbv6m-none-eabi --bin led-roulette -- -file-headers ELF Header: Magic: 7f 45 4c 46 01 01 01 00 00 00 00 00 00 00 00 00 Class: ELF32 Data: 2's complement, little endian Version: 1 (current) OS/ABI: UNIX - System V - ABI Version: 0x0 + ABI Version: 0 Type: EXEC (Executable file) Machine: ARM Version: 0x1 - Entry point address: 0x8000197 + Entry point address: 0xC1 Start of program headers: 52 (bytes into file) - Start of section headers: 740788 (bytes into file) - Flags: 0x5000400 + Start of section headers: 599484 (bytes into file) + Flags: 0x5000200 Size of this header: 52 (bytes) Size of program headers: 32 (bytes) Number of program headers: 2 Size of section headers: 40 (bytes) - Number of section headers: 20 - Section header string table index: 18 + Number of section headers: 22 + Section header string table index: 20 ``` Next, we'll flash the program into our microcontroller. From 9d1234465f0443ff4ffd5117bbcde703af7c46c0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 19 Sep 2020 15:19:21 +0200 Subject: [PATCH 095/313] rewrite 05-led-roulette flash-it --- src/05-led-roulette/flash-it.md | 165 +++++--------------------------- 1 file changed, 26 insertions(+), 139 deletions(-) diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index 54c50b98e..ee28c01e3 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -7,150 +7,37 @@ In this case, our `led-roulette` program will be the *only* program in the micro By this I mean that there's nothing else running on the microcontroller: no OS, no "daemon", nothing. `led-roulette` has full control over the device. -Onto the actual flashing. First thing we need is to do is launch OpenOCD. We did that in the -previous section but this time we'll run the command inside a temporary directory (`/tmp` on \*nix; -`%TEMP%` on Windows). +Flashing the binary itself is quite simple thanks to `cargo-embed`, you only have to type `cargo-embed`. -Make sure the F3 is connected to your computer and run the following commands on a new terminal. +Before executing that command though, lets look into what it actually does. If you look at the side of your micro:bit +with the USB connector facing upwards you will notice, that there are actually 2 black squares on there, one is our MCU +we already talked about but what purpose does the other one server? The other chip has 3 main purposes: -``` console -$ # *nix -$ cd /tmp +1. Provide power from the USB connector to our MCU +2. Provide a serial to USB bridge for our MCU (we will look into that in a later chapter) +3. Being a programmer/debugger (this is the relevant purpose for now) -$ # Windows -$ cd %TEMP% +Basically this chip acts as a bridge between our computer (to which it is connected via USB) and the MCU (to which it is +connected via traces and communicates with using the SWD protocol), this bridge enables us to flash new binaries on to +the MCU, inspect the state of the MCU via a debugger and other things. -$ # Windows: remember that you need an extra `-s %PATH_TO_OPENOCD%\share\scripts` -$ openocd \ - -f interface/stlink-v2-1.cfg \ - -f target/stm32f3x.cfg -``` - -> **NOTE** Older revisions of the board need to pass slightly different arguments to -> `openocd`. Review [this section] for the details. - -[this section]: ../03-setup/verify.md#first-openocd-connection - -The program will block; leave that terminal open. - -Now it's a good time to explain what this command is actually doing. - -I mentioned that the F3 actually has two microcontrollers. One of them is used as a -programmer/debugger. The part of the board that's used as a programmer is called ST-LINK (that's what -STMicroelectronics decided to call it). This ST-LINK is connected to the target microcontroller -using a Serial Wire Debug (SWD) interface (this interface is an ARM standard so you'll run into it -when dealing with other Cortex-M based microcontrollers). This SWD interface can be used to flash -and debug a microcontroller. The ST-LINK is connected to the "USB ST-LINK" port and will appear as -a USB device when you connect the F3 to your computer. - -

    - -

    - - -As for OpenOCD, it's software that provides some services like a *GDB server* on top of USB -devices that expose a debugging protocol like SWD or JTAG. +So lets flash it! -Onto the actual command: those `.cfg` files we are using instruct OpenOCD to look for a ST-LINK USB -device (`interface/stlink-v2-1.cfg`) and to expect a STM32F3XX microcontroller -(`target/stm32f3x.cfg`) to be connected to the ST-LINK. - -The OpenOCD output looks like this: - -``` console -Open On-Chip Debugger 0.9.0 (2016-04-27-23:18) -Licensed under GNU GPL v2 -For bug reports, read - http://openocd.org/doc/doxygen/bugs.html -Info : auto-selecting first available session transport "hla_swd". To override use 'transport select '. -adapter speed: 1000 kHz -adapter_nsrst_delay: 100 -Info : The selected transport took over low-level target control. The results might differ compared to plain JTAG/SWD -none separate -Info : Unable to match requested speed 1000 kHz, using 950 kHz -Info : Unable to match requested speed 1000 kHz, using 950 kHz -Info : clock speed 950 kHz -Info : STLINK v2 JTAG v27 API v2 SWIM v15 VID 0x0483 PID 0x374B -Info : using stlink api v2 -Info : Target voltage: 2.919073 -Info : stm32f3x.cpu: hardware has 6 breakpoints, 4 watchpoints -``` - -The "6 breakpoints, 4 watchpoints" part indicates the debugging features the processor has -available. - -Leave that `openocd` process running, and open a new terminal. Make sure that you are inside the project's `src/05-led-roulette/` directory. - -I mentioned that OpenOCD provides a GDB server so let's connect to that right now: - -``` console -$ -q target/thumbv7em-none-eabihf/debug/led-roulette -Reading symbols from target/thumbv7em-none-eabihf/debug/led-roulette...done. -(gdb) +```console +$ cargo-embed + (...) + WARN probe_rs::config::registry > Found chip nRF51822_xxAA which matches given partial name nrf51822. Consider specifying its full name. + WARN probe_rs::config::registry > Found chip nRF51822_xxAB which matches given partial name nrf51822. Consider specifying its full name. + WARN probe_rs::config::registry > Found chip nRF51822_xxAC which matches given partial name nrf51822. Consider specifying its full name. + Erasing sectors ✔ [00:00:00] [##################################################################################################################################################################] 2.00KB/ 2.00KB @ 4.57KB/s (eta 0s ) + Programming pages ✔ [00:00:00] [##################################################################################################################################################################] 2.00KB/ 2.00KB @ 1.93KB/s (eta 0s ) + Finished flashing in 0.764s +Firing up GDB stub at localhost:1337. +GDB stub listening on localhost:1337 ``` -**NOTE**: `` represents a GDB program capable of debugging ARM binaries. -This could be `arm-none-eabi-gdb`, `gdb-multiarch` or `gdb` depending on your -system -- you may have to try all three. - -This only opens a GDB shell. To actually connect to the OpenOCD GDB server, use the following -command within the GDB shell: - -``` -(gdb) target remote :3333 -Remote debugging using :3333 -0x00000000 in ?? () -``` - -**NOTE**: If you are getting errors like `undefined debug reason 7 - target needs reset`, you can try running `monitor reset halt` as described [here](https://stackoverflow.com/questions/38994596/reason-7-target-needs-reset-unreliable-debugging-setup). - -**NOTE**: If the debugger is still not connecting to the OpenOCD server, then you may need to try using `arm-none-eabi-gdb` instead of the `gdb` command, as described above. - -By default OpenOCD's GDB server listens on TCP port 3333 (localhost). This command is connecting to -that port. - -After entering this command, you'll see new output in the OpenOCD terminal: - -``` diff - Info : stm32f3x.cpu: hardware has 6 breakpoints, 4 watchpoints -+Info : accepting 'gdb' connection on tcp/3333 -+Info : device id = 0x10036422 -+Info : flash size = 256kbytes -``` - -Almost there. To flash the device, we'll use the `load` command inside the GDB shell: - -``` -(gdb) load -Loading section .vector_table, size 0x188 lma 0x8000000 -Loading section .text, size 0x38a lma 0x8000188 -Loading section .rodata, size 0x8 lma 0x8000514 -Start address 0x8000188, load size 1306 -Transfer rate: 6 KB/sec, 435 bytes/write. -``` - -And that's it. You'll also see new output in the OpenOCD terminal. - -``` diff - Info : flash size = 256kbytes -+Info : Unable to match requested speed 1000 kHz, using 950 kHz -+Info : Unable to match requested speed 1000 kHz, using 950 kHz -+adapter speed: 950 kHz -+target state: halted -+target halted due to debug-request, current mode: Thread -+xPSR: 0x01000000 pc: 0x08000194 msp: 0x2000a000 -+Info : Unable to match requested speed 8000 kHz, using 4000 kHz -+Info : Unable to match requested speed 8000 kHz, using 4000 kHz -+adapter speed: 4000 kHz -+target state: halted -+target halted due to breakpoint, current mode: Thread -+xPSR: 0x61000000 pc: 0x2000003a msp: 0x2000a000 -+Info : Unable to match requested speed 1000 kHz, using 950 kHz -+Info : Unable to match requested speed 1000 kHz, using 950 kHz -+adapter speed: 950 kHz -+target state: halted -+target halted due to debug-request, current mode: Thread -+xPSR: 0x01000000 pc: 0x08000194 msp: 0x2000a000 -``` +> **NOTE**: The warnings it printed are irrelevant for us, it is just warning us that there are several nRF51822 variants and we +> might not have picked the right one (which we obviously did though, as the flashing succeeded). -Our program is loaded, let's debug it! +You will notice that `cargo-embed` blocks after outputting the last line, this is inteded and you should not close it +since we need it in this state for the next step, debugging it! From bf34c43323043a19574b2911fbfbe9897e7249a4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Thu, 24 Sep 2020 12:12:31 +0200 Subject: [PATCH 096/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/04-meet-your-hardware/README.md | 6 +++--- src/05-led-roulette/Embed.toml | 3 +-- src/05-led-roulette/flash-it.md | 15 +++++---------- 3 files changed, 9 insertions(+), 15 deletions(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 6b8d9c9bb..85d298671 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -46,11 +46,11 @@ see it with your bare eye, but it is on the chip), the `n` at the front hints to us that this is a part manufactured by [Nordic Semiconductor]. Looking up the part number on their website we quickly find the [product page]. There we learn that our chip's main marketing point is that it is a -"Bluetooth Low Energy and 2.4 GHz SoC" (SoC being short for System on a Chip), +"Bluetooth Low Energy and 2.4 GHz SoC" (SoC being short for "System on a Chip"), which explains the RF in the product name since RF is short for radio frequency. If we search through the documentation of the chip linked on the [product page] -for a bit we find the [product specification] which has chapter 10 Ordering Infomration -dedicated to explaining the weird chip naming, here we learn that: +for a bit we find the [product specification] which contains chapter 10 "Ordering Information" +dedicated to explaining the weird chip naming. Here we learn that: [QFN48]: https://en.wikipedia.org/wiki/Flat_no-leads_package [Nordic Semiconductor]: https://www.nordicsemi.com/ diff --git a/src/05-led-roulette/Embed.toml b/src/05-led-roulette/Embed.toml index 1e10d5514..02b954194 100644 --- a/src/05-led-roulette/Embed.toml +++ b/src/05-led-roulette/Embed.toml @@ -1,9 +1,8 @@ [default.general] -chip = "nrf51822" +chip = "nrf51822_xxAA" [default.rtt] enabled = false [default.gdb] enabled = true - diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index ee28c01e3..2ea7da03b 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -7,28 +7,25 @@ In this case, our `led-roulette` program will be the *only* program in the micro By this I mean that there's nothing else running on the microcontroller: no OS, no "daemon", nothing. `led-roulette` has full control over the device. -Flashing the binary itself is quite simple thanks to `cargo-embed`, you only have to type `cargo-embed`. +Flashing the binary itself is quite simple thanks to `cargo-embed`, you only have to type `cargo embed`. Before executing that command though, lets look into what it actually does. If you look at the side of your micro:bit with the USB connector facing upwards you will notice, that there are actually 2 black squares on there, one is our MCU -we already talked about but what purpose does the other one server? The other chip has 3 main purposes: +we already talked about but what purpose does the other one serve? The other chip has 3 main purposes: 1. Provide power from the USB connector to our MCU 2. Provide a serial to USB bridge for our MCU (we will look into that in a later chapter) 3. Being a programmer/debugger (this is the relevant purpose for now) Basically this chip acts as a bridge between our computer (to which it is connected via USB) and the MCU (to which it is -connected via traces and communicates with using the SWD protocol), this bridge enables us to flash new binaries on to -the MCU, inspect the state of the MCU via a debugger and other things. +connected via traces and communicates with using the SWD protocol). This bridge enables us to flash new binaries on to +the MCU, inspect its state via a debugger and other things. So lets flash it! ```console -$ cargo-embed +$ cargo embed (...) - WARN probe_rs::config::registry > Found chip nRF51822_xxAA which matches given partial name nrf51822. Consider specifying its full name. - WARN probe_rs::config::registry > Found chip nRF51822_xxAB which matches given partial name nrf51822. Consider specifying its full name. - WARN probe_rs::config::registry > Found chip nRF51822_xxAC which matches given partial name nrf51822. Consider specifying its full name. Erasing sectors ✔ [00:00:00] [##################################################################################################################################################################] 2.00KB/ 2.00KB @ 4.57KB/s (eta 0s ) Programming pages ✔ [00:00:00] [##################################################################################################################################################################] 2.00KB/ 2.00KB @ 1.93KB/s (eta 0s ) Finished flashing in 0.764s @@ -36,8 +33,6 @@ Firing up GDB stub at localhost:1337. GDB stub listening on localhost:1337 ``` -> **NOTE**: The warnings it printed are irrelevant for us, it is just warning us that there are several nRF51822 variants and we -> might not have picked the right one (which we obviously did though, as the flashing succeeded). You will notice that `cargo-embed` blocks after outputting the last line, this is inteded and you should not close it since we need it in this state for the next step, debugging it! From 9b0968ace968d05547e09f82a9001e04df0650c3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Fri, 25 Sep 2020 19:31:45 +0200 Subject: [PATCH 097/313] Fix cargo-embed issues cargo-embed would previously crash once connecting a GDB to its GDB stub, this is apparently because some regression between 0.8.0 and 0.9 either * stopped halting the chip automatically once a probe attached * changed the general behaviour of how the attaching works so much that a halt is now required Either way this seems to fix it so digging into the depths of probe-rs does not seem to be necessary. --- src/05-led-roulette/Embed.toml | 3 +++ 1 file changed, 3 insertions(+) diff --git a/src/05-led-roulette/Embed.toml b/src/05-led-roulette/Embed.toml index 02b954194..91584ec92 100644 --- a/src/05-led-roulette/Embed.toml +++ b/src/05-led-roulette/Embed.toml @@ -1,6 +1,9 @@ [default.general] chip = "nrf51822_xxAA" +[default.reset] +halt_afterwards = true + [default.rtt] enabled = false From ed1012aedcb24a97f196d068f72dc5e0d6678cb2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 26 Sep 2020 00:27:55 +0200 Subject: [PATCH 098/313] add link to microbit hardware website --- src/04-meet-your-hardware/README.md | 3 +++ 1 file changed, 3 insertions(+) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index 85d298671..ad2089b9e 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -27,6 +27,9 @@ black squares sitting on the side of the board with the USB port. The MCU is what runs your code. You might sometimes read about "programming a board", when in reality what we are doing is programming the MCU that is installed on the board. +If you happen to be interested in a more in detail description of the board you +can checkout the [micro:bit website](https://tech.microbit.org/hardware/). + ## Nordic nRF51822 (the "nRF51") Since the MCU is so important, let's take a closer look at the one sitting on our board. From b14acad85ecd3ba16f9f7ea40f68282aeec47fa8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 26 Sep 2020 00:29:45 +0200 Subject: [PATCH 099/313] explain the reset flag im Embed.toml --- src/05-led-roulette/README.md | 1 + 1 file changed, 1 insertion(+) diff --git a/src/05-led-roulette/README.md b/src/05-led-roulette/README.md index 99f4acf5e..2524d8d91 100644 --- a/src/05-led-roulette/README.md +++ b/src/05-led-roulette/README.md @@ -51,6 +51,7 @@ Furthermore there is also an `Embed.toml` file This file tells `cargo-embed` that: * we are working with a nrf51822, +* we want to halt the chip after we flashed it so our program does not instantly jump to the loop * we want to disable RTT, RTT being a protocol that allows the chip to send text to a debugger. You have in fact already seen RTT in action, it was the protocol that sent "Hello World" in chapter 3. * we want to enable GDB, this will be required for the debugging procedure From 33fd0a22a133e8dfb3530e061ae0e4a2da25cca9 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 26 Sep 2020 00:30:46 +0200 Subject: [PATCH 100/313] Update cargo-embed version Only cargo embed 0.9.1 includes my fix to overwrite the soft device that is on the micro:bit board by default in its initial state -> update from 0.9.0 to 0.9.1. --- src/03-setup/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 9f8840107..4771e9539 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -29,7 +29,7 @@ should work but we have listed the version we have tested. [`cargo-binutils`]: https://github.com/rust-embedded/cargo-binutils -- [`cargo-embed`]. Version 0.9.0 or newer. +- [`cargo-embed`]. Version 0.9.1 or newer. [`cargo-embed`]: https://github.com/probe-rs/cargo-embed From ade1dc32e34ae67308b216b049d7cf6db27c45c4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 26 Sep 2020 01:16:39 +0200 Subject: [PATCH 101/313] ch05: rewrite the rest of debug-it.md --- src/05-led-roulette/debug-it.md | 175 ++++++++++++++++++-------------- src/assets/gdb-layout-asm.png | Bin 165974 -> 216403 bytes src/assets/gdb-layout-src.png | Bin 65055 -> 34560 bytes 3 files changed, 98 insertions(+), 77 deletions(-) diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 4131dfca9..9c80a8328 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -1,33 +1,64 @@ # Debug it +## How does this even work? +Before we debug our little program let's take a moment to quickly understand what is actually +happening here. In the previous chapter we already discussed the purpose of the second chip +on the board as well as how it talks to our computer, but how can we actually use it? -We are already inside a debugging session so let's debug our program. +As you can see from the output of `cargo-embed` it opened a "GDB stub", this is a server that our GDB +can connect to and send commands like "set a breakpoint at address X" to, the server can then decide +on its own how to handle this command. In the case of the `cargo-embed` GDB stub it will forward the +command to the debugging probe on the board via USB which then does the job of actually talking to the +MCU for us. -After the `load` command, our program is stopped at its *entry point*. This is indicated by the -"Start address 0x8000XXX" part of GDB's output. The entry point is the part of a program that a -processor / CPU will execute first. +## Let's debug! -The starter project I've provided to you has some extra code that runs *before* the `main` function. -At this time, we are not interested in that "pre-main" part so let's skip right to the beginning of -the `main` function. We'll do that using a breakpoint: +Since `cargo-embed` is blocking our current shell we can simply open a new one and cd back into our project +directory. Once we are there we can connect to the GDB server like this: +```shell +$ gdb target/thumbv6m-none-eabi/debug/led-roulette +(gdb) target remote :1337 +Remote debugging using :1337 +::fmt ( + self=, + f=) + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.12/src/lib.rs:489 +489 pub unsafe extern "C" fn Reset() -> ! { +(gdb) ``` -(gdb) break main -Breakpoint 1 at 0x800018c: file src/05-led-roulette/src/main.rs, line 10. +> **NOTE** Depending on which GDB you installed you will have to use a different command to launch it, +> check out chapter 3 if you forgot which one it was. + +> **NOTE** If `cargo-embed` prints a lot of warnings here don't worry about it, as of now it does not fully +> implement the GDB protocol and thus might not recognize all of the commands your GDB is sending to it, +> as long as it does not crash you are fine. + +Right now we are inside the `Reset()` function, this is (surprisingly) the function that is run after a reset +of the chip, since we did tell cargo-embed to halt the chip after we flashed it this is where we start. + +This `Reset()` function is part of a small piece of setup code that initializes some things for our Rust program +before moving on to the `main()` function so lets set a breakpoint there and jump to it: + +``` +(gdb) break main +Breakpoint 1 at 0xac: file src/05-led-roulette/src/main.rs, line 9. (gdb) continue Continuing. Note: automatically using hardware breakpoints for read-only addresses. -Breakpoint 1, main () at src/05-led-roulette/src/main.rs:10 -10 let x = 42; +Breakpoint 1, main () at src/05-led-roulette/src/main.rs:9 +9 #[entry] +(gdb) ``` Breakpoints can be used to stop the normal flow of a program. The `continue` command will let the program run freely *until* it reaches a breakpoint. In this case, until it reaches the `main` function because there's a breakpoint there. -Note that GDB output says "Breakpoint 1". Remember that our processor can only use six of these -breakpoints so it's a good idea to pay attention to these messages. +Note that GDB output says "Breakpoint 1". Remember that our processor can only use a limited amount of these +breakpoints so it's a good idea to pay attention to these messages. If you happen to run out of breakpoints, +you can list all the current ones with `info break` and delete desired ones with `delete **NOTE** If you used the `step` command by mistake and GDB got stuck, you can get unstuck by hitting `Ctrl+C`. +> **NOTE** If you used the `next` or `continue` command by mistake and GDB got stuck, you can get unstuck by hitting `Ctrl+C`. ``` (gdb) layout asm @@ -125,62 +155,54 @@ program around the line you are currently at. ``` (gdb) disassemble /m -Dump of assembler code for function main: -7 #[entry] - 0x08000188 <+0>: sub sp, #8 - 0x0800018a <+2>: movs r0, #42 ; 0x2a - -8 fn main() -> ! { -9 let _y; -10 let x = 42; - 0x0800018c <+4>: str r0, [sp, #4] - -11 _y = x; - 0x0800018e <+6>: ldr r0, [sp, #4] - 0x08000190 <+8>: str r0, [sp, #0] - -12 -13 // infinite loop; just so we don't leave this stack frame -14 loop {} -=> 0x08000192 <+10>: b.n 0x8000194 - 0x08000194 <+12>: b.n 0x8000194 +Dump of assembler code for function led_roulette::__cortex_m_rt_main: +10 fn main() -> ! { + 0x000000b2 <+0>: sub sp, #8 + 0x000000b4 <+2>: movs r0, #42 ; 0x2a + +11 let _y; +12 let x = 42; + 0x000000b6 <+4>: str r0, [sp, #0] + +13 _y = x; + 0x000000b8 <+6>: str r0, [sp, #4] + +14 +15 // infinite loop; just so we don't leave this stack frame +16 loop {} +=> 0x000000ba <+8>: b.n 0xbc + 0x000000bc <+10>: b.n 0xbc End of assembler dump. ``` See the fat arrow `=>` on the left side? It shows the instruction the processor will execute next. -If not inside the TUI mode on each `stepi` command GDB will print the statement, the line number -*and* the address of the instruction the processor will execute next. +If not inside the TUI mode on each `stepi` command GDB will print the statement and the line number +of the instruction the processor will execute next. ``` (gdb) stepi -0x08000194 14 loop {} - +16 loop {} (gdb) stepi -0x08000194 14 loop {} +16 loop {} ``` One last trick before we move to something more interesting. Enter the following commands into GDB: ``` -(gdb) monitor reset halt -Unable to match requested speed 1000 kHz, using 950 kHz -Unable to match requested speed 1000 kHz, using 950 kHz -adapter speed: 950 kHz -target halted due to debug-request, current mode: Thread -xPSR: 0x01000000 pc: 0x08000196 msp: 0x10002000 - -(gdb) continue +(gdb) monitor reset +(gdb) c Continuing. -Breakpoint 1, main () at src/05-led-roulette/src/main.rs:10 -10 let x = 42; +Breakpoint 1, main () at src/05-led-roulette/src/main.rs:9 +9 #[entry] +(gdb) ``` We are now back at the beginning of `main`! -`monitor reset halt` will reset the microcontroller and stop it right at the program entry point. +`monitor reset` will reset the microcontroller and stop it right at the program entry point. The following `continue` command will let the program run freely until it reaches the `main` function that has a breakpoint on it. @@ -202,8 +224,9 @@ A debugging session is active. Inferior 1 [Remote target] will be detached. Quit anyway? (y or n) y -Detaching from program: $PWD/target/thumbv7em-none-eabihf/debug/led-roulette, Remote target +Detaching from program: $PWD/target/thumbv6m-none-eabi/debug/led-roulette, Remotetarget Ending remote debugging. +[Inferior 1 (Remote target) detached] ``` > **NOTE** If the default GDB CLI is not to your liking check out [gdb-dashboard]. It uses Python to @@ -212,8 +235,6 @@ Ending remote debugging. [gdb-dashboard]: https://github.com/cyrus-and/gdb-dashboard#gdb-dashboard -Don't close OpenOCD though! We'll use it again and again later on. It's better -just to leave it running. If you want to learn more about what GDB can do, check out the section [How to use GDB](../appendix/2-how-to-use-gdb). - +If you want to learn more about what GDB can do, check out the section [How to use GDB](../appendix/2-how-to-use-gdb). What's next? The high level API I promised. diff --git a/src/assets/gdb-layout-asm.png b/src/assets/gdb-layout-asm.png index 70fa51c75f1bae1e6691da1ee8e6f86244d3b722..0f1f1ef0d1368bae04bd354e51442e055bf67a9c 100644 GIT binary patch literal 216403 zcmb@t1yEekwywK^g+PK6f&_v?&>$f=!QCaeL-63P!GpWIYjBs~?rt62A-FURcV+K= z&fe$Nz3)}MdQ~m7vU;vD$Nb0lj~OB_EA|S700jVmR}$jFiU5FA0swIS$Z+5@f07>V zz`GaU#MSKq0Ilcm^;tX}8X*9@10;k$E4!o}tvY)ssX&20yA>3pd)Cd=`L)$*i%JF3 z(WIdp=orHC9=a=pSB~iN3JLbvVtFnWAupJ&H?AE5@*;|Gt1- zM($*+#M+O&b+tQb+Qq7>s`9}QL?-qB=S?$SG=nzquLpmhmRHIye;S2Z+o2}F|JR$q z=V$i5U6TC!V}dvIM~_1P{Vjrf83C)_|9*(PTJsZ%{_l@xyT5jN`u9Uphw-ix{{Q%= zC+Z8Zpno6L1n-Xud$Y%IEML4brfp(tB&+T8>Zk$y8sA=J>Hq6xW-dX)`39m3AtI%X z$tmqa>bndV=S;CJJc2WPI9Cj1##Q?8f4kJx<!&B(~44$ejHmyqjmEJ^#?Q7xSR7-sp+67Uu6t@%8^Y0hokFtgO5q`p&MYzLwvnGL zY&-I|&P*XTq3U_e1~az{I+KT0VhHXLPir(kG2$IOHOeOye~|o|BNhb>V|+w;F*_At z*I5It$r(6QY6yi}o-#HgdR3;dUv;yx%rDy#)D(4ZV}DvFiaIC0F)y(d7M{8rXcg3O z%o&}oMjtE5)f2$aX=o&!`nwN??2loN^hUQ1yt1ddSF)c}-41Qk*l^e^I$HKu+PF5- zSte#SeS<;S-75-rhw@?`+fFqmM#@p}UNmzY}SXwDHn5^mawx&IA%UlCfe4_d_Jr>|Y^HEjyRdICZ zfvZroMe$90%JQDh*YG)I@`k8q=fUe0<*DhKS~~hgb>B9x$suKHoQ@klkal1G%~dAqN%C~~%m&zZ`1a47HV3clvq))o-3yLlM1RpC|Sbv&HN zJx8NlZI{##eMGgXL_deX=DYft0TrDg~WL zi7)+R|G>c7F-Pd6P4`M(GUEVO8UTFKNFm%w(K@R5``c8Ze@=eA1Y8Wo5k3Pz9u8r` zL;9IkM^whIlZ4q9exEWcp_H^80;XtAiDUbiGkq=hk_rZaCeYa!!8UcuY_}j+>BFJ| ziJbOrewVGT`|==G>z#2ghrC#eWT{GmDJNT8P6W#6TPNi{X^O8+?6Xm4YQ}S<(HyKg zlhr=NV|b!C3me`#4yU&WgEWq`sV<%wG=Fs3bPI5ORWda_)HB;t=eM8rinkiXS&>i} z>m*Qk9=ujM6FKFx`Jz+3^m25csnw0q={z(ionU|3iD2pmPGGTkoL*{05Q%14#))cH zU-KDYL(jllExdt!IBEQNj98O-z*0}Il35>HIzT1y;y)#wbVSThvrhW?zG9#Zj5xL?B9BYvR{;#(~vgcD+-1HJ$&6}tNE z`RquUpX`mu6r1b0<a-_>?&K zyVTx!H60y_ij^Ds9@{@f-{|Ul7#E7ZqE-3PqgI2*!0>)DqP?SEiIwEm=&nzr^r7lX zUOa2ObXYthQYTJq#S04MB@%w_oNn{eU2`{-GauZ0L&D1B;mqRv zEw7OMBO(Al{N!5v=d9uxR;ZRcM2?))%3XXB8#SQ)K3AfSQy#mg+*Jt#Sj1p^RocKcZf zQ-*Rj;8gG^*`E-q%QJ~wB4B)ig}+^@(RID@iqFdXU6g)oLq4zh{hji$XRw|G*KZPa%08N7IjnNQg)+zPlHtLsaziow9_ zO~_ZJc*+STpu0NkNr04zKZa**&!J9I9Pi9RjR9t(qfJN7x?ySg*7hux-C(iZLS#t| zFISn~ZT2-!2wc}$)b3|bZjYZ1u>HK5ll0thsteucScoAFOlMWGnxuuHei638_lw{xqqp!Z#OeIf*E1{+Gu$Ld=TWs_MQ zVO*+)E)+_c4ClpAWmB+>$9$H$_qA*|a?z+w#s~{v*GlGa?<%cM)t?1G;X| zOgvb)G(@>)3Et>(s)Oqfb9-~*MyXul$n}+z>6_-UNpFe7DWvOty;nue4#9B}$@X~D zOCmvf|4f&6JC9PbgKmeLy6`hPN_{uphG&;7;V00{8zLT$XF%vxS)?EBRLu{kJO>oz zb{^)H<#5`mR0g{H_etuj@E!c|%k1)Xr=qj~&stp{d!`-vO_vYzFz$Y`HvAf2lvl9; zgh3n;;9})x?lLq;V*(Ibq|i;xnO^Uu?LV@nHswQXR^9(tibtKU^ozYBoQcmq#9UWd zdq|COE#4^5Y)4h8QerbrwBP1nnD-68D>S>2cfDl>vbMa^80Vv0JMTs$iieWyN7^>& zi<|AsDY{2HXq%So^rPV9`(&rnbj%r>$j%P;JRTWmg5!$l)vRebbPpZR58#$%>N4$B z&}y|7o#1FMifb&dm9z~97R2agN*00`m{e{?Cm;)BgrW3mGH>f^(yeBq=CX{|N*0Q7 z2Ro!Zmeh8LkO1Rli7{0Pgb-cRq0z(`w}}&dirl=_ZZL(%TC`~82F6M1y{h(ck>Zi0 zeUP~;9Lb+AITa!mAMTH?O{=7{@trL;D2!G_2fm@=XYoG4+f2!`*aQU-EOpdOe)T#_ zCqexD+m-Y^&+kL@-C?8IT#XF_!NgWJ@{XUD-Syq49``MYg?1;Kxq;V}t1QUbszuVJ z(7n;8ny_1U>yw^4CPseyM>j}@?O0hU?R;C~x9Z9UZa|t~`exhN`#t>}Qc+t=EwRGOFF|ucrpMT_0y-PNmEzP_mh|5%!+TSvL)0 zW%LC#_6I5J_Fp?0P7S|Lr!AD#ZGu%|PgB22dFp{@)KcFVV#PoGa_Gq1SM%z#V%f)4 zETcd79(~+>_dEAvJ5us2iU*`B^R_ZEbmO&cs)LPUz~^dQ^;hU}$MZ$S_EcY!H5Vqh zxApjE*~>n5*9XuxWxDsP*BjSE#+>S-J<&9U4j`*q9wP4R&$Fw0YM^9(CH?8Dc_Y|> zPfQdRE`X6Iyp^8*ePx?~Jx^KD(RBH1Qk<(D?p0DY!}IEwQk_>W zc%CwnS*@3Pp8bGm)tzQiycED}rW?o$yRwEX)RO{H(JFgJH<^)%E%~SD01s~*ki{_H zuyoQv6<(?M;}x&fMkx@##Scqs4kFkrUAFJL9jYGzMPq{M8{NfUwyp1iu?qSGEMEwv zO4n&({avZ-)a{+05R2NVA`dy7gguf)8=mB`0C|efF$i?Q?ICf^(u<)GdZG_9Y1I#p`@r5Jv%^7 z5m^&v{&<6kfgmwVu$`@aZ85`TZ;c#XTE@;V8?H>fbSJw!z-Ow_&EODqHe(uQYSiYv z5Y-Uj+||rFZ>VEGZ@v|kuJ~dH1r~n1GiBjdOeFja_^QH7+#x03usTW|3jAKL_|kU7 zIqY}Cr^}J2TjnRV8>K3N_y%^K7A|A!*3wYu{=UQpXq$W zyT4sb^s(s21)>mg-Mi)IGE;1nu`Hswi-V3f9b%1V#AuVZDQxXiHGURy7=5=Tufr@um0|2oh@sbud-3EUXG^0iPNe8GF%&?JO9xR`A z#a}w}YdRk(SAU~3SEZv6;bMK(DUSgB@%y4Uct=;-q2Z$NaAv7fD6m~eCM4KwBA-`i zH5Ol{2bE=I(qPi{c4wj1v~Vks6R&L`6v3jC-QnWAmm|y8bu&3vVimJlwSR!twWyUX zUQH}`VT;~4*;y0BM6QASX-ePH6*s*nBF?mDM*+`bRq^N*uau^v)c zoWyF@m^I%@{)*yKO20wm0t=1fv=8mozGo^IUyE;t+6BB%Tm4x4-ceu?0G}e5=qHh$ zt3uo(Ch-K3+2!pWo|*#YVJ{qs1V?#@L;kN26EN^vDzoAI?vx(YAuxFYbl zZt2Qx795(2W;)w=m>>3Jj#KPitGw)%3y1MXJbcG2)ngP)5ENe8CThe~;Npw+-qv2k z!}}orHiBfv2eoXR72oi)nEinSF1Ut76>PK;%(tO zKo}}sP$C+qH5w18ZC}(%(5O^g{7fe_l0rC_SoZOiu&+~O(R-R>s%j*Q_i766A0e6t z+T_5`6*9&h0zx1Oyd$A6~Ev(QssEcVEb2EKOiE$5t3`a={Q}kSVMj8KXmcaf#>? zS4*VeKk&_anPcx&UpiD4@!lPMZ?!#OXyI!LV|(beZHtE1s*F+=tG6q?yBqZr+N10h zqrQhqI%}UAn8s{vdeyESPjNht9M1g~4H?qisK@hv($D8gY5sFPlCbBgh$twYmMD%j zZF^czB(y$-!uvd5x{Fh;hNKNh(0)uCVAOS_s&q~g3l>uePFA#BNYUcq;1eg!4is@0 zgAOE;jIY~-6XAST7B8ALJ!fI>qc1<(&GR7PoPJcj+%JsMqGM667HkTE?n`IHQ4NQs zMVCSnX=Iehk(^=c7p8pPH-pPI52Q3NY-lzdI9sy?n9b->x7USSwJR96<#aN~_w5|j z<`dKJm6^>LiWQ%%wL~KLtT@!7wUa%Ar%h%`d7K8w11|yvwTGzI#BinNU^YJ6a2bCTbKgK9#I<5JN}C9pYj^(rQ`p|M#MeiC%&D&)?$Lk4T|orEaD z6^b}6!%wYMGhP{EE?+GnxrG%E^|Yki4Y%gz*Y25>7gtUsGlk^9x3q~GsgXVsU3cS2 z`|x8_=9 zHabnNSIMoHEQXR*>n*XI+f)_ha>vU-$KAI>`r*JPU0CHw_U(J_%1_|eftL<>c)%?A z4lD;b>_h2`r>W3r-p{q)+%?XI+ z%z@vCf7B$A#M~HpQ&bG}x_OMo(UEIp9biV|o$-YiJ63Hw)TUH*H-tpnSGEQ_UB|S# z;o-K12dh_$9~g5CwS>z9s20BA*HTqm<_ZCQ9TZ885)nENKM@B1|AEOc4Jfiqt-{m^mbCJ4~#Rz9^El z7|q@qGfXT_EYUTvb~R;M7m$!@HB>n!sTqT}>PUZUSI#cHrfhp>+VGnXi)0w*%KyE! z+kOc8)xI}vjwpv4>dX&V`6-L>QFn9cp(K_rx$#=)fCBg%moDfe(K`00ZC-pHuH8+z zh@buS6(nTOe4Nm)4(?hnNUAn!0vecz*lW2ZFuW~g9`#OBlu%9bFb8>wVsORbefNQITk91$ffaA2QUe) zF%Z?}Ifpf|ZATP4>vMA$jhi*Mq1~8*h_n2e7YRG4EG$8_4kE}Czuf<(v8$f{K-Zkb zoWwBS|3I|nn2yd*9~4u*BBJ|<{Rg{d7hbi03fEW|UsYS=q3nFN{GYEyH;X-4J<(^g zi7k(aeE!c@Dq0=~J2F5daj1r4n9JJm_qYxAittNmG`~a6p0oZ{_5tSJkjaOa$+`2UPc0mIlg@#o>lTI7 z|Kvk?K2(kXV{fsJaC4i|&ck?4W;9vJZ*c{rs=vcuy%gyh@lDICt2Gc}|0z10$?RQ~ z+N2}z9A(9S1=(4%2!CBRIY^kNIqhHYl>YR)XR@t-)J06QqCtDDT5apNP_0UlVthEU`JSCB4k3pOjWbi#%8~Y zT=5CWeD+ACE1CP(vf#h&Fjb+2+g+6ngUI+jXoZ&NoxKxq~$t|=Zf9=BDD%U-W zT|*_@iBf@3PO+k#5NqqOB4%ELCYA*RQn$aas82{H?ygmGy5RX-EmT#VCcSl@*1L@f z!75(kd?Po3B7Vwhrxwb~i&KG%1lC-5eQK{jJ##o;k`paDSz_*ghAW7?YN*lcsG_ps zsU_mNKiQp-2xp;+PDp!p{UI>{_*@he3Fp)0=`MitOyHnUHIhg>?b}b5LzCHivH?Fo z-jl|K9K9GO+*|UUd7)mJ2b!m!ZR6pm*bX5cItkOi#-wz|6^pbIpiE@)mdMVWV-M zSIID!KT71~dhU#0$agx5h6t$}mbxL6ndYYi`kimKwOpE&OZ6ApZDgtlUZMZlaoP^pQ zAwdl%eC^Oqv*iG~M8@-rvjzL#BcbdqnYzrybMKX?UxGN(%X`sH0X$hY%#2XTiqqt; z`HEdI!~Ji#8=_DC&OarYCb+G+PLtW)QanpeRP`72Fb8F!5ogUtja-BcA?_u#z~7Zs z>^Y)~={63NcGY%Qd>9p@4ancOJ@i3{)TZ|rcn16<`ZZc>1vsmb+@28aI-<`Lz zz3bG{fXn$}NAW@L$VJ6cOXM8aFQ*lHJ+CcS9wGA#`NigB(~q4?iRfJ(_Z}!3aY}=0 z?!X1`?(FKK#oPa}&+&MXRUn#JyBYe5B)-G*$3XQM&WJW;CUeQncf)%OgeuqF$z6z! zijMv_linnO^{WueE*0}T9m#E~F_OME<^)d*LzO=>Orl9^LC|evPx^RE!gpZQaY9s0 z(9Y|G8<4X#{ePa}IJWu74|Ni}aYV+{N$))2l=Ey#RFv#(y0N#BGsuLB7{ElGlVJqM z(m59jVNFePz^ zQHad5-w)dkxgp0(*`sxbobjR;eu?cNKVEpcY;>wf;iY$^wd-qcOe(3B+lV69eF_l# zco@B|3;Q{0WRmG>_wc-OH0^pzjH+g{$Y=NNCq~DMT=$MY*XFr{4?7pr5Rb>`VtYOu zglzoKV}h{CG#hZWOnKg?R?pmC{g0?&uT!0biP7Su>B!N=`(l%@>}Ya%P>bX4TEtEFfD$-+$ttSmck4b>U< zM{2C8yHQN$YC4PNvm_=gF~tZAGNo|q_x!F{Y@+0Uc$k0mx3}~ZY<;nMmt$ver=x-K z%;$@(kIp#;;W3j&1w4A_rVS2Os;mMKRhUiY(Hp{h5Zxpy_wumQyE>LLL4%tyc_MwB zf!tdA4TUOE79?}X=?8}F+SfhpwQGw!b__%fzz2>&zfIQK_-|;u<|416ie{v*gmRU@ zl;@=n26q#%ASabv0tv_Z#E0XEE}{DY2%jcFlB6J{kz14o9*a728gHy@#K8!B=K+E# zx<1_RTSpc-p}4)^8Mx}!81Yf+ZjZEAsah2vtIm)4#XqRRkztgm_sJ%*hhZ+MLp+oSfeo^3*eu>? zcHHglgN!>eL(<7aTh4i#TGxi2k>R<|D0igSpL=X`-N=Wo>H-E^c)h=Qq7Rgve=`Os zm$zGd0L{h(<>FCQ*|%alYHO+vw||LO(!Y$}Tz zO<8lU)!K8}^Fuc1Si%88a$2re-WEr@5=uA{SSI%2N>Rz%c`-|ywCAY9?HWz6NQRJ1 z#$;X(d9{~vnC6sWRC8_(r?YXE05ZA?_aaP9QPp}M3J-*!FpufWp7Q$O$ zfB!%xy|kG>U-iy;7n~z5k5f2p{Pg(47>>ZNz&}OpyQ5m=Z6vY9`}b^#aWg}{9QYPn zeHRGYDol!Gn9>y^wPn+4CkyU&Qw!~9t4pw|?FnBYiK}KVhQwf@lg;OSs+EG#zl)3R zr{X1qzmFQ;ygz(GZck&$8T%>N=QFm`4k-9bva$^cwH(bCIqs}rpcEIiT)cffR(p{S z0@bnFz6krv{^?OQ`@wrYq_Jkyiw1*MxAIesaH49Z_i|02a`_>g(tnhLah?HD3R;n5 zsWvT#!z{V=^;-PUCgTLkN|@;qzZfGirz;}h;MUJE`;BM(!cSNb563J}#!Ke62oNQS zpIdy59stzMZ`s27B7&Kw_pV7K?b;WRv#E@sSrQSu;Z&+rj@K6F*Y5ry_vvD({}?@x z#(`lB#xkhz+!!EWH$Gs*_`k zz9mFLK5jt8Qw73QfY{+#4D6UugsX5}s{>5zdm*gg?FZWDTWL|<~ z85};}ziNTKu8+k01kTVagZ|UPeVMzuJjUmkzJ~**myu)IG)*}aA3nQZhnbG+)Jht+ za1Dx=Nl~ov0iEV-35h!!WW`I6ds&VAb8o#edgh5iKeOR0i}@#B$Lk=-L!-UG>9u!- zmm>(n>(-QZ=f~=J$aw75*H|%w zTUs%AKET)MH&tfc>22R~og=@T&)vOm*L>M6ZyKZctW$>S?!Nm@*Yi)@WY5^$c;XKo z=;Od3-J4XhnGzb@>fZt+!%tSZelOrytY0s*eEZ?9-`!z+G(StFlPOqI+moip+#?me4f(Z}6Ip%W{`Js|t4l78)gO$zZqHuV z8~aQigX?z3AHUXdSf=DW8qx8JUz6;0HK1D7GOdOe?!9BOoqNYPp%jLSwX-_DN<%Sb zs%m9C4Bva-fK7w7gopyaH0#+Cj>-<_vtfrJ{AN0!gXzm27URp)FXZ;zAbvms@}<+* zg9#UlPvAg;Il42g{4?>>sTZRpLm$$M@vtqPm3NetC6vbvHA0LHqLxw2#nc@hB;~BX zba#v6c+Q(9>1R>U4E|y)L=7^=FL6?xLX3Qzajez9%Q1`_ka7`Rf`6?U{A?(S3S~n~ zc!I4ElD>Y4c4)SwcHp3Ru%&+LeY+h^D?Fogpu?9LvZFN-3I1U%ceF#x)pgRhjd<1V{uK%B zPfKHy=A9#aDXh=aYcQm}%0dErdwMC!$hR-vaR16xGCjVd0Dzb+2i$wY;&%AwN+^h> zv~+wfom`W3#YI1FPhltNEk$DCuU&s7C2G1+RLzadjkP4D*?!>6o$G=n4#?_v?M9|N zdq*4VVaf^*aG-=xrY4I)?K1hgQaKwF#M8=_Ey2{E|_ z=M$0-h|^Ks$emy*IBtjVm^nkG|mAM~h4AQfX! zPAjPe!YAc7A@NTW=vQ0NdSkjUVROE!Q?hMoaJp*t%xaytF^tr%tvsK0NeH?~-m2ye zb0q!>1-Yd~IjN!Eq`37H<$)H`3xKS19=c~7nOu0eG56MD9e(j~&Uvu`x?dP*%Rk17 ztAgQ8RCujxpp!XJ;W5SLoIj%%NExfnui}{NebtB$SmR(ZJ*Y-5CS|xi9xmgx->=k0 z*sjkd4nK0B15-AyN3?D^0i$gk{-?R2yC)8lMfZzKq|IO5cUF4X|LYdzFLa`p0GINAFptOd;4$q@nfPsh z`KD!XF5lv{4Po2Q4z8)?<}GO9qowcEe>4^P6J)7`Jqo?XB3MiJ=JPN2O3%X>b(0Q+NX~df|FaFT6nDh@ z$A2Otf`1~TcN#9%djHv22S3Zp(Nh!vTk(wlKegQbpPTWT4>MnGFSZ3~e*LnRAj6=I z;ZHEVj9#Ts}=)AW@&dt5pgz=%ZN)lYlK}IF7WWmt!CGVMUhIY#b9UZcMHIvnu zG)~H-(_3-(+J_excrD3?h&N*oVKNH24I~EK0Vs8^Db?HaX0G@9l zScGTr8u_nRQ2ux7R48^d5)>p7ct9q>=@5ta=1gHS+E*0Y{q}Zy zyVO~E@4Yr10O!-QnTTtrE|u7&{wkzWJn(F9PKcNojl!6bo}Sw=t+eRt)YQibc`>o z@zPb1h@eX{yVVZ|lCo_i_YE$O-$CQh>mpb8k<)InnYmqawB31YI+HwI z_owTVdR=6`$yID_gD!GOnJc?=QrnE)bDuq&t8nwI;G&vFYJQpCUt9`OhrM_qJ#~b9!&xv~qaM@E8CsdBj&eC3PX#vaPUlaY)Mzu(KAcZe z){yAlat_hMhT|$Xx0L<8k!L^d z6b^fycR%gsb7B9x%EvmV3F+twjzE(6c=RjG`M0Ik<7nAk`jt|S7n$$(Q5ChNpdX9pz}x8hFu%#Sv@kwm9ku?vD!cwgG-?kk`Jgb8}-)5 zV=u$J(kdlKXvsk^QMs*RY|+tvV!a`EQIcR9kI?{murM7@=1ux>iQSNvO?=pY=N{Zd zuo2X;zqLXz+mR%4UKhj!d|DC(m_J2$|9pOWN}50zLOwsB8#zUU{(Gfezp$LBd3smF z;Ri;+)w*Dth>j1)M-LF11r9AE<*?sokIl^2!CXg`sN!FOwRRB6VpR!l`t1zil@;qB3y#A86-W+`2is+Kuge3Q=lv(9$i z3!$8|wa0e;DTM zMVBLTxjPsgZ(n^0>@pSB&_5036w}Qe(bCTWoii`st1m(!y7f9ZBESS;7`SdXXgmA? zp%_trzq7^7!b~<4E9Rr6jP&qGWlj0Xhn#oU2cQ>mR7L8`hp Ne_uji$MfHt(V|^ zc{yKN&y>V|ZD7}TpnKB>+sI`TX@6LgqvfyzI=i*2V2Kc$dgp5-sq<95}`7oZ~qvVe!NVT`72kP?i!=dz^<=T@3WwH zp@6)O{+H{HCUBt|q*Z?!t3d%%x`WzR+*NPIC!e7*=|d^dB^bDv@&WA4UIZHqM)&ws zZK_n+;tr}Ihn}A->pJt+HJ_sUL@^Vmw|te&#Bm*~5o+5z2!OVCnKhvb$gI zo8|XML@6e#o$~R055zf!4iEY@m2P2+UU_|!Ch>ds@s#KOVY$?@GNQz2BUrX;Z;a>J zPbkmTn2DlkS!j^~oPPsVmA7ue9I?q)6qC!%1Zfkz@_6NxX8@N!a;2=^Oj8i+GE$ZL13oB* zj=qL)0rS+=FVu>+-D%@fKY72o>H2bA9{v&tCZk^!^8V1xQ-IpBNko~wo{YKTD;3w> z&JX-{jhev7e~tI_{_g%ojo|zCKZF+t2u=>BYsvb2V4o_s?E=AdLsq&I5+DoIwR{5S ztS7wTPH+JELSlc7TzO2&r~a$i|3QZ_Utix4>G=#dBG-*lANSJgq3Ew}cL zl1(~d7ewZa8iz9s(=yKfAM@I;+nRL*G5_pPTx$hh?GkMoAF(;o%iK*FCc6)xf}?fN+n90(R^;1e{=>019>A8bt7C2ar051Yvn$iz0S zP}j}iNfgAGX!7i7_oo2%rbyqP-BO;-1(#nWPKQ&~TLgUstr2B{QB<9KDn_cy^$>V9 z25pB&yZb<^5HUGz+|&K+4wL}PIr8p~d;QeG5x$G9TXdaN&f~#IX_JIa#A5%y>%GO~ z6u4*MbMd#-mfK-;9q)}_A3XW*?cf1Wk(u&cAObuejm1-gJKa2K#xFn>@K^e*AJ2-$ z-i_`ScHBLXEA4vF%+z$0wG&|JHU;=sYlQ#p()*My>&{oqwd(_%w!`WqcHh^qQ1Z3w zc;3G-QM$|j@tX*GrZPQ9OPR;^p`Px^P!JoblMLvGcMU34xK=tYWfRH>{G-a{=wD5= zeoCrnG~1+L>Nh_(e>%L^Yf5#>_w9bAw^n1A|IRH}nhMi8oyH~OIWRo-aLQBq?yt%Z z`2Psz@y`nX2bfpBsoTA}pE4AqSF0bEB~n8tBt1L(koYMnOPDMhZ#13R;M@GsN(_nD zqlI@D=bXs|rcigMt|g3>dq(- zfyMlptWVkYje5s{H61peEa|w9yshIj@@Q`l;f(hgG;Dno+=FG;f|eb`ide54t-Qx* zDFGk6tX3>*i2adG09a{Qp24lqlYVbYU%e-`{_{9jg6UoQnEltg01vK^vz_Wg*4s=4 z=?B|1B%d^v_g!)?uZnG7%Z^g>FN>6{_JY7`SF}b0{_GHQ$*D}{a0SIVMor$Fx-PGl zX9BW(uXsX*2=J504G#l#YM-O21di*xwuiy?DxRmgk_{{?pN_;U7?}TUJRdB4mMn&D zA8qI}bP6UtpDr@MqGqPl-x(8JMTSowjquMJz#SM6k4pzoJ&Vav!ULZ>vkepN&Lu-7 zhbjw9%xdq!ZoZ;Fz;LMFyFAk!cma_BI#z18W;!sE4QAhO4fhC!wMWE5zLvzjb<|#kJlP?RjdaU2kD;vFR`X zrFu8_AI&j&e(EX5K*bNf8zF?Vztl4VZ(YQ$aOdlvb)6l~*0m&vp^()os5vt#r(`RO z5jiuq*35ns;K`KG;C86kifRRp;Q|g3RY($D!jFh0+PQpHT7FcPC%#$aHgV)0M#f{+ zWFK!!hNs=Me7A2GPXE&6=S3?TckwY?FaK**h&fF#vupCq{gkZ5rzJnMrKYKuoDBsC zL-QDa9m|d4rNr^W(e(_a0&03s@Bj8iTDgLBcjg;kUBRrv>PmaZK5`{UE?wKl?*-F1 zhGWt>UB3#lG7f@`%g|AT(YJE+KHL}|Jjj4%nGp`>a{kmmXN0SFeI=>bSMr3R0YAZm zqd5*+y|(4~bGhIKm!%ML%AUfK%DD|KB+eYex4g&!+dT&eZp-Qi;m;SJRk2&wS*U3K zT9&Fa9zC2(yy^9Cul|Yx5b@b=&pwsgHr|7sp1pE%ZhBuLVL>r7?HQo+K+u22CIbh^ zC{RcwSzOyEsms1E=0&FI_<002q&+Rf-0sILc0YG)3-T@^lqvh0>MIJ2;00WYmZm^E zL8Mm$U``pyCwL1ajg~1dA?G?j%gQTTnYwunB$!R|tZd6H!R9+}Lyg|_P5*c|IJGJE z3>k#xGvuP%R?B2>!U1_#)|zvZt46`KEYnucG}?IBZ3#Y@%=kV;y_xf^JSA$mCJ$YB zr{7(pQrydB&|W1;2Zm^0IjRl7iT^wvDOBP-J@F|3b6H1Q*hs>+-u(&VQ{T38#9rjZWL~gWA{|!foRRR(DJ#3UkH58HnHvb-ebHQ^L(x5uo3kBlRc7-J z*)OJvlRh47`Ec!&7mvb`a z>^S)5rwp!05t~qXf9p;hCL=M>85M9$a?2CEJKcm*zWjMs31;(EgbMJcgMpV3{2DOLGG%jCNQ4p4k=>Y>>{r> zchCYx4E_%ItX83yJo_r~HGh^5FGg&rjC|fsG2h|@kKs-O}*xr``w1H#$uL!xx+NE=sy=jUg zBAe6}_}G#+U2{X?B}&n4ST!99wr#8g{l2D=4;odh?g(R(cmAunrPL)|y z$4xZXve}Y}$mG`hXiwtZX4|{O3sw{<(Ay%gDF)o0;Bc6+nb2hR1+9Hi?#a%dU{b-Q zmV`f-q~nn1YChng>FJV6Pz9vx~pSBN@-7(jy#UL_?Yu9f@x`J&k?sOE$|_i+AfG`ECLt%+K| zaN45%QA>*EO)fwENo{z>+rfbQ;LOt;rE>`#p8)bkjohcBZwsnUpB`2~>@~&vQbJ%E z088elYYL~w$QYKk%>wIY@>M-@PTVX^{#u19s~o0mRfr-4hf=1};tyU-tC+%ce5x$wMOk?%sti zHX9bk1k9~`c~ualMzV$@B1tKoU&U8A*&->lL8nvsyoe76F;{_^fVOGne5ys)yRW-u z>ziLw;}?}Ap7;ON3xI=g$_Gm$f|>HSn-fDB6{g+nd2XEElv@7_x~*+g+K}|z z+i?F|PMeO5$09!OPA*YzG5Y)&L4x3-6dv=Re&=2%pQ~^j=yw)$!HrS5P~yKRS5!|B zZ}kK^xj4d`8iUXN=+$W)rkXjU4|~#$O(Y!7S3E%*!e0m>Ii#>uJb@dTEAFo<`Rge( z6o=_TKrxakrvwr`P`M4Bt(=POTba@VVB-jARzhjvczSvb7c$YO6N0Mq@vx4CN|ZMJ z5Mq4dJWOri*F`}42gim))s7(&`3#GpM(G*w3}cIO2`Nj--JVa<#Tk2=KOvJfsU=2c zhZ~kRQ(#yq`r7WrORI6bcG6?~v;!zrE zv8%RNq!Z4+PN-8xEm;YxhMX zFH5VYx6MN2GY_n0C|mEn@!>&QDGEgmnJ?P)j$hdy>?pUVYb+2;te?d6J*+1h8f8NC zsp@t=xad0iZe`nN1OS)CU^Z8!=Q@<5~d#Fu2ct?K82R|8D+QaoNwRp*w$fjw${ty+~C zZQ{uzSnLYc)^VwXY6^}|)nKzgOM>Nl`ZBluO{L0{w_tUr#G3T`ba>|WBrW>|mzOuE z^i{}?DcV-YeQCrS==BU71E%#wUggI}IADP7uG4n^hK03E+eMMTuEet+tmY7E)D!JywY0WYZL&sr!~OL>y7%7b zx3=QTF^v=Ra(@4%EboXe*t;zime`;+t`inSy}7?VTN?QUZ%E7G+7{Y>_R#Jw)mtIsM8p4lzmrm~7~t=awfx)w49WO4k86>6lZdvij^$LY^7jg41bLbxRB3D47`XY*k} zQ(0Uj1#bAs>qLc<g#Q+KT$vA(6+srVS9M>#s#K`zZhCLl zo@=c+#~5=gPD`33{synA^TRi6?GeBC=wUplb+D(m=?!Kt{5xC1CeZFK>1yh2S}tJNuA4ZnD$BSRo&f?ghTqM}bc zt5H_$DGbSRWA=1&;?`43-sz4&rt2ZCCys_p_pIP-=58LyJp#JRZ7Djx@d23Sk{C45 z&Icl-Ug@yOAr_I32 z&tHVWJ#JuI(D%y_e0R_B_#}Au^|4t zlGh_1xML3SIt1jM1_a#tZdnoi(yq_X&hhxD{VwoM)Hf5#Og);O?wABSE31yg&SZCR z1n3+9=Y@fG)s&S1MzfVC{`^0Xln|9qk5M!X3|v+7d75$^8zj()AVIK#HLFv z>!6pLF9z0;MiPJm1Hyp9)$y>5H|q^ZAU3^1$cJRs<+q+>jvlK~FD#N8MKfDcA{ySp z(PTl|YjQR5cv@&Epp+X`ZFcn^?A;Cz8^m&vY)h5W87&9D{ukQ&W)Rivdk^e#@_|<) z0CVJFjpfJjf6ks%*s==MXIGfK1P^X(3b}61X>vT0Y)t`jZps3Lkff0NUUi<&YH79#~DR zqc|3TT%ju}R`+j&?(Q94+%ECiM=GoasE1dr93iisAPaMIODPB)%?wcOd0ma=&9MVw>i(A8{^z-I(wUr{7 z;~bt74=IcdnQfw(CQQssvZ7e?FoT>POLa=BXVo7#$_sy+hu@y!-SK&~iAPDjn6&&- zF;V{nVw`XPsimgW$=HSmax^0c{(*ii5PbY-()M|nf_{afJ5`M<2Kvit?vMK+|M?%@ zR`=>&P3&xgG;3Nr_4rMKi!}Or-0dzFI^$iVhW1`)R*Q60qHpfM7FjxCvQs9z)L?|E zyC%zczf_iejoOu5cgX+lVlFy8^K-l~g|ErH`x`%)>lUs3Zz2{2N6f(@t*ZqHG`;CE zejB_zulno4sj@q>@?CYP`^49trKgexqwQ~rzA&F6ZVn}-1Ru?0(!|F_ zaJ0j@oZ^R6^9SI&=*s>0DT)#&0`sK2sE)LZ^S4K4s;W4^cjI>(9qVz4@ju_7{=H}3 z!|(%_!@A5St2;4yh?dXhWVt~katX;4T`0etl%>euUsYs$4w9#PdU^Bm6!O^qHXzdx z<17y2Y|94e@7r&Q40U#ndOMeY!_ybY>$6H@o{=?>}bR>m~rPbjmggJ5U?)w+|TC2E*y>RR89a-da z@aDRlZlij~e2qmnF@}i;D7fAG_2zpi?Yi9{ECcX}3QQIplB~ThUgo}PC(u9xQWM|; zN(f}nMd#P{h*k^^b|{?f_kxOR1#pl`+%nBvT$dDii_YzS8?VGLiVh(@mGTsu_>)s{ zG=+@oXGyCv{SR0uz*iXd1=+|1w_Kffli^?{4v$e)876(y?O0+P=vax%@@cr4xEP+( z9bbX&P-M9|92Wj~dB`f#@v@Wk(c;dvM4M0dd~?C1o86Mz{H6Erfbb$iY9_JoT zS5gx3oozxZ7CW9!OqP38j*tXlFU2gsd0>Y-Uu${|-_TcNtA4~p069zU&!zhqAos)d z6fY-46;+5LN=^=|muHu`n zg0yCOv=92FET-P5JrT(x3_Ru#fhzH4JQRCFv_dpwF_S?cU7Q zAsr^=TJV3K(!_MOV8AP`pN8FcXwD)zZ2b4Md8h31r-yyphERe(o{%7Y!-Js!elAOKJKE5-t!sLkisQ@aA^BxGA209OrD#Rf#B&Utn9`TC^Lm4<6a3$M)wfYo z6Z$ckjo>QM1s5>=qR6PD)fi5<4-vSlr$WoO<%h{emzTLVY58OlevOweV>{g55%ncx z4|$vg$-(vWRD~z%6W@9Q&WApzUsEAuUYK|N@*Q}25WZXYRkw6HxbqamOzuw=Kb}I& z5{poPuyfxWP5YFqUFwUl966TGGa$SZd(UXFIE2T(iHe{(jPToPwaaFQ-NCr^ChpVj zY?E+U62&dj`PFX@u({>4TkvyGE>{e?kfDz653&#WDNoijh=)@-e?sHpS&G9S zKCevBzY#oM@OV6tn?plGSALX4@!k6N%-}b_B6V*|ii0Iy&LP6N5M1+QcLs0357snZ zC~-zx#~HKc63yxmA2P%I&_{>cIkrsLV7T5k`Q)YiV5jyfkxTEsNcG5H_w~ z?n$!9Wc2i?80dA}kSb+6j^gqV1{A6I>wBf5404$@BlnP+IK8zPFwd>Va@CP;7IOnp z>u%m%;RSw#Ge&-8xh4zSwZ~(48o-pY-_q^hJms;iZ5SOGDZL-69(Q)I`}yiMyaD5+ z{C9*0>=B5c#TOUtH0Z2s&RFeh=~>CHKEKiZBC@=QQ-7%;bK1mQq22tE-_vwG7aFv! z&117NU+tt>LCAl1Y}VbKuB0G)!aabCo1BhYBc4c@G<{_pjyXYdtuJ|NgM)-0+1jOY zzlaN>L&Rdy+_n3 zz(fS;&7!0%Nszu3J`-bp+QmRQ04xj-9z+zK$sAr+TVH-}75D(_OCKLjyD7M5g=h4Y z4WGmLvgF~b0Pv?}TIQPC6lpbC>pEK#fy%TRT&_x7xZl8LauHZK&+p23nWnDPy_RL_ zL+C9saJTYkN%t2tH*t(;HV!eCIc?qMWwqV;Ev-_~ku=ax8=!D&LW3A-Rqd2ytv9M5 z^uyYh(A*w1lv`*LE;0IVUc4N7NHk5lV@tnc&P{gSPHG|jT{b>sk5QB`hY?En5sI`+ z+sXiq8T5G53h~Ig@$vg>Em2-qiHj5@q7}98XjF@@u?mD08oT_C2MhuivdF|MLfbM% z&mr_*k2!gr){vf}w8~g+=chCmz|G!IM~~|!Oi)V~rIAxbXYt!6*+s4|L{!i7NAzqd zK!e7H@)Y!MZfu(=hlhGU@zBh5ratt?n-a}u#9GY3r-ew@^$6-=sadr zdWtp(BpZ1{kY(>+mwYo7U3ToZFeFBd@$snUC!B106TMvkia!?$$b*=EW|4JnyCgEJ z9et|aEbFxM(wrXO@6Qf(?O5{47DxFuml74N*Ng>;-G<5W8;PkTC63*6Pp>;Xe&P6t z-p}FiWwIT6!OEZ^HP>xPv)Wz{#0&z~G7GgQbWN8`4twI}uWuNM!tTk0c25c0nZs8- zQ@PMJv6X8R!rC-1cr_AFfffo&)k`v>JYTgcQN9uq_F^3NJS0+M6krJLsPf64GzOGT zn2cQ;^r5=!uULZQL{u$wfjx9zqF@po=M_UVMqjN!$a*l+46CVT{blF-r?$clj`Q+G zk~6Y=uLk@6y0mwF7-%2UYQ^AX5P#&yf%9fcn$|1V#|8(6d<-USjOfQj6zvPINr?;s zgUOovlQ>`)eL0QM#{3&T1|{Hb0B*HlscnM-VY(lp zkV-5rUA)q*Lx2R3>Gd<5imB`qmMYAcPug1g=pehJ--~`1*6`e>W`u9J-s@1c;$ZwL zUxXD0JL+k6&hH_6#$`L_c*>6_ydpz!TKFNTQi;+teyz!xJ!g?h6bR{*(S(F0Jk`bz8|~ai$VqFqTEa=h3XXbFL>*nNZkl~4^s&Me zUtwI8llHosuB(*BK40{RGah*j(Wl0GwZI(KN&sdl&zG@8_{a+FDOG6Dc)*)6PqTq6 z9|C@Nrrk*`)PDx491Qyk=}S+ie6R&2B5^Eza3T|(Pfyo-4_WjZd1#KFo0{qMl58(B z!xR>Fi=3QBDd(1vFCPz=ClFrA-A&!q(Ch(v3D^rGJ$6cU=T1)6nr?J0Fd_*S+ct;U zGV(wBCb`Go&bK5;ve=`dx8Wp z0Jdm`580U!ir_2N8lOk|MA>m!kE?z+g6FfD2et5*KdGOXbG@W4flK%6D7HroY0Q1L z;d_*b`i0JR$3|r?yFWAM)b%2?xAhLB2nRlIMixBaPHTax`iIehTF4`kC#1QZ$9*h%!+|jn4#$Q@t!qFjXM3;jHg6E zlJaNNGL3;{U)A*r#>!%EiB&5{aryqC#qm%vKKa*fLfK`Zd@-Iz!zibNq#owz2?sgN zbe$%Wfk@?fpVQLhWPuV!Q)!w|f%6HrEOo-;h_qCsB~j!aNu+Mdr`Mtfg7Uc|nuncM zdGTkr)Kk2kh8wz+9GiJjE&(#xqtS=v5b){dNc#%=ICI4-kZhcg0J4}s){kEzbE8T* z*uuwYh*6m&q;8>o%OHUk1njPcOBA8!?_evbu1FRc?eKPH4m%s^FHViOID>n>)QwV$Fu@vw4yp$Np%xh>mAl zN!OyeOmmD5vBSZwr`=t%(_VgZH@ z?<$$hJi2%4Vp@5}35-qLMfS)}v?~%M{4e5#Pd0!~u^lA;gG7a(NZe(+1?J~>u1#5N z6uSsWUm=-`Gfl*K4kzFbnE1fZA<**lyGd@%ZB6L}H7maT_ti}wQS~0fI`}GUV7`d| zX>H}xC-%*x*LshgDOIYH?fxboq)eBphK!d>WTb=|*?u70+>i2RqbxtIJm%Uvj11BL zaExmxDs3s<0w!N@7#?M@R z_s5S9EwCNv71ml@`8z%};F6%yzZSqHWDbRh2xlwqo8bjFUPMvx{%xac{QM8+!x2*! z2P*T~e0XbV+{P={l}t6}3s2+NQvB{sYW<$A*HPj==6^1f)34x<`TUR4{!l2Vkb|Zu z+h=?Nq>Dtrz+RC1981pnVWs(^I!=|q?s$B*x3hnkE?*{2h3@5ytdMs|>2H0-JBQu# z`_C=`)TiR;49m}B>617rttoi3l+HKDn{>;k1QxjQ8u51YQbqFXfkrS-{?d7+;^wb2;-1~Lbk*Bv&_lp1e z^xxmBE4^Ivd8H2&WfZJFeQ>DDyex!7tCo4f8S7bJx_5kCXkyMT{N1pV^9V_yEu6}@Td(nE?gZa4< zw&B@N-c=aqXirZR9Ig8$f?BDlt}OUlKJBF`t=l#i+1%zk?0k3H?+wQM?Dn>M8w{R_ zy4kE=?ZeD;x~UVD)mcHZzH}mUv2iKOpt9#?#);+(+2)x=3?u#v0TR_*O>K8Yq zR{qb!yblj9nn-vZS`70_n!uE|2g;cc=6MLc7dac5tXGomc+clLn|aH=Z9!nsKDa&} ztYKFvTUGi&RWTp-&*T^Rp9u>WDSf#g+B5`Naf2!Sw3$XXgfMR^SOj!i2LtN4JoX^Ni z?Dc6_Mcj`S6K+Gl!IbICl932|qX1H#p;q%?No9Z#8ZY8Uk{#v4jDy zYgLKQRkL$q`YSWJ>UqE;!f?6M=hfCIH~WBAWu=M+;^109Kf*FNt_-L}}Ztc<3sZEFWPxwucOc8eZAPSZP8FD({MTi8QsBniv>EdKy|MMH+ z%nK}pfLIR%05g1-EXU{Ipt)4L@F(p)`k0w1+nHmp${w?KeQ@BG#DM*Dw+IV!i7hjB z5EtkLEmjHC@w=N{hDPe%ZY-iVh&J4}i>DCY_!h5}1o8Qw_m|QM@Q0q7Lo~=0I}(d;Ks^7eRBt2sTdLFW+5~qnR?}eorax2%axe`H35#nG=nX_xlo7=5 z?h_{A;f{ia^Ii_;1N_CN7UMo&c9k%xzE4NQ2f&nVM6(~pG6%~?66+0GFTTKE=~k6X z11fjCUfm+5$&hUzED6bxPb0bbP0hFb-lY$H3Sod3MV5&cWF`B_!WN zEsdOLP?SQX$2?Wx`KvJ5kgv-N=vS=Ymt!qTsAzl z0iFATp}iGpMy8EfPf?`#53+;u#g|s^#5KWppx;M*NS2zhaQp#MZvw`n*J@ccaS4a&j5SVY3jsD6;Fw}%XPgfr-B{9p$liC?~|>@@WB2_OYSK}p>nFKT(Y1Smo)C|^zt-o zNA|wyml2>ut|GUgiR-_^<1H(VP(<-MS?_J}v+kh{ZRa87k&>F}(nCKOlT@`vEVjSF@WApqLAk z0cvv7d1}4x`aEU|q)YiGQoa$jdi(x}#vTpM%J9N;T&o%fIEZW+sn-Qfi9VSvF-M=| z)H=flHid}FY{01fpECjg6BNySO>l2G`lzS%s!T<~KYJjnA&^TJouAWs%P$>I|DS<0 zkOE_i%x`3pZbwkwfIyv7^o)^+^&~J(-qxlVeuU1)yf3#D7SbMu9F)BfCjp(Efb5(< z@X->scrw9r-13yllP8qd-G9keQB3Jvi2Zc>({U@p#@kx~_?s=FAswQz z{b4VrP%neHm2>ET4MMssQvyODJi+Y(t1=YF33~9n#}S37H3JXA3r0_dNI&0!C}$FM zY#kUjx??O2aqBjbcl1ccPW#)K!(Z-gumIipv#l=}3~g-swqx%-S&{xJ=ybqo$nqEo zsQ76{@^IjeG8#H_iKd-Yh07%>YrijVqd^cve61vne$}BL46sCiK#%VtDK$cw z{~@8>ME}i?=-Gsg-SXpR0R76+7E5>MjLwRmNt3$8PB=940Wc5AgB&!+6)9{Kth~N+ zzHovV1L~bF1A-yu{>8D)Luwi^gx&87XH0ZZp!yPuKMpA058>t@yb?(VAf~H$J`P~ZEJpF1Y0bi}n zBqZpPK9l|QXrY--H9E`Vwsu2*teCRs7S9k7QCb!eI7t9|(-+ucHWmu;(z5!u4gERo zIeBgRf+5<32nr2f>o0z94A2y|K^d}0ANAf?4i@dA_+;kFuH-iU^bZkt+E%g;P6(3v z>GA-v7`BmKRD+o%2>Jy;dXn@Pxw3i2oAZ-|DZ<0v7YbR{JA z0vA!^2TVX!O1}arxm2YhghUiYd<@2DR&rX=!+IZ7zpPIA+($lo031icZ>hC=QUHDS zjQ*6ZYT6$yU~q3EP&uJ_7yEjDgbCu29yG`Pee?Vyv(KxbflUo4m%CvC=pN0LwhyQ& zJik!yFhm|bJ?#d6)jpAKS!pdsl8FPh)LCX)5bcvuP*RZ8L8E~}ZG#e!NRCg4+w3&A zrKz?p6LFjaz=(zHBO#~7N-aYW!dnLyCzhU19na?luU0&mueuE?a&=Pf#+gM+M$3nH zm$^{-M2 zepx=}W>CP%+m{qZ7;WouxRdNKDcnVox{G&qeRqvEwnitjTK+{5Ij6XprBQxFATp3c zljx_ON_G)u+-GbkuIfQj1_3yR4QuzogIwzvJnT3)@hWri3x6wnZ6|M2_G?@Hg;=*! zEJQRWscs)u*%(0-DAXgT&z4pjWY%8gjz4k;yOMVbu5cx`1DjA;;>LU7NnhCq^)&E1 z;eKyX>rhUg6q1juaW-jmAp`!Z&tE|xSTaMjczpfoW2SETq~k;^VLDVJ$6Z7-?7g8m zWSJSGKxC;E9OElVziYQM6J#!QK7wJ~Ho;!fm|`~(p~3>9gyzzm#QcW7yBZb?b5lB< zgh*)a?*#>wd%rlA=FjCwR4;O&Sqow`CCcW0OG0vHTaht08>1lubku56vFLd9s^(?k zMhA@Y`wDtQ?s*?m&xMkvGe0*2h~L{y3*U9i*jt`92KFB+BeAE>Qo1Q}XHUlnS~)Yf zTvO>K%7FE8X76d-&4!GE^T0|&>=YoJR-2g*m*sR1)|sy*5MD(y+l{fIhX;mi)@J(e z8Gp@hUCy@j1=+daC!YdoQztd`oQV+z=3M8Gp2+u4 zy>OEaaY{93eMPD5KuRMgImYsw6`R<7;ipS7TYQy(SDFgxIjd^$0si&r@drNG1wA$Q zbFALbExoatEK%k6wZ*?hH-hd<&?}(X6^+TJl+AN%bc@S5LKIXIT10e8ZB&cu?7mLH zl6FpM_sG|x-yfAG6`QEljMA}ZZx{*ox74X1Qw4zKGfqeEnW_r?6HUuix6OeN)9E!I z4PLu@E>_ZHq#F!p-NIbzYjBNHaB7LT6P1x(vocyv@;Ce8Rv=+##q>0y!SHC<^r)q6 z!w!98(!wOZsfU8b#znYBCD8K=05n8~l9JyH~->!?p*AW~X>VX!fHD87XkcE}4 z)hp+(ur`tW-iN6 zA77A^Mn+r#>I{pybs1o3n%q)>@G8)KzPER(&-&zM+dmql3$oct;IQ^k{@eu(i-)MWx`z{Hi?>ZH{`K!S=sx>M4c6A7E z-kKa!H+wQsMMD7%D^Qu*+@$WQ&pVU*HUk1wAk=r|SAEfU!7~nL&zX^#GH){i3&{YW zY(zEoJ)i!TvRk?Tj_W^yQ2+P1{#4dFbRf~k(Dy;KqSR<5?NDz+rPmvh=FMqaNdq?$ z!OwRk2(V)Chb#t{*(nSNV3Y9!ZP+pFU9bV?{SIT>0UB#|Ib$)-s}Q@lF1*vZ`N0Yh zUK{d*$fc;98eMCKG=jbnxV1(d;%ZD!%A!EzZSY;pKQQ%bm|pfK6YIMZuyMt}U!pwL z?nbS-qOAuZm?sj`d}Qu9nW5eiME#Sx8ec7{C_IXWkqRiVeNiw-T;ZJBRHW8@?9MJ> za`z(KJ0kaPh-%LeyM%0I^QD=8lJi?^jn%tt{cj0|@`tj2VkZm4g#EnI3-yUCVa(Ec zVL-~_y{O+xeqlVE%D}L<+9zB}>CEcVb0WRur%H!xTjrE!{f^_WJd4pqHCt{QV=rjk zuUx!onJFfortbvNeAFB68f0LVts_&^AMnjFQi&0?OCg_U=u%nT@9I9CwX^lzKgz9V zI9`o)tJ}O=6l&4U(iJ2$n<%= zsV;1Ly>_1)tFOJ}=3Geqvj!0{hyN;ET&oWtA#qH4LfdXwa4b6#FTiy6^-^KB( z{e%E1PCEcprKkeSHAFt(9<`gEZtN|D`4`Tl5b%HddN8G!z{h7>Y&b_>(7-Mj+gv-v zz%ScL6ko2r8}oMAmwXJ5`+#{W!s3ZZJ>`8}&_zP6zrW^P=7TEzfua(GZ#E^sp63!8 zis7Oyn7@`~=w`20o$P1%h~J*BSs$OD16-&2RextWcOJYZTxdwgm=ZU=;%e$ux6s2U z{gvJ6>(gO05P&)Rc}i}fWy)?o=N$LN)#`hV+{5QO5R})s6d+HFVPAX8XNfSY%SQo_diz6QyGyb7(Hah;<`yx+G%%Tbt5fC;$vZOa_ zqe`%+;W)oPw?{{?pl4SJdGpKvc#1&bSf*<~LfOc=dB(GAzPvVE=vnGjbx3d0!IBw? z=n(zpmf*5P1#FdEAkPeNS8QK(ODTv91TWJGdQyD) zB2!h&g}!M^Vd0acjhCmBccGC&HCV%P2ks0YgO&z{Ux0mOOHEq};B>jUmvkazkl$>G zX>FHeUAO?DR11nft~Jhl7;ewsOxESn#_*z z0s}J0Lco9kFL_Pf`wgp}0hihdW%YoEIIX%JHDWqcyv7@z{_Fp8eP43*>l@j7o3(7K z6F{9o^Tiy8-zP~{I~4W9LThZo0UC9k@KHUdiR?T0ye7PUL!)NrBYoUyHPw=dlb&B6MY_|Miz)n`$dQPMbj6P09}EmJDdZoy)2vFap3J8^wP3u`cmAL zJ-UDE_Y|)t4=fSs+ybK*Wq{BV7QR>E6AJE_SU2n|)y6mgC2*9p0}I(>OBnN${W{Nh zBba@rqM(7XgrtMmZ*G4>58jD8!P9_Iiq%(BfLIjfR?^N~&RVZ!h@v8P!Mq4r80yV* z$8IK>KIFklG`-+~Ck&_@zwmB1^@pgBi=Dd-o2rzSmwf6Z>cNd8D&QLoM5ip#6dER2 zl`|1{afrL9Z|W+9kYG{;QMP{bnlC*PT9sSDY|&A|_^PCD@4u(e=!PQxGmm3EY)*7~ zhh}`UqXWNp+b`djbDcO7kLA_aM?JZ&4GhEZ6!JW{lq!%cp?|fK$4m^v4zL zpD95cqyehjp zWruW#0~{tlL4rPwXl#uxK9`YDN8%`U1kR+_$^d>TJD#CBA_y}uOir2^OvX3z#y7l- zM>vWiwUAzH9gEp%P?g`>2m7lJC^|KBxkA}DqkO7&5FC+0;>gvvIy)(*&UAcj`bD~? zZ%rxdj9{V17Ml~fJFq5Fou+oQnjrs7}mRpHf<&fgClhBd7H!# zGwW3+p`G39PR%Vqp>}sTcMA|Yc-(HRd{k{3MSnym$nMgt!D~MM-_d^y_m8Ng#fQu5 z*NzX9NpKaaP2h|G3-%AvCKXf^^xwm!s9s*LGJalUG%@sF(IR~c*}jBg+8RQ=V-99; z876n$fPuc^f&nQ0BiV_>yCUitl+vi_JnlJBop8s;l)-xga-7Tv-ygB!&F(W<;0fT4S7;H!!ukW-SjZ0yW~+xRmGX!gq+sqSPGH*9f%T)ecs&B|Z<6AnF#aVf8h0 z2ZyBeB()g!)ivdP7|g&ZF6M0lZ1!=G27#KJp}(fj+o9ipN|)VE$i!Naox>+-#Wogf zh&Fr<_=odaaxW9|Em8l>M+-Nwm!b1C@LbNG(wn|yFoqQHRD6`G^nwNL(XVUNUuK1j z-=ya5 z3Oopd1L^fu7JPsz&+70vx7axBtLQTUvmaHuH*y8w3oE(X>dSmrou3_}-F=%QIY{{% znlZqr1?IgCk`V>C?8gK@4$&6}|3^nxU=OjI?id;=(P7{*`(N~J)P3vUa!RfSW=Ry1 zSJECEJ*xN;_ICK)_FPHJlv)kIjn0O*_%~h}k#BO`Kw&uf2gcK|y935<8G z!7lFdB<@M|_qBii%UM!(JL~XC65S=;3LpNrH+a`<50C<|bLGTp=<7FIBO1%zQ+F?_ zq%Vb8On-K)Lb;(m4l4xnyfn5)$o-4WxVvB7mQ$qSvD%f!!v>ToJy=qu?wu6~VOr@Y z5QLA9FY_1`?g2@G_%U219Tp~xWA((KmCxiDR!QnUzhEFWNr1~we8|i3G*EDL=M1V( z0-E1&b)N~T&ZeNh>6_o7(PuO-z_N{V*|l;|RXayL@0TZcd3NYJv%d_cWL48EUJshq zXH?3c4&EqSS4iD-2>n>R&g>9hnlU%IA>ydMSn&#_uXLH&f+hWmu0Sg{39Jh~xXa`LT4Q>zg=hM* z;N1)MliU9F2*A1fym)2Fj$=10Em8yP_*AK;W@;gzgLu}T9owEcPSyVP!3Ka=sZ!l< zc5>dk37ZkB4?f-|NU51T?b5|I*q~fLF7etUsJ&(y$h;&}nYM%zZxrBbDYMHeJFVB2 z$Eu3-n4qS~P1jwD@sb8)&6PgyfdLJ@=R;x)TFBf$+&uc95XiJd$aSJ|a8|fEP>n~< za=Cyk{=((5zdzTe3dlR^T;BuWlBSOj4bl|(K!>{T-Pnr>_3F};=383~B(3rny+595 zQ}s^rp*mwxcXvnPiMjcfU#$#N(s;-kz{|yn`7XMS86rD;%S0cf$I=s3jjZEoNJuvn zc9oftHtuE2ZEkF$nk$SDCEs3P7~gGaxQ5UWA6~`v>OlAl-}|c$`fWCs96M>ek7!+m zdmr}xeVBCC7iz=Nv`2trDg^|-p)HPYt#5C{8P1k`oB^!OJK{@C5xi4XgLlFHdaVy7uT2xW{`5}`aGVZaJ7{W>O(XYi;PpjT;zKqydW(a{&I+X#u z!zT^%Z>%RYeuX;~6^P}_)L#yPk# zZ({~T#N7_uaoPpyO6oG>2a2(#mhEm_ERZLoUz=?fk7EQRD2J<>|3@>cqH^fD_8)n? zc@@B>j}!g)sHzt2@R+}m^NZ=w8)~P*T3VJ3IiUHK5Ht|Xp=WwV`NjO^SEqVRe<%Sd zmJT2gc6^VR(9#^jQzR!h-r^ZUL(9U;SNx^f`83txHryjhhqaL>HP=0;0q@E34#Xc- z=M!(Uq(};=u91*-yjFpe0wk|$A}Y^4G1@~kCLEB{q^*N4)&YRb?e3g~ z-ERKORa#|OnjXl%x8Jc!Lqi!@%*)B|5<|Wb5pkJs2?@$P8;W?h1)+D)6T80f|B~tL zuKqWsH$e`C-yPG5xSBy2`c_ik#g5hXz&U3PE1k>4J)hiRfcXhf{w-#O4lf23B|9_x& zVL^DpkXi5EdG18)xSSS&ewhrjA)PLFuF0y)tM%A<0vc2R_Wpo^)#jx-^U_l*d3E@X zi5B`twBgy+)l-PF%ZA} z>QmH}>)OqqGf5PD5MHG`H> z*#-X_34QYIfUSHe)Y3bDe>X@yANZR^M8!NBvk2BZG(P-Z{5C-=4yrsV*BqR?15D>N z7`IPQpozj5;LrsR!obH1WTQ%Clj|Kmtlsu&32Rd$Cfxj;4<}Fow-7-0>5rC!fHu_d zoS^@zvY&#pJ|*+yh>Zx(Q^C!}wd`4ZX>vPJlyKoq`9 z3dbLNd>Xa;Y!nFy8GVThC!P=?St!959)0(Tv~?ytt+Exg=Tc5&RHQ$TKG@%FhPE_oqnJCkOn_sOu_(W|Ud(RDAbPMs5)cKGH5 zU(2qvAqkrC9pLQqj}~CLNva_n2_Rx*kdaro2#J}nLCsF)AG4CJgI^#7%-zS9_(|Yj z0mS`((+AN*(R@^ykzs#$_2Tnr)sU&bC+l+y*nMI1zgX~gr>ctXR8Ih+q4*5EqS>k2TOAVdBSj^qD3Nnf(b|kQeVWSI?M&{ir2@^1?x0&b4UoWE z?^T}{o@T?&`%8!MOg5eo&W}4Y?fxU3-v$Ri@ffVPLKoByMskV`lw2Ta(HHHFVgfYT zes}!wIvYL@uG@Fk14q(4eGP^R6DdB{sQW84r%iODC6ad_vHy`WT}SHZDIvLzwX=Kz zZ9KQdE+}Ot?#~Cl8dn~VWL#D^Jrfi25`YMKvlzj*7A(0?S?U6193pUly&pf`DBBe> zLWeBCqLxMu>|UEN^v3!7xq&Fz8C4)5Y;WmPQpF7|g1HY21wR4x-nBzb?I+bOG%Qoc zo<~ZqyzC{-cS7+gQnYNKHEaxP*e?hy3I&=P<)kZ`wf=BL<0 z2K|DQmxup&hI^p+V_L&F6oZf|?b@!c_Bz8-Z?Ga3-^U_yTB@)5||9g4^|A#DDR7Cn}snf3pQ zVfoh$em~N8e#j3@quhU(&Dufbc;w1!z>~HG$?7cH&Ngcx!5J~8^h=*^34|{V?LZ`t zvFB%QE-siLL)-<1_d<2;mW#`a@C3;94_O1c^JkU!VFejN$LoRXZXrvUqN>>Drl(|? zEvieBQllh^r&I`hFz)Y7&JqhxRj7dzJicgSYf%~#iVLTgPmD3s0RHh3q!ADq3x=^6 z+250F+1s`q6&eN*BL=^dsMY1&cd|8AaINCOcSJK=4)QF*!@f@`*yzA68psZY2)W@R zt*<{vZD?2FnvqA=AF=;_kt1TAOe4trpfwUN4fO_O=JtlcH>%WiqrCuta2L5LRHZPN z0H{9%7>DDGePLRzNX1cki8OEQ3(qTRK&(S5#GdeS?cB{)7!S+osm3 z%`RlIrwN(G){-EEtt}@Ni&hXQivTk9LUi7KXPd#az*p=qRE^CX$6BvB7y6~U?Qpvh z(7thdUopI^*N#tvNb>eNxXeFym>^~atF&uWUCH5r7eK599JAx~bU^ds5y;o6JLBX&$FanIdMgY%zfHetFF%O;EwcG1WM=+b1Suek zD3DTyPMhLeuNm$)3s1z$-`?rSXqEN<)_)vX|DLafATaoyarA0ROC(Gp-oOZ$&Al3S9KPxyTNyv+@>G z7GyLQrdHLe!F^Bbmey#gWn-Oi*?Y8r6F#=1z22C5UNNc!0&ogyOdj=2!d3C0)=b6HLIBqQ+%qG`Ql~Ht*w2v1JKI>WR zbBzrBmrKN}K~ijQbKipQJ}9|V=+3lgw%|Pt(HiXhKR8P|&NG7{$4SG;JtudS;vch4 zb2#w-JpEiNa+!aB`YsyoaLc+3gB7?4)s{97JB~;DANnoRIwgVH=Z`z=wc3x@a{|yg zHiFd|2o$Ir`z|)$^2BwTD)(I%Sy>G|t7wJdGOJZ#o6CHos2-+b&JWwqAkfmF`sv~B zR8y(cUPT|ps>k)$pEXl=X9?~96X|H2rT;&Wj{3+>NAxrA-8NgTmkjIV>*r53T35{NOJMOw6*JzPH>Di)Zy>dKTy96Yf zk?==Wb!YadjOYodD>q#BW3ty0mU)=B$7Nz^UioYEneo?RzM6D zd7mo$-4;Jq@0bq7_-yLptW|>NOMf9 zk&0z|5XcSb+)xVT4M%8{MzQ!fPSprB+2drRZ=M|{8)=)&V5dS z#q$n^DbUdUxVIkD*3y8(&GX!A=Qa0iXL~e8**)j?b+htnCNk_x!|rpHytg!F!vjm( z6B9JZWh$63Yf2@rD2~I2vgLx*O3j&$&);ejb_SI5_0YqAE# z5Hb2(eP{r+_7I4z+x ztffYyvfqD%NrHbvSaPfsNWfQ;^qp%maH9R7mV4>foeU)dLB6gvns|Ld0m$s)rPx^! z$>h;e?5z2IWydd}>`I>n12l`b$5DEkvBHDmOeO9sPyZ^F^Pbd~@`{awgJv(BAp=<<+Xr(P47)UI)tM}&i$ zMcZD2T1ygq0Oi)q2s6<)K=xmM%o7uv2nFhXbeS=A0nhav=DeMLTVR2s8?JyuM zZCtI46F{{B`Xxs7x*Mme0mH_Hi^Y2~N&xg9&TT5Qs@*zE5->Du&j4puS#Am=M@Pr& zoU+PEXLqIECa{Vpnx?7S+y2R)58L-X|6jDd1yr2fwx(NHa1De6cXtgQ+}+)RI|K+$ zLXhADcXuh=C3tXmso?JJ_sjnO-TUtiSd27sd(TGDmwN@fdMwd{1TPRPk*;|2;@a4(8@X8MqQj8t+Qzq$*(`VaNnEGr(yjv zGQ@7HUNjBJKij}a2bS<{H-gysy~XliCvTCph=bbPT-ibD)7*EZH{3mqR@$(!yEhKk!h2iCrIQeX6fe@FL7}v?%u;ROp?H(g= zgyLE7ufku1;95H10(@9P+u~-?)dcL?^6v6-7RVBIx7>2e3{bshvl3GR%PNq5c47`d)w# zd^w^chN7sNQ6LR^g;3x(A|TrZJNd`$FbNoSbp+&2MmUwjfI7CzRojY$#``ReT*}FV zJ&OCrP{E3vbdfw-mPfEN15foI0G+?{T39froFL7%chBcNA##OV&SZ7|r{QgNK#^aR z)O!CF)rPK!0Dyi@wr?{v#V9`N#Nh)*TtF|0II*yqc4a)kq8Z-*qpcoAWrssd8H;Jz z?;Ru5b2?hy*HI_tlU6)ws-ht41vOyMVCj5DT+xl=xoT?p$d2wLg#dQLeyH6JXa&q= z9EhyaJ(n}*ndlShz0YORV9wzL5q0m@W$4t;@LXrtYAv7-ul=HaQmy(5(sgTuQdo5G ze;WMe%b3cE$DQ-g7$czJ!27G3l2{5eMiVgKb?9*9n@Sn=NB?AgBR4uo3q*RLO-S!9 zpJ@Tp-G2X(;!wkFHTXQp-j%OmNlcsh2a`th!K0`K?QJkiXm0 z?i!LtQTh(NG7O?4W75=7USUV5D5)s0x`}YFPyV+%zxA2EDfA{7p*d6Dze#X$)q5%Z7_GLy>d3)*am%h9~>O66) zNJ8@FD1}}-iac6AJJa)n-Iry)%gxF6!y+aJ+WV)j3&G?IGgDXW8mYufW?W!vw^g!N zfZT8`leCcQ^5JqO)^P~*~>SxnX zLqhqZ#kz|CP-GmNk$f|?@8^CfVCT)+?dZUCZ+Bd~mdTtp%(8sd_-(8MqEcoG%2HB& z1U%iYF_W*t3X0-t4*|QNpw|f;di+J(w`sV{m(l92C6s?tuNW0p4hQt{LCF@_$Og)f zph2mbiPtAgd*q^a4I_hp>3H&g#pvBr*Xs1l(-n$Wpq$qOV{UQZ&<}PAwRqbAaAwse zbKWY;o-NA`1?qb)icW&*k!t27i^(bcO9l7T!|2R5zF&t1C7m6--KaU(IU#z~X>6`4 zJxhKWxi2NEK+EnQk0Sy)0!KlbC2qoC;l6H zsUwN9BfLdhT~g_;u%0~xP#b5gx|DaDBv&^3%?gD?14$e#Vl*tRO1_LF2Iii)l9C?@T~*B9HusrZ;rcUQ;i3W zO(P4g%zaWxli#VZJCc1}^x6{l30L$D{4*>ZC1(*ERk;}@p41@K zm}D%eGImKnK8G|OB`)-18zgleGSsbItR^WzZM*8kM%i|#zYVFH8Kw=;sdH2=P9B;g zvYmG;E7G$1NVC1w_vwB=ANGLW^yj?{aT(YEt+L%3snzjb<~`G^DOfA45kE3~-Tw9` z8LagShZTx^l~gAEBd_aGBp%fpdfob~s^fE=)vMC|OAZx=`u)R`c|W7YwSZO~{jk!z z`_HxKp9H+mt>d%p^gR^eKkXYlbsQo>N3UKOP>C~fF<)PFbTY^clGX<%&~FxdM1c1q zs&2%-Nvmy?h>{tcjPBjdc;<=_8N*si8P++kGYjTL&b59{YEBr)8(#>>oQksB_{x=L zH_RQD5Bth}TLD6j9A8j!NHr2@UV#=UP6_4l;)NIn;d@%v_j`9=2S0l~flGG}I2Rc>FjiX=ppqhl3EWof3hE^?7r;?h#sC z-mbG1BT2z+Ta=CxNdvi<~yCk}Im@}!w zit4pf?8nbUr`A<#VCw=e7F%hV_?|WxQ95soZbTCW%4$HrnYBra<)l-?T#FhI(6mf| zVX3f1xE&;!S&@bBYerE7P@ks?R`bLgW>mWoOLfB zlU`2$%x$d$f6V*5L2C}ocg|^_L~Ow%jQM>tJ9XOqwT!4X(IfvYE7W1WGBFvMVNbP9bP{Qs}*{(Fef3vY&Z#h5+@!Sb3n?!Mxsj?fg`l4HS+)aiOhq zz3=*fDFVu(Q7(TlH&4~Dk1|#LCaRr)eClv9+SqO(N{&W==#`RWkPkLs!-#6WYe>F% z9ye#YMjUu2WP7W4AQ9lr0?fo=p6hr)PQN-f(zIyVj5{>sB5cP^H(I}(MV#8B2UVV0 z)7qTK>JGlI(@DlK#BhTDGkCKE{(!y=T-n}rkM!pQJQCcWu)u9`Tv`lfVTP5@xl>%< zER$21ep0jeND>+Qp3lbF1(cn3%CeK)ZD!{aN+j_b8>kALu&)g-`+o1~ix_*7DP+I4q2gF(S*#1)N~}T_iTu=3`gc$8Dez(a%N- z{VNF?VMq1HUX3Rrm}G3!-CyX(J(wVB@?!PiUnc5%F5^qdFV5I5&ro$2)gc8&&KNDu zC^e3STE$SHQ@cK9{LZw{JYNA(ZJF_5?s=TtB=MHs#hZ$tz)R>ZNbJqcCi-fg2|I!; z?XE#YKY3A)t@Byx@Z#&7O#*08sG1q#wcA=^)JG9wW&BYkHg=9e;)gS(?V$iN&<6xx z9`P4`ZT38N_d_Pi0^?2)7ey#r5ik^ewKUVuaC~V-9PXs6A^-{X-n{1z%S2 zpSZb6Er^>h$8o%*g-IZC=j=}Fg@uRdybtw~HU{*HsGi+=U#TvQ8uarx8RQN|moJa zP#)ylv{yN=1z*AEtFXR!W5f14fqn`(SnnMk;J7g&37|)KV}MoIecKu9&ecfNiYJF3 zF5QV8ZV<^0A@@UFzu?c{BZKst?hx9hMO7IX@}^dgUzRc@XYkBB!`C3b*T=W-Af=q) zogoDMoDSRXO&o8cG;LLjISm<-Z+m|<^vl0Qf{jx(MNN2pD4pEukx`gJ8cXFAu$TDe zuMyTc_q&Q7B&bjh=o$Y+hQL2@q0j$H{q?jaw3DH{PoM{>B@j*H0^v%P#uE~l$R$fB zRcb^=ohd161M|7!RIzvTJFMr(rS1-s%~H8Q(S8R(OclVWD_djX)jImc%%(Nt*=Kz* zw&eNmK<{$kI4sz4vS(Qzhhn>rc0*WIa;b5 zV^4>AOZP)D7XScwy!Mg`(p!moj^*)>p>vcpLJSnjG4b^sZ7{@iBMw3DsVM$ zUt?<6gwAf`bw+Q=55h*^vw9;zHuB&X=pz(O6%xW%}Ip~A`+B- zb{-)D9-Uw7%w*0;N;GWsm@7t3Jei>hWX7nUO?bJPF3Eo^L-waDSQ4 zXqH^4xZ42vPDtn~Fa!Ge250-5!T3|$`$4;AH~P>>6)MUfSl`vD{aSv{0gIUJy-@FD zm+id2KM;MMO#250k1pR}O+ym-yi*r(BsA~nF5QIZHU={StnPYJOw9<{%G2*`s?25u z6v#bb8Mn-sh4NoHhkdt+U-f=vbreqaS~~rAhyp1O(PHCi)w}!#WG^{4D`6)5&R^x@ z77x92-Q9$PAWQ#t)Z0Qvg!Rf(1oOlh#xoBDL(qrBYSh z7fDgW!JhA0qZEU?okJ0sJ(}<+l(NKQidImkH=!(*T{Rre|iC&J4ZF#KKDDXjJd5I%i>1D`47$ICi>KfzR3Nc zNF4SVn(KF2E$py?$a9FtP-N3W3ceHG6}tDLOHRS`|E9CCOVAq}ja z_|AKoo7aCO2_GjcB>-&;~vf^39p%^rrO2+ur zar`-N0s=;Qixl~euj^*3rY5#_l!c>DcV7h6a& zA(fJ-RfwiX&e>T?XU{pVi!VYCj*q>k4{O{xP+3~a6-g=5z4SuK(*>?I;zQhfvoXdz zksF_@l16_Go^WroXNZDGVnWoc`;3YNfxU>e`)d#y!mlA_L3i35uD%WuZ0v;c?h)rS znGq?5CCPgF>1{pX>051$e0QaC1fbaSjgyY0;DG`=Q$71?H4_)d$R1=3sF+8j(@*I z>hn17r?}bS1qDLJCR)0Sp$KoKg_k!;j>RZk?+q3fIgmKB_1kM@oN>P88_)>*C%?ts z;;d&aWml8Prm`2Ld~Q=_(ha*LTE<3Xzh}ezo)?7=X%KLK78d0P*G&T}A!iyxak6BF z9(omHR5-wwD>1IkL>ii6%P_yLFX;#QFwkW%v0zq3pU8(L+Or$>oQXR8LOhK zMOe|aoPP-?!}X7l?6(r>js(;VUhM|LWcF5!r9ARuo# zBlo;UI&@^_eJ-tg(QCVlb{HHjVSlr2Hj|no^<67ZZDy18Uc{K!#|#&np}KyciJ)X) zRD$1Oj{MiJ2|wV7%m@4({ObJYSFZjV{-AWxSjC5u0M|g^Pcl@r_3_KSczgzxtIO0? zuC%^B;t#d_M0JG&g>+h%u(ZnYuY3(akjOP-(~qX&lO=PXe9ALpE94HA(buaLYFamm zsf%EWV`C>5C#%;|yhTz`Dw=P4d2GDtc<^~R%UD@@X|Y?ljS+Co4wPAk;7^y8uM--p zH6$!&PH}cW$?{Q|Od=9DRNoZUaNe)k$@g#PORlqjzaAMB@zL}6;ZMESw+$Bz0{tp^ zqvMk%!BHbl+w|?4nKKatd*4zMbuhZ9+oeb?#@DsG*QRZ8Ky`+KRVfwL^fu_;X+=ka z@%uMXIE>REM>M?4nx?mUcCz5@y$~trjII5vu6NZ9y!%?t))7(o#P`wONixp-7$C-o z*#e_)U~3;kZobWpK^RcfZzsH_X9mWC&VfcUyMH7jRCHrq9CD2KlgY8;7|(f^vSV4? z3>3djR8#WaM23K*Mrj{@HB3JY+pK9ZZCSy6Yw^)G;q{7pV#etN4(8x~HtPhfYRSjm zj+|7*((wX$c3ePadGl0j&D-tlBJ|W&-QMcLT=8IIH?VUu4)Sw|327$8G-a8VqceC} zNrSYfm#eT(2|c>5S)_J5h;>{pPEU&LZ>uaS%`6T@kdTnlioU=iyX*lOi9kz(L-_Oz zd%={ZiDVO*ph9|bvA(>xt%KT9Cb042y($BT1ESNDQW@A9c2={XvY~(@v>hwt!FvDC zUI1t)^q#l=Tn&}TC<*TeM^e1z-42w0B>mjnu@R+=bk=@AYtroYh$y%nAeVPb&>uhG zWz@YS39YZLKAIJ^wP1FNTY`HPt5VEMFN?49c$=N9%dX95sAEo9-_U@3I6xaen3q>F zrHsnZpWgP_eS019#;;1eykefZ_snq*^J)OH9{=+F6QM@1y|R71YZE)R^blu`g%MY7zCO2~-k7n@fvt?9B5?yf)ki!qQ8i=eXKR~k5PuKAl^8lPkLv~99UBF`V# zMl2sjrxI32lcYc&y+mr{YgU*J6m!0V`xb@Ih8s}FlQNO0&T3@wC9lt}-h5oMuHC_l z+jjwhDvR>OGI05Dd>+Kq@lEO%+aP{HX?EYd?!mbpuAKgmXYIB{M`!!%;f(OSPpWO< z0Ni@0W+aFShDn(X8)2e476Q{QGQNCWI>kn`)4R>E8(g{fWLOwPY+Fc<)y-}{;yDTq zeUtL-7lldRo3nfNPbO}4#3Fx|pXqE%KpTHr!x7KMzU|!Nkotp#jehf&?soD-f}?Vw z2g_D)l;lYkn(TIDv*Vq?;{_Gt7p0T}d-0y|bKK>2+(N(5;Yr)hOJnj^?ha)fv*f^nOv}+)-xUiL%LD2i$I~se2ba^b zY`6-6<)|8P70-llK)-PkcBLNi^S-40upBue2MoL39txx=U&kq^UaA8FwMi|?o?3XX ziGQ(&v#|=kjM~{@2v4~Q3*c0-mP}(G#VnVujfzTYxgV-w0F!|B%Z3jxcFK5N6VUO( z-y6gyq~H@3=(gybaiEb>Tv$2GRJ}HN>#AYCovg~ATddD}A|%)N!jj!QE?K;pJ6-+K zA;^FSNq=(V?{~yVma5>RoGkZ#495wZ8>^cl+949MY=}6+m6xy8n0U89Y z<(FV^6KQVCeVthvp@#y?P(ls}iWf0kZ!AB@$uKP`;#~s!8Pct`#YFPM^g8!DN&2`n zQExA`o?V|ggn2+f*`T4PN9vHDumoa<0qbOP1;^#@$nkXCYb zd_-V(YRj9vCH(_eS}A~#+{pm~PID~Gtn#&p#8c5BR=3u2b>w6x6wwbQC;P>+dVlx} zAsSolnZU>b&HlXp;37)INvA;+c@XtU+i^rjgb6$=#1D$tfmc>?xULT0G95CCJAT)_up|}y3Y`cq z=h10IW^VgJ8yvxtGMjp37xd9wYUJm41nZM{+$&bWD_5>8N+I`$FwI|v1$v+1c&aa4 zbn#_DAt9dkoz?Qt7Wb~FIyWO_11@t^0zI?Yxukm{Y`Q6JKXRHE2( z$n_Y(VR`KfSljP(J{ceQ+(t}@xZm?*XF$I+ebN*uIjpLjvXwzIY`tQqcK zn<@+*kB2_V5OtE%&=d>Dvsy9IPkd0}@(@fx`JSUBu$m=3Nz;V!`{Zf0=h=X0R3@L7 zjo0`hGM09ar&;MGvfNzZ-E>J{n9PV?`!&$l&Q!cthJ2&lnnCLxUR>1$f=`CYje|HH zf{>Wj>_YnZyq!)Bl~q}kN5rg)yDjH)(YcW)tCUSU>(RwVEP`!7?uAnsyf=4Hw zFHa6@lNk{ckedhI&Dch4{oQ3nze1WHWCJVsi5!*Ut{+Fe974q}!KqPd@9lZbm~56t zbLzMmV@V^`EzEEvmS;BGPZcT3h9jWpU3@Cp{CIv2w$hHn#sjE`OO^T(XydF=%n^gW z&K-|l9>z8{K(ABt{5w>UYNhpI)5$h;4Pna)YfA`%1p)}Adv^*7vCR6#PK4Ysu zbim`&$2q$?v)tPAyxp9k6J2UP&p_LqZoBvU_!^d^O@}^~3^x_Gi2TzMQR+A>Yh>iLwh)h z|Fl2;L^1j0b$2D`_tx5DcjDA&u(e!zi{0#(QoX3kV7gio0l15|`Yx+n_`XlRx5^Ek^B2n~tHo+P_KKV{4- z)NDT4`E}LVUXEuqouJ-XZDcRSR3*Hb1Uj8pJebb{O6y*UwI?uGNJ(ubY@b6rrG=;c z$~;P4=($`i-e!?Kovp$4z;tD+uJu53X3r{5%XVe~QuXq)wj17~uKA5-_h4)L&p`tE zi$uh-;VL$6tk<+I9cYXlFY9fl77n(n`MA6ejNQ&%P7!L`i4_tnQ3^>9tI>g(b``|~ ze@MjkR3szaa2t?G##(Tk`@;0PU8gJ)kRW)x2!oJ(c-N~2Ld^n+OzNKX-E3L*vIeYZ{nlKsBUXH``!soNbA|=Er&#DnZ4Ee4c z{q;NmGOVI~?1yy(RhpX=EAhRPe)7mZY)==s{r;t_5C-7d7qoQ(aZDO8APiV6)yo9Jpjr#cO%nC2W*y_&ZE-{LuIJ;^Z82m8S1q=N5K6qh0|xEWf8TCaMLx z_I(z84_|u04gyS8_l;*St69|WRcLH+KO}9DvWW}h4K(Hr=-Dsl$|g4kc*M47UqMsu zJgwRyOMbfLx>CS6_!yyb@m*+a3SD(5*-t2WvxM%s%L5kF=F`|U{;uscYQA~z$Z?=uYP>BVMY`)Kog)+WJv6b3I< z8;40CBEl-uqx(j(;`5sW&mD>OKGchiVM-dZ82(SO_x2)IG(!QI@W8>m?J|VdEm3B; zqFIV#L=WV@MJ)f``FwF8TK6747!^foY*_c{LeQ>T{=Wt17MX;3CPQVCpE#?s(l@-o|ak3YFJJ}$HToX_rh3JyO+=4_FG?f;cOEirv)}$4*9m0 z-#fQdhumu%$cY3~RgT*skw^SMhhia{K@w`or5=l98*U=cQyOLWuZF=1Q`G2}_Io87 z?3lpbW-nx5Xct13QeFRNhl2(|>WL{z_8!U z>QNru+@>)xtrZH(IZj1=OHo~~VKHkIDxk5gm27Gj8PD_Q1MUw@AIjtIib%gGuB-Si zpBh?4#ud$0q#SSU=|v5C-+Z|MlCqmKx4G4c&naRTnLPvA$$C@|)9>DeY*37j0{89* z8fyMwk6lM?-OQZe30Uz3xL3ISeY@B}^PLlC5m2Fx^MIi6g{+*<-RV$>=E*M@vm4~! zv#`L#(nzvi!N#L(dt$Ui9x_*ioO_I)%mSz+A;K#VyvYEP5Yc`xgLajA$BHJEI1KN3 z0G|`=&jBgx8PaMkb)A&9^gCur)*WIcKISk>Br5nS`hFH<_t1De5C~%aSdBScEVVz! z9+wY0;vJyR8me8ZTjt|wttG`w@+jcpr}+F^o{lS^GVbd!lso@dnPDp2j)5`(QkhXw zP?nT>f{_ER@0}FYC1%|k3MIR!%Y79wx>-9vART`Dov3;_7RxEh>(YO$VuJSu#Yi-V zCIATr^d7B;(1gHrnD46cUuzI>F%msJ)B%SJhU^B?=5Cg#~A;Si8XxOBBAdq=jyn# zb7cYbfj&zeg<*-=`e+#aX2&-z@4K%AQH$(~r_8J@yp8Er7y1FZOuorV7GvZF$lq@@ zer92g{!jg*x2Z=YScRoVbVhJ)YavHu+@< z8q4ld&D5nd4A&7qj^|c;RKvqVEc|Y838$Qlr@{@BU9-DY%K-#A%H&5m!w4{@9G||f zk0i}}?T0C^RwOX#2Y-6buX9pp8t?k$O{D(QLfqL@hidUVkmb(GW1;$#;MCdWWI0MTt|$O{u`T-l6ZtH)6*eoqCYbKC+enAnC>GooehV@&f(D#!hOmq;+zFBTsqhG4Wg*#)R>F5Re_YbD7(7$##F& zR)LC%I^|WT(V`8st&b5!%`FY-xnP{*FupM`=HWMFjz@MaH9p-!QU&)Wi(PLcEI~;e1@0;`v%sEUJ@&M{<5L46Jlr-R zR&A)S2NVFHD+(bm$Xi+UfA(Bo zr=$ThxTNJsEElsCg#fNklJxjk#$JeFbGv~>e}QE5&roSOE4WxPGr zypW?!&aBstn;B;;r^lj@>_R|%MN<_JWfAcMRX+PJ>d$a149yB}l1TF1>VYLn#50u! zb2Oj($%N|RDe6o0uk#bia}WfOt+KI#lSqMXoW1fqs5w zzKkNIrf;a%ky7$AJU+Fv)_kkK1v9b)ryoU656trWgJe;E{wE|G#qu|j%`p5M$*$Ot z^9F8yw%q9IH;-FoI%HkQhikHhC2tVPJi;wa!qd}RimWh}(VUvDB*A<~&t`2GlYoVa zP=>^SvDgQ9lxoOj;4jHyo-THy`V&9MdE7rU9#6`nJxB6d&I`{N~a}d^knH;}|C>{JN57C3l*9WG4(#WX25HzcWg1+oiXv+4$L?Y!+acs0PaZ+WxcS~>`8Lr!Lo$(%(Y+w|P?dHtC(mxMZ zBQrGA(qY%uiJFV^C}2e5!gz~~FQ3*OldIY`FeZr5n;&ISkU!N}(dvXr;5i_% zXM^e|iC2 zYeht#8hzXKgb^{Xi%9DyOZAzsvFTO~YZV?|EQljJ-ufEyp_KfufLH_O-vQ#<3!1=< z{SAf&LUsnrU4Q@9$90!Pvhe!b{H|fSG8)>8rkp$^*O<5;S6%Xv6>K9C2?Vc|%VmcWn7dru^sAp;dPtyNN*u#rSM_bOm?lm7i#Lp}k_cb3^2`@s%AcBx*e8+6 zWN>Fco{zOe5?O4oX=6G3egJmUK_jyY7rv-FEr2~in}cqZ*oeWl7@i&Q(#MO1wFXRZ2CB;=AWRvd>ZSMc0N{G29A z4ausnMm*h5{rW2icwFUMx)8d%PIh)z)~5Z@9`i`-oiW_We(?H$Z38g_Q=-)~ahs63 zRG8yPe#)VQo*TaF8?FL}i^wl~%RGAJ>eav5Qx^*T_Rc3cL~OD@;$$g->SnnyG)1_|-%ZCh7>`c=4}E z8H;gz^Y!W!kr}zb)`RQcY(gLyKVOt zJp1f&wcU+t&%JanQf_`37*{vk8tTGD>ap_XV4WJ;g3bmH!kDWr?V%GBZoKZfB9 z(g_$!7PPJSDM97{CD!-ZnhF#m9@muo@g*UjRZ&$?w@uY6@`Jq9F_lnu!=bx^K}?R= z(ebv@$)2qgHl+-xvTT1NJ91F(iJ^(6xo* z>mPy@6`IC&U2fzc0mw3RkXdQD3D;|fC=E5@ef7mfOn}!Ruj6V`qXeCcEHZmwPM?hg z*Y|B9bAE zb4ZP5=gJb0wu3PE2E&MjrjMwo>KnkyJoGebhs%DxC!OPh0(_B#Zyo_(u3|O_wryy(CDn?8HV|snNAC`qBqqw z6ulzWDaFeC+6|yfkw~jGo!vX(O$@)W+W83sg8FS8c6l>TG?!l2@D2R@8m?ih;Kn>R zGiFcXr2iu?EW@M;Q&9U+Q<;c}pH^c`HZ;N?Z3*>$K@X*(o`y3D1X7d~!#ZWq1T*(W zx6LT`|4_B@UcTLMr#;dzgZ&`e#A@><(c}-x1OwpnM^11t#oyq(%BP@j0Ph_+8(^J@ zsG1W4+*E*98#pXu;dN!k?Yjo7Rz~}Wp-vtj&URs9f(CfZGOZIlP1*uYn?w>dJ~S>R zZYf(tRlnqu-99oF#J?uKPSUBC)LVHr`JcEsvd~gl5r^8&Xj|hkxNZfgAxEzOPcz zsP)k<7ddBmSSlujan?o)84~Kwf#M1Aa zUs0Lj$r~!-US%82O@qe+C-H|U}_y;UP)G1 zr%9|kiT2`5o3jkfjXrfzPA=ZvlU)8k%#c$@kpXv{*4J#daUuGt6jRT)tLl z=TZ+jSun4rH{CY$8rmwC(qA(;^6Pnu`J6X=-tr^UZ|Kg}jZ0-rxq5X#DQTyF2M42V z!#?inM%XIdvY2DlriF_S_%Oyi10sef$X-W`gdK|f#zfg0u5;M{Dj}Y(wr7Z&#JzZP z_I$M5z5bB+w$A6%`o7~k|5cz6qNrjysOD1@o`R%`;^Z&!fOjQ`*icYmwOTS00HFLC zsUD{DhZ)F_b(erfyZ>W z^Bi`C*$ZI@*8GgcdXd419^qoWb^T-a8IY4^YXZl+=!}u{g7AZbH72m~5;2IP(0m66 z`+&QCzX-T{78V!Wj;N~ZF&E|+-450+x0MFVK8abJZ3LU!k9<7xwn~nlUtMLYq%KsW zi~T`YC=4namPZBBViJH#buepeb3)T!+*da+B}pX{^LUg)4t#-JXnA3-)GLvzRLCol zBBatr`PBv(Fbd;~B@DZ1OTbH@c8;5D4%dT;I89r1K8Jqe>8ffd(_E=u_n%(!7pB^&39S zRlU{{cUo(c61NQ(g>dUhM#(;$fX1djKaV~IBi;~Gso~z!#Cyl-T))f(i*K~lKq7bE zqLIBGa4i&TzOuy$RBp1?e`ROIPzsI`+nzYpDO^qT$5DP@gQ8id8nG09Iw;0 zua&N|9#z$Ow51Bk!^TotP+-5+CScNC#IeXH(|R8*fGfTtPXhE0FB6gldr7j_<3Ehe zNHD#EelvsV?sa+h%lX|CO}t)xCdV#NJ%oK)Gn&JGQ{3yo7O6qQ6AaiTLMBuTp|2|l zy5A2DhIToqax5?UD z(#4eqF&K*z)dZEK$ynDoQXCl8z0JWFF^P&~z?`Ln>m?srZ1b*L*jljaip+;C=dij9 zAf!@b)T83cfMQGVk%l$w_BuqW0mev-%;WYAXE`n(Sjh7<98gf6-S~44(p%ojH!bBN zt}I9kJCY|Xdn6mMhE6zwK)u%Ue&y1wi*%-POv47%?an>F?T;)|bXu&NpF8FG6s>NG z?*no?zIz%AmA!J6N(8nWZ#MGRo z_hWs{GM6B&>E0aQr_|_WzogT%LAxoc>ldF9y&z+U)rhwrQYeZ(|`+L`{F6bRkV?O{H@PZ;D6m=iBX6J7? z0-Pc=x1R}hb&_Pe9r!s`wHU>yJ3w{S7$Ea!Rtfh{{p+~_JMcrg^LvrD8BY%K%n_Zf zDRO@oj52X~(*ZsSMlz)LM78V8|8iQ;U;t&JUazi>&iB5uZkT%~#_Oj}_yKHR_;70a z#IKZwWanQcrj+VbfjPgBgWL51%naC<@eT{<1$~!BW{mrSJ7&r3UAX)8JD3fs%ufPO zHJxf4hUuX-w%^LZ_{V#QRv)J(BXs6$rP|i!NX!jHH;{(hg>N@H-Yb8Jv8?K zc8#RPH4;&L$W&THPUK2{*~s`U(c#s5EP51_0^hyq_TIpuoBhc_b9*LNmnwLFfF9>~ zE{-)E7qh{QI_rj#(N<&jg}T{7Og0RuQ8G1gq-nK-74*`1%*JPyqV|2lsS9R-cf8Zc zfT5DjLCVw30g|mB#zU;h$}mB43+E4oik$hZI)qmB_iKsK7xVU$0}MG2Qxp|i@?umm zd`z6n_TS$Q(~I<9Gq&HKoiyuZD=GyG3KN2ihBDasZUQ-C)RMnKIx-K89A-{$Toab8 zISvCW7o%1H9q*f8zXq}e3YcHv^_14XUI@#fomu8EagEQ(LVp!)T}UMLi^)IgivPBR z02gLPbww2nY0Iyck2tKX|H)D!r(fiGev)-3tbvyBZ!IH$;pD{6M$|<$Wj1B-KRp?x zpx}48(EsEpSq=aH!%+h6FBeLoda-+vYq;tM&XrN=O%siKtVG|nE_cR=`9G&!K7rx! z_!!^HO~KK-$i=tHrC`us;6i)pE&y}kpImMyk701FG24o7#n%r_Hg=e+>%+{{a~*lq zCx58ybaVB8sdQOD5_kXKmM&}Gk%jHFasnk<%-pngU|1XHSR zH6scxw`lZ+`cTk`1S@sfalPVGzI!HBnvEq>JDH^(k33zv12@Buig`|CXVojwNw4`Q99GHFC(W_$A#A6?6j=Ak|m$kets$XUl{d*JGu* zPXvp5w>#uT9ztzx2_LTMr9;L#Tw~*8?3zE-ohWX>RE2@D`}~zWS8fqyI-6L`7u*4IA4s$YOsX?7LVt~^C#L1Ka;m_K&|{~ez(qx zca^_Tb)&R(wTJ!ub_W%yd@g$vkTj3abe04=35W9gCvB&rf07qgY~fD3mgCDeL65a(<43==WRds62VmxW*lixePd=%03b3PtXiE0QT~iog-rC3Ku$##AwknTAgWc9Tlp(8J zzbn63{j|gOhbu$z@7CLV0lvV`x!Gjnk-@E!Y}kzFD#UAi>?Qi<|Do-z!{Q2?yv>H- z4#8al1b4UK2?Td{cXtWy65QS09fAjUx8UyXd-A?J@67DX?04<%w||AJPp8k*ryr^M z)m>HIjV*-~9Do;}BE!>I%y>U+bSjfM_4^qSePs#Afb}Kb`P&(HZ%JX<9q@%lppnLr zI8Pi*G&yInO~Z~puIim!WC9jw<7vrfug_@U z9W8p^>40vtZ=NFHwf5NLVXJMgJtpJ`*TNDZJ>!w>^8YpioO)JTOx{QPbDNC`vbR3* z@uBZ50-!fpAp`94-yXO+(MBNMN3httAB*z|@5cCbd&vjx3(kOd?@<7yLE*mD)<21UgL9yobHK7|Npl9?=Khsp8w6m@&7UZ+YHYdE?i>X zi=|EpJ_!hqf$6S>WKK-is#L8+qkw~e3Ax6>vQlq9bB_8^Uv?F7g)+>sqQY_6PHqpHa%wR zS0h5=9>)qKO&q73Er#pGP?B>!DP-J@*Q-DtMmd!~(6jbqmQm%J>p^#($QrCSfx2`S z?_^s+nfjOrZ^%aTtE*Q7Nto&I@-Q!D6%5F8#a#qQ_l1OAs72x@WU`}brRr!y*4BJi z*N|~}>^KN;|E8*Co49heapl8t#;<>7cfd$S7UJt}c(iyP59*)^PR8vT7$D_}SC0!kEg)tHl#&>rxn6@FuL9mWxT57DzWuj21{$S_k$uXE z!v8X(T><-l&S(cxEQpnvuTlM5yV&xf1yO*+Oto75GVivk?_p4mJJ2p$Fn_aJON9+< z06oux=UOL9e_SBjSsQZ-{X3GrN|YYv89EIc2-G?DU__Rz5Ib9C@>vo#^%1H~AHB}B zN%i?=wmL@p%Y(-i5Y_HZQ?!KAqk>%faOMh;kSs;-9jE3Y1fblYFR`Vx-2ex575n{w z%)A#z!Z>K}#z25@*walGsAJvDP;`(*X%XbO2bhq3thCs_f<)w?FrYxT_#tK&KlA!M z`i_gtX+IrocjN-Y=+59Y;Qn}|9~;W}Plt>JRL%RlLe_@J8rUs@$oG(S-)uNpJjz7I za2uOcMQNAGp?s&n%8P{iJ7G3|_5z{2LF5yV4gqEf_Jb+o^Jdz~NrnD;NEG=d@0HU& zkc@G!ol`aVvm*WB@x!7%hTR1Pq*y$no(?RTtPY&dX;Sm`u1(&aJ@hZz)xIWJvQaO*CrIW87q4m6wG4>%)DHN zSpgCTLT~3(oq}*-*e)j)Wt*VaD-iAwuF!k8*<757`n4`2D$sA*0@@L17YhN0%c@66 zxJ`9TcQ*6G9=j?hYcC#VWolH!lkKe7g!dgY^9=l{MHC3s#ku{_ex3yAnt8bto|{t@ zw0G8fb55$*W#@CfjWU_}rI}XQe*|iPqZ#8L61qDW&9#`^UYYkQ4Q7&C!mcZ5a*Q43 zXr7**iEOVW;OofmwZ{AMJD=@@$BbvYFf=FGFKt-0kI}W&x)^ZF)6+&frb_DO%D>er z^8*^IXM^m+wgAlZ)E$BOxivfefIqfPD$vyaz`CE$9!`dy*C< zhYS(JCjjco((3x6xyJvGdG^{>(Q>_h(ta>IvEShUR2kYv7IZ7VV&ceqG8I2Mm*ob7Fm0AGz6d{W?stb`mk>Wx=BA zm0z!8cPt7NB`7p-c$-(~)#2w`v4vd%@=91i`8#r%QNPzZR~0xZGBiE>;x%$|yjOF( zzYD_FP^KgK$UE;Arohj2ljU1H6T@z0)Oc-wWXbGHID#^1u>$fU-(a$VI@2bU8d^7~ z{%tEO!~E~gNr8d&SN%l)In(~l=Wd*bKP>lsdt+&hW~d-ZvI>QG8ZAZ^t@MUoUZl^D z6@%yk?3U6Gj+$B-T`v9#B=Ir->p4llx)34?F-iXY4t>iCdzS$Hs@C#4dD0hOx2`L3 zYZDjeJ)YA#g+WYob8nrxq9e=fq92`nq&BP4&lWR!pysU1l~0TN1*mIdHoqA@ox9|0P37!td~X*_ZcilTqmgvHn-hwW^{YpP9h*@6BbnSDK7(!hmzj9~ zVS3(CpMm&I;Fhf(3?qMV@&6=v3Ox63t_2~U!H)jAhl)f(bH2>K_5T)uTj;48ztU5hVI1MXXADhE;zrWXCBTF@y)WZ3mzaFIs9!=0TvQK8Ji$+pP+36Kp`v zF-G2=m43*Jw9ilyuGqzXno_IuNx$07T4 zs_EVJ`JFNw1k%TfQCiFGRhVT$1(wp#D#rZA!?Ys*apQ4rNJan+AR@?G1s~7kj>K{B z|BVH}3H;18xE$8DDN)RJS>fQFIFN{@9-T97mo2ZF_T)|&VF8Em{?N8rryP&&b8jzd z`bUU)uBk!xw(&&2o-}dEJ2Uf{ccCFrm!26{iMqLk+ggil@Zmbe7>r1o2of|Xt(ErL zPkqfp6_$ECe_hezzwxFUnq#GJnwpEEVP}}Q>)P)xHwbiifn~ULd-m6H%fbDKGc-#7 z;I`C-*ErzCa~{dRMwT^x*7XNeb)EDxLg1r~5}_;|-OT_wf=4BBW5H5pZMm~MLS>@8HEUCIrWmrJGxNd(ZM%YuNGXhOg^O`>G=p8rq z4G?ynoaDP3Xl}?Dcidzxb=kEm*0^(;N;(}k;aBwfpH)VIVv=+;4_hmSS9=w*VvS$6 zOzP?w2kd1Ua-O3Q;Vc5+;xbgXbknL?1^O_<1+1c8Gv+sP67i3Y}mC` zO5$?_*O`N~AFho9Ch@kP-r9ACM{K+_%(?`^hj}@=nw?x@oQxSS58B?VKkG-JN?qfQ zy*l~e?ttr?*5u|+f6~6jWmL_Ivyw#?``n^AyUOM&urvfV|H6J4_ z816iOB-N=0QU;0M+SfY+GgE2bI4>-e)g^A!GlGe&N{B^G0k>swJINrR@keer+zbqe zxMiyn5zVbPl~?WK^!XUQ7*Sx3AqW;An2PpiHGG<6-$ z&(%!t8uenKON77tVBFW2f94u~8BEEfE8U9$fEF1_UQYRgHHpvEqIRLk+n+mH3xwoq z?jmu*5#D`n&XUP4lMrXJS(o9KW~HgJ?%$gC^xjzZXxYx7df@$tL+rWY5)>9acEodi z!M^0g;LOW0v%X%A)<~+Hc4lSL5J1^99RDVn@_!b?KsQIi?=aPJ%wjz`*;$s48*3<- zI|%kL?2CeW3s=2T%821zj?}4e zDs~ldfN6nN3(Y^bP-`f;J*W_78_p1Bj*H3ome?6A>(S)v;H>DCNpFHAZ;sOpWFMNT zc)-MjU6x->eZ#A24?wabUfOp*gGz&(pAmo})$9z=Z(cKF} zSsW77kb_sN8|b74CaVLq6}J|Nn&fC0kyLlS_G&*m^{ULtoII!F?Ir=8P80UhSDbKb z=WPmAdsJk!AGs9h=QYw;7q7%SV>q=YjhX z<6^NN-DoOH>&-buNk`pnOk{mFNTy=J!W+kC`x%>XzP%g7#6AjUXsUjC_A@aB8r1#c zXiw^OBa8j&)r>6ie!|0N^;YA)Xk6X$0cV|7ceJ{4t*yS(@$}4Oqe8%L@5#U=)ygYp z!C_BmcxkE=$cJD4K5mB3+QZ0`Tp?WaS)wE+>2R1vEwZs(ePrc#K+@CA`E7Y{+YEA+ z6cnf>qOnQAQuA)Jg2#-APSvVeJU1Tlsl+A4>N!J2HkWP=`oV41gOiA2d72qyTYR?N zEoM~Nm8EW9u*F;et>rC}^5O5)OB0N5VNw)H;eY@(J4Cz$E)XNDmTEqq57prd`67{r z-O5o*FSMif^*ssb_b3$zl($GvJB^8M#=DvkIiX%MN}%YhZdGv~VTr!x(>jV!kVTYE zvw`>J@HqUlM3NbEjl&AevUY-lZI_pAv1D-6(+TZ1j-I7;l+dYBdz_u4pa&~~gzEo2dqO4AE;7Qh?Z=_Z;?a!vz3fS#KF54>NfScwv8c%H5 z(=L~epWiz4N=tb1WuP&w{?5ic7pI}D(;i>Mjh(V3pG|#Wm-SBE+l;RjMD_Fg@sPIb zzLv|T2zO!E9Tc}F#LEQ!b&SQUlq)&(7G(l1g+31kvN>(~GwJV}E_o=2to>B?P&31Zk=+wLLsToJGJNgGCQt%PvTt`W#8wxd=8z9Of+6cL*TH>( zU9PJ0+W%5oJi-1_#pbP6-{hsl0%$=K?{j^KN7wU$+^3!Xa3<3fS7DBmg-}}22N;|6 zFxMP!5tcLgt!4;5q?te4aQbrseIDWIaz+GVG&eCq9qW5snBfGagX#Nzq*nH{TiFADUFL9*4#T8#lXO^F-}hx z%@{wBi06{qV*{pi0t#T20)O8MeW1D)@X2r@JA4CH9mfm0Xlx`e ztx~xMzUPe$i(=x%ZEtYo=4qG=-Va9nKcjcG~wvGyK4$t|vU$0j`aJi{YPAIIwELH=7G)NG&hs9f8BxZ5YQtP#`?t3+5 z=3*SO?Vuo`>#)NM2SbfDGQ~~f1%|jIiucll=}k4qkIodqG3;Mck;L#{`+ocSqXAV! z?=2KMiW6*=sB8_ZP2Uzq+uE6bHU>GuNvz<2>fMuP5<{w* zSneEpy{%OXfPP;=?|d1QCktETXMY zf8L9LGtWvWEpJ(Qpj&P~E0!4?V4G{Dskq*jd@3!$BE%*s&akaY6l$*eX2^*CX;nBb zaR}?&z+4`?v2OvB7FZqM-JHId#@vT>-95Uu1qMFhzG-HRG;MBFpB5LjU5mdH%uw?d zi#r~x*+ep0Gmh52ti^xd)){`q2mKzWV;w~_#C|&I*C3;$eK;!W$|~&?08JjM`Vd7I zlJNg{Gna|JLR}EHgOw8Yq->w1q@BGF?4GJfU2qpq7=#xfauL?PQf>nW2B4x^Y?GZ; z^vGw^$!@z7b8yn0i~CYchFfvAM%A0fb_tJo=a>8LRZGyvM zYk`{gpcf}kD_v0qO~Ddb$+gbc0vYMI#S-tu@GYFTL{hDfGvWC(iRVek*;^+eUFy?> zo6C1=Z@ZIAv6?)6+w29JJP%07VvD(ZXi&*v3#2IS^|&$_JGDbfS(-&~6tf9dN_d&Y z;JEe&=o#lbF_nMX!mDB-fJL1C`Px0_NBm>s8l{Izc>2?kZ-{bE#xjS_#Z(!(kR9a+ zTkqQ#y-l)$cUV=xTp?F@B#M#25v4W2j-1<(=HqFX=6aGR58l`c zDc(Zh`yKg@-Ays5+bOXYy+O4Cgu?r6WX&9gPYNJkFwAok%t#ZU%gsWTYv0lrQZaV4 zKT-QgRn-SnOc|*iv9+d%hv@OubxdN<^fN5b_L5 zRnJP$oiblNblWf zSrE3$ahH#vCdywUWwzbNQ28un4LDK~AJ{)5Y7KLOO~X%Jk&T{(Q`i(#bj!x5g&=9> zY~YQ$ac;%q-|VudTtfT`r<$Fwy9qdZS@F7LHF!_Cv+7l*O$7r5Z37{W`)&tdo&ptK-7X)` ziWpWkZwBv(1hV>AgKRE?^zI^<_R_={p7h~Bs~t(VNA>53DxMu{fUYrb%`bm0zKV%q z(R9vtd+5R7R;G2nKJs*Ntu(N00Za#PoAoeQgJ)W`2h71s6Z+Msm*?9(uwsYeOf$k{ z+uh2|_d=4+Zx~otUz2M2g2zKi3HfOE8n8T)5)Z31WgaI^3Y4H}GTTzHJ&u8~)g7#L%3FY5afciTH$$2Rz>W$>Wmp3GWdNDnZ~ zq((YnB%(G$NrtqJj_HX(0#KNwgyhj7ug;3_9Exk1mRV|Ui8!N5z0^pI&b!H6z((n+ zK8zPdBd^Jy*z{|CW91TVW)=E9d^X`;e!}9=Vt<}WQ z0;GDk&^d>P#Qsy34MMWhd!%>maIV|CyW z*85!+=IPGnMT|f2lm6@f{o_-Pl8#K?U!S!sz16pu6;l4==l;Dui)nuY{bLgQ@dk2y zj!;HNnB9g#@mD{g%t3e4)nJsf>O^;C_|5Wmv<2InItPDg=)?T*AVZwMw~~wc%ew$U zrVMqUX?b-aUSmb(@zE9tgjKcrcgY|#nL-GW<=V|pGzN#wu1BL*y!1bkwYVsc%v=ox zDFR{0qIx*#Om;zee4t0mY>vfjHw|m$AQr0x;Wui)48D6> z6Gy9s!b4!%a3Eez9RkgnssN){JhX81ptM${^KtnX;Zy}q5OB!s4i;$4Fkh8=z=Ewm zUT~!5Y`A;H2(7C^{ES?ztkTTm=3=$Tyt{XotE$q;I?f=ks#_mVu*iM@DuTBeUSxMZ zbs^TK;h=*i(O+JPUO9M-D6JD10z+ZYbWTR0TMY<;D+_XfZ;>K85A+NL+ZvhjtfriI zuzf;$?NSvpFs|s170R0Ti-reYBlB1HNNkIYAW1SQ3mhRFO6e28(NaSVx2Fj0MA;357a^#9N0Q114YQ12{1%4tEFl>|V2v*zf zeseXS%LSJ)18QI@)U)-PSFZX3W~Y8N^twaI8y-mjD6K?Ioczwtt-Y&?NIl|gHwU8z zgW1i!I}dAhzRzW$A4-npy6Lw_9ft|#ylJF_nMlkZz2(x9B-b78j=H<0yd24tJTy|j zJ`RuTF|P}TXCkAKt!!T~)Sh)o#SWh%Y_mzli~HNp^i*{8RBT-j-|K!=?RR=J(r@0+ zwPoNd#c7}2pSE*or`+)kUV>0?cV5RRKO*&$|MiRN;>?%v{P#Nq&bo*gSoq(T-aAgV zckkw8(&`IZhqj-6u7?7WHlC!>Ma7}pfOxLuibHMnSB;fs-V$K10RvSuekXD9oYgCV zwwzK4(c7`Mj-u0`;hnoZcCd<7*4cZhPhvW6v^w)2bl#g-W3zJf2McQa*tw=QHqSBE z-X9(0Fla67Lui11`lo>YWst1-uxvM7_O)%);tjC4O*pZ9;?&*Z?P~oO+Adk3?XKTq zaWtXDfDS{uNrd|JMe=uW*npK{`H9CVuw6vu-AQrVrVRrjptOHK3!~?i+GQ8?YvLKZ zVDzRgG>NkSNGMf$RTfopvtiudjqq7wpfS?Z#PS}uJ~AogaB z;IR#Sqse66OMfp~xN)ayR>8-k8}y3b4U zX3_7OqxIJv>oZGlLgM_h86r^a{W;TT+`uCBHJdNiC!4ji9zo9->+9oIWV_a9s()_P z)B^V>uE@#7CKC8N$5CT<^JeZ9fcaHC75K~6Z4hi z7eSSPUad(P=(1p3s91gW+LkU-oj^qoqShuT)_EArC1R6+pbMZ>`L^}JO)6(5WB!;sQp6KMqEq;27 zaFr+HTLg+Hf7l0KE}s#E>FU6$hkjG*O{ArgPw*A?A-D|KW0es`+Q$Qwg$lYee}54^xi6* z86BBw4t22n>Z{I*+^L25Ul&xdoOPj9U zcN6|!i14I9a6`F|SxtFj)-)T{IyUB~6*iZ7B%UlPK`BE*|hyC}!$MRxoF$Rn@NHCVItU*c zOM6=TD)GS=2~}@GETDtQV5)-W5XAW2=JX-c;yha(Gb#S69c8Za@nNdtZczKhLt`}~ ze$?FRHsFWoYK_))(+2j*`F3V{>g^cAJND>LnXaJOVtg>8K1wAfa7w2FNzQHj2CrFN zWLg}bZ}CQQ8Zat!bFH0{lUEXNwAb~EO>TQ-2?o^T)z8Cq@GId;_r)RT%`^VsJgBOO z41`V8EAVc)OFwYgMO}|vDiNwatMBn?Dp5@&*BeUmv?}1(Wm}a4+VcU|>kgacwq-aR zZ4^%*uDiaorwhyUx*>}+2sk+c2-~AsIAt3XRoe(38U3~j?W zS|xj7cKs9XoE$cGH;s|)Ex(dekFHed+o9zMV1eWyv4))q1SYwtnTK5#@zcP=`}M4( zjZJ)rxZc`shemd?MAf!`#mzNqqGw9Y*BIy&XbCRbckpUxiVGXh`jBcLWqQ6;)Ac-{C>|F2Y+N+v?@eFLsp09-TL{ACF^#w%7N8$qRc{0h>=8UJdOLkRa1t20)BB z{g&;sEY9=!VrTyWkdIm3Xo+AA-G0*wo%;1VcW>>nILc$%%lgSxp0PjtE1xSEa~A=`94eHJjsM$$G-$LPl?zZ#ePclQeBOYEEeLzXS`G0F4NqFmy~h6YUO10YA-&3h+sNhI!DIxRJ;;?L4Ee5@*N-8?0Rr`9wa>d^v#x@f z0Q#NaR~JuAR%?4tvy0T%A~|4(%D4w6#a+(lfru@1BXy1)DMi3 zi%E&4w~?D>de42vsmWK9Dcn1_7kv}&e$=2{Jt5?`-kg_N4Ex5^^Y~#AHdLL^O`x$@ z^jfwCbcoIUCfKjZhKg<7J2AE7CeHedi&M_KWiRtV$fpy6Qf-Pp-crQ}<4fZLd3yfj zxxeM6L-gHryhX|;o8we2J}d;3xj4Dg*D8G!zSnUaG&w6Y#CduH5tGsQ^e?{#y^lDa ztPs({+F)L6U09F!q-WaRo^7mbM`eBMi}ZYou_{&^24a(skI|>@i5@1?lMDjxPNVr% zx3u@gy>rBixmEVar&z*Qwqmn!9l*C6BkaW*?c4gE@!P2$Q@4k3-)uAd|i3cpnT)L-PCt#rhU1*;*%ip zKikx|hHXt#sN`tOEQoyNK|mxz+O?OIJ@n6%KUAL;TA^F|$4XDM_9@U3SfL(SAeQz` z-orF5K#W39Kh@nHf}=8`^iOKNpfSMVgO2mK{QHYH)N1ZKnv$-M2)hs=@EK!}T+0Dn zrdRZf^A#?F*O*(>1QL9~K#R~~iGXpZwydNMtmv=YIzVFFPrqrsC~JzS)6sXFiS%aC zK(}y%Hq{&;9BpRx?~7W!V5>oWpu5}T0lqcjCf)xza9Un$SJxJ!KGjcwa+O;=X|3yg zvYiWro(Xh*n=di4=xi?jvt!pg@$$gA?|f<$6=mto58~vXk)JdNL{mHnt?rVzqjj&{ zvop)gK&$8-dt)Ge>*XP`E;I3LFt+}o28)xW<&%M~K60lAJV_o(MPyO*dNz$&2`WLr zjpxnr5BqESWimXXUXM0i|Iaw{+Fu#IAX9i{7eJ>#=CuH;_i$yG&OHb7)|x!|T-+eP z7~Kd13}lEwvVBk)t9Kfhu75MaFV?rqKjHDi;wTXD+Q>d^YTCcH>DhMwO#XER4pf~R z_EG^0Vapsk{8_{e*O4ZinqIhxx#WwBMcN$q;BQ~CVC8nKvqdmDkUCn+n zE5vZB&w3^xSt?y8e1&XLP&IedBT#)@D%*7r$%v_w6slJ=5(!L^y{bQb+Yq{oQ1_|< z2INdR2}}cC{F)XcbY_&XS=dz zFdXA7OPk_->gl>BfsCX}D7&xw=1YzoR( zBS#0XTRY0eM4>E-d0<$%^)3-9!4d8X`~rLuy%@c@1S@kk4j4fJziVK9S^dOx<+Owt zx7hTf#PVDsdOVWDz3rwm`_n!GA_ynYu^O6C+fKhcpftFsijE8gCV=|_Kn_quNdl^6 zbG!%t@-w<>W>;DTSzY22+7bQ+ck5co9N*Ymii+&=aX}A1x7rtbDO8YUyu?y#1boNF zd$33N<}e|P{fDi;ZWKGc_q{cmyUf*)C4k?Xkz4YKSA`mso|>BiJWWbT**|a^vlp)a zjMJbQ8qw}pOb$^?jFalEbCLuyJ0JYdI8B044QmjSd?L*TRm!d)NnPV})i@Kg?66JGDx@~5^$8Hu=N)m8 zj`)Cu&*zvR-7Ic|4umBv+7xjs1JplmaPOO#SyJWPVp9`9QwKJbRPA>+r;c7kcj56{ zh<>|t8FU%r9|7cGFAbF$@ffWLz^Y!Em;r)wt&J$7ZSVij8JZeO|6SL>c3#Oc#oMdo2{{D z5DI#>H)-3u<*Z8M!|Io#5s9AmejuVk6r5td@Rs#GSNk}~y$Q{H*&s=X@s^8!RVBSc z&YI9K)!aK398R!+4qOck9r=?pypEkdviC99lOft^aUX-z<_5v9u{A|EOzm@Jp?h1s z@eStd>(Av=cx+ALn9Iy&pN>op?-mm0m%eVygA3VSnD4SuQ?neFc_R9zjMu3AAx0jZ ztlk0ng9e;2`SJQY>q-fu+N&ub4N~D}o-aYRtg-6$*;n!JshR~|z%ixFJpFZ_2FU1+ zHCK@39tE?0urD3DRmOyr&58M1=KeuOMnmkce)wm~DD0v~N;h zR4xBo`yQKaH9uwBPv`udD+%!_CEJ9d6XCSwU{)q=L6|8Cp75M$(-G$r9zK2Bq+;hW z4og1wiv!W?OOpyhf@UFPOB%pi0e($8CFA#!zr0N;{a@Y&@xypRl$#XI7pItxJNwmZ zoE!~!1yfTvO+^gPWxF40oQYE3i_AT4@|mIr#$eVrr&bM;U0STJ$k-VfH8#J3m*vw$AhxK@Fd=0))U&!BnD_>SX{ zhdM9HMgEPVApU~ z;{4jJamsD~_2y5f9AseX2XTgZ@~dcA(f&ej8Eq6tcj=Pi1&4V&MD`;Z4Nq(0TO&kj z$Wi>?z+Ao4s_gt7j_s_S4=oDgfmYfgNae5Q-%O#sHJG-elzdVR#tlaDA-=Y+Jf_#H&Er`lRf}oAVWT8V&2m5`#(e&t zK*OWBF=iXA)usfXu$1$eSj(fbJ6nsyGwmsLg<*c|xXNv=VX2fL{E=joBtSCLmDQ>h ziz*?@DE#}J1@q0GVnm7p>0*BqLl<2sgQ(l)0g)^eOSeWiwiKz)<(J$!|C|yvRFjZ% z+ZkL{@)2m*kqC?>%Go;;BBLE)&}?@*JmLd-m#4o-yi-*nJ(vt$T6sw0I%jRVs@nTL zvSgmEsN-YNwd2#BNMzq@GoQW|&5`v&_0IjQ5=Anug!z$yUyt#@XzWZyY3gVyg~xQ} z5N=3a|4#3_0%Hl53sWH6{YQ@mbR;DlWh>4jOfozwgIGJ6gVKMo5PCm9p@WO|SB7yL zNR7u*Fm*iT2_VeIi@hHXLN{-pyD)LEPzeT@c|A(hy??4UrGcgGyw@gtvWt!oLfNB> zia_Hh=_G3@(t|4yQf2CGbv~bdnr>*z@@jhP zOF7mzU{4$?K9TBAE2Ik4R4y!&VtZ263-7G$--nXdnP;qEpwxe87`~x#xYNlQbllt zxt|FCM_cVNvoDlcz_MGcIkZy1L;MpbF zNF9~3=zs5QjeeRd5`nNdq6chmHQ#Qvq^JKgzO&m2=>e!EUUdo+v;$2PmZMxw6ss~3 z9nxAdMG&YcUp|&Szx{&Kn0xH-w&q+_fdHZQMk1JBdW((wCON77Wy{zut+HQe$9k!Z z{7E4oKU{K*2&e##djTj5T8Eh=6B`WMMP&LY)?baoJ;Wq1#sobFi7Mf#^|Eo z^z2$LJyX2y?Wa%sH|ITRHZPw@77TMCk|ZwyAJRd18?Wko`3)jadY5C{OdVY@w3Vr1 zn=vzv*Vz_b??fGz4IAvGofG{={Mgvs)L1_W9T^trB>t*jr{nQDNx{k8daF%9>iyvU zVP+xo;hY>g0f?NfUHGUL6A8t5GL%j8$wa^cS~XxAWFJZT38D%;qg=LS)XEPD2T9)A z9#Heu^XZt+V^Ns=i9^L_=sAg;yMO&=%ave`MT%RLBMKGHjEi=bV%+=KmU0yz4!1Hy za@7M#87s!Ev-I;A*irx=Rhr?8 zRQvbJ3h;6(zpU}bh`-@Fpqs)QO^bIzjY^ACsGMslP}o$H$C+O0+a4S|VkMkRgTcuB zBjuK8;@s+YLkEY|+%_Bxlc719h`2Zaavf%7{dhFP92m)kk4M2t&!d{7B~4 z$8XG|ZN)PJ;jtCU_Xu*q-}zC*JLq$bc6_JX>J1oRBG8*juMuL%9v=_VaAqUG@Yi5! zeSzuC`S}vyVY=Aosz5*g1Gl63{i_BbAQhY9bUKYsG)_(ylshDI-g0?+B6RWmV5cu=D$M_W z)*tM;1%xnic(Td_2fTa`#8n6WM{37!Q(*=qEZD=xYL?=8*?HvhR?F1csLO<5u0LG% zLCC*d<)vX_VTF!%cHzS0WNPKVL3TJjPo~$PwbY*t7=TKlUTpUbfFevl+SB=Pk+Axr z-|PGpK{%_!)nX?AQs?#N{&XkeDbkV*G6zj+X_O-i8U7X#;BJ5z{K;yrLz1;ht7MVE zs~0aovq3zS@$sdX-5%Eb!u0CrsGpw|(9|pt(`oD8#U}y<9i^%V(R3e1Zy8+LK zI@G?lYV{_MnynkqxRW^=Inp>^>{*Epek>4}w5QvKm^^0HFnmir-8XZA=ItO_=utVr zr;t8X+H?)p!aBUm*}5=+B*I#qg_k)W+_#9eQ|Y{9@=R`Oe!|{94Dr$&evhEbptCBD zOcnfx=~AEtyk>QL7y?E-Nf7L+FR%c|1ZRtd_)M`7wkX1_;}4`Q{8Rl!hH`ksb$lsQ z8qv%bCeQHmpr6vx2-!AxNPN?8)4l8^!56YUYE-J6=9g@fpusuB{F?Wssl z?Z;;$QXYO#F?0xW7@;_%WGJ&+>p%ro-in8$+M-X2vuqCy&}m(~eEW|w1sky8BorCTBM9u`QP>78g6O% za(LdIi9B!l8(v_pcd?^#tMynq$QBMjE-(6&-kPlG(z(h+27pCY1E`r|$apgBVTBdc z^Z7lqYT;%4*fW=p%v5NbI1(8J27369JZY|D+CHEo({>pvc)fXQlnZbkwWKaucFP zjVTle8%e<%{YS;$=xR_UU!KYl|0~FshI;hHC9Qtq!gekx)>a@khqyHbd#&8Qsyn$q zOd>R0Ens{#Cs#{E(ZfJhadMNc1xK%Kx6OXcjg@4>+qU5@RuBiY=hq$ zCS#9Tp|H`YBF=s%)qH09F!ripobI|vqNvDtvGg16{MEbZWDyb0(n7(~Y$X2}@N-p` zZ!i;8vZ{OR044q4Fa`9OVo><3+s5!8T1 zUnn~g&3LW-2fOa=C{^)QVKEUE2101MV3j7cJsv6C;EXHECK({k8GCY#Y)E#^M2n! z@MNzYu(BVgRKPROlrR{n`@7aTc=0c*s_LGrCVA*5$DLijo4n0hh26ZO!pN}ebbY-M zKO3yA(aXO? z(E^oo5C8VGiMqbOeJbdg8CdxU)$eG|<$Tp6P7pJQoLdDW10|w^TDtqqd?~b(6a|KD zN>w7hXJwGQHe+-S<621VsH4ZhL?p2SD=VSXTiS}$ke)ty|7K}P6l?sFocwm{nrtU& zVUfsrV3{iZ4I%zdl*xp1)-GWt zAzv1aRHugHCVqh|r?`mw-BDbN0Ul-m_rp8@@S0Vh&#zIII$3SfKzaP(OFHVR5r?T( z^Exl?>j@O8f*A)K8sDX*Os6K1=VPH87ze5kgSzv~$Boa7(K>OsOB9-O-cc zfpBxGj%T6Tp9KdU0qmrU=c6_0sM2o?_s`Djcvat@Gq+tqvebrSsSl9^Q+Z=7-Aa52 zh*xiWaj?N#Xx?g_y-VNNP9wXo*NN^oqLaXU^ZeIB1hpDZxztqiE1rlqVY4@H5>Np# z*Go1gG84s1Ne9JC^kyy&VoF6sM{S5~N(F5K%}dm@{_k@fyf6Xu-Iwh_1Od?~rTa5V z7YCE;YY>T3)*C3M!zVfiQr5L}?iFfhfRJ9Y!=!nm#0f7La}%9x#>gmfy~XORPFOWL zduoSBz}QvU@D|`Y3@a-wtt)T44NO~2z8}j8w?RR>S3H!}nif(WOn(P

    ~oggh3rXw9$`RH0WTm%RDGl0;jL~#y_#Bj!s6H9l!r}bv|hV@eY@&y zowqIBu%Nv|lkJ9WWg|`SHQ+5!l9_ms z1Y;bxDiAq(YrB)v19`|rqVIlnT3vee+TBT>ZDK(|jTqO(-9X2QVL;eK=2vj*Ll6?t znKq4IU~fRC(@8>tf;D%d>R%ji4&%jh5dr~3ZP@S*b27`7{g*L0)9gs>EQ7eYFOPg= z3nmBRsCjtElMWm>=4_^bsdwLZgiv7qft47ySDLhKX;DIUN}wB4F=3JDw;_Nb&2f5BX@R(>fgB8z@0y0!updKahAju2e>dfw{px`-76lKZ&A8 zLZ*J~iXPm+znj@;TTO~^2aJB|Avs8>D}Sd%oRxX+t+W`OAWe$?)T!y+BO9+;4?z0< zdQx$!E%gGD0s6{$(y0B}C&p?7_-?7GY-tx2C}P_!NKO3y!-ojb#^M`;?qD;@6eV35 zG0_miw|M)>`)M`6T?7tCZ8OIjbj?&1$V5hhYvU#K4SWSS#MD?pCB>yD=&%RuB#DH= zd}~B}Ft4bkEDm!DFoY>fo42q5u9w!II{M(U?K*9K_oHo#$44JpMNm zg}v8b)r7tbkhUS)`a-u^8P|ojNPJ?zMlGwRY}`kHjU~gqTaa>~&KmMC_LU@WdG=u>S!obMva^PBRaBz=4AX z?U{0vONeYrRcB(1yoV^}aKR)|kz-HvmGWxcA5?M<5NfVit;tBxVEe0Oz7lyuF2G+* zA@XOKBz!%w-@h)fs@6)*kXYd5SPaG$MgtzYVUMgAeu`!fl0$XaHL?e<+^ zQsYd2{Vr6(7XQ-*JhAPc8*sqq3|*7o+i38y$QxBtFF1QxUc7vHv7$6I1k%&Hi~3-R z`X>Q`Sp$BX`{-VcE#9VHjqOF$yXCDp!oS_Pb*s0c&uyz^m$!5ZZYNUy!}5xM>cX-t)`chqYAfVJd?NzYu*ByMn)dTOSPi;eG|ICL4e7!2FmVa@qX2gGmFd;%U}$JfMa6sig@sMO8@JF| zjnvfqj^P+Ynl+mjmz+V!1dIGju_ufWD1{raN4cAH3TydhD5oN02;g({SfG3HHZ@48 zjIf70g8ojAcj8MiwS$N`;tHxpDl6-={_p1o1PV!|=MZ0kcKv;xi1R%;jRZ#VTQ!Yb z)`-G2N}XOZKLqrh^z+8awbs_RE8kN*i2WQJcA|VJQ9#LH8Ha4I>L%jah-0H!#x8S3 zX=j$UpXk0G8m)taajG=2{ZyDzS!Wwu$^xDBc&B1~05Lt$6D2sW@gq$ScKEItZFQ!U(S<+3By1`Y1PWD}~f8?Nfe-|>+L{LoL$4%J%uAKj$n7baL zLiqO}kxzTsdbCnQuEgI%P(f1gxJGtQQHt0Q``4!4)#dFo0gI-p3L1NXBH+4Vu4AM`nsXku;Nm%YNDfL?u^y!=oS@z z;+F;5WI|B7q{SYr8Hs>~u|TnBmpZOdc3%Yrb#u=m zM#GGFg}pZ?=MV;x47NuM*Y)L|9v)5KzP&3t+jfMZvOm3GEQju0$@$lSxA+oyR~h=u zFK-#9`Xkr;XLd~^JPN;;a`~aLmbn6OfY+8en|*9lztWDjNO2&HaPKmoLpSKEv3{8y zW{lqe{oKzqZmfQZ%69>Z0_WZPc&>Uza2$dycMg3B6 zohIxIwi|Qv7N{!2mz_M2vQX;Km@Y|k7(8m!JZ^>HnuU*guU%WVybAybONw4WC z;fYeSwbIi_dj3~=-uLS~!C&$`MS(1#D-jcIV{N~1@#6kB!i|)jNXqux`G8T9Kr}I3 zpt{CSpM=oqUArc&jW~HiuEAdj0eF6O2T32yF>rT8H?k>m^|446jbMpr!>z-1w~T(` zyZ;mr* zt@nJWKIt{D6En~Ti%UtZPASaT!&Y$gw=pcK_^sq4{24DgpK{+FALrn>!Wr&6h50A)aK~VA zl8k-gm{OL^pRYCSV4J=y?>35h_~5|^Ev*}$yX4n$HK9h3`Ae8c9qoNTalK*kDO){$ z4M8=g{R}k+4!n+G{N~LL3oDJ6Eep7yEPya$2NLB)7m3?exY7`q15D#wy6ECLvly2^RdJy-85-zpylYnS#&uUV4k<+J65g(wkki9Y!-V z(*>Xk`N_jy<}oro^3K_NjXZTfGn_rDx(tOCz1^J zSsVFSd-hHuLWWYGMl_?R=TxE;v}{aGWlGYBHRmIP8(@)(u>i`>6teJ1V1Nd-hp$mt*q7asw6Kn9h5 znD6VU^ER3NCFoP2UJBNrxfxlV^TNW?()C$cK|$Bvz5Nwd9CwX597TPb)Y7cEe4%Pf z&nxNa{6Mg+(Ax`#&gVrGGFSlFFF#eBDPvQ!hQuHw1PFwQ6FzxTSO1-&IHofv_RKGj z5LV;?QqbblgLK<-J^Ay8S~1_jb*DzV8^&d;zp1V!mbycZdgML&BTvgP!?-^Vn)0!W z7sF;9M{k%!eyW?|KRBI_URZ2scDi+As}9o;ef^vV6qPOSdy@LkU;O@srx2obScad% z!K%Z;OOM%g5y7>vs6~*bDGF|V{9#A;k031hFVxNw%dGx-e@zgnRQ$K0tDoo0m%(?o zwQRj4r?JI-{_dt-S*-5nIkCDsx0g=+Ad>IBffs6S~*e~7CpuVMTuh<2CSr(4l zx2uMI$JR>UQc{8eo&?=Qv$NTGZ+->ZDL7S@dF<(Nbbp6-y|U*iswf#$E1J!znA+BK zvEswu7G>@}UTz&Se`wR9wL|L1NE{#bO|ff=#m8vJHf_%`HNR?uyu88b-1;MTiu!pZu^sYwAu#TE z<=rF#zeSq?)WMdbl60r7z+NM*KhvL<{RqNH*Y<5T*ns!EHHL8-pzP?sVUOExI8H|I z21CO;6je|zsWYUwZ{57P`sGW02ow!BuU&JQkmN#oOrO1}Y)NCrVVI=nJP;C+W&9z< zg*x88T|2N3yeTB4tuM`PMR`UJ9JrEV4i3+N04CP5vploSuoe=#eoJ~LG|k?p4oY|1 zjqDl-OaRTWbrX=4(egcm5QC8)Cjhv^XYx80CSAhY&dNzar&6}_`{_%UiWz=DWh6(g zM!IUYiddFf+oPV%kz!XMn!pB8y*w_>rXWWW3XINliQ2BuZ^F_4Gd%);wC|u=@{B{T zn6lDf+-g}beh2GE$O(d7>e+Le%|KvcpDl8{!1f9-o1@I5GpI-iFLyi<;K&~BLJ|r&>99Qj65rLJ*sCUv7yXt9{4}UTb zd|6v7?terFSz~A}3^@z9L|ql}ubY*Lk6=IP#d7V=tL~9H<5}!{kPic+p6}+GB?uWy1?fG7^50W6PPCZ(odA#G>h&Cg&64x{e!s!>*NJY(+|7;Iu+E-RHqNAUK@@n;DC&{bHn-1`3wwB^_1bn)%8r)8{PaF&*s=#K@$ zFV@cvC*#j<_L(d{IZXzWI1_7_dGvTmA3ioTK+)jGr~86G>($5O)~3e;WpY@`n~G}? zl(TcH!mY@!A#L>6*ele(d4rXWB2UM?;oj-SG8`YAz^D=Huie`DqhIbeQ>P)<)}bjbIH4ZfWzmiuKY%1zbC!1T zBF>H!F2NbY%VoXbj&4_f0K9AOUcp8sX>sBr2FV&8Nmh#{(uRy2R3YRm+DhCWwYuuw z_@Rg|?nR8zv@RHM)b56r2B(Sl|6cV*PC1^%BIh;a0)k~!9OEwef{K{T1LO(dH{8;U zrzg621H~g|_@b8N`2GcU$4-&bKBN5>d{5MuT3Rw>J-@lL-Ma6XLzpPm7H`;>YJef_ zb?fNZ{!ApLj@z#DWG)20KssOMA4XPEiXxkp2+@6QuVqZ!HfHZKThFj^qa{*pXysHx z?w}ygQRfaqwGwC`)?o`uM21mBUgd-<&ruE0lX?brtrRF;np;+89%nN1$auMk{WS?Q zY9SHODiD0P;Pj$VVRphsW@&N2Fm_I`62emDtL8dirZAL7xz#Y}Zb1`!y*q@w1Vo3- zwM~v}XB>dO4iy@^*%+xGkcq;=i$#n02+4ROijj`aH+q0I0(!4Orvx?4u9v9cyXLmh z8gi`=YJ#cY$Bs;|$&5rQi64~?D;m=I?| zuAJ=6$B(s!51&n*F;~%;G2yjtK#yB68#SN`$5WP9~mv~XdCHoV>jR;zFwu6v@U{ZYww^sU9Q;Ie9=zs;sfd48HgU8eD z;jBJ-Qgu-0w2$+vs>g3n@BZR^kNg{rLr8E(^+fk+DSKewzRc>43?2$5kfF~m+PA$} z%(umjzsba;pgyCevmWc;0AZqLT`w+PczQmYkxdUpZ0?9J;Cs2dRIEvz#qgCjHZb@R z2M%0V`$(0^kSh+G_WC2+3|N0FgoZFCzlW(rvy{v+8uLC_FM7Ol(>_O7FpTCwMdx)~G!(~<6|BCDnEHhyDn;G1!XTp%%)%M6Q-+{M zl9%ny`C1akPuv3KZ!4fZ2o$Y}Pxe+sP8Jr5sx;QSn+sqU}$6Qr};il4!)Pk2im7do)|_YM)}yT zr*17%b7f^Emhxg7a&0g3T)~qpON3#XZI>!Cgr!WII+aBe0(~w%aPmvuo_%~0%LxJ} zQGj$b9z+DIE#R+HwyoL-N6sBa7z&284ESm!L~UaDAo)ah{cMcHz2p5e7H|ve5ZyRb z7>W7s|Z0yjq1M2;K*mL9B4hhJI8Myt$Dgzx2AgCiu2FU zB#X-S7wd zoG`FITFWly3uZ&lU>5lPHZ@wUO->TbA>QO=7ws$=t@`e4>gS#%5{;IQhh|(TEi0?i z)fc8m)1>9mDcGw$JV;B~&m<%eS%~fo+iM4j)nhM6R%I8HytfhRSMWk>CUciz7@0&w z{Fg10PtVUOk%*V~vSjUIU0+P$1)%+iS8bbjea|0P53NrwuhhEBzG~^+x%gIa@!hK3 zTbT~n>9Q{{I5>sNk@g3h`3Z>|>exXL($_ytg_4-PVqOz%A5{*JfN6u9n&P63C(>c?PM`KmVTZPvzOUW{dwDmZes*DcFS(7 z9ruA}!7YOW%C(R+##B3IH20IKC}~+8BO@dBaV#gb=DlOLnshW$F0o)a9Uro*NOE%g zCYM4so~*LAp1ve4e#YfFW@eBq^Dztt8juO(x^{Kuj<(=FD60W#Y@282)-={RY^MRW z(3I+5D!ew@pXZCmj*$-o`~?_dz?d01@dux{#rWg>obj>+%sNiZTbrDfH|ax_9C?AH8VoNL`MP z0VC--)GX>-w>T3*ASxWcp%S4hr<61KC7e0)6RnC>e zT8N#j7a4UL;d$^nHf2{Qj2R=hj9_CS6`DjGFH76>Pme89qaLi`)V$>nrmu#5_I%ZN zEsGf(KghK^oz=33aLg@M!M5AK%nN6DZM?S4Q9U7Bowv19ZGrxUm9oa#Z6_sx&1~H| zx_0Ge+tDPuVlsxzWb8St@Ou4aW)aN4eR#nN)oF( zEp4Fv-JJe`{WHWKnB5RNDF4Fa@R1|SSPMw<0;QZ`-^ZGMNOW52#!KUsm4)@kC~OLp zg-6@YU!Rla(mkX({5BqTGMa>pQ-@mVy*G(|c+rB)&Dk(`AFodAzC%o(=!xgv0 z;W5#_xT{52Ho)rv1x0=c%IK8YGu#dz?(M@EzklC8kpSP^%;@D&bTy!hI_JcCGIqSE zsA%(es@qcx(*FJiv>~M2@HYcE0A=&SotQXV!A@b&B4?4wGDq(mKXKyL^XG@NERu@f zaD3IrY3j-v>&s;wa3vVOZ9pZKYfhkc00CrdhOE$jS6&|Fbos&4r`X+WY;7mOVu0|l z5E?1Vx@#47+RSN0HsiUe&FJl4y8BARAOl-Z=ExS7<86b+5V)p{vwu(H362Yc3;!JF1>vT~a07=e+${;k}bKoo2!Pq1BI%9iBORwt31L z=A}6t2tr$Kcumt`e@%*YA@OdyGH+mQy&i6cyb^FXN}9!_jL+7Q2xBDLKOK2NCOj-m zK&F%Ca*a5A4Vpdit5|D8O-Xh&CJ&Dy!79!^dxf59=~Dl-AEtn|WnqQ-*?_j1JBOfu zk1kA4gw!0mOQ4Q|@v~j$Th=N(9U_@SC^$RkaTI=m_<*Y zlKV$iVebUhN6N!2=l~I`zz#frK7oazErm$n*De{Yt}Xx!4D>X-yie5RvXYXq<#ye2 zl3FLySxeGi*VT2@KXKxKe@}ow?SQuJSm!NQ6f4)WC+)0+60uAS5)^0zZ>)I?G??;i zjcCh+XyYP4O$@U?Q)NG7^!ggD8X_dKR+66M*s^0!)v&e+VcG$t=t{18+W+vZ4xh(i z+Rqilj`5T^{;HAfLaEnka|xNwW;d$ z<)krP@S0wbwej%?hZ}x!j+3GWdt-&+1LU{DqX!xwyYZEe@p68-K!37!jLAtZ3~~!T zOB1bwN`vNwAklj@!xvwW)W49D(##Hc0%4c}K&~0jV$O!|889rfs7M<}plV1dVQ1*o ze=LY4wfytw0l8KhtqTWFFHs{8f;+-Jed(?W$r0~8H6?S*hR)~pCwP%hoLwC)!-4C4 zDz)uJG!t6ZUUS`&FTx?~J^ykWuTI(lgx7R>eUL!i+HUym5zf$|bvi_nY+IVX2Fx3&JW+eABz?d^t5S}sbkmiyH%%U?{EOHc6K6l$cbsi+9%*mKzkP0h)1 z0IkwKXRj3%T}(~wd2pJ(;*H-n%5|K%j!j%*FA_yHe0&^-=@cIP$1D#v@az9q*rfRS z`3=}U^yh0AzkNtHK?V+XTutI!=E}tOYy>3#gF%&q8QR92JB2wpF;P(@ytCJU#(u5i z_I~#kh8j!eaBB(LYu+Z^t4iv6dnz4SLu)XAd^Ws=eUmRo{>O3tYtq%>q9fG&mOW1- zepXx@bz4paUGJ*clIc9D_jSYj`yYP^_Lsqp&vBs71|*m`aam6CsE)jfD3mD_1lYn@ ztrMD3c1R5aX9&j59UDu^F{#4N3VYPXk8dWBI!JkD!M;^k^OC3va8+DtLQA|&76@p8 z!6#-R{Kqy=FWfCFYrz*czK<=j*Nb+R5$wUd7!bUxotR#3#kCWUceJYF+8?yGi*g$0 z%i}*NS=UcdTiYzo1To8U*;Tf-+y|tLL`{0b`ZgL|y)P-$3zL&F+sgxX?^fEr@6(qr z3r?B>Ftk5jHRg5Kv7{^V?NDlH*gy51;BGy0`0(Ml&k71QeyEz>$$T?LXhK~1wj?}X zASx5KmcR30HPAnYY3otXM&s)xLQlw)YrtDS9bF~2DFIWX%ba5>n(p1Zmk34`ZA?5P zo-2N?Zj+}MeE90zDRqF5Fwl|*Z}_G@d3Mg(=inv>4;*Me`*y}~_bd*=dRxKlEqkEb z?P5C71kBRE$e7uVwkl%RnIWHwHa%<0;->%}FQ{3AxK>mkl!q)Yad?d_7m z`_j4n5RI1YYU%B<#lZn}u}6wzOoD z%v!;B3w0&90HQ^H$az^RbOun>(Y=XIJ2|;qS|Ixl#spL{IJPYf9Y0J*MTQ3!R8;{( z!erc`P>$K3pK#9qb|z;sWW&%=tF;5%HMq4(F536^vLt+f zG?b1$!1gehQOkmIDjc?KA(Gv0?ACWn6mCW@Ylb#OHec?DFozR~4(;yUx9|ZvvJ6<% zc-dqxvK$cK_iBDh0V{d9qj$$nojhOXO;PG%|H=12E3S7M*4q;-ckjUY%ZHRIp5K)p=vgv;TyS;GqPxv}PyP0_zp&x?B4BEH`9lW}8aBICmG%6dyogTlQJt_2q56^@wg~4mr{P;n}Hr ziqeVwD+dciAbrzAE;)4Cyt@$^E0M++pKJ4vVYKTLQ&)X5XZ!PN4j3TjrvcN6K`G_- z!qEGc@A)B+pF*}$)88qH-%(rgbSj949^8GGd+V+^>sG$+A zmffAh&UVSqlzP)@nC&zmsf%qO{Xy4!uVVy$Wmyn5>$cJ;>NP1_Ze2Jq04=j3wDIKk z?AC3v(dCu7J+#x{O>nmX#4J3&HbhG}bVG?5Ch$mR$fLENI1uLR+gvsn!0r zz$65cE8U_E2Gd?Pc=H8njM0Q&abd*z&wiZD-3YQsGF zE%{&P$q>VKXPCiQ1<|%5fhWy#;qs>~(g?T7>(eKpL_r>?(@sH3Lc(y?tQ+=A6s3(0 zX?413kAUDG&q>gjQemj@YQu-$RL}ckc+3GKG9oyrscz8U-XX$1r$h@6qZOCs!Y;cW zR{HvdGy?DxSgaTC9VtnWeJ7M>kL2m#4l17psQZfbv)dY#{X|lh6D-3TmC4UgIn&E9 z&!E*%4&wCXa(1{16a9EOy>sk0Z+h4GAW5J(LJF7gaFMSN{g=LZhls32=c*buX~_c(o1duw1| zNhzr^g1WdPU7pqn!o~gj&jGRWp|}qLgJTFKsR6APA14ReLTadDzkaSaD~BySca6|R zmWHE#0)SBu9zU#{QHk5Tbzt0)a`?h-(kR>e?KAVk~nnC-B zc*XX?!Io1v0c#`-CgZ)Ho%Xe4GL<8I@U|6g14s4R{BsD!)`Vs~eJX$RJzDAMwQIXM~ zHcjfpk6voMw&WAwq@zf+cbOn|c-B2kEJQ}&6Kwp-v2YJ0%SFg{VI z(v+tJlar*~myOIsDflZFV1#6HYO3w}_1NC*EK<3U$e8J|r`;_24EE}L%CHcW%u{_t%aJu$5Ujv!;;Ow>T)d6o$hKPd|9x&F3Syyo*vgz$+!_y{1mAnN`b6jI7e@qlw=2`$%UdC zf>bn9n^zqbfkyZn?ouYPDWij_Pyn9z$Y4FU6yVi z@?m42^iqlUi+)>O`L#PN`4puGaGmOsGYkyMH(o+=(RnaZ_zll4ws~g5prOJXCkY7)#Vfvq=!>PP_P;y5B4zdGG=&U=YLbJrM1~m^>xA zA3 z-o0Nwea;cI>s;I(U2^Y)E@i(wh|55xR>V16DngLF}Q>zse3 zTI(!MWl#diOaM%YxBmeiNH?@$`t;j)ZejM&q{ZJ`QL@GOq~Hof*1PER|HImO2lTx6 z{r`(JNE)Oi2}wdlNk&7ZQX)yRTFMBOtVk3Q4M~WMvX2n5GAgA+Qg#_3BQp`A^?Q7B z&biKY#`U}I`}gz5x$o;-7wX&R^M1cx&&iC!wt!!j<;&=H4|kGPi-xHHpr;)=C0IcYHG)2Cj0Jmm4>SDo4OfG<2|4=mYxS`#+$ z&0$#uwT6K6r%3^pH6ZZ^X1tCq(L+gmT((jK;4^<4kT?e58L7&s$%N&VXo{mpkCN?5 zX2GF5Zt&#VgTU@M3b3BudAwOnX7z5OhGk_%BfMI@vB|z-uib&t6b_L~`&p|=FBOlD zbJZocpPvGo#bv$Jefz8ryO0bCJ^@n#*AP8W({`};Jn{5}{LWkZ99lgxdiNflmVjTO zn8ITVG4r;Ax?@hd{7jJkwGSqrfY=vj9ioDes8iHD?)9 zD&x5crIVP_@6nE!MlkT1sVh-J1Xk5u3lwSXfg<-7ll-+qFrl)qENMAC|jI zSP)UHrTe|T(o0wOVaEL4Xl87>b6T36?EfT5*S61+oF|{Z6Cgl%R9fO{xhjEZIUn3x z>}FECtB}InC74|HIqt;LY^As3mh3Q=#}WH)@lqPz%|`@7ATCrm5AO1zGR$TExn_ch z>A9X#6ibuExYFL|tK5+(nzEX)$@m#9Ni*iq7KZRu7WC3-j+#dhLtoc4voAd%sR072 zYj{6-#_^f(p$XwUc%8!%JI}tkVIH0H@EnTk06rKxrDC4ioq>u+SH#xsuJrZp#JTM@ z*)pCJ5`lVb`7bS1qQ5fSekjj0?bol0(^KI8NJAs6-K_q5w$&nmYAo~v`eJka{Ay?D z4&(Op85{Z+-@PjyG{Z|HX+@X&MAA~DUUOV{K)pd$U%ev!7LNvO5a~qB^L(Q+hc;dC z_%nyP=!*+uRXaQSk;1cW?Cr&_Z84iSJSh#v2wp+xDc`<*vc0{u{(F?APbyvdZbraq zpJ*_R3s=ArwFk{SDdek$AAV5W!xCrW)=O+D@%Nu0jxcS!^1 zgU%`wqj7HiEcofr#;U0v`y#&&X9nS^=unWejt<#j{KR-vdaXOfP0MC#YWRV318pKqzT;Wc4o^i}zW8>+!?#5BF_P6wD*Q3)UA1x8? z(4&vrtXO%%d=QRI*lPw7^Ci^Il$Vrrjk8%(A&pieH#e7`I2}bq#w*ou2|at+ug$8P zM68W-5nymT+L=19vS^XFcFNO`)qcKw;+^`qVRj?LM^06>?Lv{9?Wj>ZaK)klS`)T? z+qMVmGd}QEVDQ*JEJWqqt9!%V17p^yPEpx_S8}td5TBzb4UQ)y9Ym%1T;B@ZM9}Fo z7sKTV3FDwe5tYW~!?hnea>UwjaSD|$PavavAKbioJc)Y1=N@!<+@t`?=L8vo5*@xx zeV?f^^dlIQEk^C~NKOYTkSf^y^ zbnUS-zn>=V7WW3nm=L?Pwwugv6ETM_ri0Fb#%j%aK6Zpby#@&Tn;)v*>XQf-6RO@dnEGd zGiN9f=`whMw9c_B^VAi&z`5#1TkZF-BXWZsva7~$2w(?6}d@85%bHz1G5eu`6)M^CAq5m z>__Vz6X*dfE4x}zy+)-vGqBIZ^yzXj6;R zXi*A|+GWfb4K0H!H(Id~M{V(^26NDvO`1M6Wm#F8cG?~V zrbxayf_*pF)zi=K;e!WluXpPvThaP#)XIH^G>NsW9^XRQ((=6Gt{DzLRm^`_mDl@6 zDE0WUEsb^k=eFG+&DxXW{!Dg7n(7r z2oI#5AiD^cm##{IlF6j8kaCp4VVB!R6$1~HmiCg5WNARinE$Q|zFB)Dn}_!H=obxr z0{-QaJeq&rMVm2he6I1IRLKJhZ@d{bZd}KY^`KSUaQ#z_R-adIw`oCod1bqbge8Z7 zJZ35-_Xze-KHg7t@03>h$x8OtDjg#RSR6Wf6e@{=Fk4hmyn;pp2hOU!pp{LNEZ0{M>)pJ>d#(7j_)`tpg&plk^kqaZ><@ z%{x1ZCb{R&2N-ps5qYL@l5*Tlp;L02K`BQD4jg>;6cshcQYA}wqef#z)MU*~xfKChpsm_~|C2=7 zeK^r!yyTRv^D~4%NGA+Cx>qLOc%`S=!;+G?8?S&d9JU!{C;ji))o8h=(9?CMpLy6N zK9>%3ongOo7;2nH^ciG1|3Rnh;W|oMuG=bvtTx@(Mn$F4iL9%eG;r>Y(b~w6(X#5r za1&r@ve%;AaVEEP$x|c-@6nJx>6KtNd*;j^6f)~e55`8u%@*3VRek`zzcC@b{w!P0 z<^wG5sEXKc-Q}aHdUvy!_OD)TlOMoMi9mzhAE9e@^1b!5Wo(CPIt~t=Tk|q`W{pWEuC{o~EiHbkreW@9q7&%$hy>5v-xS ze9QjrB_;6#_;*K5{F2dcw02XupQ?aZScuQkMzaEEL!R6lPNUQbCteb2mOJz1)2AhO z?tGc4ey-pu#wlEGj(Klsh2|fEHQT@1kfwfHB(IVe{W?Wb?-lzIgF>0$0r5 znIn-#2Lk4c_Pq`>yB8M>Q0?KkxDq%B7Q^!_)x1!nxLM7nUJ4&HtYEkhlmtIhdifwiKaLFK($y)K98Qi!ydFFH#*<5m- z17Ki=T(v*6?m@Y~8jow9-aB5s!v_wCOwZfxB}Fy2G3bTVr0`gEz5{Lq7UxwBQ=V|8`;&p&^6J2j{*U8j54qv_{MEF*r`CFj`f9XZ#k zZ&KX1X(nG)IPorAjb_c4*&5g!q_cdY3H{WbeSV`|9lbU@rU?@j1o5>zUphK(ct%-q zB9fcOvB&q3L=zVd7t_u~3+V-832Ai&1-9stGGiWJyLN~N2^TLW(N?F<@3QIjFXojh z=N8G$%xl(FWJHgIchiQCTP;5TZ$XQykvkOio~OTbzocwCgC4x?AbVAzO-n*TF1Q^Y zhW43!;wH7n!EX<Mk{?s3| z4G!Fx-_}(&EFzK`^~BE*FVJfbS4LfgsasBNAq~XLiOU-7zM+D} z<`-ujq@+#*;X9it*plUk9K{vV(r1i|=ul)`AFZVeb9yRUEQm$1-&+uJ$lmLXovN5gsQ;TAWC+2)LmAwoJV*lIk)yw(uyx28T{M(2RtqN zk12igYd^+rM}WR1h||mRQNiZX9x2O+r;34 z&3Sqp99SFvv<07Z(^cdT;AhPj;Hl(-71!m^_oarhv|XLQ{;oJ~C6|2h;*{jkVy#&&>A|sX(4T%1dqu|BhudJGqZ|~j})rIRrN^L@>=FZAr zwDl&&&=nAWtQVj&C_5zY+RT*f0vWaY)@yih6}OAX-8dwHHz;`0yQ!OqUpyt+=T2Qcnki<%}9t)GxFCCJVZc zGwW%xQ{wr4s&Q581iNPCn>U_%N+_f_id(N$^p~?P{vYq!ac)0X;$m0Djvbqe8q*(s z;(> zULeFHmyWa?5T>#_kB5Ni3V#fDD9*6w3DYcAAo|l3x%RbomZIPB?74G{T}I7Bozuar zFMM>wziK2QoPc8n7kZGFCti6!Ph4JkRnAicXniy_fy1M&T=*xNSz`8vw>;c8RWHOb zDb1j%666f9=ZM49eRbcg;8=wU3x-ez!2-}78b|8t=mSMn^lVX4VWBQOCVzRaUQ($o zTcD9kI`e&u^b;cs#n?S*n!2*|XZQ|r>H5Kn^syTH;MrO&j z)qm72>q&>-YEX)}p4k5ta+~G5oa z&)F@eaZI6nHk(hAXR9S1A_x>0odhBe3%oi0)dvNV3$c8hgW&f0jkf-MPj@{DBPi5N z{2M{-X^yCAZAESGe($A!Dw=gnq91L=f5+;Gky2tmgvaL_NYuT}1pUf38T7#P^;``tXtw-n2w9H_ zi=g!V`@LCM9X`2OAsE_@Lv<^Lo1T>}sA*{+hs)+8)G}KG+AnV5E zP`=M7g|b1d?6;eY^NLCyQ(f0@C$W#Y0q2F1v~Sz{`^qFa4GDN=Nqc-+nc9Y6%N;J; z1_pn2{r62D6i<)|5EZ}IiGRKz<|9RO_LYm$Qoc6EOUBNj_*%B2MOxbOcdJHuV`m9a zlNgyVyGE*aQrG-f!ftKwQ|(+uT`dVAx&jaJ_N`EmW3^(-m7koowY{-mcH1=TyIe&W z8qy!uYa-iEt2wS!G#TR!9f^eGEV^7+D4ih8LmGMV=ylOsO;=Z5q15lnwC+E3)hlY( zEtl5RoYJ;9T}$|%HOrNn>2Usk9MMtoS88N_8kc`lIqyC6U#OgAHUF$~ei*0``f|Lu zQu5^hfX=bq<*A4{bM9Phsc8`Q9;5_M_iZ(q|4T#*`xM`notxU_s%9N(7{6O0+WNr> zUq^7ENqzhE>ZM#Po!ZwK)AEhEYBH~oCzq8kyt0*Zf7$mJn|bgT7P{>jxFNE1V%Nvg z;_-3TtGY%PlXFT~;Eo}SZ&G5*%+1(ZRyPkR4;{`RB}B;Gxid~fww^7J6$dxZi~(-= zGL|ekXQrWa#NWEHFo%fnU$xMhZG7#uZk3e<1NxB%bI{pj(4gq+t7)HBk?~FMRD=jH z%Cu{+mPS(>*xtUnx@wrDo?U-(r}0q9?lj?-o`!}yGYFS9>R^e!Q%9`j=O{XTiZ*jA z#;Q%aufM)vv%da2<-Ppckl&5Q4Q_r9&ydlnkg=a}nT5Bw z)k2a-O2!_|%*?dg8@B9tfgp^6D@Fze&jrJ#GTIm_j%ft$8TSrpsb$paByH^)>eDA6 zD2P3YhCc`$J>PcU9C(G{AB2X1^BABu#Ki@0rNr6PTpw6I-MEn%n0$aoi84Ih_BRrrICiO+rb;;a=;S8br@@=z$ zd4Rl;ayC%#tdYKZcTR4uSWIg~WN7x-a83$}NA5CGam;iQWWG8H)gj=a$oFWO&wdfe z&!A0R_fNj+8*JmXLU@$XNt|C;wS%N|!bEwH#G^{V`^y6S@fZEcVQiZ6R-PO5zjhd# zYzhDAFphnaSweOtH_Y!;c5JPff`zJ*bRMKBb(j^f9WMeIkjV;J9`8UsaL^BS1}JIn zqJ{77%PA^y@rd6dV1oFWOSizfm{u;FIkU*M>CT$5Zf?K;>pbpr{b9zySGv(|8dg2Y z3owe@!X6!$Fz(v4lfL-l%^||nq;n9`ZM1tKq+oUGv=Fv%_J@&E#_CCb234!6fii5U zI^?ykX6q%-WBkNUg*G(~+=Y|G+G^ec{vZKQD8s(Ny6)Mp$n#j)g9n(cV)JjguJ@ik zeG4{0sHtWmdp=TMzKxt_ByW}UfkE^9{TZfVmQ?+g+J7p1PNN9bto#yE3_`VUC;jvc zW9+5unO+79JYN>c%N@djOniNN204p5K94K+V-(t6{^|u@$9l-Tl%`6(Vb66jA zVFnXWK?jssT|4>_;_m&!v*h1(1G=}~ooqL7)7JiKw6TvLH*WUBGjcg;6u%HOCT3}4 zW3#@%dvp|uZahoU;;oY4BRk~>9GFpyW0+X}ygqre+!XSwnT#QaK1%=NChmU7@rQ6y zviHqn{*umVb|v=;h+WmU&&{{jK-vP-GGUR^PHnRFp-&RzRvvK>UWZEtU27r$2hIsMk zH|(*emE5}3R#I~L?qe-72PjQ!yNXPfEFu$Xoqvj?cj*%$=B#t4pg>?Blp^>sK$8#K zNwz&h?o#X$)z;l-6dXeXST?v-t8hFi7ueL4B1oVTjmu?$lvhv)Q2W`&4&#p0Vpr_F z#@?`%|9R71dF`*8c6e6@fE9~m$u?~^6nML@%&Mr?Dq2Ej32%o)PMW|yrx$As8bs6& zAHV{!JA<;4Dc(Wz4=JIn=hjrW;a&$`O|k-6NO8DeUK|r1G$U@x)ZeyiCBK(X4Wanw z>QxLn{QXIGD`MRUU_f|^%0Vtw;@*FtpvU-dD*`eJDq*`nZqPkK|LE@Bke%_zwRw%g zQQq1A>)!0{Gh$o%b2^u-1Z%G+-v!uEnAaKk54<^GT0r+B@fK{E2DBWpR4D-qcP_i@@epJiXni~pHm0SSqhmk%U#PT0Hp>Q=n@*Pgiro{>PEuD;=s)f1 zM!Z8a;sqA}z@0ZBnlh*4SN)eJUd>@}j{h?YZzNX0p+hh5^PSbyk!;F8^38GMH%YY? z_@5Sl@lO^qX+RE2i-%wLfqXdR{7dBLkKLU*tzCRr;FGYvZQds@|g%=pD0R9cH5JwqHv{<%+L2vbw_HRLMZo7yt1NiE!*zNK1V|6SPtHJ*msLa8(3f2) z`uLN?TK8d&RrfzHw=*yOb-4{O{A`mubOe6F@361#?Hw9Bg5W?Uf$<=2M?nYI{!4)Z zbwlFBd)4MZ5`Ovmm5D~dny;2=u32gAZx+y$&~&Ke51Z`uh5y|qyP8AWLFzB=;Ak7} znv|nQGXc}iJgz?Z;jrwQ@oFaVb0r}w4-ldp_ZhK1hV-CcY7*7a;?m%DP+s;9<}Z{9RWjZO+O>3qK`OYa>l;H~@O=F6sj+G^p= zjBXsGFg`n$;aBC4{#!54uP$o_PPA6Jp}H;cZ<4Xi<6e*RtLs&}YMt>1(q4C2M_YS3I?*FXM%mfD$sANvQX-dM4QShsz72%ezusxMNGtR}CjhdEo%oQlXCF6yIksg#M0Z?zStj82XDc%nnX4xJ%~E6v6FnbnN8G*4R-(dqfJ zagx@S@>wFS?%uaO7hl-@sX>44t3(BNNy|AIp`q6cw_f;G_^x!;(U8e==73t=??~7| z?H57PZLk9^JT?@M2i=BiD6~Eny+_(qR$#IpD?Eu?w= z=7S4$zU<>PI^Uwg&t6Yo-@Nn1t9sZ7sSHq$9Y1A?y1cwWiZO#BXgu&Kf<}A$sHpv& zo^9zE*HVO94ksu;eD?yYAO$xu^IT8;)j5cDPMtWRdiu6ND{5+5tJpCV_{?%R@7uD2 zd}I)K0@zu0n8``vXCN?{iCSG~X&<;R$@*q-u~6Z`SYW1sQ8Z`nnsy?|cI`T!nQ^Kx zrkJ<;I^^lxxj0(sUfWq;zSxzm82ys}ldKh|)fWV1%t;B-^=_kIY`yhL>lUEUT=XDj zP%4ah0A!@-Y?@c64A)s$SSUC$gwQ)8t&yKWhz#_*ui;p@)`q@#1mnnls;9Kf?*bst zZp1;yO7rBcIAuuOe@sLaHj&H6C=#$pY5x2#V^%*Rp(!L}Xz-BYgXO=BJ482?_=gt+ z#eRbK5kjT>ht}^QSVrRH&3(oF9j&5sJ&%b*$i6n)?IkXb(G&D^OVwp~I3b(l{_r_? zk}=C-$-Q($Xq6$U4xa=5`3c7vYTx#mx#w7Ik-|F7j5+nURt3u&80Q2_e*E-lgH3l7 zav~9R%Sgv%R)>H8$c4MjRX6Y9EjXOdi-r`oTIlYs@mL~_*au6^#9<*O!FFmVG;d-^ zfBF1*@$>B?fIS&z>tnd2U6SK6&G#U%$wbm z3g=Sx?(Nv6OK9EK*)pq7%q<_LoF9sQ5H1v`09z{GBzoigky4i^%f2??>ajA(8;JTP zg1cHSDH`^pvfHz-&vtD)tg58Mz)o$cR{S8%o)PO$OPZvfB_*j@r62$oE6%E^sFZhmg%PJK6n{mc_3c6!?{|nD7%cBJg@`9oS#u0 zLp>2Ph{KN_L2gTo6if=-BcCMC%L~WN#=5uT$3C*&nzoYvj^AIr4I=iU?+tY^b8xbf z-rzFew&suW32CFikB3<|5QEPOv*llIAUEb2insicIs3sHFBi+T`WpilR2C=mc>@IC zj%=cJbI6jfy8CvmPLS_EyDS|}gXDZz>;n79_4-8{6B1Tg2>eFujtvFRap!@%aWV2Z z5P2dr!=Ed?eLMZoq24AYq%H(!hqay>+VF){RIgWnD}yPKwfrWcnx{7#Yh~VyTr@iW zRgQ`;S1|@qvB%d@mx4y|-A;=L)Tr=DqUDedBgQ-M9L60uzEtT^Ruz7Bv$_KeZ^e@_ zV{{xK0A;Ws*7hHEwI8(h>Nc}(bi4#Te#)H!w+{Btnyo)I{?qvqHf>okPVsP~sZx9R z8u`^!)zpw_9^Ie9K-Az^7zrMzM_z~9C@>;n?7env-Y7QQn;Ob7!nFcynSE#$G%@EK zJUY~;c=!qp$%~8alllg@9_X1IV03lm4OW3Sw|j|133dQg2PT^E4d{yNB;ei02ZvR; za3#TlcZgqOWD^gUQ&L*QUyXpl!$~;zQtv@#~-mgz=6VIZ%b!!_DNs@T>!kfEB-Jw7^)|ixP-6RZ#$!odHQI5~0dh2bzY$8l#z>t6#SR^KLN0cU zUOBD>I#V>`2R4CKY;1}?;QR(~2t?XodSR}UNEDu}blX@V(vm;5@-;$Cfq|Llc_1zD zO@=ufUiQLvSJU@S)9%-AbAi_PTb&08FT!o(ZC3{QqK)Lk5-ne|W(^`RY`3Ihzb5>0 z=Z)BP>jnotmxMik-CRtHx|)|0gL|2mZi3y5s0nht^jWxgXvTRM4G2AL$)^ZP|vU^~zha$@{BSho0>;XLtT<^1>zH3F>EN;G=> z_%3hi2&dCEG1;15(Ssw8LJt0P;}y$`#ovG$oIRHZ4~n16azea3KEJ^wPPVF(Q!vlomd5eC?vy?Re}366*ltK4M_t9(vXG+D9?3hB z*4jUJQ6bQY!}3_O%CJx0zB}~2#6@QN4iKh}0|HtHOFCbl5>q%%W$qQb*c+lOG zqqrNKJP`*VMmf4d;lYbI`S=|>gzPWylD&P1&N$50>L+t0i-m%eqvG*x8}6u z(Ti|iV42{{@bKy_R9xY~S=L}u_D)p?m%LE4N&wgZvL6Z5+Vo25Ih#GyG>_ZZZyoog zTeoS~jyyV2#9mibb*Y_6{sCGc#YoHAb^Nc0c*%DE_`RMp18}$$*>F+oZJ(xhFZ7lW zNv^Ued^y%K|Dx6N3Fkh#z)I&x-&(=t68ic2Kn8%N!w?|NwhWIAjNsx`@$I(z+&LVW z8i4E&9@9s(4VF8%!d{DxcJ%f_=Fht}XT0)dQXOb^WhnM3-179*tDeHB5gBJEe5i1Ke9G?n7IRbL7#&W1J(+jhO^>eU|zFTnFYzIGWm?sdaJ=9>HM7#bbG6GQ%y z+Q8@;g8R2{%kb;n291z6GE}h&s++QOM_ca*2MMX zP$)K5)d%z$pd#4rVW~wT5_|dT{N#+w%21uOZQnkY^JL8$vsZn0Aq}%bI6JsUoDDpe z>;4V_!iP3vI%Jv+D z(J{pBDVg4_P2IE0Z4>)!f8Oxj|LuvFH+GV|=M`xgwgbye*^jxWh))&KU5k-JSI5x6 zfJ(5D_V#3`4q1iHW`D-GFzO z6|4UCZGXx1_|X1O4<3l)2xDO7-Ee5K_8A^e;%%g*X3&p)(&#-%hvL!VVgNpLKT%qF zWX9TA9NayC!Nkn*UQ5Jul+BcDlcD~ZIZy16p@f}nclnGx`kI<4M~=u0&HE5nJ!8d( zZ!2sgbFLnID}I^UQk?|EUr>{r_o!|w?###PwK|6j0|_IM+=xcdWbR0MEx&qIaNsWw zY!njHD8R!~^!YoEG|r^jVF|p2Tsaj@bH&WlF5mAiKZA3RnHpMSQr~X?e?n~LurJA; zE~8CY%~lMaQ!(NP0t^T$tPGF&BDe!F(Jq~}!PR7@cNA3zJR@f%#jcxPwHRhRg05Cx@-HPe&4l)~znfB7;t8D?MZ66vHk0v~&wkXI1t z5l#kx&V7a`4{JNDnoOCu+HK=-d-)2`F5LkGHs(B~>aAz@?pNvuX~PfE`pP%Z%Hg!_ zm5sM-pc4$<*!Y-d?cce~ZPKJ6&ebJ!3pqHTUVRpLR?tyDDD&?4;Fa{WC%e?E>spEq zIL{=U2jPi$bg1@0Vgt<@qTb&d?w2IDnxRil=_vCb0}BF9N{%*{zIsQ<4S8c!zwkF4 zPfzC}ywrZG?4I6^u2(u37TI`h)mw0$*Notx`lRGB{cL`mO(+9Yjn`dXelWXl1)&+V)*wnp0iK6?%i3|VX*FTE?e^-D}Q7XbJyCo;$x}1?JU9zU| z$QaoJal5R;%=T>w@bUBvGikNSgJcfyfg$QgnX-u-uoU`ReSLheJ2UH0d#7Bbn5*Ag zv$cpJjzbn}e%vw%tH+x~f8EAIwb?TWBifTp$LX}MOLCWJDH(-!U4QJ!g_|}ISLAXc zXu~s&4YwOq)rT3~H`3Je^3^MLZERH6YL|EE_P*T;+50wLm(tW*vTOkUvXx*~CSv?5 z;ydLVcg2)2eu%Xc5BESUvLlDxK#%4ovj{^7iYa@ zpceug$8}w0L9@q{^yy=e?lL?iB;2X>4x$~H8EAxW(&9yn3V*29H-5_fevbKohc2=6 z{jL>-=kCq>dU3`coCNz12J2i@M)J|*A7QFZq}r; zB13s^UB5k2Bky0kHj>=w%1SDAu3yLNPD9Yk9ADm%l58+Y!15W+> zu52&+*QM6JR`tSM6uE=E`;`ABn)qx_YizwAkXeMmH^s1_9($ z_!|>IB_`5KEX2vxW>uKsBe*yQvd9b}Bt?cu;x%h(najt_7(ae955vo2an`$S_uk~j zy`liRz)1s^@M+O`^YSa?41>WOJXmn+)@SBO2#vU=kk!+8_4x4k1jvj^CL5RB(kYBK zmD{xxwegj`s|7ii$6vJqtwO_s(bR*js|#`rbYIMfQ_0{!41=r1OjP zwR*_Bt*V;q;ZgGNVbaEp-WZ?g6}1y+E}fX zvK-+Mu%Ddbgru+nAT-Eo%L^OhsnHaTy+zrY`LnCkhjZT?EL&UEkL_==nnMsKEiYoCzi8Ec>FFarEjNXIDGD?f&pD# zL@gf8&A7CA4Lyo@J#bftkzgoL9vBs={Mfc-%PuFA?Wp)-#;LMZ;a{D%{T%t=V&**f z5)NP&SJT2JIjIp9hks3)e0~u|2pqG7>ztn#^sN4JE%D;4sSoblNm##rS8{TTwvUXz zCDAmL`j+GYWpmj<`yFU`;xA=}tP#xOM8+)3nG?0Be1N<<|1Q#!$k(o~P6vM*;Pm&K zXpHlc;XHPof{Vx>r8h=e#MVfscQLn=NVMe$TiW+}fc$PFV&JxefYoZ#K&0s!ALHV} zWMS<)k~*G2!gN!JsGR^Ic$V-Tp6`7wd+odR+S{NMWN-HUb_utf4sN{mLPc5m@RSP~ z$WLxf6?D57E(ls~EetQ*p?;0|Z1mI{@aI}u=9;w+$n}Wi+SiwLDi^;t7# zrrc!dqeh78mQZFkd=Atlu8EFL=pU-TW9Sk^^a;%WCfj9tE{!tf$jr}(5po4YY3b=3 z`@iFnL0eJmMI5MB{0NiW_J$k6RHPhX95!DA@Z9`);)NwDKUc*$2yd9o2B<{mS0D#A zG(0KyqUCLy^`|de&dfoQO@kdb0Z%WAhTWgD^(V*DhOq1K;YT==ZasmxUV}{@SnBIn zYt^?5-#pu&a#PoARAOFAFJE%IYH$ZIIy4Z(Ty>vb=GGj0kZ7sdLrd$~>Opi7k=m>I z9THTA&nNY8>0dXyijJBAuAYcF(K~Iv#;^=V)h{^e`d~p#M(QngX)r1QSx_H}#&cD) zmh7v3oDF$oVX>f+KFFjZe(kf^CoXhW47R&5D|_gv?6OuF9KDi4*EjFpsmaTS#h6~) zsY1VeY<`8llJu~hlR4Ja7sW^_!&@c%z-(a%`ESiV;8b`L1C-fx~_{CC_ zly5!u;Y%WmQZSf~9eW5Twv<%1i`!GOLRV7=2E1t3nV} zRT_28Y41f8Zxb*(XTpy9zhA0qkJ<1FiOk^n8pRo^aqV^WfUloEeoTWxF}|)St=~XAR1Nxn3hwm`4fo!9%|1(c(e|Y8LRak9 zDOpZND>!vEBsXl_IDiWo{P5o0yTAZfA9Av&r=RxI)?wa zCN*9k{dj2fo?m`(TS7&D$+K_}fCK-3_Ul({_OUI2xTMgSPizjs19_VAf4g{Pa_&!0eD}c@4ycNxt5hloSi?4tyAD zLl((qD(eQgQm6F=&z5>D-|qr6Q7b;1GHq4&)*pi^{vskR?sG0W{t3LZbVOO&_qK`B zd3*&EC^%c4Gh@!2QDCg!=ZQoB-0X%pw$+su0O(Y0vu1|aD5c#LwtS&uw-zD<<#1S_ zRt!q`8Q2Dg$&{(Kj6PvLyZg;8T2Y-D$_8j?h%)zn%92^|bJ}13E!VhPTHLORdB6T= zTd@H}!Y0A^|Jbx5m8mczLuJ{VUv~pfRjIE(H;tAn>@{}~?1qZ^|C|qX=t3*rcKt}s!85C|c?A@css{9HeykzCdDD6>zHqhNf%HF@P zq@d8P;%K<=P>V$9VK^O*xeiH@AieY7Nw*FMH7Ym7N`|eu>?yMv{Mpafci^0+(cmrI zf|Zv@x;GShK`soX*7CD{YHenV6;Z9demDY6p-5~Y!sJXz_5+<>Yb$M^rRjakmv}a5 z`Qn;6dK;q$Xi2~AYBpiQ+2hAQ5ir3~Pudk?qwK0I>nJ8JGYxk=C6-GjO2`(NjQr+7 z2j@2_%|Le~Qn*&w?`y~M!7r+`PGK$vRA$A$9r8f?`0KvK*4V+ zE5XlIc1HCjZ<-NPyCqo++itu%I^jfw-CoL9$Q+$mG)br$A{d}XS)}aPp=A6gf1+J) zM?UAv*tvfFM;ALHd^Z^D7B-yTRyX{PO72fS9mne5je#i!9e#tIPLn3S9XR0o3xQw2^L&`+4H%dL=;PIF;H)Y=?E+(4kJfaeEv>e6FIAEzqSwYObzX1a&Dg&TKrSHF;xSNabN%6u(~rOQ#-%%3^S z&rgl@SWd2*)R2^$pp8HWg{CUe*70_Gg-yRFKM7zq*l;oj!!O1WB#SGPI??_6U!irP zH6d&>37;RKlqv&4Lz{k1`Q$&&2DiqIz-kl}7-$mkESCr&i3=w(GrOE@DjsW)NdC}X zVClNLI@rClXU?#hN=^;uwmgOH1WV|n^ciCT>o7{_!@*(GWRF60#)<{8&ad;I&7;`! zxwsS8A+Wy87`%4fx{|9iESn-+bswYMVS5phgx%bJC`zp9`pL`PT`=4WrmLc&zP)-y zX1nu<_&v(#n84A>9#2Zr5twugNYGboIIj1J(O?Kx89bSi0`L}|+=maB76Z1XNDofswjg~#V;<1NSB@+p{t10d zj|4l0NAwRb3eBSgCi!3nk)EEJBP}J%H6-ue61@3z{ndc3PS)CD`sv^vVgIZeiC$*neWNY zP6-VR!oDK2&6l)*k0%^|dq2i*CTc)KGLYv|u8)_~o>Q84Q*uv=2lPFEXtCJrJyIVA zp<^b=NMEEupA~ck`bLrH$+@uaOP7J8$8{Tl^(ccTtEKm0ONg+9_5c*w{rNN&#Uz%_ z9zNW(yrfJT=8jTsdc5=Gg_)X8Et+e!{}xjX0X1dI)phnWBe4J&7`R;sp3j-MuPu}} zcra4pM0*0+IF|26rSrOs+Lv|G29tqFX}+r>kO|BMOFzfDbk^WW`&fEBX$^AVeYJFo}=!D7(h`sSjV$~fi`$eANY<^%*(lbtCNxsKPfGdEvB@fi8}_MM!~%*>W9J&!RC;c?kj zAh~$<6K4@tWb{WKu0HEfa`IE0VBJG+m6Rlt z=6Uh~0~{nVQ$HLg%#Dfw2m#}SC4z$;Iha_+^RE|w;KE%JgRB!6V_1xj$7L(PBB?AR<^WS1$V%7q9wKEA9a|GwjS zwEK0(3vO}%_ggTWSCfrqdr}fdu;X4QKH3-UM^eYD92`1pR`2)%%h+Qxp1?v^y?vWg zIM(^PN1ri^1G6#Eq3{_3op6TeN6Wvi1w~7pa=|U{A=njL5Hlt-;nHEDTrqq*6{Yix zOhQ@<3m|w9bLOWJIGH8`NA`5wf%BBm4W1yxT|k^MfjX{E9ZKSJJ@)rSOh(+hvQc?} z+RWabee5R9l6`gQ+}086_2-%>^pj*iXV0^9a4_uOf8N4{z~~qU^9SPh6!y&sMWN@0 zQP2G*1}e}K>THrnqYxuphTsa0yKuY6pNt%8a(-q0c+(p?Qr+uv&R;pEy3?dp7B4p1 z7uw%HTxt+AA3v>ppNZEByS9^-e)r)65V~A27^2(c$syz)N=QhkL?iTAc|^xTFg_^G zf^lQW010I2sVz-KfRd7u!YRv7oTFHLRK3J-T}iC2R0_g-rXN4ucgE$~#{&{Mk`E%qq3XZ8vYsm zp}d8tmQ;sno9<~l{q{paeQUm+@5Eg7^zdYx*;c7mk+a%8o3v>BXUQ@fh4VL*f5{fS z4L68JWf-|Us{k6M{-QKzuw+>3)J*mMf8AKSEd9gA+FOF81AcP6aEPp+Su0Ef03Gbj zzIPIn?dFvPH}i9EO^qOfE+#gXyn*S=%0)$pl=J7XtI~bwPvhTcZNKT$*|IcDwzr%* zPr9R`)#S-T`}U2=ui%F*un1402rwAww^v@BS~i|`q-oYMMX8vL={+8Mtoo9WueZuGCucd_xdMHSM* z%Bo!4S&@)!Nz==FF0)OV%hr$blD*P>K+(?)cky7<`g<}nn_!VYjb49?NsK{@kWDijBhss!iCeEBulspmthv ze8!cmKB*eAwNqj5uIw=?5?18XssF`_Y`*4CEAm>$WB7}aseuyU;VE2lENs`dVogch zc!ShN1qL2RM1Q7XIJ+;gw7c7mtT(jjeiNKiXdrI;X2}}OraMZ>Yc8s6B5(i>P9!4p z`ug?DM*xnm`b~-c_7qgp#DAin-wj_VGURsxv3~mWiPCq>DDCVwpV^pgU@UXibl=I2 z-gbKb|Fo?yd>Im11*QozX()<&-p}22L7d&pog9uVMp+XNF{d#%V9Y{u0_{;z5i{B+ z^V8{CLzlyD)4aU;`}eEjdlmW~`PjqWJ}vjKZkXy|5z?bQnS|OMQf8_Q%{sw#May7~ zQq_=ZU|ov5Bo<`Men9yu>ee4^dwX&)qcRyz5hYIGb(cR?S04m_*}t)%TS%zVxrbfO zm9=S!>|v-F&Nf!<+tYUZwrIl@UP_Ppqq=pWpF8T-g=d=8t=UUkDddCWGPCma(*l8j zxOhi@P)4I|A$8a$YWL&HnYm8LO4_W#<(hj0 zmHXxVe5Sl@IZxkF5t&!L6LS)4AzK9XRKdYNK)9=&!qQO48aON{9F}(i(s{)c%NO5O zctFDEoSNhAzMhgs`N%EyFV`d~Sv*$chEkL1siE-%5f0l1*Mu%1bk&Q%0`3n*8G#23 z#St+!w4PNZga4D*(`N8EC#MXe!|C|xpVIW^aQNA|EZCpEeLH&S5KAp(dY`6?TXBXn zfm_IWr4#TuLQEh(g?WW*=u&n#5@Y-@Yhsx}fI($mPurN~Juwx+>6u57LA zx|qqcTPMCTy1BB4fPMoiJzk2D4Oxtaq$e#`uipw`FP89`;UI z*$>no_)dhT48aF6c7L%d*YeNQ9PT1s6Moc_CaEb7A zW6qd&_95gGx(0NP9v=#*I9 zNplChyC+yfOZ^sLU#A2rHec0v;Q3v<+$qojX5$Vco?GKUyoT2b;3Y>>;jZkSU@>*&~BUMSkCE$jR~io$}W!%Vw&Z82-3 zjNeCqfhHmUCTqtaen#Tq$rxlS+}57i*lwcue$A%Zd{eF+A_$&Q+;RDPezgWL? zy7k?BXwM$+Y14!}B2m_F9OzewYf`I7R{Izrzq+%__Tex_-HNP%jw{BGd z2IHDYZn*w)MJKibC%1)rzjhCQ%XNmlkFV%W=u;X@t`suZ*1_(INv0oR^j zS$x+1V&-Qi(S5Yc!otGOPj_bBvk7qfyd&ZZ#>v6g^y!&3bCY2Eu^DjlP8az@~8@ie=ja4tsyk1+bNxbsE30@!-KdwX}{*IKk)s z5*Y>mCorPNzKo2mNNUec;+^9GPszV;Z~W{ivdBRGXyBGsqG9m($s7KgHJ&|6MdVIE zDTL!Q-;<8U1Lr(6YroQ>>)i!Tmi@1IB_*4tk*7O%Za=qcp6yCl{IPdnYX}-rbnV)# zC-%$vOZYc<6jsC`LSVN7mkflo=hMGG|Cy7PuX0i#j)r&S{;@|?xJ;CrRTBBjVFo(Y z4TPg3ZNWMZzVEU9SZ#~J28n8MC9+kKD(Jw#<{p;oH+wN7nAL7c2qaMjhOkza}O9Ek~0+^8^ioi zw##=$K(F}-UoENoa|daL7@GXk2EWd{iWqP#_`twL{e|hsHWrWfbrcMpT$B^Ej z<=88Jzw|{@ZKpqefd91I_c`zf`gg_kPU0eDwrw(NsXn7_&Z~D}^D4@6Ppm-J!aYy- z0{L^O+~07M6QHH2*rW5}%C~RHtE?lR3_O@afVWM2dyme_$^v}Cc<`j%VBkxS!&!gf zf>#x4&HH3lZ%9w@?1q6X$r6HgO%O>WAjaU5M{01~RhMalBf)h;PgQ0MK3>IyMciq*KyIX3XJnYvD{lVtvcLV4LFG(`@0oc}%yMGcHy+RT z_cxn(AZ?>V2fjqfu%9bFYl79={lbdhM*$}e5ezyBC@~7bp4&R4g|c9C;*Z?d#ipp~ z&HI6WT;`4E*rY0_g+*%E08g&jL{sFf-E|8^BBB2!v2_9pSk%4~j(M&+c(50NeRPqK zwfS)%ROUz|eDOKn>Ljz6GTt!4^NBNt@b_+feY>mnv`-`VEB*!n$n|OA(hPQcjh|?~ zFC%(DoD^{mXk>=%oXYsloOy49FhJbcnSX>~NmDYc&#aztHb`|Cw&4e<{J?}-`!T0| z^}+TXPlVk>INWYhO-%XKY{oRCg)mi&f_&TtJ6*B%AQK>6``U{}a&YI)+UB5Kfd(lO ztGlzayWvXE+@(vGqIlxFr8bxHj0Gpa6H$qgM8bH*okd-CNo3pFtzHMxw3(TJLXdf) zfJU{{%6HEMH#eD#i}poFtNV_Vu8Iv17vy5xfDC15YS=Sd&7&n$-dxsiLbXlPq0=&_ zZYW>P>eVJUxYcX{{{L;+XJ=hdW8AHq7d+7-p z0+eY;K0G%?O$i8fsb-AeQ*t z>?f+QHNb@;gvl32`CxQ%hYK>@b8MZ8=>j5p(S`Emr1duFt=!ypq&$l)4WKXWeO%Y| zkzx0(og%tO@^@%dN%;@Ays}XwGt1&P6hJ^MG(wRWMjpO->C~MqH+MaVOh)RRts5Z_ z<`Z0hVg!;8SH24wPN(~!VE7EFYnLW=H8!S0;59YdizOcSFf}cQbmBkra_ISXU2i)x z3D|Ylhk|Ka3}?B3R>bD_?$@uql$7$ABu*)QKxmNZjnLAv&b!rCFe|hWv7O*|pxR`^ zbIlB?K4BW@N^_plEAgPTGFa2Hl zxrIC=v-h->>R6JJ<1}s@95~O$t=If9pY~H7R+ou9gkMP6($1S{bUPWRb^Mk6gb9Os z_8h?ggOcCCU_-Y1m8x;~09Ap>$!%x0rdbx>bT(kN;JP{(5n)YdAmVb~c&(4Ou*q}i zj@oAAkhhi4HCx6|b~GBH1c~mD!UGLzf3&|ftSZ%|%@^cv(&kM^z27ISYE2v$$ zJgPr50>2ovLmVG3+_16X^Z~0ED+ni9Ws&~LtbJb3$!M%ykOyS_ogg>SyIGK{G|y30 zUA?HHTCe;V?UZUV5+kn>eubH3O1f}sWxz~>-Ks<*TOK(5ZD~OPuVgv;-`>5~6?i{e z9SFJfC)4JL>Ty8Jze{wDwrKxniSB=Lv@SYM5>-x?@05(7UaKtP&T=OHRMU!wI6SL2 z)YG%EwI#G4fuZFn>8^9PGae;J7)o7j`BK{!)Pc4@9P~N~qZYj%Rqvd$Da6X`RZ>Ba z`nAu_xj*dWfQ6!?qGZJqVUM?Nb>o1+(sx&5qv<0|QkMq#%3iUVy(jYB->G#CV9uN= z=3Pqo)ot@siq!Chuy9~~inQZLh1gOrHhO@HgH8hFITkx`IRaiHP~Vha(e8&e+&N1T zOEu#?BAHFqao?C>hXvQ*DM4b_!00U?y*{$~U<(f}ELjM-g~b3~gnr0se39l=@2aX0 z$k{ZVj_jOhe-TUs90*PWm;kZy$0H-DE`gGsICW|h$I+a!^n*N??4DaT8mAHj1|QEI z=Hx`_C%;-h&Q`O=hYrG1c)8!j+Gm`lknqzDHM;dEntIIjd^0P43WWC}4FgpVIvCh$ z^lgg^nWK{}4-G@MJL#2J8SHCoJ5`UB+uRTttm7H7_a8~@hpzkEt}x5Wm6?V8m3v9B zj)L?Lp7&BZST5n>UsiBNbJez-vu+$wyTD^pDEY0rx|Cj38b315os+l_-7M~HdONiV z17ydSj!@bUsLM7s-g4~)<3Lr}$!j-mw4c|_VXqTz5!Bk~m%srqXvglfvQ!h=Avq=R z#0zaPY%X)>9-j60V10sM$HOuo%3W(f|kz?c0XDYKCqp!ov1+5A)gd+qb{6=!tu z`#dVmmv>Y2f1&21{3P&Nc$td$}&Q~TVl5}77=p4>MNlEQq zy%M{(H(j;EPAucA&^&=MCm6)e`qf|GaO?nbvwv zpMJ)>K)#@yqo1$RX&nZ{6)emaW|&JUlzfw+gxuHn?XL2(AHZ#T%S`A zeGSgI|BCHx+eSn)I1=)jMmliR-bHZw(H=E;&>&jDcG&F&w7%M9lL#QLrV#`J99eyY zm6eC%gM0T@M?0s?>^y6+!mEC3sg(IYl%07X*6H5=B^4!EN|MT!h=eF@vW2uEMWsTf zMOw5~5bbDD79-}|~g zpZ5x91WL@OLqW3rhwBEZoJI-;7Pq4|YJG6r{6hObQny?5#|tmPCGRQD&Nhjqs2ULn zONlI5u>#=JbJ3!_MbKJ+segDT^#%{lvjmd^vCf__M%@8!8(G8CPyvcS(vzLt=HY7p zm_PXnor`Up91(1Be6Ic0m=A7=D-Emd_3MG>thjA{*Y*a*Gn%HUNpWPO{Qi@Ra*Mr} z`IMi|#sOKDhh%}oR=*QQi+|&5?LlGYl(a^0U>reILVGLAd|~2f>(7ozHG!#psa``o zvdKIYeKwjnJI%`8UT6rJ3sS>R!N2e-+GeXolc5~EP%F3oi-3!V5sH+RzvW3YE+BF+Y zuq0XbUF`{Q|6#qb0k2zwphYK7PMl~!aP%MEN2dFn<8m?WcyE@69^btAdTo{Drl!=8 z8z$E|Zudq+Ea5Ia+vJctarDs>RxOUajl9*Y9n^m?qapTScoY8KiZ%TvUcj_wVDQ>x z?z}a-vB!M*Mmhls^h+jG2j|q^cto{~asijR{^;|3g!yzqXzRwTf$59=^2p-a(f!M@ ztrdtgoXTfJ;Sl0OkKyI%+qb%>imQ<8;Durm1-tc_KY!}v$(!mADjFFy|BFl=*PZL) z{~`j8HwiG4{!diuB*_u{TD+@_E!ZeyX06L!JZHGqcXN#{f4CDXMIXD}5IwW1`{ogC z_t%ItPU<}UQyUT7tl|OaQ|2a(xxr6t_i(fGp75`!xP-T@{&KURAfifg^xoz6k4%`j zQWhqy(sqM+j1|}H+A%;G7oAeP_ZfORI&LyplK+gkVl<&x77w4YdkHk9m8Y6kP8Tt@ zlf|1r_W)t(CtJE);HgC(p#Tyt|#->`=VWJNa=wEdwRu00lO#(k{z z(M3uG(7e}0Be}$N{YMwvyt$*tGcJyI7K#^B8fV8KWWRN5K;ORYF237)^npN`@&opW z3{MjKnI!}vTH8i(?tdjuZO)c$61djkv)b<5u>+?l=qMVkCNqu1AMV5pC;kua#4hFn z_46lp;+XAQUTB>Do3uFf`LkzR4?Nlw6eM-8OfJ*um}+Z#F$1e{j#YgwF6a1V4-^qu zK5XQWAx=fhOT()gIFzi4%8n%@csD%C#@n#LG$JOZ->Jy@vtX?Y9lXKVxYC zf~;woYxb)Gdqv4YFcNO9Hf-25by@TPZrz?%evJEL zXX?CIh~LD`!0Eu}6I94~>eTRwR)FtsP?9AjkOyFvEz_;r3hu+3D&4$wb!J@|0r&M) z(m$-9TL0!rn)kV66V}-ZozY%%PrCg&I(!iD*VOfapLNV#E^tur#j9kOxllDye8n;C zZHqdHu#x>;;ry%$fd|^L4!(Wulk!$SwEH%F?dSWel%(b+=}+9T++eDq4+AR}l`oJF%5O87+Qz1@SRMWWO5s;%nEQ z!red@p*bYLlPoD8Ewf7S``Elj!eiQ-yvL3nI%Fh)$&2RABlz;_ap~s)v~dzGVx#VE z5F3?0sAf$FY1qIY2t!bogoWh}-<=yL1q(x7K6dpn$qwUs%8r#VUiq5#i)z#N?aZVv z?fY12ChTM_S~16w)=*F%po};TFU%HdDmQPwy4x?e?m%7@^$zM2jRsD-!28UwsvlkO zbZO&^`rHdMc&*fBQ7=g+VT>Q1GF;64m}q3{beTIvn&UK1ffxyILRZDPtwFdgR=k>5WH%hg)(g0Y@z$^9;~i%8t4XF4UcnVbe(#ef4ePf82L~v_ z!5=+x1h?&xW5=%f)$k@YJ=?PwM7HD!i4gp-A|im6DZeB>>RI^3aq)ed-6_lY{(4Iq zydN$4-cfOG+p%XQ*#bwke29v>Fw)Sl>+oKu-R3M_{E)Jflr8Osu4o?KKH8T4jyyOb z>#nwu)ji%sXDxeOsXuh~Ud=kxE*fQC<;?t8fga9=hnO%m>*iJ*;d%;^))bs~*@k|j zYxnLo8CE<`M2>+y24;&(O1iL4@qm79ZvHuqv0%YZ%oU^;t-Pz~^`rFfqp(+1Rb{_g z#XqwxQ9(tssLS463|u@Bh%Zh-lrv}`cI@KY6CC-@oz^><->Hn$_FA)u4US{x(IZ#g z7|1Gt3kNy9IdW*U?PPmWR0I$WtR+f8(OVI8omgJuHe zfuQ;GPFRLeeA^BYlYNWb-OW`aIVT+Zk6v+vp~|uUTK1iQ#fC2r?LJWV9aaHefR84V zEnj2~0aFaUva{Dt_&tJ=p1-H&puLou)Z`0|>=qd79$j<}S#dEowyJe*{e2<2FRyis zXo|m0Zxz*5#-^$v%F(Js_DP$qJrWeyZQG+wy(K1(edwOORC99Cqz#j<$+x>C5^2#W z+HTu{#&&HahR6hHO_R-%9%obF^Evgvfp^Wbuf#u$Q@#gFPX@qY}BEzP>)oKMb?c+AHXF8FEHFNA#~BcbABi-P_RN$tS{mLuo2xljt0yDDnRZ| zVx7ZY@8i8ru2$>i!lx4|6ya~r0Oi{!is%Y?^5R8G?KCy#POO4F`HYq*7!b4|c&{9n znEGM$2mwyCYYk@3rW$>FOmbRU11FBO7?=*RP^MZkeyROMH@MOP&2ELbMPNWK`x+5R zeC7;K_xj*%gYUVFzt_x*rhZfqnW9?2!k*np2pi@|%+cFdJValAD4QlR9PTH&R9#3X znhBXKzo>Ni8-^=RD2Pii3UV{c< zW&p6^9`PsMgThdjj05;HYXxrG&e}iUv$AEMni^}6s>At_e*pQX`hHAw*fti;{?XO< z?;kTXQ6OoYwY+QB(0 zTPEVg5I!njI1iEi6zH$iP^wM`+&kddzt`J~8~p6KQ9t83cuG(0%9Wyl^9^H-7RXmj z-K2D+sOSp1?4_Kj5d$^7Q~MXp=kew3ASZso;>CjfdH9f`pgl)I*UTCgztvEQMqy48 z)mb{K5Q+VV4<}q_i)^S^4gxtbAtWyN0;U8ETHx*OexU4ZHVTY2)=x4}o;yyTPN?`Pus32RNY!b>i@m{3 z;bMLpgQuNd)tY9lTQxa5=I}^7b-nb9*0r|a(OJoDLcT_VU6WLwh3C%i4*8sM>Qtbc zF*+6%n{N$@A|lEnV=T=aRoVo(Nd*r=+pZAw=JjiD}ZP8s7=C+PT z$Pk#lYuJkZF*i0T7u>mX7UM8kTX#<|^;r`IU40T*K_?;`t%jsV~9KRNGo!;!cFkW=`&ch*o zRa(w+I>E8B>}#JqzPBj@^^4>EkPu~D9`mpvE?U&P;v!iNk^A=TBVB9GDdq_F#S5ggw6yD@MSDTp9UKVj+Zwi1_N$NUlnVfVpHa7)KKme9bwNe^D|K{C zv;l#ElUGg8y7LB6uP_x2>+jJ;s@#xn_~c*raZ|PpQ0CV|gM4d6bMEM|V;695zqo$g zzG>Kk?eCn&^qY~dxIW_`lOQ(;CFn5UK;Jg%=DX#p)45_eJgsJ)0Qm7(C~ofPxWidz zjzKKE46q3755G{s(Ss_JDui4oQo5sU?-Tp!qHDd?e)5yLx>P$&60xzz4p~@@Py1kP zJ>_jpi0g_x48ZC6(ciy&m!_-xL_p2=?-yBdRqI%VWS1`WZ{Ey6{QYi{we=w^Yasnl zphQtHr%)s{a;Szz(5*SRUj^?sqdgzw%3JD8lI-1|F-xFTK+? zxj;;&Z^MFYdZ6mk+jN>QiS?2A73vh154(T&?jC%SG278R@mW#`A`EcyzgIO@)(|x3@U2F8_@RMg9Gv6e3mJ50ekM1_KAphkR0a$(d73Q-4 zn<*jdXUT6_0gQ~bjR#~<>`h)WlqlO>Uy)nFqu5bW^7MDP*HeZ!U6{e|2@^eN(68Cq zZe&ML<8*7zk+m0~6teZg;@fRIG1Nj#lNP7n+nVM(2RA@X~?lBW|Nn`-EdIGqV6)2-WkLq1^@0$5Ssb!6S}1O{riU zJ%QYM(YyEV6^zd?ye=-VF5C8c&6y*_h%!JkFqV}qeU#Pa^_=ZZcT_PHA-Iqb3*hD8 zcuy^|Id%4|)5Yxzs5EC#4?L!F^@LiMkN<>2-R0$BOBmQ0OWcmg-oMz%Z2q}Evo=3^ z_Kc75a`NhuTeMsG>F^WJi*6l_U@+hiYMQw-EXfDw4O6f!kgyT=Lak{lc50P z*r~CZQ`gAEgWv_671&uMk=LG?bt)8@pBc`q-dKLsD;fPpUP(>n3Pgb(e%%cTwoZ`^;vabZ%ri}e2FWOUCU ztf$D-Pz>K09sQByy@0!X#+O^R_STnJ4%8tRB(gJXZh2tP4> zF{9Np`*PedY25TMn03W3v}G{o4GtL@8N}pI>gz`hYtx~_-GrX|z(R!Kpyx$FXMD}uL8?>*9YyQnJBj=51f?_87C0@Zk&buc~bni3NB zuZ9jXG#oc^V&;lh)Z90-zNaU=ZJ4AHa{2OFZ|`&)^{>28_Ro(wG->eUgj&A4?KDfK zu$!0};v!t1Z(qJ7o{k?J?S@K|CES4R@qr%}Bz;(zl~**W*&f5beS8NVf_52u_ChL> z8f}wYwrkfew{~)3mnjE{eZ1J1{% zDQ&O#A;X|@C*x%OC-tRGogWg1H)Yzi&2e#&ksES{u3Wm*e8C4sD;r~s1Y<)jPcrZu zdb5Z}+HBk!z~A&U<9YqvGe4%J(Z{^466|=6gz|F&tGOV+(uuWlwAFi>z02U2>nQxy zekhz13_Xr}2x4KVi`JHht$nv^gStRU;TEUh74hua#%tZVA$ZR?GMH~sTHeiEpNUd} zuWGx5z;-6qQ#04C#c2wg@M$7yU;=OBUOeLo#Uuw05-JQqJSLdFu2AW5*<50fzyi#btSGBbpgO2ohcg5!1SA?8X zZY%Y87aFAVJe%^NtOr6~O^{n9VtAW3HLp7N>J^`xn@gDudCY)TH0vGTsFG=IoV;QE zEY)QF0Nzj|q48)fWQKSEal^qmW><57at~-Bk-Xjlt+-+l(ZOojQ@~QwzILIw|zMR|*<2@yc5&@q_B$)l7k)@@s2 zJ3FmeRww-Nx@`@d{&CCZK$73R+sVw1JBlbN)a@$b=6BnRnbFNiMGij8=i8xo@5*zYQmX0b*kpwHr6`m?zQq{WcFz6L9){MF=3tsEO%-&eQD zUuVLgo>W>4-Dc-0w*QEZ6oM_3bbrTvRiNyIw?b@fTXh|W@beCS)Lbsq!>%ZtB4W%5Y!eUslVqUjcPLFQB)J8Z7eb~{Z= ztCsQrwQE<33#_Z5t5+0hOL@K@@x+DsPixXaPVbxX!_Sgc#8QG!iVmZHY ztw3Bqr({bF+uQ>5-=Cr<3rvE#IhD+iQKr4t}!L9bE2j8AGJCwYL&IlJ%un25owK4oZV zkxWfZEw`}J-2qds78cI`DUKgBNUmz#EnN7rT`6&E_zuoP={MSH!qdZT*>)G?;C=cR?fREGY*H3M$eC@;! zVjVYa-@V)S*-bh_dnQ*s0avtY?x-56N!}-K0(`!uLxtt$ZRQCm(tZLNyAR#`U&u## zVE3l;=C4m-r{_Y&K2%cofiR&bcb<^!85sAFk{7}Um9$qU)Gfb2I@qVJ;@r9`mMycm zbg|$KVdrIHLUkBV5-wU;IX?M;ntf*rk1=_!&GG%)7?vsf1{QiC1-`?i#0ZeP&ndwU zb}h_nOy?_BS10xea(iu}7Sd+p3PaVD3vQ9{|D3|d$Ze_)fDEnfX@(;?R|3EB^VB__)*KkU zB}ki-f{ZwRJ=?ESTB5W1Lpn+DBHMvo3R;XxVT?Um;}QKxJju zr`Mxxtt2C>`;1!*k9p~*a+;q=S}gVcE7Pc9im$IUCF?g{v9{0^$25H$fAg(ds4NI^ z?jCAcFjpZPPmOA%U_J4kgN#k>RgyFs0{~AF*MW8g6$UzvN7r@jpN?6F$o__Vb7t#!VO*LT z<-*9xNStfsLb??!1*h-H6W1d?6RBQs;2M?~hUr>ot(NS)AvQy6NU)gnBLQW$hsVa2 zKAFtGR*M$t;ssf`aK{4=t_e?{pZzB~mj~GSzx}T~tPe{W^ zbIslD;YW}L_|XHcKEA$J^}=*zH6m@8(+{VlNN>3Y=Y@A?{g)fFc03Tt&|08rgzxnJ zo!-OWp;d(PK{-ij2|-8bR6-xF%GK;Km(-Y?$C&;0-|E)S2^>FIsu!R+Mno<=s3%j6 z3~4_^{g5hrV=+;)xpSX`HaJ>8fh&$a^WEAx!*ep6enfNsRK>ae-tKXH^zp|1%#Qo; z!ajLO2RAZ&kd5oeAKAUACPLy+B!NlM-S!W6Xj0T6MugJdd z`eQdScH%hfxJk6i5-BV_z^?g*jrC(uY-UaoO!;PSMtTgbnZ0;%mz=cfDcdGBH~G@d zsy=WaK16s-fxd^q1Ea1?=p84#CB|QEbINx4{D3Y*L~0UF={p~F7IFQsPs?=OUbll)um77|u@bT0fe2->z-**hlUiCC%<4VTy7=qa^3K{g?qK?H`R?t*Ee&z8Bbh?pNpe7tWvWMvS%P0j4xUjgvz<3llZi^R;Wq zmoB+zM1}9y#9Yti`|!=8x&n>UdXhIh40>;)-wUpIm}h+nB}TjtL2J4R=!C5FMW+Gl zT0}+a1IMI9(qQDv=>#$h8$@~T_-WxuZk_obbF6#^N}iWE#v%u+1p)yeD#U6%cyPRH zm0}0p;7FUUy?T*V{?qb8S95pA%>rD)@WAqw>UjO}603t9iRD0;d;9IKiE)n}J;KL5 zF4mykk&bLuJf!42MMOvUmEY1!Ny+Na0em2g?!jwrqYJvFudbniibkM9!mTsyh z9jZ6<(!1{Gv-cD~xsaijU`Kt1B3z-o{9xpmV~#``rke3`^3yAx>IpnS8@>9tU6=z% zIo+0TF{+s3i=JPAm@kY4l)IM{B(kbhYG(4p(U6R@xnQC|K^5&Al}SEOw(G`?8&f7D z!wF(gg|5bej=trx=cQBpDp-qMbCZcqqHRG(Hq@l`+p_W%C%Rq{(lJ#d{iu2nTlTc3 zW*LAENgtSQ?CjDIlLkz5ba6Ra`?dOEDi!D#GzPpCjSHsMO`~_!RS&HG*QzRhOB4{s&n6mH8Pet)>Dd2c zV`FJjiHSmZ(wNSo;bK0|2bVb)4MihR)96FEmA|qk7^1fJ4Kls>D?$w6r+B(;eC$!& zV_V_`4DQlLwG3bpo}|1Lu{KO8k|6;&I*scGjtq;T=e`j0gg8JJv~A2P*yzDZV4o`G z5Z;hwi3rEa&SlcUabE;Mg0^;_5k8NeK3&0W&d8oJav8ixfHKji>P|e`kb687M2&sD z`|$e|*rCUd%^E_@Ei7Pg7!T1g5W~V(T6PB@DF>PfynDY{k3nBhfZEyl3$L3&tQP>V zkX()BfLO@1^zm&HL-+2Ti5Cy>%f==IwIWAg$=O9VC=uDzk$Pk{H8+o1{m#;;Nx#IV ze!5xVyLBn{Vs*cV$ zR^HfHl~E{72gKY6?YXi(wD4f0KpE~GDO{E% zZu8frbH&I%EuCacR-c;N}s-a zqDE9{tUQkbzzj_CXcsB5Uo9ugZZek;7dO3dKJfNw^8})|nD%~B^cvfb1H@BI2}pb|eTJ}xQQHsOZD6bn(<-oCwpPYaUdVBO-kObRqQdb0n2ror8Vhc+zxh%K8Dj-S+MK?TG_ z+=$h(N2D81Tm#wK`(yh98^e@ct1!G?Y{NBo?4xvb@T_$G`@*+>1!4(_q>0V(J~ZoKt|?K*CH zx4!lBdd&8xfLog%wr(9y253u7pVlnjq`tC+u#u7bgv)KT{qT?_O%0FX53@Vgg25c# zV=X;P>fo_sEl*=+*{aKumbA4)Laf=SZs2Gf8T-1H)xRsBzQ%qHZ}q;~uCUD_LcR8vXW;2EL2qmqSB?t**MVlG746GS|b5Fy@~GY>=|e*s(`wyD)j1@SD_{J0~t)YzBDe%`(;QIlZ`t zWDesO2L#(4c?Sb^bR37xW%RXK!wja_y7v^X4-OC@23#u)D_oZOkC*TxB;B^zU9MbW z$OX2W1|M+rHvaD_`#_ zdwt_~f^(Q*=nUh3AN3ywdmr4my}x=?p_0_0g9qE+jy68e&mi;)j~|cQ3Fv$1l1Cy2 z@c~V8j3|HQE?d(C?y~MJ)tg+ux8<)!eC(vqC2uNL720+heR%aaXeawFW0zjGj1SJR z)$fvCX4DXHwNbH{O&q!ieO@jNZqw;IdX~i))iee%Uj)hzLo)}`ajo}4~DJXu{ z=)_Xf`#-1KU2%CmwuXy|?)z=p4O8>Ss{7+h@5_%w8K84J8UJDBhu2ku2#W!vZF_5wcaNLGjNL=Ulur+< z6y`q|0p=I zm1b8mFNiZCQ5RTsfyXaBm6ZH&hl66ee%uOj!qCQQ&-8#`Eif?Wh2w_^x1fisJL?iq zhPpUcQepa%5py*O!V_Ixq>*6d>lC&=+%nl=#`h%jAH4mhTkDp8ZCXFbI z0oOg25}#lht}ZPOGnM+GpR9#sklhS*v6r;fS21SyQJq(KSECyN%%%<#PZ@cXB;fS+)o0TWTa6JSeDJ(M-EvwQ`2HVNU*e?pz=heH}h zno)&}@m@qG0R9*`>J0Rj7Y%&b7f4j!Z$N}xT;*mX?SeKR&Px=oNLA44=; z&QYakIKadK3u9@CKoQ0HPlO72WTkSXx%>HjgG8_}H^-#g+ETxM_6%QK5vxI#krPu0 za}fbC9qLa89wXB)ege^4Y12~q;1MP)tjd_s$kucXwr(6N`=WBpo0G$-q@dd;qI=Bm zYemau6a#;v*EWrJv9|6veE3BM3!YVq72$NavQoMVA1F=F=2Rr}M~-xwTmt=R5G&dF zA}0q5n<^&x`plM3)arm^Ec5nOao)u>hmwjfj+;r*2U;9uLp|KxdFjh;-)7$DGext4 zzgs1o$eXnckUcE3955-$%O4cpmk2_ z1e!mNpH}P_qXeVv(~5h1tzu(7d-}9xnFM3Z8pW6!%)gem)D(hbS|&L;o#pfp4-k6X zrcFD1CRwa~`>T4C3U2%s78*+8+`Lusg&x;E3`p{E%SeQ;%-B09Rmgx0`gZT~nzLxT z#(Svtm012-{bO7YMMa@DT3U>=;}7BRhtA9^ze|UG5Vt^2WzYPLALIFFfUd3eAf@Zy z_%Y&4I&Ql9{5@&S!+yQ`&-=g(RV`+pj+=yTKH~@NkIdg>p8fniB^$6ARrl|YS9jt? z@3`pzkh!j|RGqQxdewhkS$5x17Bb%ucJM4wjIyh@{#PbQ(G|V!1BwJFQ(_R>Y|JaX z+SivuqC7}JUd~=kCh4bqIK&%nIQf$jj96l6S}P~Ae87GNo5T(;N=`Y9HM^-({`DIH zZ5+3JP9pX(9fE^9-4qn!Rh+MC`{hP97}kle9- z#b?i)A#&7uYw7BK%w?OA*&w%HM+MdotK@`|z4;L!J>S2t$cA{JLHjaY@ zApYLH*HT!i({4nV#>CvgQo#YmOV+r+J1srktO#=^z=zq^(sU8l7!^&;6dacM`E$v2 zgQ{m+Zu@4nBoc0p;&qu@!u+j#4=KX@{@|Q0e6}pN5i^@?xD%0pVCS^9E{+|PUsQDb z!i8ya9R@fUr>vK`_^PAowF@&I%30b9rFJ$j@v@%m0PH@`}7xKJ0Z=j0sCZuAketARq8+l*#3|H%X5L-vp9Jk8m; z_W5(F0#8U7k=vCWaZJPd|ZQAw7 z$d#HZbv7Bggf(ox5f*iUFS;^O^QvI0fIqP_5_nWoU!O_% z9`TyBw}X`n0El?$g$z94ij_}tLjfcNpT~;~8a>$hvE8ygRaFhu)_%nTCG=AZD8UX( zRr^%b3Ww==>Ye!JFl-`*hkpI?|Fk|yQ_k9Fq%Ujuvqz8gQ0o6oA(IELi0@gkv0l)i>LDVF|7v&=hIt-Op{G4d?ZP;CG$>Wm2Dr`SlDl0 z*!%ogFU8Q}=PZ0CMn(vZCW8B7L$;h1#y+oWsGYq-{c0oRTPprqaC z=7WOg#n|&;X*BVqJKw~G)ob`cLnrUF9Y#C&lplGkA0EHn^xqho^cbq;YRQu(6}9+z zD-lW@jP*$=(JQ;D{=Ujh*8k^KE_Kr*Ldug49b$K+9hu>ua`r>R7Gyto(0hj5#>v{8 zY_2yYI(0g7{5UeQpAkveN^BQPT_tjcj!kDBT^${z)-Fci!T(Ou3~ww6TNb`Cv$%)o zh2;{H?}NOej>c_4(JW$D!cr|-;0l)quG}-PwoQ6ym#N$R_0L9{9PRmIAJkiPLEj>;3>wBuX<_nD zt*3~Hov_;d{E8|zws;b`;mL0+BU(}aAHq}-|Ih9A+}c|bIY?x-s9w=;fBKKrQUqn{ zqmgEQgRHV@Yk{XHU))e6^r~`lN7{gPV3;#C8-M1kXt{}q-p-#AP)X?$c5HW4bzX89vVnwfvi?hh9_SoDlv%QKqj~bpBrPkp$+FAwCcZIfM-Ma5Y z;AS>G>4Ytz_flxKU`s=cm!S5htvuqR*x+Ms5Vp%w^1priCdbZZHb=+t*KZ_q_T>mu8Wy z;Nst9o?ocBP!T_wkpWl=!#i*J8F+H|EKD`u!otI09p>$*!1G4Y&Vw6w?l|ejBswA|sj*iM(<`6DmZTHu; zvV&Z7Kr+ImvFh7TT=}}$=D4yrJI{H)FH6y_?JFLrsp)*uLn6Che_h=v@8mV7I;F_d zZtV0`F0;LiuFJV4NXT!Cf!N{qO>&q|6pv@u5m_(xpRPauGAlVIrh9M>FB=9Ld;X`F zX>-Pny9v6LUo1yIA#+of-iQSiIsnSBwcNC9Rmx?8GBhFlhcYyOSeQ(455=L@0|GA> z%gKyC{W&6I+ldn*{$t$L!mGl$6gl!EqXroma0zzGNn`1F*X3uZ5Z_fov5a?2fr)1cO^#xiWLUzl2`z zutAJpsB+ORqEpPu$`Wkm+M~UUbkMQ(c;BxYO{Ztl)hAEfGy2h#<_8I!8-7aV;q&x> zpRzm-1I3ETyXP(bR-J!msE7!f_~vjSc?(&i0p!`h@ZfrA#TRxE?1Z9a#{lS9=1usIWaNPwL>^EZMNXSrL<;^KWe=t0N zrz|vwd{3fhE1f5HV{@SgdllVjEa6Ye4gYGw{1e0D$7T(mz;*YepTku_WVjJj`tKq` zi;Df|+b9VE15*}96W{abH`4J~Y{f*g@zuddHu;&%YpB`yCtGM}yb@5x-;Ipn+CyXw zBJJs7zIoFt&F3}%{7+kQWxvftd%Iy#;fhxp>C4N$f|D-CcVGTS9zWcT7)M!KN=qL! zWt<2tY9nHz@Sl}{J^K8yKo#EjD>bx=G@;UL6Ja8Lg01?@lE>y>_EA8`g!JhP*TA0_ zlVBqSr{6cHrCxJqNFYf9_KC7d`cZXfs`pQ;(|>S94wIA0Y>yRzxv{FUGUQx-`-_|Y zVUg-$sQ3m5L{9fEbo%N@9#oR~HdiXyGD9(a0pBq%dacs`m-n%+WXF!?{l?1p@k+6U z(O2t;)@=8u3d=2`B3Z_3>OcHf72h7RHeP2iB{SSyTJu>~% z6clzvM2O_*1?R--&u%Z7BP7ZGO7=Ztc`rh=Zr|P%^@n9K_u=!4GF}N7cdxRI$mV)@-E>El zz@rD^QQ+KVSg&AZmKTsqJ_Z%HZyzI>AS}#rc(aL$iW}9hESr6IY42bIAm>5IPRMqZ zd{xa{(tqcOuWWn1 zNVHRy70{Wc&27$!;cQ)PydD_uE!UtB6sD|9%*F`9L>Wi!-BY?a;|6sx1g>;tWSKGV zTdVe@aa;EC$DyEA;H@te&)%|gX9ZwbX>@er{>w(C2Sh|nCk5e*A(tK@`JO!sek@-! z^+;!ITIm69%paEdXg^~{_|X=#kj?kMm4>9ZT4 ziF!N9T54LA!b$!Xg&<*`L~Tf&6JWU8EOTrUo6M1)&YnM?g+-&U*4MY;M~)fddz8!p z>y;L3VY{@w;X*0~RtVDfj}b@BkmM zr#dJhQ32*U@&vb&J>T+l;QH(bYk%LIbkF1$^-gq1g|*@##M=l;hd?x?;2*VI&O>g8 z*c%iTco+odkegw4Y)G67iqBpIcN{!ur5ee3h#W^G*2KIvRQm6Vx4FS+H8=v)KOu^!!< z_P^Joe{;wQ>yg~Fe^`%HbafY{>1ZVketXOKF}E)QnhA#vB_%zI1ET(&75kXrHfF1Z z$p|Fm+J1EkqSJb~I_U2T|1eWwsoXSbulbn~Py!hs@;Q3>a=eea>;FxOmRDljNlNMp z{;VBZlhSrZ`~xHAagb+KtAw-z$~c}*WbIy06I!j$IhAjDV)9#zu7BT4I2E7o&hlz* zegQQ@d**B}-`HiM+T-UPXB`f;QqTHfM~{`9P!cQFAj6%Rm%!eOy`L~q6S z9*hY9^DR5`^YX|oFjQRR<6~UWq2`BYjZ10sw_FrLb-!&3Aatjq5oVd`(Hpdg0KC z#x<{VfaK0!joIp~*15{ud)nEcV_vFf)R^h>W^5GU)?w#+l-*W5z}>z6i;~LkS^#&J z<(s!|3DsgYgxm5l2;F!Igg1=gd&`zJO${olC^_^E4K39M8z@L(rvp3`uvvN!zhH&{ zS*h-Kz)q9E?0rNX(`$v}oTMWMyB#Fl_{{2@;DU8gEa} z!xT;d7?QS^rXd8;kTGb|{Cbd+(;bB8$ox64U(Xy*co;roMjjYg^oFh9KWC=vUPf6p zV(r)EAj4ewGq}ERPdCO?kXtq35P!e(vGVNf#|4pUaBN%nHso`p1^PDirTP1BOx>{+ zBN9QdA|fLAcCxYvHkM-M`*3Veh@TH`DyJrg@rJVNI$c|QfA{H=64hHp{2QPCL4r-x z3eEvc2zC=HJDNjTZ@3dFKPGIvGMA?c(-(4R*aOyh!g+`)53v<6fXxTa>|+lj*un0X z=XG`bR3)a57_NxMAbzKh+26M^-~VMeGkcTvEMo7V4}ItV17+21h-41afV3E%iiHoa z^a|L|T^_x2=Yh2g1gV`NH>{h2;C)<|mzyh2q_fj44+Dy&cuUg0jbtqKZ2sVoT7L7U z*~D5*F$r50?hI4Y=70z*!lcFJ^tLv@y|Ig8UWys zcru6$9r^_|ahC%FzT|rlag|%%59Us&Z#_gV>+11bF;nl0|NQqGaST4O?SxEp7A1YusX(#!{ ze!l%crWmxl+h2rA_bkSpZ>ZS0S^-&FV7<}vM%(habijHvE=rr}8>)p!w2fVoXt>rzuhGN3|jUYRsJ?iIG zk{YBak-6!~*|#!he>`j=lk>`q*I;o6ZJOcYLOmq6E?lsbne^dTGO%0J%2B*k_U#mBVp-P``)-2PC<*&wn#mt(Axz_3+E9V}>Iv)70^*e*SZS%>?Di zgkjxz_;B0K_m;K4otd7;Biq;XI`cNWy<5LU!$n2%9vHuR^9I2Z#N=p=hsw5eR{fo` z8gfmr>m>p$@z!LRL#$S&PSEQaPFz96sJBn{+1mh@vLKdF`>w~*amx5 zQa6lWEp*nAp#_pd`=a1N8%9IUNW3(V-9&qgzo%mJc>nj&uh+E+*>Nt%HCI}z@NXWf ziAT>3od_dW_kRIUNV%8-On?RjT^N&l5fpT#uJnsV`#fU{lxi@PJE0)aVoww$2RmK*2 z?B25n5Dr6+VCfvy`lXAI9`w7SkB6^xwqrIAK3hBj8*D&7HY9P6h22F_yHT>pT@qEu z?9fq43PniHg>xaw@>|F=$s}l^ys?5E95Zy~ zR-Nw|;!xQrDka6miT&-|sgv5*XOV>=a`r$?xA$mDi$gf#S|r5~dXzYec_2O5pqKsFU6|(k8q=*2i-4fX}|NY%njBl3>^(9 z@NaU(CALfNz1uKf{hLeY*yoY@9-XeuzrXvefyg6^lRtZZDf4;dk;P? zOogPe^77%br7FBb>!m(3E`4BZ%t8lV-TudV>R z(!BhV73FJ$r(L(s8C6Y3*RtYP+RX0pX#(=aq$KFdxs3K4qR`bKkAzRCEj>m@0iNsP zQLUGt9$2RtH0OAD05?YpN@$J@9%9bC=mYxhQ%}#MG<_7foM0nph#zr67Nxz-y=c}J z_hXYONKY&!UQNZB#CRnr7^TPvC&<=XBSwa*x3koW|V8YT^e{QzZry-%C^P zqere%!8I>mD)#9^9{r8(HSgH**1xNjsO;^0yTh7*D4Ejfr$WDcP?4@&79^+;h-n21 zCx`*vbVUVgis7)kfJV4b0jnxMzJEVE-Fe=<7c9uG&8|m}9YX}>;o*^`du5J&5*)wH zgb7S5_)P*o#@VNq6Rol#ztN~uC~9+DHIpYtgJ=%hRnYy)7zc-wbjIf^L2XqzBZ`P_+4;I26%Px%T=CX5E~Z}LHBfIRMc#4 z9FH;Xna+OaN23yc#_8FjO6i}(QYl_;h znUvQ_ODmOKiVjC?0EO4D2NA~Jt{sPETcJ3p)O7)i%{UFY;j$fki%K*ta(sEi?5EYM z?7O13xTDy+!n-y#JC|_gL1JbXV$H&qNvjg0%A=5T=X`8!ufv^q|leE-Uh-GxPCc*to zYQ~wH9{{Lt{a)XirMtnj;QI9oIFAt55($Y671M2n!+biIeLtk|$5;zd**>%(n3a zzxQN2JN^x%Qu|E(+&3hcw^N+U(bVKaAoJOjl!B_N^F*M9J8Jgr%N>8(tI7bXucjvF z=~DYBSdvWirYLuLyiXu-(a{0#<#-cj%J3l2HPBn0P?TfPzsxO$Gk~cF@1Yzm^~8zA zv_pFsa9eB~Qj~S>Ih+FDnk|9fZdQh8^wzCK032y5wMZqO64fahnBTKU52GPNIC8s8 z8413EyyhL&mn)CYG#V6zSh{o36XJ$pTB%nrbS7J)pJZy)Tq9iFaEY=B!s$najBfBv z@(+xAzLVJ6U0t@0P*+Yj2*LxWA2dyzRWO7LE3ax^Uq9MM8qAOo@NDpFxai(NLVDNp zlHZpyYQ-iTdOvgt{WZ+!$IqRsL{f#G^2eKUW1zj3@QG{6i)FdkzTE++4?{`Q=D-)3 zIb}N1a&l3?uzWGxNbNX~2RFToT2S&?h}Xw`90A6KOdv7nDDU0u*=mY=?>%_1_r_CJ zUGZ_4$I3yGY*{LH#12lX!s7 znl*|0I(FPde$BS;2l3{*kLrnt=+>OX)+hWMyiedr=8AJg`t<3;FV2U9n1HOe;JL{1 zO8T^Svz5&^26MlMKS^4w^w(cGWklz?V&?4oBTK-|_2}pHAw~G?(q?G+wRUM*eBD?` z`6(|B%XTHkLSh8WIIMzD##U^MICdD->8Gk#xvchOG)!FLvc%h)5H}vfoa@^)j}7_$ zYxj5KH<+3%tnnJLwuqyI4qb}SKY!+eXkwSAm2Kr(+Mrp_z#wGB#Y_fPGuW~;@tA=P z1eD521*;_q?*M5o!WTN|k00CAC%fGrD8+9KA6QW#D5H%hDw;{-mW_-|M{A5W`Sc3y z0Rt8;UfdykU{oQbCtk2MbjbuLb2ZaRtff^a)EPH6vNAcxH{IDPPFgR2|D)Lt%gR(6 z;?Vd(tGtdxy+>~9nl+`JUyFF7Aed&Cb&~+PHdjoD`RNvz{eq0y=20ZEUitR!Mt1wu z;L+$TcpEF%p~;|VxqJ8eSFd`WR7bDi--GWu6f~WSRLLv?%`aJ)B^MD62)v7!8S1X~ zM+PzRV&?cI-I*7aKf=LW)3kD+bDA<`TqAmknWYs)T3pepxf?F#^11fuQ{LaSihet> zWotyyA=~S9FFq7JG#XK0_QaITR}63eU1{U`fEsf;hIoQ8xTmDdF7d4y)uKOdnQ}yE zv5#(YX+_0g@FLWdcC>kbMi{usZGFX;62hB_=3?+?bVn@sY2d^1^3!!~d9krDzu1R9;|S4} z6ao2Dt3+Ct zc1lW(FK^A=`Y5Ir7MyIAsKWBHvX0{7B6m~n>?iqwEyT@D`EOONl@4R7oY=Vmu$F|O+CROW_K=#ZTUIhbp?hB(3Tm;b;lBZ_jw(T zMev-zc>NmMC`&4P+saqr#R{i~N{bs7HIU%RlF#KmocZU)2$2q@@DrlpRuub^2k~tB z`(^y1^R|thJoz@s7dX!_UF(Diub^+HF)`cFKwQB{OtF9@&YtT$^Rh2Mln_42#^V|+ zfpvK1%)Regx5veW?JeO5>(PUlJ`!!M2%zuQt?##t1A#496U5rJYeA|8Rt#gRA{(01 zvb3S)N#Iqp=I-f7j*DoWxw~Qq>nFCMB}tbq#n~nYEL^U>ik5UVPWw;vbScA!HDRv^ zW6C!UYQ%a>eOQrsP!%5TOs3b_%*;*Jh~U%68&BaVU?rEHzX!4d;Kh@t8W}Q@j+6N` z4l}_5su@>v_=t-M(oUYks806N7TZ6d(MEA@q7X-0;Bhi6Y}|wiQUS`CMv*XArq(eG zfZh<@1*!>|lr>1Ag!nl0`s%iJ=$gMA1o(Lh@^73hsR1Eesg4i35`DoMK|?=IorD4wcSBUc<#y z+0K@z`sE*9lp-qXf^n{QVz$U;cT&i7(*~ z@qqwYKxzv&jY*d!GI2tGI;n_sE=jO}mm^`1^NmEA;l2anCv@nvHucC676MCa>s8B_ z-#h1Rp}=&)C)U5i5mv(sBbWR?*3JZ~$F<%6C<#e2B~&U2Nhm6$NGj2Qtw^OJ6q=AU zXHF_fhLTDWq0Cb$8Ol&1L#8B@Ar&HN_cHGt%>XRaC(ndT;^ioS0ym zg185kh2oZ_dgJ=_j?ZRn2pj?0lS9`?`Y2(m9pXznKAzy=QM({^=gtAzbM{|%w1MW9 zk-4*bR33TkxGsoB^V!5~?4MLd+za3mMAC;+Qg|{Fn9#NFAWnmw-ZyiiF z!XJMi=3}h7^_qTsna0pLr~vd8f|d{R8f<1Fc>}SOoqxNAD)$S|!QvFikB^Fs%;0BW z2>&pBoLJD>-ZXGLvK&NyUV3Q44lw^S#Y#(46Y%d-Ma7uheUs%Ph6oM85US9Jq+ll{ zS%J&HcoF{n6L=~PuSFExbc`secs-eF4i3Nw?=!>(@VAIMKtaf5?vmA~we$9o;J11> zoyq;m2rxEWnl-J4GgD!T(j86A$BT61k&2^g!s?T0|5*T4E_imF=(u?C4Rj?beb99A zwn*r+oHZ-Yc{Dr=Q{%e2eF$CJ$5NN|9~@o%b3&5)qncdvAIatWx(rcQ-w$E9Z(o?~ zM7DO!O>Bsi=4_Qf>{Y^icS=o&HMTtdMF_xC6%%g$^+vv=NlMoqRXFI^iYu zs(%MkRW2YkrqqK&V#DAmL-?;BE4TYt=NrpuzCZ%S^ul?Ns;1F!Am{lCz?w6D|H==F zTMSLj%$RwP#q|d%IZGBW(>&<9Bd40Oii%^HZo7-4nrwJlmIJt z$;-3m-p(4o9q@~&6B5pni*x#KjX6I5oH{}kLNs{V`8YiT<4+-+OA3ua_XE{(-4ymC z|3_73&kl4`%p`zH;sf*l;XOuMuc=w@8zLS8R>8v&VJ-V3dH!ELfO$rg-m&$ATHPA9 zDT|ASgrYl-z1{J+6BC6(WLz|Ab>PjKyl8)WkWq79+mpZF8FZsx+uuTh0J$f42ipvi zS`ruDPGPd5+6rN^jzz=IpY3nXU<{O<#OWx9qJD2?h0aVRknE~wkp6nctUIX<`N`zZ zg};B2Fz%*pTn;}~Uzj94hFQb$l$Kab=FP)%+`X&ew=V-i-_CVjw5WLL9R=G)2eY`T zM^uKoUl2~Bet{Kch!oZjsdR#-ga1Nq!Z8jdmv?`uv-8f~yREs4j1Q5PzS`G7-2RlR zm8gM4w{GA&kDokICCd874Z0u9XDC$Ypg|QxZu0CPrrs4DjaLN))pE;_wO=i%U9rwg zq)weA7WE559ut@rdCy(2!2i{b%gNWkp@04%ilMNtWhF`=w2@m3yL9fHt5c2Q>fWo3 z+qBW1;6)SYlU< zCp{66+uJ8Z-mWIZfBdLXbucNl-@hYl(#5v0ZJW1;M-L-D9KQs~8a;OHRHthvmlP)f zY!CsjAbxf6sp5)%)7by=upBRdmPvDomS~ZmzYn@4^AAGM_f_c{EQtqOD34PbGUP{( zy=aF&q0RsJvFl^CAaO%xR%B<(X+Ch6l0Vj^wWS#?3f(C|SCmBrUG-5B9bMY5XyFXf zzSycsj^L4`h^1XYEu#6Z;5Rf-?0%Uu5leFTM%U%bUp#u$2bmF@ar36Yh76}_A~ib@EffYu&o|;@?+=!BW(o-Q-7|*|Z_Xe?aaZLuPVPPl( zbHCSN{Qw(g?zX4_7_7JVX*}?FE`z@Dj_7X{-W;Qbh>eYfDCO)3;(i&>1BOpFR*A;U z`80g}37cL^5!!+$*{JQx8P9vRRcGLO$h(-^Z;cEM58UTxm&8G}Q`_e?;@Af

    ?>8dTGYlI|kP<_8&3_~LTi77N7(-uR6^&4=^y4>CSmKx!$p!eF)?G3Sb zM<6g1@>v+<{F7NgZ$?<0#(Rc#lCcnOlnjWt;DRSMOVM9xd5_JMrI{|mm7$-e4!zy? zt;J67sksjy#uzKN)IYZ8Xr`oNl#qz{YevPL$0$q3j(23#@Zqj*Vu90oH~DHQ!!)8F zBSx{b)Mn~bVPp~5NzbP3#>!Sqb-?==M}+X4Ij#6N!i_JYJ7zK+CR|EtihnX1YMGQd zP^|V@9A;2tWQ|;gocz!PP4+<1DK{R`l6$(jsV(_}^eX7)4iMC{L+LqELPMEIaK)!i zvFyt9DSl|^e$NPa$L+o*KRYu7$gx4!=LX~MnMDb%zkCPOteGQfN} z<3MDtRmyE9_I}o^Xh@654NDyzt=RFct@N1 zo&TA+Ygy}f}!b=OGJK zj^HKJ&eIs-DyZ6}oA_CLp9_T@Z|=m2Ns1;X7F_C6KgLNzrbF0dhkZ=jI8LS~3!lyr zOZm5N^m9`h>KSBxDO_{^L>J@{fvwHsLKLW|A@w@tSuJ_CNkM$yU6SI*llw?C9*j%o z-J{4LxObx1)$ADe2?fBBzW5z*3Rg?VywvaO~XUH(} zFDFub6*lDXl~W9Xusdy_jkMe;*?87 zbo7J1_I{(*&hOLYJNVA+kk#t}8DtD1J?wRT^2c9&*Kc=CsEubHZA*I>Lw*{MV|nUH zlGg6>X$gd(IUqGvtxwp@)b8KS#kp)L}0_0sCvXeW#!Re}Hhp-0zV~hr*+rmlt}oqVrl4{KlMjG|EH# zT>6{-YZn~WT#JPVGviqQ0|?^CA30Ge(d-wZr;~ntPgGQ5Q~T_tj%26uLzs+0CI}Yw z(E7ujOVET!813>fHZ#*~YcIOV+YhRma}Ka8LkoC_-fj) zB4z2$v&CqGKqE?mJ&hruXjLL2y6?NooT_G`s9DbC>nT!XUF6NZyh!dml8_+p?%Cnc z91`DrDMbzxFQLAo17V6qj@>1fBDvEyQ^;GTc%6qY}w6Lh;af4hQ}K_0ALb5B^$f3au=_klYFlR;{#WY<|cA^L3L#@7UY!x`raGE?$BBEYR-hO|JB<%r)^GtGT)NIe!GD&nSGIMWl zK%IOt?S>8OU`4=9>VsG{8}vz}CoqqoubYb%2Q3dIp8}|r`PQH~ITEFzyVhYykGu+LLTe6OqPm*!pSc9xhCDl=+N z(rnloIxe&LvxQ~Iakqrie{Y+KfzG#OkYKyM1zKt5g^di>D;Fj@EpSgn@1O5|1bY~OwR1oX2BQb*(s;;A@L;8K%y-bUlyn~ ztq&SRw{(Shx&*gTXRiJv;~pczo(O|at@H>bS>L{2O?rru9@nLN_mQZhNQ^W!MN2ki zYSl95cG-zWP2%lI=ZRHcz)r<~=n~ohFGeoY)84@+`WZx0*br196cfyN2Los*-P`b9 zQJnb*MQsVqJh1i44(vb-m|>mH14DYgUQ}EhzId6i>+oOa8A`6-dO1*h%A?_N!a2>w z2C1a!`tNPAlSia^d4}Pk2go`kl+M55dLlHVE#ivDO{sYKex32>dV0cGp?nZa%XFO zsm)bXlU?IVe;^M-4PdR;Dn*b5Dpm0pVtki;H@d~h9zkX*fSTPW*jZVH3{=_Z_vMC- zudl8|LKl9+CJ(Ws0bTk<_vo<4TVv0i&Sy$SINLrsw^CkBR9sZ?Vz{zoS2z^?jq7Ko zMy!(%5f}Z^{XfiCyc6`JuVG+2Epv*sDS z>a10W-^RelQ*Uu$+*)RW^$Fwgt0*rYr54YV0u;}gL#+V(=htIZtyY-gRH?_W1Hbez4)v zA%mpyueltcikiL@ZikTsc+=A7pPOl8qob=U1VpQ-80~h2S&iSly8-b7JPl&bc%$U0 zMn+6d6Hm73m4-};cw%x5X@Qs#tVI#hsrcy2D}k*M z#{f|atcO!!%%VoP&Y}Tl0 zF?1E)w(_D0o3tpokXw>H`MWLR!Xoc0_K9L?uXJ-$jxu(5TvQZs-7&F_bMqK6-Vjj` zFf=MlHJ@TBD6YC90IoD2Y}Su&+<1plIP^vA!Gq4E&O2D4hvjWJi28|glu=<*TnY=A zLoRYId0Y&SM^VCPBCkc`%q8?RlbgjClkd~Y3MKV-w& zAJdc;H1+AsvZ-)epLymCaxpqR@eqr&5|U0uG3agyL#_roS49J5W6qK$26_z_mYFqZ4y+X+3$O zt*hIpv~~KtdA{^Pl&TzhY^4!fwxG7``ED{IL_jkpy}Us*)yz}^<^e2mbPO@2h)4)* z(ZRP$b?ZR-a-w)nZxPLLU$dq2v|SR&%m*LKJZa4faQ4$bJF*Za=IaWwCpwO?T6HrH5X6s zdu3`!8*;Xg2aK&x&J9z5JQ}B|Z|y4sD=TT=)WUo@_n)@tR=x9H;O zo7tW4vz8$;&LRW7!IRu*7&)+epyYK>b=U}2YYOues1N9Z=&A5J;;=*Ju|%VWkVB0d zm7hQ3S$RsFEs><@9x71rE$!JW-7d1CajkJOyNw;MskyUla#=4rVS=*--ZRE@(Wz2I z7_7G*Edg~8dT#)%I&<#akNSF`tZa~%tgHnMh11J{ksp+lsHv*H8qb;5f;sp(*gsrp zhvXFztDX%~49jF0>5kF+^@Qd$C%?9h7}l2AHMx^|4^g~TcoTp_ z2 z(}KDNE{(;NGxPxo5G>V+x^_w=)&-?L^Ic%Q->DD-I3-DE%s*jHkWhj?NQ5>(s&V>v5|3&EP zXlqN118n@`cd9TBa^6^i;0Q^YPn6c*h}|Vc{cioq-#)U}Q&O7pQ-tHZ)u~~+G5Fi~ zHW%SsV8pR9Lo6`yeu?)==Jb6^yAtKSZ|YsHpk7kn}7}T@qBy|0Yh`5gnbIf9Uw}&tL(JIu73w{WEvj zuk!~Xy@bnjV^2F|j4T-7&!Fg@8pkq3R`b_J$$(^#(ltynTvyEjeecR0wjM!L8n62h zq)Xt&rY`(Vk>>Orp`$|?iN0e{{KtM08<~E=xyF2uDX9-&E*gA4A?nF|t1@U%acSw6 zGewF@N`#w|U}vlt#olhwV^baj4`#L4>wnJ>Ki#{wbC)iS<(uT`EkNa`C`&_`blk6E z;RURWS30|en;t8VO+{wKMW=1#kg7goGW@WZE@v}m*0mwz$dZZxVVNX)U?ZAMQp)au zf3ht?0Rt!Zys!vS#*>H3;fM_u<;l9RmqJ{va(5?Mw3E`Bp6eqHS0~4Qt~$eVq$lfe zeoc+s9y3r}Di8xHw(5&u)C=_5eN&`D{i~66U9#jr(#w~2pWciF!X$3~;lsfK`ka)( z{@dIg<*<^tJl(>uVOwXW&bP5)IIMZou9VZKOY-x@{Y}`R z>6bIYESf+-^>E}FpE!C~V#!rDCH=WncZ~~RvbZN6l z*mZLK#-fHEn=0kVfMzo1}s z|0&idEG0di7;ICO=uYZ=Z7nQbLHd$bgigHV{R~6ZUbjGGPjc3Pfl~zW`sCT4ZU+nt z7IMhUIQ^{iD%$^n&J8RQPIF30NOr1#nVTO;otxR^>*o{m&U`?(2Ztd4=yphgdO(;c zZAG5QCErV|d=AabY4_xM{GB*G_K-D_`LP!oCXWlx0hE%uz2#F8v;qCcOe~ooR(9rGM zY^R&*f-7tJ^2|~1Rjyt`8o;c4(K$U+cjbYBZD_}RPM(?!@VQr6p49gCgK2 z-VbYC02e@Q@DwOgy8H&k55j8Ss<1gRu^dQ>$f=IRKtIu@!NKN#@BbUi znQdGykJ!dQU%%aVV}JjwNXzk4+Xwb|YWWtJ$nSPAGS;xeUwJ|V{!|fo@m1Vvo=?ls z=Q&oH$*iTJlKW=Sw_D3>rBbq_H_~5GW_8vo9RuMGd1xR7WS6Zk*)XCuu;pt4|Hcax zxFKJ-|#}Sel>9!uEnj>O?8)k>S zx`cgg#nlRkv8gG+ViFVdnC{H=U+3e4 zf+qCtVzNHThWbA5cYv&L8^3C7OHV<6lq$3ldrR-hOut6-Nykl$f4=r?|Kd z3t~LQoT`xNfb(lmWC5xrsy|eFl&3>K(tr&Fwi+v$TfP zhr459w8o0uRD3kN>7;auUAP?X=#~9$9F6NCw5e3YoR{vaSEDg(ete}xOYyy&u6Gq$ z8u1V^0*SqIdy)a))N_?n&dOi`vRah?WG|%D_VDnI;ot(YwboYy&JkZu^Fx3S))Q9I z&lUmHnae>2q7-m9%t{+zsuU@S}##@UA&lfKfmvteYf9c z{}w(QL^6#HcGJCy>&T|_LEf5hW6+9e9fkrt7RH_%%dMPL!Asz*5E5sUV(|ntIbQQ?#+z{7;Q@Ih6xgU&XJGipRqj z-ddKCI_Yz_*c{u=rK9}@IGjDCKV$H*Is>$WKy(+SKKL*EV+> z5XbC}eUkzMNIRi&y9 zCZqAuzqYp9fnH9mch2zD$`-#9qdFq*@GdW8)KArd61ot>61+c5zWo-%4lA~EODM&j zT#@C{QbpwZNV=Z=H{5H(Eyp60|Ds-3SI*A(Qw#73G%7Z>yQHKZ!%Qh55!*Gq>=BS9 zy(9O-!v;l-xyg@5Z*$NQnQu=BxZw^Uqyu|dc_2B-E8?e|%>hr5?(af9O8bgdD5s$F z*(wmDYE43h9Rn| zRYcF%*407zNJbemqrQ*NUj4fq@m;!fX=ud9U>DGCe9at4YZ?C1;w+~ZQ|{f8D~>TT zYSie_9kNOf)M9~Z81RPutc7|OkP?8FSb4ggZNFxemkb~DbF;6OnE0KCRc9oWtP6A0 zDn5R15#Ma67<-#$44WxzE+rPvaE0du(pPs2&zYHH1;E5Q=g~w(F>|t$ffSMkwlO%E zt`pfyNqi_RDjEr1Sym?R6Wk6b0Ft2M1^>O_t^JeP+#57}RN1`#4FgxQ|1sf)nv(7e zeVw#)>%bVC5>#hXif~K~krEgA%9u)wgu3$R@25 z;s1uXty(XE>@--G7~SHN1M}Ijf9~>@j{jeYk`2c!5_@#Cc=*xI&+LGx1`R5QN{6i6 z_127tSJM@3e6C@UFi?(dm^(ut7V|QJ?O24cBV`B(5X3xHoc4cVZpXOGlah%_q1_ng z*7=jJT@N0p1WNb3-ytvsj`y4#2V@?UMv$?f!-o^bXTReZsrvB2Ds49T`S72FU~QXy zk)T;zUw7}$U|)Fg!XJ-y2Q9D>A}e+jya}FuwNI~J!121;+IJEr*&lFiomNY?Iaj{j z%dNKReXDTXby5C<)P}<7+?m4zSr`b;2l9>)PGk0k_*R*6$&pACHG#$T%%$ z9SRim>PY@=tgT7wB~2!ayv1v_%*SP)VNf+I{`2P*y?e({=kp(@&3jxCYO$WNgIrug z{;3^)BecU_HFxjaL3xKJ&QeL3Kub}=Rc0)*ipsmNk=Z$i^mZ@qk%A)fjbu>K(JiJa zd@OObp8N_SG9e~r)Sf%`fz7K#W2+cn`QJI)&F%lUob4_1|B{&V_tw8r ztPO!6n(Hy>_n#HC$4YqZZ?0VCSpgF;^W z#=qXsU%&7l=zwq*{`HUl3>^sX>@SJ+fBj={o06M<%pbB%#`dQC?~ma8y4^Ym_VVHv zFS2O2StvP4L2X~;8izW^-|@Px?;6cfw?8~s7oyE=VI#%xnDzKYE@Re#8vK>?O+v^VXY(CpQ2yKK0D!PD&M2<}Kh+6-G=5HKw{wclw; z&JV;VaEIVe$??PK0K`IbnahPbg`i!r&_RC3n6naDa8-zkm6Afl<;mCP7P0_mA~e7P zD^pobtASaewej&4y0>v|Puy!>PMl3l{5g$9+$A&|yfdx@ zvE`e|;ZGQQVPVoZK|{xyovi>ta?-{S)!bmS7(V}{AlK{P33vLh}AB$AZZW5Qy$ z?Ieh>`4+$b#%)6SDA-U*mo-x%P(AT6ogI^UN$9|CiieGHjU^P!cCcnjTATRy65WEFus&H;Dvs& zsP(9sH`brfdGq!9NE5Qx=FG{Br^&9}vc6!9XosJvmUeb66ckA0mXk`A;Y9`jayQ^M z%^Pd?F>4Ry5y1Bn&8OgKmUEwCf#gDd=C(qvR$SfC6(N=<;rev`MgcFzW(j_LG&OR8nrBA`CT{rw+MIA;bms&Cn8AvZ1)2wObYMVwGZkSOmw+39W-Q^ zllYQl*zhjeP%(I9M~$IEN(|0|+9Ae}blXwwjDOH0x&?k#`_Xl<&Tba>Vm z!MERnXfKnDZSm5fO4}8X>_RS0l~Nuy>;uaj)*Q~g>gITS0btokExGD}^|a>N8oV<*cg_a3AV7|}3cH zZ&47YETI%pEsDrzkpJ@pZ?5|x(__F(YlnKV{7mW!zZ)84lQ)mnYL4+}5 zKZNbNQ>RwfsLf&Qe|o;(R7Z@c#wv(NWC1c}-)i<~R-NObMZINZeVN)o_1(&}N3r;k zQCC|z*5BK+F2ONHrN($ZC8Py02zDd>rNg(onB!7EsCf3Xqd#wlh>?D-tY(I_(i zVVfmo&a7EpC(EKD4<9+w#Ws89tFKd~46Urbw9ZG6!A9$pP#KJyeJWZ*H?}R*4M6-( z^V>^r`3AHXac|pKzYS^y5rx9#a)9lkhPvQUAr_**#jcWi`GhjPzj~}NMVHG!c}rmw zTEPzy6rd+ltCi-x0_dbt5j6-PgoTZV=xPyXk)z)ceLY9}U~ey9wmWU-&JqAU`AGrb zRPnK~E-P0?+*NKvdJv5fd+Y}Njx~?`4zU*j9cF}(9>UcF&R*Ex1(BeG6Iq(p^G8ma zBrg-AI{Et#q8Q!G4j~uL%_(hN#js(Dm z8)gc^pb!P#x>MXmW}xog{RHc-;BSWr1u;x+-IZKYNMV5x1IZvP+{gtNdmRjswC%uN z3EM%ht>3+C7sh3IyuQZXgL9JJgfYF23m5Vm+J71*1Yy*9czIR0YlGtQ*0sirNw!c$ z>k&70xop`|>RXoYOcN7>ON|>B#&y2D7)FzVX7a_1Zl5k)E%ffVVDyxy6-lXGcXM_y zkL&leF6>yLl2d2A951_#rwuGNo_UlEEwQx~;0bi$4StltB*kU_`pmY#D~+w(XYJZ> zgZ)EPCht<_sn{k?9xWK9_3$@%ZYB}3!8R&$5YHq?k7n(88d@&VG^MF@L11kJLAL*~ zNW)t^eYie1KgJZbJ9?S#ARQUUefU6zHv=h$*v7wJ?`zNA({6}E+ozA(xl=+?QnE{z z5AWYc0bMioYQu((Wja(rLL4WUQSES}Zdp4wMn$2CXgZ($2Q`>jXmFtm+MLLpJ4sqr zx9=oUW;Hk|HEgi9b^{lhILF&V+^2;u&0bTp|Jbo=Mg`_*zikGa*T##L!W19#jzWu;VOH*k|(~Z+X;z~R5RZ^l0NAD4wNDc3n(d#p} z2|B%a^SK-zB!?QyKPN(y5}K3p{?#hGS$Ay_yg*W8YZx z&OyO;C}!T>>8EjUm;?_qY9QVakz2gT>}F!x@C2=87{qv?)U&celsrv&L3Yb2(hW&T z+c`SKAT=4@^CBa?8QuP*9flO{Tq{VMwhOT!?M2i5j!ULIMvpdVBz=UL64BvK<> zgL!P}%u{FV`vdU?UXJmzt9dplhXs=t`b7Wcw zer)E=!xK0Ym;myk3wl(Fcu_q219ZLfF{cnJ!Iu(33^!|AcqdU@sT1DoomVSHv$CRRL8I(YjgyVoS_i9$BrZk6aC+r=LEL8)^X6J3ksNbrVHJ8{tf z1NFH*{8(1*cDaccVX)Za08n94lUz@WM_1;0ZYTnqVWZ|nZph38J$`@0#cQ2~*^()V ziRGZXA#y(&2A4j1WF)Yq2ve3USTOKO0PeIVH3Nl6IsqLA{n#)3S}lRDF@^<4%IvW2 z^~ez8qv@k41Z(CK zIn|6qA%ahZM4GOX2z8hwKFh)}Z|^p-pQi!gDM-H5)*?skb+SWS|NX_B9>fK3PU+Id z3~jYXf!a_GRY506u4JYYxFQd`TEDg)dE+&bKCdN@*RS}LP>2#Zu;phZ#f0Q0qUq)O z^bsVFE-sy>iwV7Iq(^&6*Omv&nOVfxDY7=G(})j3u2cci_m};xuWsqfEW+i> zCoV4NA--Ndpkbk?$ml=$`eiLQD?c4&Itv@@O$9f_t$1$`7!q36&gq5FfU^xZb!QI( zWxKXv3o9>t;1D>?Dp||WQHaxcQ7<`ZuIO?j4uFfVAAO;rb)pLfMi2zb7ukvd-m6zr zNd;o0gg#O_`jZpg&$8F=pRKQ=-MZ@gXfE~Gu`M5to^YSpyJt_dLQmNmDMde`Me_dX zRE!B?JPC=E&_)(RLKN5-YTN$?81~pUovXZa{d&rQ0}dIH<~;4yJc@K)c9(rTXdp;v zpgu{mLMy-$V^#2Qp;MH+{G3p9p4-cpo5(#;*nUqRD8@wje#X@hOiBNwlTJoQqO2ZE z^>2$87A?kGPoDpb$B1X({V^R9$umI5(~z~-+p>+I{Vh#sn(z-4WLqD$5OGP#KC1Ih zI-9^IZS6fAUpNAKA!&){?|_wJl(oHmD}^8kTRXSb-VuV0>+@hgRfKV@JqN8IR75~1G{nRvhVK8Ge|~?1^AP~`}2Nn50L8i zFS>Q^yih}CN$qM3hXjNLEkVgCy4U`0(D*i%bP!`;diGIf%Ay z-CFgTlN5rAqbm2a0Jk5n{_w#UitI^1Q^Tkbg$|qaa?hYb>oL0Q&vc=JvNHSW(_O89 zxGi4%$Gmw@U%s5#_VE2FG3~iqSZr@vGuPBCoMHR3HD}0{M9=Qp3-dfm-nY1qZ-M|V%Xm6E8cs$jffvxTyP zbWg_)4~CVb?%H>?Cc>j~pGxq?uph{v_r!gasHmviGp2GtNY(f*qJ$pGynbcY{4i=G zm=xA%3~JJ%?2uUYBje*|q_VgvAI*zy@CbkyclQjvJ!u)Ac5XoMHM4WRbl8_b*>4;yC$ofB*LF1ZWs4_7E3+YQpZTY=Q?|8;V3nXX&R?nv9C1 zkj2bMxz9*@VeEm^ho z1;Elbr5C(huu@`7e^U^Rv*qEw;pC#3oBzQ3yJ{8e{9EEyH5;3-g)zaAT_n@G=|o_2 zS95VL(gas(AI}SMdF4x*3Y|xz7d&V+^o+x9(-a2d%(36&h!T+w7s`eviKG6Jcp+>Z zTeG#=@wBup5fMbvJY2ygxrnp6wX~h60Y-XcM6GHJ`J=1lAZ6=3?MkV*JuHk3hGoVz z`d}X;5Ewe1Z>SC!vxq=yA3_q}1dz26QsUHJgQc;_Uf!~$vs`!HrjI1y!WPhQH}4q2 zjp-#2=LLAQ@klW(Jg=kXyZo}hm3HsN*S;FM@JIr(OXw$6P0iWOH)&Xa2P|$9st)OR z{OD0HcXyc`@7MwXDm#dXtO~f-HlS{AHrqa1HChU4+^5jk)XO~Z{?S2mC0+`H&2zfm zEiC*@4++BBWy5QZlgWwqcu0C@+ljjHtJ_`&x-tkF+;{QaOzU*U+|*x45dQwesj?a3 zBrjqau34^>oEm(0aVJqxa1>Xygzplhv5IfFN>%oH5MLYAHwr~5jWoc4*enws?Hjr- zfep>OXq?GDBVHw;t{(ZAJ@ZDWHAIFNI%d}1;Tv;i?WA$pxl=RWR7?uN$U^kwF{bS3 zttX!!rSJw)F5klk2^#d{jnhcxoPf`imlsd_Lo9TZ<27-#-CU^&0ZrDGMrI;t9vDj! z1{~OJVZ3<^jELMoeut0jem}3X&ILONN7^tsam*}6G@%oHd}=YCCvbKsRHiJ=+&4CS=8Wmnl}x@IJNNR( zwfFn~)B?=Aw0gvxJjAfyK7Hlb+i5yD%is|=jiE8b-q-bFmk2sU_%fVjya}=Z)0EMw zs<>$KA3Qj9`LeBl$EOgFlm~K1Ec421e>QQ&*g}O0RyUL_X~Tx=RDY|) zTqtg_pftS-?M|fzDU2bqYBt}8phIvfT)W1h#q0f~hdT?LsmmC9EiK&QGKA35(jhLw zO7T9-pqx{S!wU~$wBkzNPbCBgEo-7VapFVzgzdRAWaTTIU0NZhNVjWzdymv~lO1t- z{uc{3UURJD>eP2HEOCAJ^{284!O@lzyPND_^)pdL)BxFi-A})8Q>5&?Tc(aN?pt>O z4K`6v;;LW2d=dM8x8J5bOVwVK&2+sJR(dHMO(bQx>Q155K zd7|y2cKmQUIeAL58=D~=X<7w^QV-GcPoHe9tdd@sVj*TLZCNn)#D%1!5Cw5|KZ3;T zC!jfszWsJ~{wh%ZiLTV2j6GKxgMn>l3wota&FI4(2a? zhQ{1_xoaGhSOS9Q)YKscQk3mQwC1gKXVf~Yt@wlt3(gr+PM6^_pf_U}b zH1|k_&6Fz?d&}Etxo+AQifPvs2@aWa_M?PTr%7F#cLk}S>xC8gLjhbAZ zIj%EV*8m+4PK}}`8@un=7}ZRSG;QNkMJ`DUQ~O0|r)B_3`L&5F#)VyH7G5hb0%;wY zEhG5(OV*7}-?MAiS%wVoWK=(%PQ8W90B8z~{((A6>~QR|UeF8G?(50*uh>69E<#~5 zfOxepen<{=g7$v(uDYkSZtdE7$f%N%3HvTRDlTUEZ*C87elI z7%HE2`7#+H93Gl_U6sVI=HxhB-`bo0u~~Ks!@UqNz4jCwd$fi;8!7geN9{2K>j+U` z`sAtu+jAW(dX4~|V52)+5pb-5q@!DvUn$IZ%m&EG9d}7%sjh@IWIJS^H#0Tear>>1 z{iYO)ComdbH)E0*4pmX`^Fc_hTXe&$CrU!;CWxZFR0RS zcO%D@J8c98dk=fz*X{4BvO@TDIbAp1tUyOA%qyHcs8<*Gl+>u)yLYQ0c0^w8_RC>P z4t&M;Hx@8lunpLd#%gNPs}N*Y5h2{h6XHB<8zy^H-Alw^gbspvjHphxy&RTv?V2#o zlpm}tJ@MD8JJj7O(Nq{qn$&xdubUjLGUMr`H76z~?$%h?p=BpOt9F<*x<%q&5Gtbk zhmQ~sfz0qe0w#(%n@0gKHo@N=|4SxO7S&~tJMTvvl#xIuF%C~i$fUvil*^xXiyCl@ zF+$_W3A;xR9!!z9N5!nIZLJtJ;a4F+h_n!xo2n1Oc>$Yk{=6JN&v&s(zPH`TMeZc9 z;rV+{d>bbylC1CH<6g=fdCGIG^}TN^OkMrRY(Qr$lQE{;doYU|pDQ#elc6g)ew%c- zu&*BGR@6b{>F;nRV?bX&X!XYS60TGS5v>#9Q77I;WJ9gClr&FK1N=DA%BAz&cQNHt zdP~VBN8!%cS+$-kv|+2H#>1^nk~|4MBy+<=ce42f$N?zU>5uP#OUDi$Zos4@9)c(5 z(};cg3Lvj42}N_|w|3;`_?Rp`?zI}63}zE5VRKPs_w4Vv60QieNUuLq!gcM8|8@4! zKaN=yjRE%IQVKSRVKJ{)cINv}1T7Rbu(q*b*PZCsP-}9T5c55EsGf5llQo}TQCAYU zwy7a={~}0Wf8PNQo;@2sXb?{j6Tf2$GayjNRP`ez#xYYS&{%jst3poU-lDUi7R`)%~ z3|nUnw;A&-up64C0R~c(h^&nUrX9A+nI6ILG|>T_~Ep&x~obKTOQoya#^tz zibPXI)b@hs)a2iTIK}_#RjUX*PGen^ zuG1&1H&f=_o@hK@EByOpr^!L2n-b)8*fM#)%~vd+3JMB3I%~z(%zungDcC}l-#J)L zIF)>QlslD#ax} zUo!hf-26%h#pnyA;i0)Qqa4@c%_QhZZc>0B2vy>T^|tD*ufs+P+UNDF^yYB5im1M= zsPMwN$fO1V5<)r0;Y^v-xN`Jux#gO1Xd*J+S$sJ;g)H zthE#N8!KOg;jmCex_Upr>)qS8gQd41&sc?+lN~R9hCrq(b12k*a`*8$Z>&r*7Z-uy ztYTtT>vS=Ez-OFhcOke8=EPep#mZz6)I7C)+qH*O3G5V{z9(f z#9x;e=56GleQ7l}-8ymhFtWi`UBtVz#c;Ie8{-La!rM>&k0qxcx-)M_h7S>>Ir~Fv-;@@EiSc3|*O$HV@iC`&LXd(aHrtJRjYR)%rly+qAwi%UaBM z*&T1LZRz>&YoxNx+_`XP=2C_rk_knR4=Y3YySNj$0ne27fbJx1_OS4v(S*f0l*QfM zKk*ljl5EY(sueg0HcT!@i499er(B*1=;70C;@Xc+OO`wl3LKUCgA|{@2aP3Ycg~+@ zbKIv}T~&2Y_1Vjp0Sw3e&_Ks8YCzvkJn52iL_Q~ejj>u;;(Gk>Ve$bC-0y*-hJm>2B@GXo@^K5%%iE^R)oPOTo+#>V-y!A$Nt1qDGZeTB*PJl0h8f$+ft z6>na@K5&9hu2NqYmdj*Z#TR`mC4@QC@ zDxWiK4u<`+SwY3`rhrB`O4jk>xY?jSKDl8^A{_c+}cH^sPM z49mLg%?|z6A0QgA|40qi}i3YVBNa6)tITT14_cVKa}f zeEjh5%GiM37Xn)YhzLc+Llv^_wvv=MI1ij8CGt79(l6NWEuBvCacdiWzP$Sc#|*Q= zy?9(WYV{{;=J4>sN>2HnS!CtuNl)s8mF%Yh>v=# zJVP_Pt8%z}ybb=T9{b>y4bFFqUbHc$40DKU|Wv zn6q^K3U&ml3F$3JC-k(m<`lg!CK`yGZ}1KF14c1!;^e>okWGX}y51%eeaH|KEB~Ku zP){`mmPDkMtgdqs{3Jg;YPqR~h7xmP}iBoN4W52SwJ(eNA5RRm2ZmG z&*yW?ukI5NOP?8B?}3v7F5}-zw0M4bEuIKsLni*P8^mDF->)DSc(c40FPb}klKGAL z4E;PP?E2Qto9_jV^A^5l)s=lxQpl&Jq-r~C+y>mD8+(+&LsDHfj4W|%{PWDVaWc^6 zi-Q6>xr|gm?*X}S1?d^dPvM2e`LspEtz3Wa&Sr}kiu8t`5rIu25q$@K10hMHz9(i4 zZm8LmD$Hj_ozG=VG{7zvs_Q40BdDqpG-Ll%QBS(PebM5@DuV~tpWE410+Yj+iR5UD zA)16@WB;dfo&Us+%1&4%8-+K*kuVzvM-K?=(=wwM&z}pa$X;I?vR&oOmX%e59)o{c zrD2*VD0hG9|6@V8$Jgvx{e-IiomYY^jYVy`&iL`edu^p-;lfT}4l1%(Uf=#`KRGdS zZ=%l9`v=*mhV|Ooxu?p6Q<0e$E|h}UUFnDji#gBNE9wA7*g+A&yj)v3P`AF+wwTJj zOV_UTMU!}JaG}HzI)+57Wac5N%=?pMUceztPL$eW_|(9vOsl)fnVQu@(XjLlyPj2l zxn?$ITIS>~To@d9khkY+)7BI8tUtSyY}S(gU3DK)HMU%i&vn4rSFtC2;y@rvHrDD9 zbJAv8jUS2EZP_NJ52+NS&1`U+zHbF~m;9#}2?Vxew_UjV@yzi$=PH7^e>P>g~k%dUSk-}jHI%1QttVt%J>Py>jj1^hNV zOE7MuK%=<=+I{v+;J<&*#YnZHYm^%>KrPBx%;Kwq)vp!faMddp@K)(MhHaw(IJ}>Z zgUbJR57acgBL|zh_U*fOCo*Qh(eX8nAK<{Vo0?O8cQkr-tCk3m{uBEqlr$w*yzo_8 zmKiM&3KyVBF#JdJ#Q+89H~|;<8(367XldOn98pWZo%vN3Mc% zgd%QJ-WKY}F)<38scx{7q|N^D`ZfHp=J)-~rt+CHvEPed&&pa_@x3CmD#Px+f3SV^ifVgWI~<$|Z-yoVFE6PiF&WUJo2f80A< zW>ivE)_GD@E$bk{Ek^0KBrMCl^TY<=2ECmpFjRA48swftt(1RTxaW*<%s5c8WVYOoDa3wRWIsTIqX z%{#St#>!G^f6VI4_}u@H;kn^ZWSApp;|I5l_cqQtlSC{WXk~(q*Nx)hO#6?Yzb{}F zB*?+f5X>}j3}D$1@yWz7O!Dtdlm1p~TNfpYV>vy)24y<}-pm%SSu-wvY2EAVXz_kR zEjC)$>!%t>y3$QBJMUS3{^5x3x4k#TU8xb~@gR>p(&FouGxwTfCh8WUlc7VpeED)s z_OIh`#2mBZT|lEj{sIEB_iG{FT1YDl`Fo(xt6K7X01VN?#Ul`~o2y#&qYlPAVk zPLjSy$T5%4^T2Kn<(a_@+}&xctUEUyEQPQnUUZy8hYwHQp4M7O5ioORAv+{$XKqF8 zi21^-4tuR`U$&yG09cte5c;1X8GnqAAZUYXVfE+dX@O_3>jBy6=#&;0dmxGfCQtDD z(m7ri-j!pW`OF~-^f-T5SR9b+fmoe_mMH-+FEOT52c9Z*^KVczEn1G zoXlZ+*@*g8UjaTQb+x$hj2WxEypm_ZG$)PHOlw^qlnYRry!h+VrWJqUc`?geTw+N_ zx3fb|rXamVuc>xQ{mG(NTM35*=x_X$xf7iz>=E~XKbK7Tjq(W{XJafaPPFm`ApZ~E z00U3gO!2P_eYs4|`Gfq~uvZ+74i;5Ym)NWR1pxZmtf=@4^Q$$aV%85FW#6RE2mVcL zeM>o?_*YRw$?S8P&*WgifUZ-g#(e&>K;iFrUC!sPk>ZST-G1FsD5d(3l~^OkKLp*| zE%mCrJZk@bz1;(&8^iwOq<&;RYntU0L;seu)u~j#tbHy*X3$KC*%Y)3A>aalm~}zf zZNF<>OjJ}|2X%2aQQ)5;^6M}%k&;jLx!y_gxO*rWk!wD4&*-{tU6gmv7%l^Ms!ei#J`K@6QB3j-bk~G{|YA(rI|vA^rUQu>}KLlV%;7 zd#@DBvpAZuN!rn-DV%{|0dldo2{DDc-r?))^e<4r`*_vPAqUP@z5KU0z+xdhW7*%4 zfMw_E6ZbB=2!#m5k~ZzMvWecQiSGme^12nz1u$j22@1Xs^H+e(3gc_^USQL+R$Dwe z;c_tXC=bO8DgG&5P2v$k{{QRx^RMXao3M7Y$&PP*YB*|rcJ;r*)1TAzwTZ=||8=9k z+jmUKGf7K!CBU%8H4C!dZQa@>MB((fX_MA`j8v)N<5jL60@HXAO(V8nJR0D~kwN9_bJTx&UF=#Hmy7XLHbVlDwA}82YrE zt3S}5#+bg%QLi8fUDRO7W3%qPV9bT_r6PxI#y|J-WdWtVB3_`FF)F$J_;{{W1wX6^ zq$w`8bRifcj*fvYY7Ipjo{WFbH0gad;j#I*RMVCg8HmNepSSIe!ezCf3t`yOW^e5T zpZ-d#TOktH|G7_h1c8VOmhE})#zb;Q4W!`5pVng*Idk?bF<~FeR@Lu?{~=omJ=gxb z3n*Sd0WC$k-YlO~&d5dH{*OznI_Z#q&D zKvHNJiWqtSZ@W6At&!6yEmUgoFg15tptle`~jGVBUN8?|(Eq;2J#`#23;qPVU7;`;U#9 zf9k*iq(%%&rImp`LdjT-z_0BX(dw@-VL}sjjSj&FV4)SGuHC%3Z};wdY2S2RdW8qi z<#4mQjamEs-iK?n4jj2J{(|9r2sdcXkSZ=)_Ce~ikuJ~N4rLCZT798O&IFXCa;w&f z_+ZfaKa)y!x@vts;?aI`-a~1>k?_GD)bz1HC*e;7B83_*k@xbL9r+Z1J`AEGxroON z+8^dzk5&PP39 z+#m&Q?eodWLg2#rk-}FNb?kXg_}^C>u)?z|3(Qp_tviKaax}0HgeG$bs4$I{_o7D# zySEUmG<<0U;|D*?6%rvU2mw!C!U8Up5 z+>dA+S)bLZC8MbI*OgWdZ~>zywoy)w25pJ%QXEaxQwZ|8H*3Mvrz%>Snr`)da?bU! zIy9a1meV5XJUxPp2x63m>)>jo1(=c}ccu_ye%pC8kK=^XT%!{wsn;l!Em!WNB{z~1 z4b8RaE?Y8&&iIEACt?sX#Wf-2qH>a26MJ`Yl%IEKY6W9^ls z{hfh3Ba;5_+GiE7+C@YE(G2(ON`>0RNm|r{Y$hh&W&7GtK=?N?MY6sFam@6FYjaN= zsnMghY>Rp+Dxxr7H~$;OKCRY+m8rH177)GteqiZe9j*7-kAF48|F5?*kIOM_|GzP2 zY$5x|7P2)VM5#n3R8myPRurWym7+vt>|2bQim0U0VkxqPHl~`SB9Wv`X+_b3sDAIW zp7G2x&phAd_4>Yk_djE3x$o<`&htEu&vr;^kk*9~pU9UzoqK<8;w9Z_yf>kqjCni| z0)1mxqPtMzvG0-wKL5n_vth#q)Dm!qdZ(2FEZ(4tkTTiV^s)HZ zPtQIB>}La!K7EQYaea@5`ll8&&Q=d2sW0Gu6&177(%f`o#N3N{-slebIU@D|`fdEu zD;m?6z1hvm)D9lrY1h3XZ07p@2|3T6NoeK#wLvvyz3g1l?O5_{o1*qw?kLD%Q>4O> zx(?U6LKq!zVq>=Dh7GFCA83v1R|S((T(STJEjXvavJIGJqDpN;`OSW{{Rt7#t5!x#?v`u!4*; zlSd%FiwM5)02IO?%<#F{ugahKWhsCIUd@*X(b^}Y<U0D_qb*ts?`QW#h7vq&b>AayVj0^m!p1+7a6cvit$()Mny7{8?lacg=j zuQb?m)U4ta$Lng)!XnwtyQ)r>q0AxH4shg-SNnMI|`Mh7js=ogO8dTTV1}{s_ zcdEL`j_cWIUe)9C4ra$AA>=1;w)XCz?rd+eeEGa!LD~{uwHC~dCFEJ#W98Q_a*Uju zOsBheIyeM)gmzE(%+CBc;{KhO7)}Hx!6Ger*MY+8&aUo*l?`z?!p9;(;ssZah)RFx zeq4l9Doj0#=7uXPLq;;J@#2c;_t77gN=p)vCt?*~S8?4V69f|pacAG+{&Q8a2J_6p z<0IQg^ATi#n3x$*zV!Lbx{GOQ$fYvijkz{H_AfU|;%l>BLlNw3#EK5rnmgN%6W8CZ>=gW&Pb?_VJ56q-iF zqRi2;MVQp`$-gDQAWSbofsF9S1E~HGOOcPe+|ZDi+pK|ub(Xg32_r^0^;{+nAjk2M z@22tJ_``=->?$h7q9yz1EB^2i1e_7;TIv8L2!~|DE=m_Iprlmq_9TA8A2H@yj#p5)8W~wb$P@}UY`6)nr#MQ&*MPdr z#hb3G+W7nZRq`zE)s~ji(DwsR`E$WSm4~&;5W89>zw>^1DXeFuj}Eq?PZT86UK#$ zyI+^R9GB0n)49Qj73pP3WMHsONK|K}YVI-wR{0I?&VaIm8mdDksHiNzT^b)h)5=Z; zMIxKB7fD@;isVopNz&s-;|9*Es)Uds?diLvg&edj=r#8iN!S?Fu$Q4POB#5>CSt9$jObSk6 ztVd{vkXDahcJ)B|%#F&iTdEfOCM?Bbi)(1c$HH%s+rjtMAGnV$^1*}GJnihzZO~4m z-V|T?)w^^?Q3F8gzOEj+4vdoKKTwZqU(@~dxJ(F%o!wT=?NIg_k zPU+HM37cQDIzySfoi>D|GcPX8u>0#tLsT7SO77X3R<~dkrVp0G)SW)p?xlT`ziyNr zdpOcKATLM^N|UTS?Anj)bZ64N_B}0T8Qi=nEhsL>k<&jJYyTS`O#j7;D+kw*8HP8D zr@?8eIiW%3QBRVmTT-p#8k=--!zyp}y=!MQ)p;aUv%)9*?#2!?WZLGSMhCMd&yy!V zdB=TO3m69Bgg4tuJJ@jt>(@A^kQt*Jd5;*!pGSBD!?Kl|r}+@29! zjQDoSD9yi>?EepG;f_zsktu1qLl{j>QV_p*HHx7Hc;I6fX->C{v62Zu5SAxk5b6PcjH%9|!XHhX({z5lcW z%$*08jkwAnh`BiQePA3lwY98i+?MI2R5aF~i$uiA8&goQM*+!(>$p{X9@{o)zh94! zu0;bqn3FNuQ=1VgvYxV2r!IDGIzY+<_ATN_2(v;rvE1xBppS6C98TmQ1@%Em>SELe9&b&h(-k^B}c~3im5w8R){y0{r7UoaO++*EAE(Dr&IiBu8eJI z-Y&bF5O5m1zFiWrBG>59<=%OUkl^Uh8OBU9Sukc({++RXy5KtS+a6(jV|ALA$0(SH zaTuq2$gus3S9~paY|-1d&F!~xrW524+vfwi5J21VxPi)i!OmL+8wU*dTSl3ha)+%` zs(IA6?c1ZqozicQr~%3yY#Q2MAw^G1>(l3gmP>YrhM!6kn9AkL+iaB?MK3T-BvsAD zLtEmDXLoGEJBM|H5RK9dTowgCgL#k zww2anL0ItjzIBm{tPfGV1mw@VX( z8uG@k#V}%e`Q{D34_?YAZgb&kGME3EQSPA5d~Y|^w#Ic^(qNU%CBH+I2y}g6u6v5iu2RIhIG-ZSeM;Eg+yIXZ@GEDejnR-E2ect5Vt8d@nrjCvG zvSM?^d9!!ozp~16r}y?wMJNv1u_MX%l#;C}l8~WcgSwX$ChUH$B~*|z7;Qom)YaJu zCBE`cV4XtaT3YTJ%B`;6_#7(_38KrbV}dP&irDn>N7@|2-`!7~SZ84|H!kz@`_u^> zeN42NEx8Ak4YUU;9p*SX%hm(MP>SLrZP&)#BQMu4=)(Yi#H@_H-KK* z+4&oiRv(^BrbACi2spMxrSktH)4WCgjo3c2{EobBdSmU{yUSj{UxfMW`ScKGm`FSo zHoZ_%^9P?^u}c~Vup&Ug8SLnv^s+5Q9CDd&Omex3JVKO4w>Z;EOU-?^ZGHVr3`PK+ za+V!5(NqITr@eh0-74mH;2RVXwiK7L_7AZJtX{V+)WRB7KG}<%c0I$B#QlS_wAdw` zRlOBE!-^Fzz^#|cEsircuWNm*o^opPdit~hF(Vh!CAj;!mF!(hhS46Jg&sytio}O{ z5{?*9gzTpd>O1I~fzxMvsrk0=xcc)Av{_90>T%N!4_4WGb0@|In((WTUpPZX_wVlw zlj25>*gwc@2Wx);NApm?BM%1NAIZl)7!rz`S(yk<&L#n8##BGdVfiIP0kK_{<1@TvGsD)Jb18y z78OJwYvPlMQ&0JNcrg2GJS94w1HvzfRjpq#pCeR1?AMI4W1k~j#s&B5)oT)Kr4mAL zFD2-6!3+Fht2|FpLTDH*F*r3_JmaH3mU!ruXSG?szW?sKo*mF!M>2z>{=hE@tAMOnhR0!rT8O)mSLS&-nFjU)O)|;FZYc3iRs!3=sqkac@=5T@d!J zIAR)nWm0Az?zb=ml~!i#z)F>&b&G4I4O$}ezUgS;`s_zh!?cg-^rq|Dd;7Yv(x_!8 zq;6cnpf+ryhYxr4Lo(Q|Ji>cbO8r+iNo*g*EdGboQ+avj=5;^DCu=9sW&b_fty`0v z`SU+tJNx7L`jg@D@6d;iQD*wCz(2%rkTm)x?`i$&e~`;7TFK?q1VZGlUl($)-C;7= zC!BMW@k(L_s$a>-H+c-`s3gB#myWKLV-PZ6sEsuOZQdip%CB+X)$?cKhjO|YfehTD zVgL5l^%4J=Hsf#Yka7{(RVI~N$;WfEO>)_T^nR+n;29 zeD@=VG>Pv?3qZNQP}b8Jy14k*jB^h60ih2bJ}ltQlr?k+U|iKpZoA^`B|Tjw%+QJJ zBr?0$6%qpxZr02KyI?ri(~~8|#es&hFzy&mfp+)_2$HQdUo%;&tP1 z!H5T}Mdc~7vf5@Uzf%E(FQL!g4vzseK&z1tc|~fPiK2nDWceWDFZXQSX z-N~&VlVLA^7%Uy@$KAk=EQbF0zsuR z4r8WzQQ(K{tWttV(Y@S>Ew?u1DI-w(HvVcDSYu7BaW)kVvII~s3}%NNE`^P1hXejEm{%SJD9a`>;Fs4%Dag2VE!B8`?Tu8E7zu78bbOV8rir1;cS@t%J(onirDWsT#>8A3_?I|^k@LLjJ|qexT(x5xZ~~;h&sxVE=cb`-@M2$#Yak4j z*6Z*{F9E~_aKmWN*mt)a9|Q*^>X_#f;_a`qazAIdHb;>bdHM1?ihnf2k3Ipe5G;`1 zhOT@zvP*dO>_kRc>Y6JM1_4&~D3f@>vD|DCXS)x=iGa)BIkw5^vs@Xt_-13`^X5rY zr>Zg5$=a@`_^SV8B@K7YgiwJ%KMHF~B}I}}pDu||aC>L1ww~OD&iLXjm^lf;cn+|CQe)^(+k_k7vZ)l+)`9pI>)_)y8Wb1X_TdH8-J-x4pq!|7RX14 zCp{I&b&FrWC(+ayBA%8?6u7A-&1YQ`aAwk)xi4FMaapjR1*98nB*EmaBlyvdZeQvn zT(Nt~BSWGd=4mt|pwvQq6BzyGELXvW$!IlK=($c(PzahVXM6|GD`~TZJ;a@lIR5YT zvsGznlmd0V+v+sp9>NSUa3?o;GvKQ2LLNdC%Vr8-6zqCZ%~@H?{cyi=PO%9&=n53( zsM?Xd^nu&^KYTe3W?Qk8Te!V4Ypn7U5|>EOx_Q%xv78!bnY_9#y9Rc%N3vK|Uxj!R6z{)7frMo32us*z&=(g<;xgTcZbcAFZm2uj-L~CdWRL;yEFb zj|`|q_;pz0EF-4sBd!1NHCuKxqJF=<`PF?fbO|VmJZJKg>YZP7H1J~J2$3kHF4u_n zaVPc?lWhkNp6`Ff=mO7{FjCI$lzvXvH+-@UVkqux1x9-VgR)}4L-4sKf0Jyveyh!JLt)^i*sz{1rJW;{3!ye|mi z5CV}dM@GUN?KmD$i8#A5q)n0$pvQ&%NcLA*Sy}H6bOUD=0=|hOvo3cm{s;cRaMQ}V zKL8*Ni`!qZqYmmCvu6_;NQ%gO4Ba(rJMSvo9F4CR*Mg&!cncp28Q%Kyy`lvnkPFew zHz%hhWGidVZNfd5FYl6vy>4P_V4viLp!r(I&wtTssJAdvUbCANTn1_qqb`~4 z#;>f%+_fttv`YQQ%HuNLGt$EsYDjmslKxG) zIQ+ugSLbS6CpL#z_FA{>xYH*W<5wrkd`@nAr&9YsH3R9AS~1bvRh5;{tY4$ zIE>x2@{qQ5-aCt@xw2CBxMr5(!B+W4(jF5zTY}qy^?{D%JheOT>eQtRV-Z9BE;0lX z(QW+*DCkE~Od`6_xI!k8WRJ#2+1X-tm%9x6687cAY*ThZq4M@ zi&$CY7)UiNBC1MC&|45ZJ4{y5G|rz!89Uk3E1c^)6{tlnj9!Ga!=S-ZEZQ&HbQ^7 zGzQnkT&7?rzRM8F4gz3kjZPapi+)P?fjrOFh5CVy4eNQTH zsYDo0oBxW3@ZyZrtEDZ?AL7<8n&`trZY67O8=#Je{<#Ggh;RV#2f?hb zqP5?GF%0(#wi7~g=HT}1NpM*WibF`rtJkkL7xi#GV>YFAbyop4BE_jx9Q=SJU(}ZD z_Ef4=$_PzDBc{EV+wx@Gva6jQ`*8gtR7mx4m!V!Z`Q@FCkHT1zGErmEGhDf{8GA_O zyj{2niKHOL4ixq$f^Ik^pM-A07=YQ7D*D+oELpn9IqM~@sODgIXhY}(38A5wZP6^# zkJx1ieHYjjG?s0JiY;W zYJR@%XiSGRCNAVwpPs~5>@52|FV8pY6}&-67hszWdAFc;87EL^FG{U1>7r!CKFxGe z1MwHFuL#hyi!o=LYVWO*Cx!>oW2b0v+s$0}TC(uft3~?yleSc5*;e_btl76`4_8*_ zv{KLh;+!iSjrdkS%pQk$My4hMtF_$isSB@@Syd;C{2xdbw|7Rd-s*|+e7R%vm%0+U z)~Na&aFr11LQ&Z;VDDF?MX{>>v1A0u3m)^d4Gp!}Vlc*$AOoxrIFaSp1Qc@P`s#3W$Wq)qXKs>4Gur zc3h6SiQ>AcP5|C!XHdfKCL$6SchG75E-L}3H9dTg*tDeJSi*kIA3dIE_P^x9M|SbVe2>z~OiWz+>m-%~ZS?bGT4B2EYuBcDibVb}!#c%qDYMqdDUR79QAF&t ztx*W&nZjT=DC`%frw<>J>fYBEfZ#${7*@Zt?qsA$ZDI7I@Y*+BiikkdS(Y_=Q8tKR zhAr-=0Fv%;4PlHf%Rdvy*ePnVTdpl?VywgutVo~{`bXtt^p$IONzXafUM!3xB_#t_ z`=EfJpx?5y3ERZeid4N=VlSN-n>OB=_G`VQ> z$wQUxHCrUpND;t;wX!85CI-owO;DUGq!zzSO04tvXHJcyyk-U1Y~A{F=}R^~LW(%K zII4J2GSbe5*m1&A)YZLa=Q~2iOvd(1P7}61@Ve$k9?^B@>GA-<>@kTfqUl?+wDti65MK!Jb5pdQtG-|^Yzs?zBgap2mqD{`BsC%|% zv&!kF8(q60!hzBRUs}kFU(Ec#7AtRWwY;&x%F4&j4>LV>ILNq(^*8Q=@yD@?kU0=RcQ(uvlr*Fl*PBVa%zZixNvOLwAb?V+U?#_Av>eO3DjzyZ6b*^>$Y zaz6c&8D3wUE24%9a6jJ8G}I5ntYBI}$)EH zd$*;(u&K1Y$`J-3Nl3b=^8N0f{riVBzZuOx#8OTOj_Ki$I221}Bo zk=MAArnmd&?IP9DFOUNq4Ti;r62%S{9n=XNF&OX7+^{=xW#Qb=Y10OL5Rq3$qC+MK z^BL0aSFfgMFJuk2r6DrAODJ%Z6-^sAf?+TQf?6#pGOY~SuFnmT+@snG>b zZ8VH)qH+dxpPONeg9=T;kYh}UySf~=N$}KDe2ha!k1{6Hl-N8Fh>G^~va+C1AyKbr z2vI24apN)GK;NCQV^xvr$9Bcz6V{J+kyN66dWNeS#H213Np^MoS}y{5_Yr|OorL?0 zb)+54`8s*xL>3AUT;-1s8H;+J^b2r>4O35Ll!5=Q(^(&G7|u!jeTNR{){bi$GOL5` zEoualIG`uB@WG*2yiL`J2Bqx_tY4DhG1i+;JfR{|lanq%I z3`Zg^GAWfS9w1a4GiJ;g55{wdP+1SaFOK?s`}F+dXU~}fFpvM2_kYfvUPMMKGdX## z#az_okcy2REh@*=e%j$KL%2a!>Mt^5JyI}oYe{Ns+cu5pnRRP;hsr{bXGtKg5Rdbed7$u(ZQp*K+6jha!l=O$y6Sf1G^`kOilbmZ{`^v}ii3B-6uE>=`@B#NmW7bC)+PNk zjOEIeZ36jyez6l!HSt$lyp*u?Q~4VsxD>CM7=2L_RJ>sB2ycG63MUizPGzm10>u>a zS=iQs21Np6*sG8(C zd29N2EkXw9^?9A8TPtGS)KcEzc|^7ZDH0K6dN5mwrqMTVu6rHzD`pqOI(VKcBYB)M9}rZE2WG&}V0JgB&6aIw!BK#Dv4G8VX&@M#*vc#@gaeSdLT z*$33;eDOY)8gnA(v1Uqk@JI=A37yPnBDJfh6)b!0PB`Pz65h9k7XRZ87ZPBJ`odpj zwDL$%(dwUPN)8why((cB8E`Lr#Uj4edT6P;j{9iv(R|$rP8RRfu(dHfpLqAOvX2>& z6T%3Zlr?|^SVpBx)`Nr5cEH^{f9`Yq_-YQ)ye-5^5$+$+C?!30YQw)BJV(-lv!?~F z)47Ax-(y)b6L=nv6Joz9i2a~II4L>aAQD5#VS0b`@WV z9z7Vq)QHy2X1xH4H}Smy-YCdVFmME+Mda$;XiW-a3LV~MPM>B6=-OsLYYzrQVZBIJ zOeniq#m8Bt!In)^$1$!i>q+&)Sw*-^+~-i^7|S$hIZtL;&YfHA)rt#>{b|^j*CRCW z8>^_?=0_C?w>Dv+E)DAFDtUt~5H2>x;xAqL?^8mF#gR8?$gw5F-O@H|uO-HV=$8sP z7L!{Ki8u;A+kq!q=b)c#NDkn7AV-7pxdoWaX@$hlrY9G#u&?~j(w&f)FIZrM?|>SH z_e`2K)osVP?KFohNR#DMg`T*)=It6BRQV0ky zP;S2HliOuHqALfyL8k20+EeRD?)mxWZ+z!XpBCt#C}jaT$ot7uQzMVksq0v{9NI$M zmn*dSX2+ZXBvj?+gG7hMI_1FgAC^~YrLwW7UX214t!1vR(^cB;=L1iR3wY-O*x)?( zAX3im-}h0nJ?qr3zT`F1BC;K1JsHVDq(N2A29cBe*$QLG6IVlFF4WcSt}e-EMm}Qp z!PX}x#u-&VbCfTQ5IlJNca z0<46jpc1z7N#$C&M07$_ZR5OCZO47R(~v?`-8|N6ZrP~WyLDrzlgpvPEO&TLVd8|d zQu`Oc&u$G)!R}3=4^Vm#N=pnHK{DfO5;_hQYAlc*KO?!My&(EKmn37P!=v(4`f^@OGqmfD;j)I>6i_jh16poSThpFGs;w&W`KFMJpz518H6>6 zlZnJcL}@^7kE3z-XzS`iw~{LxapA&>%cgq+iX5#Qg9e`#mN}{3=KwvDf?MBBmzYi> zT7V_=)ftm!j&%C8D31U6ay;IqI?p)m_{a6is{f?IQ)geCaDdQR=BQ^XifYZVIh#o-`0#LA>O*SE@dg|R(!eoVOdKFL9YX~qn;e)0seq6Z9bBr^j89gtznaA z=Ti38s{KlcNXHhn1f=14i`JOQa)?r7rz* zjat_txutI6e*$MZT7c4sKw9j-F!2~u3*Wx=;B+zA;i+P*jL)L=4N2p-4+GBAkR{7QS5 z^wS6v?C@GIp7FF!@C{KX7ycddC^h$HMRDwc>6ZsFvT6SOw1*ERJzrN>XNTryXFGg& zyRuTT{*G19;Ip6wY;69?{)RM+*RR{Zhe!duhT8uoy0{4@Hs|!i<}Ay<@V`QE+*0Cv zBfS^fR(eK8MrvwczG6m7N=}?>oYQNDJq1`;aB}O6 zGT1)k7wJx43*(8Ome9~1JA617iHv`9T?ms2nMU-c5J!Lxqdvho(3h~MrVrPmymQyp z4_HG}SR30bPN|fKe=stuR8<0AQb3Wn{mA(A-J~S;uD_r^ zSr#wHptA&S^I0DlJCyP!bJJ)!IpW1a&Ypd_#LZ>hI?b|yA5c_?;bw&Q)+w}q;!}7Y z{7sASd`&Z552<{#JtuTVO*u)@0#V40^_7ccKR^dl0?_(p-3e)2a{g*_vrB!Au3nsy z`F#oiY?PQJV%Z;F#B%K-{6c!hw^iX+y{)A?{a;n#>*KCWRX(UO|3|(1?b>}Gp{1d2 zXu5v=z`=uoiZ|3-Yob)2IWvBs^_|sbW>M(T8FY!WIy~a}3{_P&e8^dpC1L_Wekmjh zD@d*7i`~>yF_^vu#Y)dhvP3`6dCosZGDR2ef=z!1KB4DX$FyLyv%WSk73+`LT0}HXZe>fHQHczl_~6SwTln4=gh4E``KOy4uQ& z^MAphlCph%;w=H;Dxa+7d=bzQIbd9UpF)v))-L9I<=2J(hGp@&lRssU2gLGcUeA;Nh9m*smyh8GR24{+7u?Ik2;T7XB)*1zlAH8jHBcv@{Z^*I%$h-8EJF6L*P`@fC_t!6(z*m&qmhGxJ5Cc%vvHf*&-E=5*5 z#{tv-8&0Kipi8ZzYQO?V$Pgw|_B$NBGA}n5t`sLR-m)WC%f)bnQ)#6(N;hT1`-Hep z)I8h_>T%vMJTg+A-2Cz0xep(niEaOebO8MIs5NmgecItNZ{Fb~6$@FED)dFO=k2XXgs8myFKFLgTU?ZHgoGsDy*n!-9hTsP&bjnY27eqS-BsHoyplb&z=VtQa>=>$z7Z;?I-=n5+!vV<2eE-Yl|*E#@fXpiR+7bsjoteD0F&UGs7sYHQ9H@22n zo2Ok{Fq^K8pjN^+%G(2u6Hz+pq#Tk5aomjUM!dw(p%eNYMg%;0(j=&nYquF0ilOvr z%ldY5!Q$se?B(Pp!GzOqZBTpoFiOL~Kvcq;E+~V(Zv?7=@@-xu**fFr{QU*fG5<6W zlgV)vK9q|pkkk6l>>Y^wMtR_nJ*xGAA49`Wv#4y+8Yt_S_rn*{gS5$dJ_;4E2^REP zd-u*J`B~6$7j-^w@8(pOZ1#NUNZt|MXTnKBbEuQqNdf+G6&C=+oIJS=wPS(p!`3j2 k!uoFM#xvXgxTxNBdFjgsiT8g!D!yN9wywsdS*v#aKQ`5zM*si- diff --git a/src/assets/gdb-layout-src.png b/src/assets/gdb-layout-src.png index 1cf5e3a86d0af5428b8ce82cc8672b1d6210e423..8c374199ce4d0ed9a0d2d75080a2166430bba366 100644 GIT binary patch literal 34560 zcmb@u2UJr{+cm7Ww|Gkx6zQP!CcRhD0MdK!Ql&~u2vtStML;?P0qMP$P=wH1q<4^B zLx9j*{)5l=yzl$f^?(2RUAmHWGH1@roSC`ywf7!F)m7yQa4B)`+_^)b@CKxL=gvLE zojZU1^Uq(vl}@#yEa2ne`!@#eckU2&-2S^8&p}9a=gzY`3LqJ6ue9BHi2f73(+B(f z)`=$i<^{N{`5!`>6UmKs1t+E~6&yobLKOsLA8UC^G^In zMatXH?|kYC_in#vXR}E&qy>dtGv1u&hmsc9<3Th^zbBz!0 z-d@yxU6hMv9cgc9XqcXv+4c~__!r$JD3U0`{bju)JTPrLhG$AJN2fR75bTV;K3QG6 zUP?R-=FoOK6&qT^I_{U2-|I(C6~vb=s77;Sj7TWLqWk0OvC+aeK*`HiaqE)H+jb$# z`!5mU)%&`=*(0SiQRe!&2FME#W2ol-UU?5*1y1^KbE#5Z#fx#l-O$ZnY8%V#vA6;D zyNTDRv~M|`N6yQc>eC%T%w0ju@e_Gs`5;&UF9kf2n(kPZ?t!olbf#bX>_+-wH0>f{ zu|{?g$61Os8|dEe&?21pY7hvdJWYeC^bl5?cbcebiA+xmDWz@lyv}&Tq83cq!c{RD zM|kaZ60hb{MO}pCf22`mdYAK*Ctvy97GHWZHSpKH=3GZxA@R~X9C);>e>Q8?d?o#9 zYZLqBwQiK{2e|D|OB(L7-P8NGU2S5~SJPuydfQ!JUU&wal52ILehV3Q=z9-xf#+zXe|7B{h(dloSXA)cl|o8~f#C zWcdlJ8#ZI}l&TVKb5;O9p1u5>5w*vYHGQ4R_OMRNlzP6sH9h({(#v_L=2AHBew=zX ztUuh+P^^B2c(~?uT_9wUCwN<=5Sm@;53=eJE=_Ij9_%iVUHpkBUb^FU_{mYFvu_?wfCe=RYs)?4_g-VQ_Z?#24!WgY}d|eJx#e+xh^|1VAZ}5%xPbQ*32B58}@!K#*` zSJsdH*;7J>T;NYVc_+%8P(Y0x!Fg#5UenZV@KE{5hb4X~(5Ee;O%V)PyAK*Bv7vTz zgUBvjf1sCh1WKN_=w`_|>gd)$aHWD6_mK>YyRx1dp_udzBK?v{dxh#yY zZHD%A>C#p+2rRcZlgZaSqjw*6>=CB)%*}4SpX?Kq(I~zgXG)sR^R;L&x`i6OyEf%* z&Ojf_VI6>dzkbp{j_#tRc$j>`RSS#;ubFH+O1nQ(vB}g)5p1jJrj}0f+t&KNhLcVQ!#D*jVSD97Pt#+EKU7>L*@QJtF z@BQTU8)4ws zH;+J3+!p5W@ee`3gI}L4%pG(R`{;=yFPuN7ZznS(UBbt7$+)yCN8CU%9w%Z7mIn99i`ws2{~MVvckw-8IF0M4w94KpqnXSx~mFZfxd?)n2^y z^-?_Bg1@-HAsJ4VF0*2^Nr8K&PIa|oz$rgC>HFUF7)v_nEy=N{$er1g~;6(87 z-AB3=+mVF6u|b$&Sq|Gzc0MTxQ2b3#!W3E~64%|OYjeZpNJ9#;`ek4!+1zoZ)MTph zXmW7D>{ZB!Ki98+2ST4?7Z%`EuTQswtSnjRn#)}dq-=6@M`9UyIr(i|r7@-EPX+|l ziL;(n8b73XFVXK->_fAWRP<0m;~nt^TGk}O2kI;=oDp2qnLIgthW5w;<>>hXE0Rg? zFtYKSw3?OX3FrrsUubJ@@dq3`7ze?lgttzVem4q?@?1e^RreBcj6FD*8B4;))N0tW zqh_`i4ekQ5i#y6$;}>gPyfx_yFxKp@?Q{Q_9ltY++@8JGOYFiw8mwzk-{SMu)N}+? z4=&X}FD~y(HJ~SVm#$eo5!LR`^Dq@SNVS)M6tmyHorLt0g#iAc(tCLp7Gwn$c=T zj9o0!NdW|OK7Hnq8`j26Ca1Ia#%zJmnLWt5Qqx^o?qi}WgloPPdZ_w4{CFF(->Zm> z6bUE;w(~FU<(}$OqHKZ+$?FEgzz~8~O(#WJ7|^R1k8+wLp%b5>+T#<$T~}Y> zMR^6^`W<})%pxf*LSk~pKEW1K*Q*Em9;|wI>E&C&i;dC)YIi}URWlL5k_mt26Uak%&KFYO#af& z^7v0lX{FQhh=d=C+@+Zc1AN;mL#9!(H>;YASiZ79`@7^Pjq*yGK!dp85| za$mwrGhU#-!q2vuyqaIY3a!${2t?mzkw)1VPtq_u=`0Ff<)gK;ju7p{ne7uqidcOC zpH^iyS62<)MD-ab%BJ}q{(0Bz#@;(EH+$j2>35Dw7!lu$WST(mXJ)xv$@;Pq4P*{5 z>9$h{t(lGCu7k|;*9f&m$>xJfOM*+W!Dg{2`?g*Ycc~#*RP*BQ<`P;FWWrJ_S|N1i z+~b##3yXxGn=H_ACH0;8<$&gyYeid8-i~(5^N-rbjpo5`9>>SMAoipjJsj)$n#6H% zEPf`i3%pzoWuCD68SDWt(3t&8MDfwwrEu&@d#uh--+J>s&gw++yy{D{`-?82+C#Od zV8Lea*~z)nq|phU$KiXC3!`5E`fwO?7j2OG@!e>wvpzrE6^O?D#XtHBNG9=a(VPS` z5083@u7d!PEwM6yo0~HGhh0qGd$o_qO!N}~CGPyR4;w@LLD89?6((-4+)-!#pYXZ9 zLTt66)4@I5_`#_FvbaAm-fQ8T0TBitk*{62ySq#O03X_g;6M`j=7mG+&hQ;>Yx-cT z)`m+hyS=omPrrOlGSU86cKE9CQU1-g;G>l0usO;jBxI%rU!)llTBY^S1?arpao}sjP{UnbQD~}RQ8X6k;e3%kW9Sc(9t&Y5ivbOs(+{Rb=j`*Ua>f zwGLA&Wa%^acOI3n3!1<;%)c&}bE?^9idho^6{@T1lHd1m1)p6Mlq*FQgQYiKPNfZ#Xg2eIEb<2+2PXh5yuyaFc}`K9bpok@1J?!pE$KKSlecW$z7x9fjix;UEm7MMLsG;>h~4rgt%<4K;lii!*DPF3q@=BH z4+O-GWVd8GY$A7@=D@z#7^RFR;q@vPp$BH6yBJLe`V`)R*0>qx-3S*sRfD%h)yaqU zW*GS)6MQtU??RD_zuu59(B3;R%?V>QLkosf%=)juZmztXmEo>nXSoiQ0Ce}%*%%x8 zhUdDOPR*?TpmSul(JE8|z1-iF>bGl2tVKRht*pE>+zFK;$>s*@WGrlGc6 zK@ZNnlP|+#t$30mn6(bnnbvP-)c&{18GciC@wHer=C!q*#OuacLs4xt&i+bH2cD#m zo>tgo;|qB_I>7`V@B?C|9GfKJBb>*?(^Eb1xD7MITqpk9t*EZCBW2)C;K@|FABOf= zk+>gvfUHPB82!rBqLr}&Ki?g%a!v;ahwQzh_W1P<6&;{0~xC76oU6~w1zxr_vk6*uS6dV2}#M%Mv5 zb+k&V=ItlQQpIUvulDAc(D;^xUc3OEZZuF&3wV+aKxwoqQ zY8$%HkoX34bKFFq^*D?+zGG;aA#xclMK0iNM+OX7&a0b?`UN5ZgEl<|4oXHA`Mgnz z?Mo=JMt3a%6_9U~X;$LD2DyoHCt~!S=|MdeQ zKf_=3z`o%_h@<1mJg#ekrW~$d1Ff1NtGps--;juf<&HY8wbo15(m_1RuRXUosYK~$ zU9s5!vX&{fdldJ~x6bYK=%HUXK>*w4AqpBUTzux%wY}!jD&=!NOcRdV=yZ8~k6z4& z_bOXo?-S1x5SI%o_%|5qHty0 zlQA$GkG1Hqw+s4?v`ji4U!jQv?%$td50H#F<(C%Mr;mD5eAfRLH0tsy(3OdH#^>y< zdJjq-Imm}ujXso;e+U#;m;4^<5598lW6BCFcGS8b?5Xm}ymOii7F!SM5q6=owwh49 zozma4)D@)h-jahQTx?OC>}&_`RB3_BFxz5A1;disv%6pVY<8FZbu#`iOD{duZ6pWy z@Ut}w!svKbDTr=7_ClHd#0>S4cmtv;PzQ!-;NX!gFT-<;!rJ|49TSB)yFNiI6$52) z`cObx`mP$6D>=#Tgrg!+J16sF_$Rd?gpiKVTp0_)GT?4LOjKf6!9vNK(x9tWfD7|M^ zWfjH6lax*bbOrerhxUkz3dLCd$I}ORldWPHc##E!44GkIiCDq!vMB<>=(%D zt)eNnDkBMd%ijw?`g~ld9+26`e@m!&xfSz>k!Kv4BQwM6viBYj2RU`i6QPzh5TfFF zn+_Ejws%`hHHII8Rt-i)MP;?lzJ}(fm6;j*-vofC%jKNnn3}uX%~NATs>F{|4-se| zm$@*eET#~TARG4h3GY>*`$3PKt(qG6e+vMM$tOke_n^gePBIf z8&*C;5p4_L_MSc73bq7}h7HB+J7@zfoN*5=Tbs3G^z?*G&MMUZJgWfMO|AFL>E&3> zSmPAP1?w?$$Vt$g0{L7WV$J|DWZLvj;NfHW)6K(Iai>p>0-$O30W>r9pxJ2D4c`Y+} zL8;zHV`ZV6+0zVkD1Tsd?`WIpCe2%%?9}m}VjI3In5RR?uABwpt3-TH<|9H1Mi1-p;q}baQmFrTD zKLX-FKP5gh{bAYZ`xN=RmJPO$M;e;;LD1JOJj5}Pk;VmIi)c`9(Rnws&dMstqr>+V zk5)4t>&=;r!-WU1tMkL$p7vetXpomZc}E$RIri&!ne z-hz~`JCNF9?^eCK1UO+@og(x2;OCfmIM{K!ShwT~%uGC9fNF6ZuI9*u=sJm5?R`~? zsGYMM(S*|OS-#1u(@tZ_v+rs?a0+K`K^fj3@OApx?>Ezm>2>+^EP*5fde#a8RU_0Y<#L1HNG$`3Wem;gsaShKkOBQaga{i~?Ws!NbeZhIg8~#S zc!!pl&CzPFbo+CM2Oiy$Xp}|rR|k#flUWlkqP0EfK1nvoQ}yia=v9yh!ZKUYj&eBmI0F)8x(_ z6LPf!l?9QRjC81xh==FKO6i%xiEtumXFB^4vISpTrAkllsp#&Hzrnkf_IEil(t5x~ z>IU!^XOkrNd3n#5(g;*+q5>q%)Agn&6p_rj2lEW_~lowku@vjeC*_fbrMByw5MnL%NbHAS^5 z9=m)qGdCS+qR?JGFY zX8D|E7N_*uqPER0qCU=?HrTY`=66$_+4TO3cmWY#z?qZFj*Y*B*}04dFjyb#!yn?~ zYZr}E4kBd3^Gd0m7k>SpBMyio<@qLt+{TZzC7c+j;rmse8PQ7fAd)a4gn@+PhC~Lo zB84?K4h=q`Z6SZ+b~)_M&rhUcsVM;zmY@RSh`7J-8p*JXZf(IQL^HF@nceUOOWat1 z1e0s%SC<8;z8=`o7EtyMOh9`C`H4J;203dW9?r)oMWAr|e!tOiD8%X(z3_jTSxEt} zHyda9BVwr20NF^@pKN6LHWdk!PWO2m`Y)Bh!FmG0Nqpe@&|LzgG4Q6xR}=cLA6$SR zCVZ3K`*Z#CPPw2BE>ne~MlkfNVn? zVmIJASHVA7bTjs^vPwF7BZ^;qFFv;{URM@{A#ZcHJNsTb$FuFJ!lfG;i1W!D{y{i^ zDO2Mvgq?p^ZC;k>q}@ivJ3q7Yw-qnkHq-TTeRaw&ugSCsY2i)i{Z4k(+kNQHZ(O+QAb2?hEbE zTY7l3lQOLJ|8vdD3~ zsw%jQh&$0b$KjRQ116B%V`A==RL`Bw(O+hz+IE^F+;mdOu&<6wPpG?Fq-r4wJ_8X3B`UC8%6WrSsd&Q7sOo3pS~^2jskx z@}8M|s6dq9%UAGv2M6TgjV7TN^SC-(ww@hu%~ji-EpkvwjtFl`Jwv$opcj-hr+WO& zP9|;;ZARb><}6^daY$$uJjK*ZI)f6Eth#GIA|ABlk5Z-nec{{SJG+@_V(-&9cCjNZZsuIvY4yjDG*zsP0x`Zfx) zoSqi#h+eKNa&FwtIbExC#hJ3w4IndYgo`YMDqOuoeVn>1(8=i1%2Ua3Uif&Ena8!d zu`Xygn}rFvdl@kOH9gZwIzJKe9b1Bz$RDdo)jCx>oAlhr-`JsNGXAOU*jFwSaiQeP z>6DJui09YhzL>4mVXf8)t951S`Rsl?l?9`6F+me?R}Um&5rM3HV#Bc?>z7loFwirH61P-$(&h@Nl3C)EIbL& z!tu0U+&oL(0d-V5BDr4ppZS@sY2H%rg%)3qfo#Lm6RdyTy+ri1bd*Y2nlr`5wkZEX z048P+A=7xW%#e*XZFYT&DScfeykWaBHB;1d@0wqW?lY|M5gqijZ*+E+ z-PA!eiX|)Su{*{i6`W>#vO^nX8EU7F2B%`hNE5&2j#5oJi_s?@I2lc_&^zhE7-NL^ zZC6fK0G#mo$AfiOt+;0)S*)S4ZRULB%FHr6-E?zhh>Mc$SWUhNxzP-9)pC5xD0lJg zn*@_Qsk6lpi+kcT>`wmJmGZS6LGp;D7}tjiTkp1#0kpFcHln z3b8}?gA-X4zC;dm_WBRYmpyeZ-rBQTn5w@91q*%TXFl@rJ8{caU}gIm@ZYE86i9lo=xBY;e*S@h9tyDrtL&WAAuGs$= z=wERUZoG$ucOb7Q6JlP)X6YIo8KgHP!O?f%rV7QA+?Yr9hlk`H(4Gy=*D$s@XGq+XSE=+S9z8N}wUyy>)Pq#n@iy zxoAV%gD4c%8qs+zZxD5SblmIx{dwpvHHtrWbmVcYd$mEDvW>vE3jy1fp|6>}Ck59$ zoc%m2avqq{HCP$HUA5?a6fRXVfY_e%&b!ria=Zy_`<7B#g5ELsrOESN3FM;YC(3!X zK&DhTkS;o4VA6j10rm(lzVxv|4P(UsxPMY76q8_gx=3~bcA0N>ubS03U0KF1BJK?_ zjwk+2n$QTu|9sWL##o`0zr}L~(}aW1Cl2-txA-6vJn0MS!>v8i2#`#Va{uqOO7OkL zx~*B2f=VWO`XGxW=j;a%I$?qDDwsxUwZX(}2 z=eMiw!=hw)C4QPg$6s7zWu*ZkeS#jgL8zg{L<>Xm-GjSIjLx`? zYBr4DpLKE&yW0{3;GY)xDh`Y~CYhgU_Hf_C+%7u3wIQRd-$gMb3IH)P<-=OvlbQKx z>9vgQm+o8l#di+?n^x>!mS9u)?0^){je-u$ae_K;U0aWD{MF&kdWN<{JvcccJc@3i zrKKR5xyp&+M22R0y~XS$NQw!fW?*ae#!k9DnU4=*hCxru)K7Ye=uv7I8+&fSt34_Hmo;& zq)1-;V)u8&*C?lZlQ%rG<^n*@#)I^oYTT#}3`9-hF>{a#4OjHKOPQ4P4!G(Ttv8A8 z7SYvOTW=5#AL{rBUmXp7UqAr}^lZx6_&%mMpQLQ(0HzoF;~HyB$zR>yi^-HFy#HqT zeh`vgIUc}5`Ep4=f4;0SEE$$aGvoDKL&Ur?iSpn1gm|1l$cHXXX+elR{7XV@eSq3V zASL0tG4Fl0q=Izn;ktXDK2G+nokh*~9N;IUUH?Jy{|^Y5_)^;B8!q%xm%tnBEVUKT zA4ZM)_47YLuPK1UNqru0#T4;AY?lw8kZ!n9OUy_ZP#4dC`eHWW>(%$3=P)}mjw(f6 z-~r}$+24JzZ)>lF$l&{{0u#89K{uAMMAzu*!z*ikuxo3f+8;prU5vGg)`lWTqd-xA zp#Q6_#Mx*AO9DAi!=0Bkx&SjnLX1q@ue1CE$TGA|_lxZ&`F=da+clqab=SXLi(&+XN1$)|BG`et5gQ+_$_MtMX@mOE8+hx%Q3{br{Qr_=w zc4KN4Qw!DZ(T0zCpkRSA-hl_h?b^SYreYZ>@R{Q@Sp9nzCwGSb*oN}&a9p|ip8brH zS2GcRze+;vH0|l#UplIj@w&#^vWWU*Xl(aEieIbavn<`_#=onb{4J16N#(Nu{?nBd z_c^=RcQep!WIpmxe`O^=e8AUYP!}adaJ{DZg_-I4a4Bx^kC%x(t$8d zgiH~XJ|k>+G+eZv^e?_WwN5n3&(9GpHE&d~`vmef*5B0q+3tj7zuuth+!aL5bMKda z_TaheaSOmsyjayr`CoB4c-L#Vsk+T@?~9A~5*f4^Pki^iqL@doNWXbyaBO(WEXwjl zZlKs{ZZn+17aS1^!Y;<#qAHh&<#+O6PUGg?lHAB`T|Yv#Y$3nK@pp62=+6v$mb5s# zJ!yG=E*PkQP!EoA?PevIcTc`ZV)rE$odzB?Xj(jv>Se^=nzTTzXET^yx~}lqRE8*8OX3_KWa<#5n-7WyR7>Li_GTaR5@tmV3b$dbJ_1YT}0tVDO5n z`l|MB{l!eVA9{LJM%V{GW76`hsZ4LTdahLm&E=UYP!C~PUT;tm+=15ZEF$JiXZ&tc z9M*PR%MpM~$OJIu32GhAs{m}e28RbfhDQ_Z_}u|HCNF$uUiQhCvmQGqd+(8XA7GkX z?NS^0#6!LZ*lY*>(jp7#`92Dv;-4y$UD?MxfBMPzX$xM+R!D=j{|QIV`jDu`EP1L%x}a4@Ri@nQvN20ex`cG z>LQRcierVW_E#z|3-Sx`FzmHVS<7K8Jk|28ThJF@MJtlOHJ&hO@R5h*YG-}!N&4n< zP$A54KaQ>ZHAkHx?fMA`+8z2=f*lKsG~-eZJJ5F|Gr(y|bl><1Fdo_96PHYTSecjA zqKpJZJg(fvZ4W&fAPiaWCJC=|qXx%qayq&e8%S*UQj8tMAID_F`+JCNo*sF4c-B(0 zKi^t1Isz$pfA0lDJQ?YQ^|}jIF3%6hz2OKkgSnjeziosvNH3~CT6`rH{iWCZpWR=D)<}T9a^-`CkSD~0DmpHWx2kRt z)WAyrZBQyC2AZBc4NVDPX$s3qx_CBfU~(=v22;UKm~wWLR?mx2T|MK?0e{bc*XcFe z5bOvz?;{(XvH)T);>Xz6*DSc+lpfywOL)?Y-LA#ZN2f*Sj}Z(j%mY`dE{m89pO%Q62Xp?~8f(_xYL{=6&F*6bpFmX(@KNbkUg z2ph##B@n4QQmXmxn;?800K%a z>zP%qHLx+l@6OL2p>nmSNr;INJj!*$f$(T5%3rzA`P`2+fBA;KNlhv39}FiXsH@Nc z)ABw8U{Gnc>7Eogzgdeytw+$sxXjZ%AUPty`n|2pqtc-H!QSC5kDv>GQ_xt26C&u? zVYcX7ac6~fLmHsGP#_=27D?Pf6DN0ig-JXGAZ-iWyharpQba7R z^$9DLke+!=c$CP<#>e(PKKm>(b)Qi zF@3I)MUboQkc}|CUZNU{2M9QPA(tFLpU!0(FRn#RTh_rT-3jq-U)EIsMZSZLUZXm zL5~|r;*-^UUm5lsi{A#~M$2J@E>5dGzctrfuiowzIxrtnn4znnEB8|X#Dh-E8u z%7z|l@B+C4<#CW4&FmwMcc%?*e=#2Xg|H>gz14Um0{;zw>;^8i^azDrHrN`DvBI>UtO>a?xe2r{?nJdH1*Eg!J>{?y98IxZ)LiG1E5 zK)S9kZ7=_e0)&TQpvIiWlK{ebtnq;n#6#U@2fPehQucRV%gUe3OF1)mtxd_)s>3_q z-bQ#NCqVqAPt##-P)}fF0N7~(F2bz;KWR;vTdnDe!0<_D#ODE)crpnmZ?F@ut2Pl4 zge6Wvzv{dP$Y$yER>Mk}G$-@cFG#BfXMqsK-}?QQ-27d^QAJzZE-`c+!1cbo?!_FR z%Rvwjn~S6#v$8z!nI*D`oT|J$0!UZpxbLG>A)AAfI1#=LS~7J1;Z z#fQ6wy~Tn*De98{{ns2G1|m#z)BC4ImiE7M%>P zhKtsk?4wrJTloutOo!5GD7xr0Ikwb# z&x6$}s3)NvcYJIGiEHS_IKDe!0PIM1a)rS7*73exRs8ZrggK7K{{6-vTs1Q7a2y~; z0Af$M%N^wLAA}S`)-ub$l{3&|fMIZV!vJta__Rt;F8i0|nFOYFbi?IQOta#a-lRJq z$zu)0(K~9)=VM^uZnWgv8!Gnz!e$Ds8>OAaszHEGGNZ+w$7ty-Vi*5`t%TTh{kT!J zhga~oP>5QGmWNy!q1GYep%EWeb_5st7;)Z$*ny}DkE!ua8yZipZG6n{$rWV0zAM9xdo4;*qzLB zJv)Ddm-*>GRkMX;-l@THUS84HsqridKL!V;>#x0*h5$i~F=Rcm9mUz-C?f1hdgzOUeX*8T>6>bULpmrhX6_b6VquQ?=c$W zxjhD!e!m)9L*lhP2PYn`b_n*@>Rz|6(tNvuHh#!Yp;B6t9@;ZJZ@v0}AJ1E?QOt-i z{=Xm=7J2|$DTt9#n-2Nf{4eOH9zjVDY2Nv!m<$)zp6SGj{oHEu#Z1dN>4Fqd}>YW`Z?>S zq*p(R!w&xcir+~<7ti~hesG3rNbKf6`C-0{{U4$3y(E!4#HOE@$#=GoEe z`PO8oCkFSQNZKCbFc6O)7Z@~%0MuMs#AI$ROZS}cHo-by3fr~6u9$^w?6%UULi+Hp zx4YNqkeDT)i_8_}_RsqyBqVuBg?{>)oUpXHKIi-r(q^YwIDqHEkB{)6l1y5gqO$!& z;O$p($Bcd?H+RPkll2<0Bj_*%?fCEmUg4RFBR1(F-RLUse-dXx*l9j2(<3OT7orMzqJeN%J`ws3Hrk*86YxQitwLa`|$9!>=k(B|>@ zrtET1YYn+&fNj5ccKw()rVibdkxS4SG9A2+_2U$Oy+gCft)OZ3hLyNNf+cJ@bPz7s z`%VR3>oSXG@?q%)z%4)vhqA8z3eE4&Nvp0T4y4nCRIRMw$Po`pW ziI}y2Fg}Y3;75*6S6m+LJTbb)Px zNU_@IStEP4Yk&V2O2sRR=i|qZ_BDpt&@;$+0Y`AEFkm(=!6Q`D7wc()+g}jwF#e;C znvOfFVsnwoi?A+kC}sp+yTsGAvfz>iTv`bhum9e>!akIj#kqD?dG?SPKbQ~5 z6@Ehl6)9mNanF)ChVrzN&oLmlJ|{E4tnAOpFcIwMe-dx|_eYVo@{Mrn_OU)jF zg<=1#4Io{w<^BG(**vw~)5}w=7V9dnD;qkXMMzXf>pwdJBz*=AO8!%(#~Zh9hC5yn z#pU2X%IQ!3JMn)S^722Ak^S%Kuk~B{OM7~HT9%OfFaoeZ)19d%cBlSI_A`@S_@G|m zK`Amh!*=@w30gn{mbev%IlMx$l48D||E(1m#t-Ubx^W4G&brz`^4MONBt;zfE4Ggz z2WJWIiHo=Lj)e98xfu-HOsan$T~>oJHlYq-6;>l7%Q)$ddJHHWy7YOz={J+d57g3I zW76XmE0fUx&)m=;qDw!-ul%GF0Jtr_!`k%T8*z(?z7iVDm*~{P>0?QTl~8MT8tg^n zChBtN#nt<(Y(};slkA}zQqZ?r4@7py?WlVx>gJlIOugL8}Vg(8b#Gd~H}`RFQ&w^9a&@;Oxjy7TaiZIk}N zCMO2k${qL~zP@ZO2Qr*4!kxlOt*3-;hgXr4T_?EvMLPY6QLf4*y{s*6(O%-bZ2mB$@82 za;r=WujK|4KiNtt1w9C@$^?$uWR#sR)ivi01$$D8)Q$dZ2v2kptTQ8Q=6^qD3lImG zr!Jdb!^+D|o9!OE|G(qFyIhKR9tdeHrH` z&fZcjwMAjTzkV|&^6OTWT#;+?*gRW9`5+mYZQsNKBuvBA5|oB!_Zx01z}#fa?8P^I zvh5!Xsi)ILsi=)x`MtKp=k|W1MtZ=sZjBYeQ<8e_bhG0D zup4g4yU27Fd>k!Z)ddFQcK#f|4P2&V+aidbba~puoQUC=yq>QxK>+Wc)7J`U{DPll zK6KN2Zr^$KB<*1f$#j7q1HJtu-?N`(Pl*8?)D9qsM@IN19mFsa-Ie$Vp8KuHqyid8 zilDJ5@QN9<;Qi$XQzs(o2CLE^+@_&V{;mL!fAr<8SaSy^MOySj*jfAr*;}Oer3tWo zaZ8c60zL?~cPZ9*c>t&F?$+Rl&A7N7Ud}y|h;b!qD6`ftBv|)A2drM8lAZWHZ zJv=fpBE-Wx@QXTUKOa8kEj&ne#%yoYA2Y;Muw@9*JI=Ix?gy`nJvh5fRqwFtE7;m> zFy+~YumV!sD8d>Tkt!);(XA3|&{(bPHQ*88Hf@OvImFQEI)ZD%Wc+3b0Qpu%?gTIf ztEJ)5NR?*;HebN#-ZQxcjj}WVZ+yf!m+He;GChr=U=m~rnS-#Cy=agJ+P}|;?s^8; zTB`Lf6Q(k($%8V1(Wr6UHo9WfI>;jdWZ3ZPvG-a4$lE_1{N_AhL>C=8Y1PF{^l2B5>Ghftg<1sIy~O^5WFao#|-EcF_@+pjB_bZN;_> zo{vfuER_ezB)X(VyaMJ)vhx*0OGE<_ z_~6b%IXRZG(_{83?5`Vc$D1APj&v`XN5Iq)xQTQTOcqU$&eAOcN-mR(EI%GC`@HZ1 z^8^saZ9@#;=RJUQ)CawlK7r$~VUY?z?u-P6mpt;7reYW1<*eNkGkL$M{A;kC+dec@ z>2@Dt!b#?-ly@rx&%mWCIY+CM!jsDg@{PBVg-P|R-co|0tlQ47W)tpOpU{ccyW4;l z($pqbcaDyIC0eeJ1X<+c*P@Sh7A}0>p8@9&`mKFGn3a&&20iKG8Thc^B#e@nuCW|F zH2ag%SC#w~fAmWsoVK5B&Fy9dA}^Vsj{K36(d@jy+9XwXpSpV}Y09Om!(Vo0Q0-Gn z!R|NP6F-ykB5?KPK@mmg>-3qbAJtXBD>~Yq$?9>V`_s*;u))0#_A=kzJSvbtKl7zN z^t^a%`#L(UQS7aHURL+tF@INt9p0AAx8dnHI|`-YOAVn8218Q#s&Q3M*DqTEE`5EF z*5E8Y0c6#b5zBMzj;Tfb9Mbvv5V+}O%_qf+cl4*HrSAKA>{44PYfRieEI>@#j=Npj z`BMKKG?UF+_~S*AU;*?dXpn_AyABu(j=2{kv9c7u@t|dN?SOgg-S4?>sy}Yy-wJ@- zwSEiJl2)FFy_I{l{#coS6BnSE{da5>X18XHr z$3&oLEFz#T(rIp-eObH4NBJ#>9{Z#g$z`K_5TJ6^Z#lMuQleFgE?$dh!E%nL= zfSatN-|kOTJhm$Ldxp|5@7D=lY($|6xZRupwHufTh9$}MGPH&Q-yoXV?{kx44*Gq#DDK}=2vY|XVO zY@C3efG?I&;d=4|$*`Dj-Ybspi(u(aj--I8<8Q2AQ#Eib^N4x`{RKEX(mI!S4RAj- zP87cylNV1pAaqi$vetRN4%^?sFYc|n-K?YPb6T(BlhR~BmcfwJlWhc~?a9Did6f?J z+@V27`WeA&6w=q}dtfuFVstX$rV>E0^ltG#Y-0DH8@2Z@WK#$wSinQO(uekSv|Efh3xR*?1%bf!!9g^Jvc`?M z$jQqZ$K^G%x@r+-nQA>Y0vxT~>u*5t_CU#b*yt|&ZlMX;EMW`uhB!cvj%t9@HIAe0 z0eb^W>(R#b9yMw;StQ+J#5kZ7ntHilorcc&mi;w%B`8DNx=q;D|vwZbow$7YT% zXLfNAg5TK1a<9GyB_T!DaEH3|ND`6{yTWl!53fDjLCRlLd-bNNfC&c#gDQIfi)hospz8vWB*IS>=4$B_Majqe7{keFo|2ZW{2&AFU?;NTM0^SUi^ zHrjS$RhaO|f;=b$_?d_nFEmV*le$9!-Zk6?9zHdyLrcPU&=`>R;$**X_0!N(B{m=j zPOxy0G)$)KeBUqH*_{^4@UG(Pq#37A{dC9Ig1LKBd$Cimp6r=>-{uY4ik?t@JdDe6 zTx@Rh<2VqA4~DR_AjV0T5`V1(Iv0h47^~PUmq9kVrM;|gV!tJp2`B#zXqFoOEfz;# zx%kbfo~?*dQHdfR_49o)-!cW-3mC?pW#Ov`5l>IE4*)BskFD8p@Of7PV^sct%<}2q zCUF7sWsB=hf>>!AF~mYleNe=vaa1UImm6|#lZmoBJSaea`eAM3)f1q7KSy&K#~uOZ z4|)K;m(03(%qL`net^}JsWLyXK6#QuqA~rtY@K+mz4fbz*p%PFl9$GljTZ}F0eo2F zkE@dMlc=^VY?EV>bJepra(OYz;0srO!Vl-Hf<(J9z5y=Xrvu-vGaRIN zXAUD3GOW)XgOWKnxp}E|Q9v6$DCTp;dziLJaXl~5_z(>Rf1DXEjjFpW2@!GFI4%b4 z*`?(%U*^M_eXpac409^AG&80D(bgtJjKy}0L>0d2W5^vteEGqz9J7e`jgf#j(gdU> zg1G6J1|`5p2rTP)N{!PoAq_wG2gx(j8UOrmARiRVUoio>DP9L*r8{M`8m zfq(^pm?|R$79(o;77J{bOIWE7|3oXz72FVjd0fN;H)cMi(uAwqq5Q$-kwgx ziC=9RVhL?UYgnNQZ(k{px?3vzQ?je?B7wMR)3!Npl8gPNArRmC=RZlw7x?$dO-jto zSUk}rX!L*bWox^jaT_1tS4GvZjhO0@NRu8eE;-R@qZ45f!~}$~)v+8Y(mHvo} z*39RWhEBHT)b(m$ch@G)(fiF`A75@};^DIX_358aAz@Q5bM(sys7zNihQpV2%ED0F zI^`C3JO6t6GDitbL*o6<=P{L8$Jzmj0M3r2-CX?VsXsTamvi8@HpnX*@IQ+Ku=JI> z>2_EDGgBdx(#E&!5eY3Co}7vC-n7|Y9&NpHW*d8yNl|z}pbE^h$~Pk-9KlTIMAKen z+pJWtFAGa;QpDsZcY{24)eJmM{q4K=x#vE=-@WI#{~aG6eb&m#T64`g<~zoC$2(_+AWfv>W%xqy zZj7jpF6e&OqUz6X^yei*9uV0N#Nd5&#?z0?P<+u}4ZNQ=PFwDb&ik4i2w?7GjFThI zLBcW~?_RPt?tfQa=WEQqmur_Ejb)mh46JimUHctFpjvThRUt`xCy7b{Kp8RhSXv+XF>SmNleg0*B9Rx&{B z&2R<#YMxzt`IRsbq`hAPFHw%E)_%E_`JP2f)gYzr2ccD!sx)m>mSXrg?{17r*d)`pH`Fz zfzk5MdZeBH)K{cjKa1tEu)uuccjg3{=V}^3+;s^VbixUXYjCvWpYqwQ5 z@$53>&Law!QPr04s!yO;O%m!juvW7!8JitwGFs!4%CA_iNn+D6zcReW5P%uV^2|i% zQ1=MU-Mkbro3hN2i~w}4iNRXUB|yAhU{c|m8@IF0(^u#`rqe64U+J^%DWqj#sXN#l z-X+Ktu^Ua#<~s$9__-7%b0zpZGdUUqi5FktqLS9pn#EilsR&CWRFfN6Ppgwr@Q0 zw>d~E?(-NWM>uGrgxR#d9%D-J9fuconLPp@+^jco;5G?kiJF)y^EWp3?OE$IwpvM# z4G~?Ee;+rqn)9_SUe;sVQwdzVX_yfB({s`g0Ekn^+Z&txVx~oeMHlh&zv0W(`(Wt9CwT6D$S46S{aj*$K&u*x%&u{SCbBxUg676 zMq_g)ja9fU@k>UfEUJlFg=cGF&^OL9=k-{p_JaJ{N}g#W2SJ|L>4d0W23WK*|5V93 zRsL2lZ9sV|QHaiRbaU4f&$+#lq7j=KG*z?u)X|Wb1RE`LwC5VB6`HYE?=MX21{fs? zOBKJn=Kn%TYXnJ5BDdyP)!vca7Yv+w>8_GmIu!#|`C^p2~qO6C5=}#a$AM!-_&1k&eyc0qgzBdDW)BzKPUfQZ=K0q`|0$E zp;-#MSl2x*cGZ``3*5e5uUICA>6%YMO7*hDXpf6Ay6uOXlO+Xcb&nh0@tB^3pk-dr z9yd4T(l)rOVKYdM>Q;@E(oC~GAv~sOZ1`LQEt1q!j(}3S z_GcB#%U3C(qsYp>LFe6>=|xC{U{uk*$n7#Uy01M%J0DT$aN z4%YM6*Q38Cd|WtlS*t+shN+j8ZI@b0P-7uT>*Iz0I=_P%#=l&&D+uc&Y#TLMJU?C_9Od zYPawS%L6ZLwRz`SU}*GA_&cF2_OaE4ytiOijt3I~9V+D?+~5ab%{>I1r=UI_<>zNV zOWLocIJ-7Sdv6S%y!|8=nt+K0_^U1@hes6prHHAPu1lYtdhG%{-ryU8Rt za>VP?OQnny%zIUHWA}rg*4-Lq?8oSmm9(_(aaJhH9O4Es1>}zH3|~^?+$VzJHkV?# zonBip7nvxv_q!qUg%#@Zq%G~O5~lk7-4pt6Q`qBK#@uhFZ}8^dVrwf_k*$6=+H#cv zLbe*7n}{BM88REQcZ5I|p`-&2@3%`j*}NuaGK=|lHokP1(vu5=)w%jMH!$WJgs}`( zESUW+7gMh6|M(7|jQ+v?O$QWS66*M^R|mvZnJ4_i&bfv_R2W_uXUILASMjcl&CGo={mDWzlnu z!A&)?O4)K{7akXPz6aVcu~}hIspX$U<^E9M5|Gq5E%=&0A8Nz^d6BQ=dCkgP-X)qP zBQ>Wu;Z3mU-J`(JdHMPI!y_VQrl*6_#wZO}yN<#ieILn>21~xatZy_@W_ELL{`wex zVi7M&kbM3*6UCEr83aO%TAS{uNjU62t5|wSVF5Zm5mr2ZK$6W@f%9X{aRLHOooI3I`9hmw^D08GtxO6x zCsJo@lq>Ye(bz1<;2ZGn9QSK9!GopldGB~oe*YGws;|7*e(5|Yk64RNH(NjHc|Krn zWB($2awqBJ$!HQz6@2CWJw!Fb=zj#Ot(80~$<%r5*s_+UPS-c;UP|`#A-l<+-)hB{ zzxX+f6{{dO>$-OaJx!Q(tEW3$ws*|&H93gc(gcd6y8n*(80W*i3F;NkdR+R9ORqpT zK18y}A~!KxXk<||c~P(+#tMK!9xtL=Kqbv*DbLbk#)rrjPw`aZ3z)^Y4X8R z^&VPSuc8NKq}OoujYXpOT*0F13A04ZJhGc0iCn8dp!xK~|4M|GQ_`Xc))T?2F*P7a zp6OzQ_vkw6A%5rZAgr+NgCEQV0S)?@`5#bguuti_t?8b!s3k{h>Pt?*yfFXmgGJIp zCZ$6~SNZ1Bh=dELo*P49yOuVQ!rx(DTaGsO=HKy{XoDM0K?9B-JKnx$>phe`#-SZ8 zC7=i1gHf|SWzq|bj`HeAJJ7{&h!HPx+Hb++*k2us93)_19q{)vEj0Z=)Q4 z>NNE>X5kS%(?V}yqaNP>ZNk#n=` z+7Gugs&5ZsVS9;dy1CHB=6YuB^P?pp>(y@~SF0GKUNDV)N}y;C<}+HvOMi&L`~P^R zc2Up<_~qJ>^w!&6cr691VEq&ho=%(kM_vB_ETf@pUSe!6af`fp8j#I5v-iAof<`~) z-oCAC)A>%`rJTjKap3or+)i>A5|8&>_`#lzG33fj3$8pED-jX)Oai%zr7O)sb>?mUN-yiZ-DU}V0O^XTlTt2 zLX_6%-mex}m7S7U(k(NciOWcvlKye8evc}-fiF+upqbGQg%-+L^b;CJl5yt zq6eUUe!VLYo6ORuV+|d*iTB*Dbq1(KfN{+eGpWYZ+8Ct0Iv4wZuJ3ZvDUBK!=uhYS zcYD}bk_bvu*^SDa~vs*b&g1HUFXC|B&#lR^u^JUv4z3dD4;7L#sz#|3- z>(@3XQbECV)>LX??ZJ66IKNPZ<>wP<5~U)70L}=B&W~nqwjRS+%9g{3rU%7)qj(*(RyOdUDmq9 zfzn`@Y>oTtpm@;7^Dhjj8dL+_isA749`-_#MwvhAZ+TFqxqpBXi$dxQ5N_a^nN@5a z*n|C`4npuJOqCUT$&S!w;_p*5YjQ~r_B^>-*RjRbe$wFU!y zhm{zT+-NSV%1(u~TG19Loru~~8wziX@CpoGtM1%!9#Xj|i1Rk`>e+Qz; z%(JeVKsxZo@_7d|#*ZRg@Z>={;{4$5fiCt5H=oPFwajL{Qic8I-cN_lTz4dYsueq^ z3*|8vv^SRWX|hFUPaKKps9CA+pZRzleDL17P=Z-V^@Q504;}NLltr*|GWfD)5C7R9 zE;HX7cFXzm<)*C3=liFci=m_Yz52iNI@#OH`f%cqP!(RpZc9%v=#J(T#;nQF#1W+;F|%wX1R^ zN=0akQ!h`T?B~ACH=@mPGZ!`|q10DDU(Ijdr|-{pb*;xXy@g9{3&Zn@CVjcR5~|;A zt*w^iwB+JaugH&%p=tGnr;(|i348AjKQW();8Q|w`BDrFa_hyN$Zr(dDkB~oVdhlU zcf;iJNTeYr+<>=@n@9@Z3ouhh?L_h~zo z5xV&Mi{Y_rT82>)4E~&=nu+EcnlE*tjE}r|_VUY7EmU-Al%UIU02BswE#?Sq2 zExe1$GC6AAQXVb-*Htw$HM+!{-|629fA8#!*zizyhHWslV~Ev!r8yUi*rdvD$7_}| zsj?xs?nEo2%xyLL`@_sSC-ow|Smk1imDWsCadG&;^_E6s0~*>-CoWD5*C}iFzGU%N zz&QPBfP#ia-=nwX++yEm{oFFWQnb6Ap8b`Nk@WDC%;HXfg-#dY-h7h%HJ1kwvz0NN zYO$eh%=X##C&!R+!S&0^+f~nJQU)h~U6WW{O9aOG=1}(4vXx(JM+46(>$>;JUFYmv*0>W$=NXmrt6YtjqQALSZ`Rfdew%!Dd0 z&$2Z7Tc>X+;0e}V1@9{nX@_oj9)L#897k?jTZ=oe$AK3*$~#@R#q}T2F{M9Vj<<=; zF;S{LM812xW;a`eNmMJ}5w2!NS8CGvz=MflK@Mj+6rf|AVukv(YnrQBwG(iA*oo_# zPeL`gfO}LpqjxiPKiDbTNvFT4znrI|h-{7=j8m}K^yFf39i_AZUTtnFFU-25F(uq{ z*ET-$NB72rqm{b9)qb}*SEjjzW#Z2~_x9L8!s0Q05m9LO;=mF~*^(4c9b5q|xPa8} zT^#ceQZ!~EK#C{*QwI>AnjK&Re%PUiQq#@{OV>W1nVf2Cm}>I(;AG>eoLi6Sv~|*^ z{LFf!NpdP;M^BS>`pS81D5Q$B76JMX@7y0s{QT__fK?U>2o57V2HgY*#8 zbN+-%Q=h&1G!>_lWez;;f&}EBao;L**f(ju)cf3w6eb}5a`w$n%oI(HQfeU4UpTB* z<^Be@p2hOzq12r!m-79pkc5p%C^n&wXArO^{MWwYm-S}*>xi$mhUm(qjMq1}zYS8B zpjc_8u{v%#$el8+GZ!k{%h$17Uk4_3q9+u_e)?0}{dEa8>?W!cs>6&fRiu_woNYNv z1}a^$^5bR0_t)moOaqexp=}0yW&ki&jpK4$0c2H$)brQ6XEHS^c)YC;E%9vosT;$f zd#-Pw>+GQ!MwJo0VdzUX)o-PoTpaSG=&$iMd*w~zL6mIDv(R>bOG0?2_e`@WR#H-~ z483UWjGi~p%G5Li^AFtP1O&Y7x%e|IXD+n{vliDHb*1<2D-M3*u^~YVa$L|X=-l-l zWKD`+v`Xz(BtZ1qemhd!Jrr;k#+#$YKdPbeeK9R=ax(pM%BAE_Zm)RH@(Q`It3ELF zWzYk6*IiV~&NqKtw$d}ogi)?r?cMAj{$zrP6LdN$Q^V=uRAOjYVF>RT?6RDZ>%_(C z=}_|RkwT?YKc8Jb63#+jpR!#&X{(8Pb~!Yv=xc#R(Hx~GPseXKaZ)#>(Z%--^@th& z=3^CP7>g>aBP-|F8}rJ2G&he;@ZunV7%XmVyj(8zYv;mIv$WUD1zH^=>8DdzNrCQu zDeCB)z+#TUjU6Kc!&&Bd7&?4q_s?Kz>2%3rT5g>QI2))3n} zDc@|h^?3@I18@lr0#5S`;ntM=;6HTu3DQ0T)wXS9IS>yS%@mRQX8SIJRz!-^idQsMq3%8_4t_W_?{#?RzL&VTn-eH>J; z(9j-{(MP_IMMI2p>ziFkaAl{lxEvQ%0)ii zG^Lynw`QE-=?>n?VE?=|#0INzJPe;R0|KmVe@?;EEEisdToo!doQ#}4?X+8Op`pKP zV-qqk>CfCr1aqFzBqUj6B=3BV?ge6w!`ZIhL-8e=mPX`j2=jhrv)5;X1USvCXtYw? z@I}UEE=copG7_s{j2`im-r2 zgUx;vUD#h?Un4k<#>hvl-nS|Uo}S2%`;AFO*=wS*Mlx&Yl6ZdZtdf5SJW6~kS#>{8 zvvgxvZ;E2^+DYGXrWv52Pwe!a@<^$OWcQ&;G)@d%AQzqb)M0&7jfUBgoR3Hm|9A2C7!EUsBWGwbWut8xco^@SR4W@;OXgGAYe#qE-z{UE|lfW z#mnCf!22h?Tn4XZY?d%%Gw?a`eGg5~O$!>J`ON8##>A8eS9t6@Ub%#F2FRC`=NeLR zuNZyGqElQ75-l7fouZXrSb5^|HZB)3RdQN9F7x_+Nvo7~tlq^1-m?;0gmJUGej$tn z;tfF;Brrv-1O*4L_UrM3j?ZxgKIZLKiCjeK;(+Z}`|A;OY!2)%(0H*Sy3z@(tYk#; zQQl`0*sn>IgL!GKAgXj3p*=RvTrWaRdIghK!_qoLVuX)pLdH>HAOf&L;&sMXJ6fXG7u=6m z6b0j5v(~o13WXeuL(AUvTDyNHT9W2SNQK8KliM}aWKz$* zZD0@W{_)Z23(lr(Y_!c3D~1x@gl|Oki?u#5rIGi+;D0P|r}rby$IeW8{fw=B}Tx0{Mg;RP8ls zKGBUHil{GZBBy!a^-8;USLnHRcxyV07lX!N$!@RQ<Id6`u!R8J97GUnmD&~QSKRO zdF9;g;xB;{P`m-{=UAytVVJc@DYFA;>Wo@d0Dh-aOD3f9)`>@5Wi#pD7^0cSl=d;b z)-8b+RI<=6-01u6q;X8AtWJM0S41CU3kXHqbcn2F29FCKw$v@g`cMj8C~Qmh_HJEo zx5WFCr3&PO`+c3KZrO-+Z?FILIZ*rFy?ZB_nY%iasFK^G%<6&MKN+;9b*&V)HczMJ z@{Fo@Zk;ilL%RB`d&({M7{|%9bl9ME3?SB`=V!*r61o?v zy#TCO#90)c%{*6jW>k2D(=pbK<6H`B3fyR>8gK?)qJ6z^*r6Hmp-Vhg7Db)`7mn&I zJ}+lJA+8Y3gMxfh?Zk(x*3FOe{z61930_B6$oAKefa@mXj^q7MMIlNGy``=j%7c-Vz^? z*!^%BN=sBR**YH_F8;aPsiT=#C~NF=8@E!0=qY*kV$1PR-dmzFZ(o`z;s(#wZ?CdGFNLF!xz5}e=DKwQon$vE}2(Yqq1a(3KUm%RcEy0{Wtz9 zR2+P>SqUn`05SJ-HQhC_mD;@AAM%+ncvA1KLCLG*1-f4jShlP4-EQMiw`iMjItl?i zqNPJBw0T%W;}Dk4M*bu3hi*_Kt7~`b>aA<%gxtA%ry3K`W$5%G^HPgo*e6GOdWi7& zhXgmT%JFrPf=Paux5y$Whkvdyj+?&GF7#>2oIxqh*tp|c zXY?uNRZmTJH2%2t-Lzcusm0RZX<707d9Clr)_YI+bi9(>-khQcS|%ojpU+KnL%lgx zst=t#M+EaT$(X}RMu z8DT8DLp8WzB|S!Uem+6f6Q|j@u7zD~ZNu0{%Rq_}-gKaPwALl+u2>tUK`w zPK^i8Nmyx=b}1V&3Od|tZ*-(XpsGNgvv?V4MkO=AJb$9=0x3@k>RYfIR#4fcBFdU5 zOWNxBf0snuX&o1EKOl0lu&|<~3;Jii$r7#u^ z*cH`=9}k*wg^6%QfV`nIob$6VC!n906`Pn&VXYRv95Gj zEfw)MYd@ydTi$^$8rdV*$Swx35(YbMEWLGr(>bAuVsaz;KO_~;dzx;FXWV5&n^Ubp zFU}us&dSiuW~j-&e>=?ahVQns*$K7CPBi5&-mJriZiX6wveHwAhV)XO*M!aDHUS24 z;=@rP4r|{b+pt9btZhbZCcs8sID?Y%Ba^eM{Oei|)Kk7giC5mdH!lFp;d|Zh=p3`6 zfhdOd#3$mt5&a>zz+-QQ+;`wk#^{E1^KCIqSe)~~$*w4WHWzMCrd0OEU$3ViVx^!<=t^6+`5yB?8Lk^&>k*TGYomNjOI1N-K{_^OZz)&W-^4&Eiyp!Nq5oi9f51}jeFn%S6bCBz z1P#WWrI~(F*tx>gG2MS+K(RI`(DmtUdLX7nxy;L*+1A^ZFH)@{)BkM}m*AnG>z=T0 zdc-z94Z(VhBpTS4QLrp3@&B5e-D4HtS)ZeWU^=y?aRH>Ng>Be58apN*)V3vW->fxS z9_0lWoWNVg%cSUJ+aYxh0$QKKg2wSPs-Uj3>a9-~mo*q-ys7hqMHF(c!1`bV1KK#S zLC=BSY{^gmD#gYdI?+QesD;x*z(#f?+`&#U@6|!991$1TFqmQIl1x?KD-(^*ud@G; zPb)qc@`OCt*o`pZFyT8+9U;$7A8^r|=QV3b13L~JVB)J$*}rzMY~bw99aJ$a?f$bp ztApZXfdCZkSYa+Cdfnnkvo?>(_OUm_MdL}?;7WISOmD? zp^Zy_ekU&I6)^onhm`)46-NOuKJ=bW6DY3^{Rrg)LZm~pxBiGJ{~KS6_oLY$)&^MSs~Li*~ti5rXMy}Anj{71Ga0HXa=U8BVC z#0M9&Ti!x#Sr?yseYqcb{jO(LGgFlmI#5Al2boLB<}`LEb;r=R1IgW5QJ? zlf?#x!5s;J?C3=Lsrrz?51vSK>O?PWc4@q8 zm$>oMlBA@UE)E+_B^7K=JYu>o6YVMG*!KkJDbE4lzxB1wfq|{4>$`~a zYZl7q9cYlBdr>CRxL0}4Z5)Gi6D%D9JNT~l-OfZesST_t8{O{LH1CztEC_C0y`ZJ+ zHz5o^4`znx7D+H{XR0bjYk!1B#xH?hIUefd;yJ1g+xhG~#lPF4-CcDhTS2 zQRCu7P}a5Udi^vBrq6m?8cu83fiBfewU)M&Dd$atcRPd`rFk`^{q94mD2U$px!0+o zqO{%0zKkb7B)XAtCAT9iyNQlsX7!Jj889K|xYk7r^<5FbTHYFe@?`+aZU&VDkpp~(aeUrEPNsx4=_JGD@wb(5dhF^Ju- zlz8h9r_tu3?_UF226>eG%e~9nrV#tRHb@KH*Ezo`_j4+%qo<3^rK~|=wu*r!MfWyf zNl9X=I|WZed@U(&AI#Bp9<0r8n@Zd4_e6gDY4X6{lTh%z366mdDe9hB?ZYcuW4+T^ zGL|d!=poOohwNH2t4=`bww4Q*ShOBS^4~vk`ofv4^QUw!Y9v|B`_$ytD?3dMr=A{% zFpq_}gAydP7W`gU-4OzG8ByiXa@e?EbbiFA=XU1hMiJG>FBubalDMHd>P`DQ->NO> zjMr~M(Ul(Sv>KISML#|&5D*yoj0C&G`fG`X*5vkH!d_Q;%*zw2iU{X5O48=Sr~S{X z_22Ug3Y2d=+IiB3y&5%6u^9+nnG=&`!td37s26T{YHV`;wBw5tWk!l=))X--aw}ns zwnu3e#8{`3s72FQfbZdsyJ#yty}EoWQjTimwYbsX#YHhroet+w;T$FzcX}o_RxW`s z=TRv~Vopfmz|zuaO+WYn%h3S4{fn4I-Y{o^1nyJbPE-E79zLrMOGwy*PtHWED(1P- z>;#qxAV;co+*ntD@pug83|XCZvQ)?#ERx+gtIDbJS{g9{HeAr19mvnd)QtxeO5z{X zd413Mav4r)YGxjluJbjBo?p&P!u|qf8ngAN9O?{dt0)Blauyrpj*%NsC`?2LwpA|5 zZ;X?e_cy-!Dq*WaCTf!TnR0EfyN&tpbf(ZT@rNvgHX3PUwT>a~)mwqruz zum>w&eN8u)x0b7L{xu`gL@`JcC4JAfLuhY4GK{*dxB_Y=|f`3dWojQ7UifqaX z#Dyj=xrg>slH)yjq<aAysOhIl|*otNX7YFV7tKS%yd_d^x-hMdSnSSX<_7PpXOyn;hoqNy5_HUq}(~(r36(Y zd5~5LO9QuJ?093;r?&Ku8tD)|@td7^Byo*vm>*o!e^tIt-{?W`WF9B5BYEg2l;p#xD>POJU~R_QUxs7GI38nXY+8+KtIQ<8q};BNpk>-P5rh z$3>o^#1~_0VRJ(Zr0U(_Vqr~z_>A-QF9&A(>r-c79k_Z0wxNY&v%s`1UCj;~w9iaC z$5~>5{L;8Aj*JM$P0ke}Ax*&ecNd5)g}s_w3u0iyKP^;NG`5mPrX+-`ePxOu#bc1L z82m{KZps7VqB|FY9s-a5s zH}ZALEIeoT*DS``DJ~U7)xXmeinr>TOh?~V{pwQixbU;mA=v9xV0+%-I3lv1P-KE! zu6w!k%E1hk)du&!N^lnQcAQ~*gBV`&UNh2N&k;}4XN$7^^jESH^E+KwNqrOAv~Qx_ ziSDp~9gwgOe|NL--M`WI*sn|;pQGH z73z{1sk@lsIplqv*Kj7LQD{y@UP4M^o3X#vPU*8kxD}mKizlA|{+g zGzlx^OblHATyb%`et!;A=BO=|R%1(&_5_7{FdM>ha(y#}HBFfp^fN;?c4HcS@S@+q z#uB#8(c6K|nQzSTfk=ORRj$kQp?FL4SnoI1e;q=b z*jz`DB?T}0?G=Uk#a$^*z&H*x9Q1(C1+|EJ$}$qH#$XM-l{mGGFq`DSTGB1m1^xoS z0Z3%Q%Y6@Dg|no+d6yb*b0OlLZj#f>n=yC7EW%hoe&JokcmYPZ)HNA-J=)2og!no& z#nb4N%azhIT`xaxrIEs}47!ZU8Z!2$ij3GB*k&{uGG!-J8X?Y3-SoYAr+VtH>+_lX z`ljU94(>tICyV)7uY5pn*;oX-528)q1V~V(Plp`U2CU47%(=AeDBBYZjLNSOl-moRAQY!QRz}Qy2~%sxv3gYyqkLG4#=w>JF2Y_DQ|O{E+q9A-~6Ovt1@< z-_EY|{58QbS`*Ma+T#zDg-Dbw#9~$ z$rusqDk!}S5S&rz;us(_OT1GT&F)zE*8;F`Lv(rQ(WqWwucVJ*uLOSIK}Wjk%xT9O zX#1}~9zBj9Rit7`&tSC#x505QIZn}}UXd`b8&*sag(#s34zs|50>s`x6MOE+Q1)$G zf5G-Pv3p(LM6L=3)DY)5YWnwd90%B=Ta-7{{RcJ*D!0H-K{}(SciBhQb3f%hIN`mr z^4)ZdLIc9H+Zw%NshjJOh48>;3v$~}i{sR4FQV+aDVIEo2ExziBoB^&e*SE&!gQfx zo`AU)n|+)^OG96IyXk21AWp}yi=D;v<4}b+bS-n+3KocAs;{kr1MpJI4z%lAQMT!{ zK>S+K#1dCGVM4f_0JBK`JTlfWv~8}+a!d^KS!QlGE_#t)Bt@3iwGK*K|Z)UuUgy5pN?B>glc`o}EUk3vKaq^s5nX(z} znY4+krUJ<*<;U;sD~b}6y)bSpa^w^H*gJSjD5m93xK7=6tq#GUAbmw!U~0HQDV&8Q zyS!cdEaQBg2MiE@rlU8q{VGzHg3L~M1IHnzA~^t6c|l(SiUS$yE#viZNYT{fuf0gF z_I3k{10w~(RHc2}pb>yE@TKaY1(DDm$&cGgtHr`vrknWL57_6F5>-gk4>!SgF{?!Gk7rK0t1% zMrtf$mtyIxZ9X|u!AR}4`e%C9WxUVZS)EZ9yejNLkf3cMiHkJ~*3z2b&-nOwO--)Y z&4CD)MUV_VAvgP`zbbV_{t^a9UXcIHT>r@MQqTU`^nhLX+O=yS9cE=^-NBwY$gUu- z!@&v@s`caj^Rf%6Nz0h-V4v5gAwukR6UptnKuh})zbJ?)WUODC@N`n*Vm*I<{TWDz zp9D3`+?WF)+vxR$9wdHqaj52TggjYqKm;O2z1}U+Yo|BzTVDcD_>dz_PB&g$%IvfQ~73MSBMj z^I(PCXuRLHXpzsyV-azwf`pyVVNmo}jj`Py7xi>grD+4VP<$f=0%6tLorQK6!^Z-a z3z=!_)LT?BK?>>vFh$qJB@l&)+0?Ix=X%Jd=r|++E~O`vG=`D|xBqefv0e|bn~2z~ zBQK-8b`)#An+Hapr~}QVixth_|IEIBmBoh zN9uhGOSPCeKmK6*&aNWn~g z@02o8G^=-?T@~;hNYalG#}G(ZflE}w{gN49FX(~j@7KHaw5)Z8C=iUaRXU>+p(4(# z{$!JjMdlMrOn!$B9e<{I=a!MwmZDb;F>v=l7^$RZAw?lL$26DZ5@OV|_3dZ#+wnR% z=h@B}*_Ra-91uNEo;Sl$%6=P0ZEW6C7i8U4ycS=jHQbtB`Sc4!X(q$CpvE-)L2GXI zv)#hV(3vQienAvUa!0SPv| zZ8HQ3)&(>i6-BT0w+?V%y$gPsFIK^f^ z!}=E;U2NTSDM**$=CRohYU*K%KHC*`>Wo*fdDAGVfxE|KLM-Zo9>xh#{NdA6L%O-7 zkF5u0zt=P~3&hrdNP8u%Q)bR#d%#}xy%g3&eYIVMae#xHM|lqjq`wagi9=rwu6d*O z-{r6VU(;THN@VlP65uIMj;sIS<97bZ?Hr&_#@`2z-u>^;YX6O9{ei+ld>d%Up$q5# ztlFLUyIFuUiTeBCx%UC@_%99Y{}$W#ziif@u_>a79)O_pL!fmKW{dt;v%oZs`um{y z|1T2qpUwJDrtS3~68>M!0*jZg{(W%%za|&|sTltM*jxX{Nd9Lg`TrQn|HZrSGcVq( V6sPY@@jjTlnrgatid7#z`yWAO#-9KH literal 65055 zcmZ^~1yog0*DicOx?568L}{eETRH`#I|S(t0qO3N?nb&(y1P3Bq&x5O{q7zAcjMm< z6!!`CUTe;$<{?-?P68E)5D5Z-ph`)KDnTHx$iSC60yH=y%vLH6fskvssc1MT={u9z z+S!xp#B(fZZyu zTk(9_MEm#fb#&t8)ShnJ&#@aO*Ve~t--LD@U4gda#pfpFm!|PKMhD9$sit3E&u+Re zO$s3ybKP_8E4TN{!|h9>b1&b#zg#cXdEGmI#qUO%lbPs^6+VCb;+WWjq$D4E;@2)h z(;`(d7N_K1aVb!r&U0f)B|vcUATO{mI#w|^6`60_=GB_@Y?Mhg*GED$$f z?f9{q(IWCWP@w(SO6%+iU&hLac9n{wZt8tq{0+8^cGAbzNYysNm)BljPb^Po7B+ty z{@{46yA*&)G22ECkIb@cyLv;yE;D@A^ZN$h`O``5Pfg-)0tH5%0YpR*%z=>xi!StM zc8_fdr^h_2Pfq*G3(zNFzM-)EXMv12ri{5g_#Mgk8rs|?LTypn}^UZSFjEZdxtrL`(Po0dgmyqcC}YdE|6L1Uth`(bM& zzXUNN0&Pyjt~jaT@PI6J;!h8^^9U|=ZSx&fwJ+9JY_rS$W24PSO-sk_N9GeT(a&mS zT!-vdKW!26V&%E6YNp0GFR&~*Ry>Bv>Q}t_($`w?+9t2zD*`fjZ{~E6b#-F<&fRq% zMl4&F7wctUI;En=PBn(1A~{@rd?L=1PssP)j}4f5iN<7$w%~=N84A7W_Pg`f_JPM4%SI{7ue-XT z6p!5K4$Fj=-H!|^BYR!>H%Dxa?0-ya3^@!&d5=Hx+J0#-scF^ZI#g0{<72Zg@|AZA zrYs@OAl~FFh(nd0Pinrwx(Gkq>}B=Pq;G8dkU0ObI?dHnt4^fbvN5R-fve1+!C-_x zY{fI;sr1`L6&8{c&Cb2fd~ma$vA9*TB|@KY8Z7p`V$qMs_^vx50`40C<$g%7rT zcaP;FPTM|r=*Q!3-4+eJdnAK=yQ3c|9=4O&Z$|CsaQe#%Yt*=i$AfIuyfgUDHcO;) zmD`X4zTpRR&+9tP>n|bnIPkJ95@McmHVuFMO#3$U!GNIl*k01tB|p;*LoF&+v9R-q zt*O`a-nuen$b{N;3th&~nTqIVZ+$u)9rR-H@o8ir&ZC6#Xqd%6k4d;vN2{)B}N3%IUfl#|*XaF1B~y?}B@CTw|9tIIRJgUafOt2w40H4+t1-y>;x7!J~5&CRUR6wTX7a;n30 zR_UFAGE~;!5xYq~D>be!SII1ff!>e&|GP$n@J2=^4S3Fz)W6>1HBxeNv^&F&E>%)wwm!hBePj1ino4(okgH zC&iqR48Qa2cGtf`mTF9VPdlqu=0D!5FFSeTAowyGU2|o-!FqFvRNWqRRmA;dtI}E? zv@M3Gom4!2-DMVB`Q-yDPQb~~`SU}UmsSE-npRV{hv(~=?0?ZUi5_` zjh3|T$3pM1wHj@H-`CgKt%Y6LSnsqE9Ih=6da}&+sTrN1gxkU%>HYHOr+VEW)3aCe z_W|lVdlJHDC^-wR>HJzE7YAyBg@J9pOz96o_$pSf8g!6@yvJ4oj$r9V3XwhhX8Iv* zLimjwq>DUFvO5KCHFci}NW=Bhra6*ek$lj#ij8%Y-s;shp2#6@K`9_GD-23ZGKTLM zFsq5L{9v#iV}Og2!0gH;std5L3MzVrwp-A{q_f?#LleW0D_X2G&6%v$IOf+{Q2P+W z`F$HMSNeFIK&f4i&>yn1LHAXj@B|jo-%eHfwV4mWwWI!5Ndgz?zXZ$`ixa<9TDi!{ zZGUjS7ts^{^uEj?h=GO^0ZNsSiCGejGfvBXIYG&3@RZsCX;awd_HQl zh57JM(4JvGD3#$|i`z87=_+m&Zi#!KhV=v@z# z{?>J2A#Ym`j_X*|fDFf{p!49+HaO`cO!2&6ltkg#lVz8`j^v6~;+1TMW`?9d2e`}x z3UOh7mRvF7$wnMpu`Fres;;fmDqw&Th(5q@Zak$9S<+EDfl4hVQ)2GE?zuH^J&>%$ z99$ynLSI1slE^uu@=EkOl&L>SGYIYoaCzyl?C5a?Y9UG zVK~-}%a&$ETWnrhY+g(q8DbMVLo~@UQV)Dh+qYXxI3JL%?Sq8{yQbWP54%kVe7?68 z^q2(wu5253#j*ECM@ETkPTYdEM{I$pL#yDksy#$$+4V8cHohmjrA)A)kn&7Jrg8~D zjCgnX_D=&t(+&>fKINp8A60V`Riuc@FP|bP$qoi9uW6V-KUYzluJC@i%>a$C9s$v~ z_on*($OPe?7@lZeiW?R|9|`ckOI``3btTw~Ef`N6Yv{hB;b0@cL<@vwMo#HPaS0@Q z<#}oq8J|Zz%4Uq?iWX1zK9exBK1as~V{N*`fngtSSYT%LJ0osY8sc0dgS-l17`9XB z1!X&Qlz2y#E!{pna@@y&Pv7S|ZG14A6%Zf}Z>c`T2OVIp$g5K7%agZNq6{J(1vBD# z7GPlHs!K+!o$T5SzHdqshUkuljM+bo9?XWdF(fcpYsVqK5#zn9aCu^EYtGPt{3>cr zwo)LZBq%y!nsSQCredvO#5p^4FaA)Qd@n_J)F=M#&I|ibtqx&q??VaMwXdEy8c7dR z6n1eZw@4k)d#Mg3FIdbFp)C8!Zd5@WGHe1-o;O==K{`xC)0X+354J1=J4rD$qR3|qj=so= z5&kjN9oTyGtHB`B+=5PKte)0rj8eQ6fezT{tVQ<6q+B{uHHqKptc+G~Yc9-Yn+~h8 zOL`3pKH_v@ITe<-s^(50tB4IU1p6B8{5pje>spTBn44uF`Y=ww&VpgMYQUD4XP4fk zu}2{5B)=(lN>evdPkR!4hP(WtLHWK}?7Yz9ft+nsJ`#oEjYmz?>nlVx`}ZIA?G=j4 zI-3;P$%{~7_{zyJl(15%C=jK@Zc|{m>GYhC=!Cr-^R#~7_}ogP){V0i!5@*05f$Pr zw<(Z~@5SUKx-csEq?03&Z0c3=1fk>|yIpcvDYC6#D=uRh72DQ-D$V~)JV;0d9|2(v zo*6iA6{EoMA}ksfd8C3p!v%em$a_^H+?RiES1?_o^Yho7^xtAFB1Jf+EU#B7d`6ql zJg>A*$va9Zid|664oUVYny^(^Fc`3Q<+f+C{XgUq8iZ8;##1bVLV~6n8uW*PykHPt z8Eev2NA#@r5<hnVIC5O{{gym9I!teIBl!nUQjhh|@AXRRUprGOViCBdmq=f* zD5eXW;1Y}v#FM3=%qJY;vtNv#oV|rmL|Z%?kiQ}kDzj)z$|7-MUwQRBWT9Qc8OFjz zv1Xn`f5!`>`291Z+)NmE+vV*W>RSHaVm}PO9(n3`X1-5T{F+}5tK?V+WheYmqp(Z@ zZ@g}W2Iko5Jq+hqI*nc>Jk=`(H}Vf6Hvuc5BaI|Qb3vP*^;;3*P&*((St9&o&Pd9B zy3R#UU7@E@0|5z50Z~kq`*4#sTnWLXLCdJ5r6nT2r1tCjbKGZ^T!Si=y%6+W(L71r zK2JygRrnEP-WM=~xiasolGz|6T(~zKpYOqC@givvkbLWocP)$SW~)3?`*4k{{!?uA zyWSHsSh22roMUU3hL? z!nRWu%{N7ZAx{#^J+V`GFt4gKahN2?k~`)*mT=mJpY2?IVlPVRkry|&Pn0i`iOa~?=GJ;x0@H6I#1X8xn8|F%Z^l4K~qFvba(;3oSdq?zIm9Z4FZ2AaelcVZeP1st4V++`F4mM5{&R_ zUpz?k>RpPvL$Jz#dYrq+>$0*pC!(7UB+U+bBH*g2C^DA8Rfh zl!{eMLs5+ik(cQu-;mw^4rft)i!s^v%VzrfHF7@m3nVOQxdye`XcJ+)452ni+NXj# zeWZhU2X9|oS=h2SJ@dDC6SwgzeR+Koc^n}UtV%5YnGHAz zkBuUBr|XYCOnlD#RV*9$sCNqfs1a$|4V4ZE#pa40$9CoeR6PR<(aHiaL+idssX=kj zeKid?VDOi++y^iWU)s|sh%KNmoD#bJ-)Q|ku5eAtp@D#-MG zqs|7+f%Lu$g4j~*Y==nk)+UK|y(jXp7=8tHaIS_+Dl3?J`ou%r8G@2GgA@9>w6b%y zxoKKZAqd$tx||=+LW>fATA}ljXhhD(=;A=yd2&vFLsG~m86uP@U$z=jouj-9f5kx6 z4XfOmKtgQ{GmCV{=n`TjF7$QYWEoAZs%L-tkl%Bk>FukAt8yuoPDQe<@zupBTpXYH z_p3zJugr+s72bZCnECU+D}F1~6o!Fg~cjY3)bZ-h?g2CX!)N41t`Eap=euS0&v+X+4b z%c4g36#>Fn1@))=+wdp*n}-i`j2r@39S)4!RQ|2|Dp7w=gi$(U>oQ=-$}{I#J?I8e z1D13eqYAU)S}x=eiWi|?BYo`d5+}n89?aj&_E#k-R8oM#EZ3!p35BIr`}MZm$7X6- ziYVEFFm!s?mqrc#_sqRqWK<+qCl3?d8C-Asq)IKpQjtqbSf2ZpK0)QEp#Ep(ETT8B zY;#RsBknTzD{0vl`f2CqXCMBaV$O{$&Jt6T=jwuMO&3qz;=?e(htfEt(2qo`BJO2@ zFt3%2BI_M0kWwgg_@&m3epQ!1OA~EUav|(ek%g;4Fh888Vi-X!=3r6wWI%S-YbrXU$CLfb-~BfL({O-72)HKC)i9Fj7p=FqVu5)ALnMq826 zU)m2*Kb?{*86O;Iavm%`|Mq@Z@f6ebQ;IeHzB9KReQ%ZErIxWTpFZCoWS#- z^FS*+MIH`qhNoIrHKAsTX48%HEfsPg_|5+y$exN}_w(Cc&BbEH>LMe|V`;W2@&ge$ z%wwEr!8gKz(Qu*;h4avrDVg6*k)m|7_dQ%Y$pi005zk|WER;WAPf%IB%79t=fm^amX}NA1)h0&qoHeoeEM>Yo z*48ZGU@@p(@B{VM=1tRD{LJ0#Sa4&|2-2sS-o@kmim-dMy?x|Cj+yr)h4J&edfy9^ z8^2z)q2rYwq!O4Q6z1n+n{VG=Ei=*T&ru{89wR;>FZbtPNQuHe%89tQ5kI8gtJ;SURn=)M4|4wu z7BaSdJ0!H%6*nxUAwzU`N496v-u!Y%^m6;T7R|7^VQQjddMP+yo~J2yv(pljR=GN1BcKyl#HYNy%T+hyM~=FSz-^m0zox> zLhF#GXVit44R%17)DiT^g=xOS%ed6bnJ{U={kFprPfn;kw;dwZK~EkK@FVJ5`76Y;#JAIDxI6Cca6ax^oJ_)&-1I#Y2vjqw z*B_%D$Hk^u;7FU>gDFXPI>!9)J27h1%s7BtP|1=I6Y$n_scC8Il+2f^h!lN; z3rD`S>pDD85GpH@_pT=UT<}?epY>@*bSxsb+S_K?IRkyiVzJK>r9?eWWXfXzvjp~U z7E89UutkAT+TnT(JbwB4-s!6>l1Rb5ywAWKbj;ku1TQAm z(j#;Wb^y(u+TJi#Z(E6Cz=im`5=5q9(F37=4WBD?pJK-PrcR1!0UrwUeg!@(L>YYP zre7*yZU!t9v_`hmoy@siu*~pmrTQ$`Y^4#Fms%3MRLd?{VccEFPc!Sx^$Zq=*&#)b z4Tv992#GO>9KY)JB1}#SepV~o(#J^7C)rcx~?eZBG|hAuo7 z)T?@gmGLP;d36i^f!+6UeNGN-`G&hcSbw`uw@(pygei&I5wnuwO0X!?A&nMi(;gtA z_QkT4mMX92mheR5heNi%+scV~Tlahs)@Rmn^C;_9doGfi5k zij{=MQYXCU7KQP5rx@G$(yG|X!gs_Tq}>OU&ghy97X6WUONNkTwTlJI?_WzFcUM_8 zC8|!Y2_m&D!ZOHiE;(XMjnK67M41jP&ri@p@612gP^~tfgx=M~349JRK@pId&|Wtk zJqg^gCB0D}x^o|TG{L{Apxes3$HnyI%p0;`jB+R!!Mk%)C)Yy`5hdBPZ;!y7>5?SLe^ zY=nDHp8{tBhF)3U%8@OyBSoK&KUPp$?11VB}=W zJ5-k?HF`F!lr@#6^u0v08Ck}uMVrCv zLfLn@9{2}_%E4;WLMp^N9m&->O|5>MUB2y-O}1AE;=R4(rIL39`Zk>git)oCRL4nd zKmV{LzC`T0so(~e8pH_l?UOy4@rGA!TB50{YQPKA;Z3>b;1;{gzD-q(MNCzqH7O_J zMZyV;e#nQA3U?GJrL+VhV-^JujmiV5U2)D$yW0xfUR4<+1Ui1Q1kb5=^fhuw|402TpOTTBF^9WBG1@SD#Of9y*i|D$xI%DdH}kDYdE z@{htP*e@o|DK3_{$C^LjB11ny_t++TGUmB;Y@+XDbIEf}5~GndxX)oH#h@}}bp9+s z%`~5m89*7yK6qcx)!`B;@t_pznEF#O|7f3!xZ*2sAv1)l*Bf%t?^2wJ#)^a5IS9-9 z%edfI2|~mHqQJTcI(*8UUt^_^BPP@u`*%5Uy#g)!7)75&Rl8T^KsNNGflch3qt4XyQ*0tgw|I!^Ku{2Gxyny`^{P~MTIeVodsDt?3t?T7 zP1C+Q-v4#NOipkz?D|!Zwf+3y%{~hkQrDJ7(Le1H_qXEF3rgLe~*WN8Kx?E~T7m3ojDBN;hxf7D={pos8o^ zr&sW9rO`dpHIktzsD}@h*V=wcTCuxM%sTKPGb1C~D645~*4m8Uw;ec&Lk2)u!cc4j{&!)N(KmOkI1&7DLgsM5i9FXuX(f@La_i;^m+X+FMB z0-Gv`9iNanJmAX~7ukAsy5?^3Nusi{@W*HlF|{Y*q!s&}0PJVhsLgL12e-D(j;W{I$^gKiY1 z>}?9_gvqRq*|cw2|0?&o-YhEhaJgB$>Hou4h$>E{=ln_iau}YNNcb0&;V}vWkeJcI zhsB5%f{K&~xlR?Q%qVRfKlZsfUVG#9aCUPTjiy~e49r@}q-pYUV{5*Qg?rGkE6Mm} zN_{~%l;-jpk43Z4%vVX%OcssY6=|BQ%*iJ$kwaO?(Hyfi) zJP@~`K?q7IfhXNUNKwydG;*xz^PzM^XqHPZ-6x1Pa{DA2IVU>u2GSp8B1lg)LM#-R z)_c?PTc_jO)Mo@snfVQqE&}5$#n5-bx(-nlv%%_Ck^FD5s*Q%8YXdiR1<16m8eU-I z-;qX72sq}0k6OSP$ViAnUjF^bY%PcdXAo^AKifkf43z)Apj`3lGLI#l%6;g3oJX&!V9A0=4I8|28ijmIy`$jp|J$oiV;VmM$u#5&x!0a=- zRz@D`UxuAu3?==>)*c9{WpxTf6XFAT?z*T`Gy5MIX2z|Xo}OL2`7e^?V?VuK;U)DR zdGK7FvtONR;ofT*!=xld4eTa|jMLiL+u!_M;&3{eKWTq?(+C9_-|LBnB`GN_)vmRe zDbuQt!hOH??6Im`AV>A~EetFypXWVJFA~HDQqrE|Rp0h>y_BDyAL*PR5{OoWaC&iZ zc%EfpX<6j=cs|7FnPkJ~C~>mdx^?FAMdq)-&JyxoZGHXR_;_V?b@tSs+eK&yS;xWL zYOA~R{iV5Zf1UNx+qZ8E<6myPU+PUp2;uyg4S%Bu2(EB8B1d9xd)tA%mS<;4eN2^O2wJv}`g-6w5gT?>d$ z8?`Upen&<7v!<$QUU6}g+m(fdtd7oVwpi$Dn`cR8=IP_z#rpbsfqaHei;JQyqm+~s zoS%><9ya#W?CkS;F!6s51ab=ti&pLU^Js^c2ynZ!w6x6$i#z9kOZLF$Z{U)l9>>1A zxHN=^qo&J|@;H^Ir>`vhGQBGkOGrpi`Ku@@3KQC^S#1>jsPr=yK2;|4d$4W{{(}Ot@k!_w2vy+qO1F_VYn3(=isT?-^ZkLj_ z62`_m{x1!VhY7j4Mv==We(>mO<=O*!O!=RZH+OfhH+zx2lw@Rvd|$!m%cipHclm>7 zI2}}y4wv}*!??S<^YQT+8g7BTZgaZ|$uKfAE6mQ`JU*6dnr6Lg<0&pJRZ&#@W@nd; zXKiJrqN0L=iYg}cq^IMk-R60&eRq3XSXh|G?PxI&LlsLc4=(b0vDWgBLsNUZKo4E6N*2xIwaYikQuULs!6%*;$rZ~gMm)Ya9Mm`(Z9 z`jbC;}Ff7LU7XaIu|!@B+`b zhTpz1ll#APk55n6xSVa))UXO8gp0dhAAz+W<9~U)5DUda{J9BsxI(8@Nkv7w{wqms zer+uqH+Kp~H7JE|-_BS}M->h!DJctNQ?C_IIM~^px__XKjEorm4l_45zuSrwI9_gy zO-m~+ER;mJ+Xy5rtQ6PL;eY?WP+8)bv%>4;=>{xhU0vPa;9&K_(dOo6Pft&?^GO6g z^XAq{w7oDHEgL+QRP(` z_Wl0-8%sDhFOO8fi`QztGA1Ubt4sJ<_qx^n2JiLjwT5q7%Z>Ku=jSi?bM0-no5-LO zX|9HoS*9!W1O+?5&dbQioUAm@?W#xhZ7D{Fl$4Z!ji^1JDN#p7MfJx3xAiWT02kNT z%IfiSLxeq*zQG0*@MdqQy}kX_AV>D&6d@T;J<)^T?@S-o6aze)pa zD%h{vokU%yzl&FA$7Z*u8=!^G&dyZWR0RcnqN6dr*CLd8`0e_3WMEBOU$hm&!o$7q z|4@T#0BZuE;M-u_2T%sYXcFq`&VLtc@$m4r`XXOrWAl95>;W~O^vT4_i+={I_3KKL zQi76?IwKg0|(IG0iXv@=J z|G-M!IQi`S`Ev)B;5oat_Da|L>8dT9NA63F*+epnX`y$HrKKf+rZ!OBR5A&}DQq$> zTVTV!G&_wXF?TQjJJK{=FLq^xgYxrt@2?Jv&`Z?HEPie63?+hn0ju;37U5jI&Fd-U zo{XOUX-Zz8OtZE)FK=g~JMep%iGS4Y?(V_C!Q$d#O-)Tat@=AEDp2>B!flV|GR4rP zvZ~AQ-Urgs(uMD`78f;(7tH#j$o-=(FCB#YLGuGBL?owGE5*RZPG!;;PF)e>t+3VE z+1pe0OCCvP2^7y^#z&Er9oZYpSZnugPaPBKbDl<)_*(CAH^b8UsIBPW=qM3RDE7Di zC4`-W16#PTsh-FAI4(ZE)%D_s^rkK6W4TxMwAjR-%X*tNpxl%j7muIX7%PfPN)j1$ zSO32SRq^)re*HRe6|K@=e)%b=tgh}F)a}mB4z)aJz4Prb0u~1C1}3X{rp^%@TwD@j z;(MbAoc+1V7rL11ql&{mh1Kh-u^FE=R4$wjn#ZTCk{2LcMBe*1R0-P;?mno1tw zOR@HsFUCejxw*O3zkZdKmV&h_*KRx?;aF2>zx?>|qxC4&Adl{22LnI9PGul!ZCg!E zocFK4#|)dU0T&@_j={PCIVB|z|1goDxpa%?eqO>$_wIVe{z^yyAl8_`%ktB)EGuD| zB~P9EzsfN-Hb(h~0?8nJ7RY#cN+-#5{^+nj&gXs&@Bsz}=2IGHG=?33kIKqQ_SA4< zE~SP@E>*tqP8&f{QBg(3iAw!{bpkV|>?w;t4sHi@bWTbNA?|m8!3snuMC_l0gnSoG zmK(lJpL}+8<%%aHCf0s0+92t#h^yxxMe6++O}T!&(yT&H6@L%@b#i`v*3#t)$aJs* zFPk3+56_8MB&cwU$HMO*`I6sSNsR0KVX(g9XGsKT3cZ)-C*C(>BO~;nn*n$CwaYT< zwmt3%ytrN+%-mRyA3ud80p!of_a)TZ+1`GJ25(Z)|L|UXZ{*1bt>mXJ%);&U#<3uC6vUHG%d74^#T10BqUl3Ydm#Yim<+ z-kO|LGBw>}e@U?c@H3pm?2p07$Vfs$0u}}U_w!jFsmj6C;au{k{J1!rs~;*vOLf+< z@$qF974?;sNokviwDCEm*iv8}> ze66KApmEdgbbz%H#9VfOnUwQCZ8&FSFdK?nS(Vn-)&fLI97^YQJODHU7Dp%#4j!IS zvqn-#NJvbKijz}AS6BCv#VJjlh~0|Qes{RWe9DFQXGq9kweir`wYIX%Ou;pj8P(;|79nHa>x9$UY||4bEJoa_S`iin7)@>UdKU~o`cQgXfiAf#(-OdhCdpzlZe zF-ewB;DOv&$G*X&Ho-g!Gx+-T_~>ZaFMU}c2IwHw6154l8c>cXdn~t?s&|`>&=CA( z|1IKA@fQN`J|$HG8U-M~sgAJ|`cG9ip+L>PC@3m!+!#Z}G5(~5K=xB~4*XQLwbNo^ zz8}FsAU>TEoTHc$|4bOHcOkz{J%tlR3R-?R!tgq;r+csyot+&JNG7@? zuO+NSB^(MD7uR#c_M>m;eQ=R-fw-6$B3cfFPew_}4s=-i)3sK!UpN;h=V?ZGR1}8M zYlvXRVID;0)2A>(YvQJX-rnBf;V^+FrRJl*f8FgraymL*g}!EdKJ7+-%wl{%ba#IM z6vpfQ%*(@*O2}NR`$el@u&}LdbzneBQSofO)32)tfQrd~j=2imK4%z6<_%&WS1XPl zpx)%9q?%M#z*s;uI(a{@`%-XmxvsXjs+5U1IMkfNS+Oq-4IO$mC&tB9YLq@cK0ez^ zkdu=GwG2r1ME%an%F5&M_6#7{a5|6k;cQt|Rn@DffufQUptpYg`ekoxYZiNX{Z|Vw zwAY}jL7U-u7z%R9zrk6+7-$|9AAfayt<&!1DJM5tpbSSyNH`tl1;oL0AUh!uQIQl1 zJPZp93vdr$;jZhESvWWtX=!;J4{*r+2aM1n0t<3;w}CkP`SWLb3M&Q#;-;&6-8nfm z1=K+o;N!8Md=DSCYvbbLfLs~R5&=FcFE7v0(UFTd!ZtAlNE>i4z;J_WzPr0quh5y9 znzD?gVPy0^ny*SrO9L7OFrPx~f?`^Fx}l*VDhdjNZriXy73eM)!&5+SK#C@|OXf@@ zeacTwCGs9gVY5_HR$j2GJEh{{;X#%wpUhV*-MYXMrlY5~0aUM{k(8WFfQQF!IZNji zQ(SxibP+ZdR^glh*cwI;Cn>3c8)HNG#xo&+iNKrHe_f%WqieC>8~q(lR1^&T0e}bq zJ-v^~fP4TpgA3tP693-S-A#ZZUSNU&5Al(w?U0t2udlBk8yb2LJ$C~b6GCoMa8YZEaq_EcAxHE-Nboa`F{4^sN*#Gcz(C-8!ROD!Z(Z5c#`zKiW+|K@boS zd>M*D8!gGnu?Lo{FOqa=VWI3x^EEKzQw8#S1#PYu`GC2Yn8p_u?+4>)p`f6?ZzLur zz7Fg@J3r4B)<6ry#=&{(_SL}(kh-qt)eNWsJbFzWEUb^Fqr_e~{{Z9l>%JYkM0&03 zNbg6(yYn4iUtc~|aSuS7yl*O2%t@gj>#-e}nFR%VCnt;z;fQisVlgo{$HBlLcRKy)ojvG=zpF>^mX+L`C%_eQ z5sw0AAvQ1r_)S1S;CT~NBrXn)ho>hgpPQ&GEU+&0246jxqUafcXz_4uF4ED_`SdPU z_hNf}T|rZGFk`Wi$9P&?Q!}N$-nm&yBJxeImOljYL_XTdgxVScfaqxoD2sn0V+*~L zuym9iQ!e=<6&F`yUS5Evm6;jFCm@SPMn`w;8d(X$#dC~JOhQ6K4-XG7I0{Qj+|M>) zuaZYhrp#Eqh@+yTJ57E8M_wof#7E}=t#Ch(c1`1%cbymfz)Z3YxQS0l zKtd}~13dBh^JjH+V5zjhH{j6Hq6PccF+HuKt^EkR+uwY-bZ$0!`fy;~LV-3+jEVyE ztsNN`oe81}{q7$dOE8e}4DybHzYqWyn8KG=SB=`oCF&K6sFmDHq98ap2d?8L59smK zq@=hf2}Q-|hKAb*3yH~pE)Hpz^nAl+ zp%R%G4Op+>VwN7#0SOrR>5iB#Cod=W&VPP!@qF)T$CeXdtV)0H-ob&Un%ZoUpSr5* zz`#H&<3Eb!;#yc;ZL?H=gN?m)Z~#INfY^^fDYF}GA*q+s#?Q*iSg#pt`ONZ#nQU!HygyA!PZ-39o zDF1izmocyltRAxY}9^(OGg-1pL=~bY)e2W^Iy)XAQx1b<2I9Rbe32|t2 z6zHkV8`lhePd7tDN^gXipENljK2cRwU1{?qTKfQjz-au%#>URMCmR|ZJQt{U{pC4s zW^D~hEg?Gk!~6H^XD(oCfSv-4UR$eb%K~l=crO@uc;|z4K&q*L69AedB+S0n^#I}z zt#cY!77#1|Dfw>+@bRryTNc*V+LhG-X*+@-1pKs(Xho3CfPPpadGC90_IGnLyR7W* z?k>m;*(c-=c6M+H3AsVw1LPv(mnH{*_TDc~^?HKl#>Q;lHbueJprfOM_p!FN?%crQ z9#3EH2iy%59tw(stu4NQ*JB7S?P!L;7&-&6i65w_98cGU;QaLU_0LwE*S<8^X0^1m zzz<1EOIu9k%f4pR!TCA>`)?^;@^f>0j6NoR+Laf05=E^9->-43KagdXm+L4ii#U!< zjE}G1oZzYG>b@Mz&^}jG2Z2;?d1d7_y{5y(?g(%i?rv^K`R6XYT3SnhxiffOKXP*~ z&d#baX<&*0rVkF55)$eJ$h`CxR6pp9k)@^7mwfUy5DcP`aMu8}1Pr4+(EdQG;yi_c zi}SeH4R3GvHZaJps5lu(<>2Gxy)6PZqOq}2QBe_867cbAYHCPGNL`dNwI!*I8z7xq zvT1j9bJJ;dvIaf_2)xH#VYI+sdY#Zf)+8k*`S|#(dB1$I_4@hdLk`FtYU}EpEVL0J z5PU09(tIdUA=If#@MKW8poUj@*+Bkwy57mL=5f)6+V*^ZSXxSlDWU39es+4=KQdx{ zGb0Q%&Os5-ttdatfuuwKhQ{59&Xkpv3Rc$EcO!!!=ebP*dXNi7;}u-u zko6Qx)9d#iK3FeQ_s-0)F)>xH+}YmBW$^Qj+s}7&kO7 z1O^&92?OE|&=X4rW~VCvc|w$P{Zk6-2XgYxfdRzNP$0c`OYROUTQkItOPm zm6_1+{%KL@QhD4fJo3DipQBH);PoI1ULXOf!|hEd#})*lrzeEH0omHzjERk% zGI5!F{shVcu$iiA?Ju6+y}ilF$(X_*yb7=b0>U>h(W0`ZwiaC7QYpwrRzMs9o({^R z)^d)U&G@7vJ}vFjNbTnOIvFn$H@W|aiMy+7tIbVJ3>ID}6>q0pyQ;FXp_Nq&@WG?U zxBpH2wG~1Dz60^;xgZKWc5y*Lz4M6Uv=FMbymUj9LNEc8s zqobpMzJMT1|7a{NEG(>}^Jd0Q0zpw#mCxhW7MuWz8bI%9m_N{yD%8c()6=6mEdSYy zau)z6%F5VRjX+VAmji^hz}UM21_>mdpAZH{M;T~nG#l;mnwy(XPxryaJz8O=|G$J4 z=o|1;v>BWis*PW6`vejgb>HCQzu(jcX8xZI27$7ONOx3J6bX;ha;tlyK*>7L@BkE{ zU%dkQtU|jH3j>46a<-J6oY1e6({5YRw1NO!B9t1s0wC$xS-kQ+d?ToY)Ko1Ci(+6) z0Zyfk=u{gGOy9;6y-%rWu~%c>sNY^#}ODlspXPIn2q! zT=u&N$2$Mf3P3C@Em#7Z1u}_OKobGo59$@p*@*=orP#Zvw6wIT=^lW$fBhd#A60er z8!&e`U`ZXxpD^3pd|_Ay>M%bq@63hQ;_Uh90SgNYI7#fY{(mudRDS-uXZYvnrT6so zf2WQ3NwoiKtB&oQok2b^57Z!p&@*!g3V0L>|NX;5`^IIk_q9ZNj#zhCf zgQdL)`G8A0R^u z3wM1Wg#*EuZo5}m&VP=qEM^UsCP1^AnwsL``I(tMeOpDv#Xz{6y0oyDWdkGye1?dI zcHF59m_B~YSyA;G~wDuDx)ggZ_= zM=|5B2$ZUyhAum1Q$s_4fB)n4lFcLApFe*t_Qps{UCaI_@%c`UkDJw_0W0(jV2=;$ z>GT_C8Z0v2Be2K7nSgtEe!5w6w-AJ}u{i;n2h5THHi}9}Xnp>y=-0Qqvx6zTy|a`2 z>7BP>G1c_w=rNe}+-^caI@Yf-*FyF{B0L5%F@OgQ3=EHK>25112;_Elc64}Pp@0!c z#pp8m1%LAdFo%|g21^)>7pA7Cw+{|NOu_ZvM@E3W;hML@|Hlt3VL&n1^j(@+MFX(es z1b~0*v2Pv!YPGY|*ZZG^e{b;b5m?Xe|0E1nAbEQ_C>AB)pI%+nISp46mITQM;DzMm z1(571R)hQlQZn`5X`$Xm7pw#jFIHAZWN!>q6zuHITU;*?$bn^=n3(7y0#P3$W5vy1 z9VI0&R`sWz|04p<^Yin6nLh(#CAxo+z_&(i4_t85>sS%?_tkKRKp%iW@_9Ga26Q|a zb^yg?0ix9XAwiIC-CgXd=qr#ent{~e>(@7e&TlCx{VD(n3VI_}{+q*r7i@2DfB*g+ zY&DPqfLMgm<^a}%0tJ8r^7A+#2xn%1R2TVyf{9iMlpv2IFvfczyn6M@Zzz%RmqsbD zXF$VsqW&N3aEC1r+6CsLz=#7w2-XGM`7e`^O=>YwQCrS5MC^A~Yi;QV(zRf)-03+o zJZxZScyaM>76@oA@Pr6(c*p%dxDuGxy*)j^!N7mh0V)5(*;b!_)X~uq2oOO?*VNps z+vFg{*vjB1hQ-CG9FGzhyG2|cXBejS6c-x64ghJ0A-uV@)!Nz$ZWQF` zh~%m4))f9OZf@r;Ex_{tx*!2bEE!p5P0giWpfM9Zh%wrq@Bd}o#VRBggG*j zl3_#~a&g`N-QX6id`9MBG9HWrg_V$iXHk`v1+~WUuXSc*KvKZU%Blvo*nUq=S9eW_ zRIf^dPB^HojX!b7^Xfpg8A|PS3Ic2`qOo{5Auu<+y1D$3gly7rBC2X?ni?8uoZk%2 zHhX~;7O>w9+t*J31``Y;&PV_l!)SQ=^=tf@cNbpTz$ft~MEw&zU4q!d4W4dzjZexi zU!rDkY|N(Kq+|$)DiF!v+Moe_+geq{Oif*&3~z324OU|mP>8;s-uSzstSliWpDi$s zU}0z9Wh^c%Tz>#GiBQSU0J1Ki1#TZ6m$G_s_s>THOy zT}4feAB>H`>>Vgo5Wh1wtWl__t5*~iWi<(!o0*+H0<^lly#+Ioz`#HdlYOA2 zRX$Yyzx~_hzm>}fik#n&vfbnY`Kl?1^j^PyCS=8TOh`*34(+vbaspKKZ^&}w>m>nF zEFkLqqdiIg%eH_Q{HyYRe#gi_`q4bq@FBoSg8LMkMrL58Hc%!EV(4HD9%GF3GG-dC|7`yQVA`~2?y=(V>` zeY!r^b)IV->o|_J&NgGZb@QfbKpyDDjS*IHD|K~sP>_(_s2PPlI`M{i9#J0i!o|y% z1AKhu1g0$<%1d(3stWZKVN?0D@Iu4g!59m_HVWxd%-VVB&d9YX$M8CI=q4$y2KC}` zix;@qJbB0J&1{6OyKfg*4jwY3qcnsY&_D*UN5Fnp_gE(HXjiYb1W&*;q54^vX*EgqJ0Ur)h`3!*2oplj$bBUE75_N$C7y zXTFQH>Meba>Sx%ncCWhdzdOAxg^EykUDD&%PY6HPdW@S&a=-}w%fzz10c1J`Dl`2@ z4~}X|q3kc~k|dD7~n*)}hyPw->7O7pkkb zpG_cg4yyDO%_p;eQN_fh^a$N!N< zM+(}rZN=in87y-&?uFwHg&QZX_!g3VeWUKijU#s^pYBb<`1<7wa{26W$&i8Y2-pq+ z^GwSIMeeLagFCQ)KNa;&rymo%?!qlh7(c$?0-))ClJBJ@%df5LqMGsa>49Y<$BnCe z{~kFikh+FlGOCKQ02f!G#e!dSeIrARl0-U3xSjpiBz2$qAn(0<`^j%Qa`^CJwk97F zlhdqjD|w{97g^7STbBu@uak!k6;xJgi>84R*b<*VcRv+WxAT~+QH)*XYhq$#&X7{) zV5vA`%vYyRpJv&S9APUvv~Bwd&(Eo1>sm|>RF}opQ~k6OtqMD-R)IE}%}CteikDZw z_7N8^dZrZW1pnmSEvA`ePioh0*YV>L$+{CI_8}9Za`x&rmIvD^Ib6}f!J)CSafj&x zSBFmmIR~Hoa19FIXc-x?w_+tX!#BXr59qp{8&zIW2G49@@E}!6SN8>yFTNRBLvO4tjs?SCynK0c=guhK z+T=P!jo(Oc>{=f_tV^pLJ#AXtxpVHFvJk2?!yZ3)aQf`L;fLpmUb%52!Rd#U@4z90 z2lwjLi)^T5GnE?c+_$xEci(+>X{cIK`jv9UTT;27xcH!9!}v#)`{0X6W4ot%|Mc`4gF~e5` z)B5=7Q*+(us?Qn`x-~gaCcAW}IekCqTDYT(oI}p$(VRu2xqLbQyz{}myq0$4 zJll^3Ajz71SG&?n8zwEoQU@vnmkMl?(y*6)b$={ED~J^?fY1qZBoK|z3kC+Bsy&Hx zNv+3h`P{T3$-QGwRG_T$&sWK9+_b6Re(lBWoHy**v_mu;JLOaWhJlXKda2)%J3^ zb8ud?u?F;rXZIm75$^~&fF`OezAUT$ke;QcwkqxH?0T1FM9GHHN$>#Pd-j}AcD!-m zz=54RZ$o+T&}tJLcFk~mxJF~%JZPs4e6r|hh*3?sjFgnolO|bHa6pc(h_Nm~^=lh) z^46_e)bD7HrrdrLlL-5->q?)fETX&whH9RgvIxL)`}XZScV=NN$)6GXE(DJ%PZILR z4)O}wd%lK7X@t9!4stMK{reOZ1+EDF~b!Mrn3u^f|Q3Ak?ZV9ueVBdjA9X5P;??3+FJ5e`5v+&Uy zoPLKP$WbFDBsOf?H0ov0JR_q9Bq?H*b7MUuZ7l(la3Xv2@{S$1pytq31C$+mckfOq zI`-{6;B0Dus`0{wpNO%zdsk>{k5g1!8sYHKdC4$YS(ox``Q-6kFQy~JFY-EjWYErJ zT!CqIQ^(pI%ua5&VgL0|zwAqzo{*{nppT22*4wKJ=ICpk#pnCUXCJ_O1~}Z?*LOhI zBFMAy6<^VL6$faUo69D=6A|&;I@NP)`@B7^VlaIX3*PuzBy3bzJb%8|?E+7guJBw= zJ6iQ_->=<<6v|mm&2pkd`FV0K-boBvJ^GSZD|c!Q6=@1OckcSyl-@96NlDWu`{q?l zhMQrFAqYQ^Wo%u*ic867*Co%iovC`^{Q1f2pPsTnUT~h7+EXnqK3>5**E6oQh+EgU z1JX@e)h}tLXV>1(fe;)NNCm#S`0pvaIYEc|UkD2`+q5a${5jzrmP;^RzJ1G{X@MpY zRPfHZ4;!e3^RQ2$i5`A9Y5aJ5^tny~tZ5&UAQpkB4FLtZiNS`cCVKecEPGx`8uZM^ zIRby~4@e?hGCkF@{cQUPf3=l<5h@cPHvR71VWUTvQA_hF$v5hUgqK@~hU+d_qA+RF z043Xxs1#yiVu&SbGiL(Bn{kQ&UjR}hXy9-1nhA(NuHOqfP$R_6Wvv0>Vk!s+5SkPx z=>pCbl?Ht_10!(QY-H$(y!%vZnaC*wk{xy(fpJ`wonfqP*ww3FFsfo(IXql*Dt;QW zow$kcI+umH+t${W@_oOj=M>jQCkF>=WTm}rd9{6visZxixHzYV+A0|V0!l29dnIst zfFR*}TLbrlSY$DQtf689p5EHhbyI}!ii5!D=Bmj=3y_n{CEms6w+w{p4%1Z#)+sG~Z|M)k=a$ zGavrwRXSTjto`3OasC=ELBzsWuHf`NUS6Fu zO*(EOIo*mx897)>f5X;GT3$VR_MF+yfS+VbiwYY~1PBU1?pudPqG(7W&KE09 zBjAUiH5ODgO5XW*Y{jerm7~UvHT&}ZA+g9P!3~=M%!u{M`y@82DFeu@*Sk^kiR}}a zzjUen-MxQMV@iDMo0pxE4(STSH*ZY9h+jAD~YtG92{J-b0|?U<=5y5a{cDvn9b1q-qV_7$qd+9huCPzsP! zq~~(o2c|Gpu!DGF)I2>r+9ztq$nY1@pMj9D>wO0fG&41=Yerum@wu?b_zYdUb&I-o z?Ojd&)yT*oheQ_Nl~5@)bS9iQ8Nnj;2)(Sm$S#VF4&(#B{y=^ zsJ;94_0szD*s=5dWT9!=&T-`8fMWB3)fy;7wG% zi{twT-QN@K-8_Y8etHO70aW;ao$RL7eNOM`-lyB|GrRVudZ6=|5 z*N;u)sH)|mA>nl>{c!15n6!beqI-hu;$riv*{ByXy6K3g*AhLw1pz7p^S6kI+)=Fb z5_t9by1EmmO{*uqL97@+Tc4YE_<)ouygGL6xX{p#>A|`ShEE(ne#_}4+XahS|NeD9 ze%M54f?2V~P^LJRp5xc(XevEEes#>&gU~D_L)ninDR#jcjgwFFNWP6mqvd&k4F^uO zzDQ>Vo?~h@T53q(19KH+M~-~;@}*4NYt)m;lQS`Yekn{D)0_UAhv4EPZG>xrQRY=3 z3U7;9b>7^$4`2@`P7Iv9@R$DDx~fMBMxdMlXYjjsibB3sa$JnmIZsp5%+7Pn4E)Wg zPY)hkob%-qOogGW!uauNDJda&KYGp+d+v1QCzRlHQ zQJKHQbLG*69t({H3r^8ulhry@Hnm)L=~6}H-1;9Z=$ew0nj+}LO4^1F9<)TodGzQJ z+*R1pYqTEOM0}_kmsoGbS_UhQrO^Zi%KhNvv~&0bC_gXGJ53$XLe$TCC{D!-kZ;V3 zwId1(gnWy5OXLmKlk~oCaclL26WBJ`hg!HkOm0pW4F<^8ycuYG6k}219HaYF1{^qf za#^z$nHd7GyEraD(2StITz?&%^Lm8Sk-VsoSYd=S*$wKPH5LXtOsjz$R+K6#5d zhk}tMc=z5teW?7map9Mnzd}Mx&CSoRIyo7R;o=kzL3rCZ>Ez9uH$5BN(IrKtk)P|k zRrWs{jBQi5VfWO8OWeFY66ayBg}#G3ho>qjb!gxI#?p~auc%sy+K7_GC247Cs7fjM zB!G~^r#G#n-(yufJ_rO@yV0%i3j!Y4H_43 zY<_js>BpB;mAZZEf%E3ipQEl`oxWJ`#dUMdkp1J2qp&I6wR`pLYmeg7&3riheDFbZ z%i;Oies`5k>57F$NJO!0t+0)CnbNPPZXqGiU~Y-ZWaRhPG5p+7b%rE2-!Qg^&y%d+2fu-kh{VJ z;eD1}e&ZuGo?pBb)z8(dSD#i?K|d1DsI&deD%!&Po*$>}Pwz%n3cY-}k7VtPgRF3nv+Rnvm%8N$2$$ef=&Dj}s-Nh6zigRjHPkGf znBhilEZu%rTZx=*BbZX?Mlmufuc&arRwR&F>3Ya%ve&bx->2GZ)20o+JGM|ylJVNL zTs6`jMf4oeLtNv86ZQLp{TC3v^(-p7A@I=zkwfsLL>snkzzmZ7CNnc-%Qw@t^U`1> z00XgG-2q4>Bb%{vd-UnEL`SE`=e&9BYRTb`^c3Z$I5kvd5lvwkdTYTb;%Lgq%92`8 z?Si%#Wf+1i`qQXHp6GhMj@9lbZ>rBD(B7{}z}va6 z?Nt$nS+QT0v(nRF=Hzrs@YU4P!eu~FdG0lfPGmzM9-xa$KOM}IJ8o?svw_lT4c_@l z-35aDo7^wfp8pZ4Rj{^_dJjA0wRi8uRLVsnr;$?E{pvGahwyUuDxEIJ002OUPY!rI z2rD5G5po24%(Ls)Z)v4A7c7j6UQPlFu#w7K%xK=;-6lX7O}~Rn+%zIIEKEB2g>3?U6gWjCmjz80SY6Fc7L>Ph5 zy;wgK8dtW|g1djJdt$%NK_)?>p{&KjdLbif(g1^8`6L zuCBeSGhJ9LI$#Lg2pt5Vxdk>JJ&*jI+RN4TNzcx9_+>>s+{_oy@sWB;v1i}Ddy=6U zOiW7N<%hx82s-~$KP7DU0Hc}qPSc3Tiuiru$pBfk&~YSMoih98>Vd8vo&u7h@8QE+ zakovb!=DRJNrTrGV~=axmgczt25M@9m6hR9%9JzOsZ5>f0PbMdQJ@4{Zkj#2oiy7e z=)wvkXqWFpTZdAUpqfUdFMRjuiqP>!dvxHZTA&9Cscpn+=*%Tp_Q%WOam77Q>}-^P z(Y&K{`3*?|$e<*Lao;vcg#xruUWUXaoH?^y^~WUXJO4w~N!|gLvaSfy544*Li|H^@ zmZ7VL`mlgvZ3pz*@6p$XRX%H|mguV+X8kjyy`HR7b@;Y{1wnML zeDwmxSYU*Hb=QFBI*s5tP&!UVY92XqBTz~vO!i_}7!C-r_CxSPq;%MG;6U}~&wULP zWFc&O_8c;0o$_pbeOp=}Xt|-hgL3j!+&Wyc2e9??l%jljWcbygb810-oWLuWYHqLw;RpP?;V_LG=2X&bf}!dAxNNN zH)H)(T^lh7P;2LecI(n*nES`P*r>B-Z#{fCl0G()-|;{~ltX4>oe5+JEC3MX{{8z> z)3#Yc*FqYaO_oBfx(pSPK1q8b=1U0U@fn%G!gUY@Ve zt^k3PM=WSOqBNj%>L~brC6sK(JPKV8@%PV^lv_aQ`}eahyuk1Vv{1W1KVvNho>|lA z8y2L%8JYvbgvRHBf_LvA34B-F9EpCjdi6VeI0fgpw6E(Y;~_?K>M6O&E7=73Mqtvn zO#|~SZ*>e{d*Fc3FjDmR#JiG`XxFC3it92}q9~|zQkG_Ef$(T?O4m5DG<8{wbui1z z%WFP>T|?s$!2xuE$`edXStjd~)9j>4DujUwFa9bUb^SX1O}9Z<_wKD@xdH_bczTL& zF^0T&+O#QZ;1B12R?V~X7SJlWe7O=r&68T(mIxD|;!n;>>}czc`OXp4Pb`Az&UAk} zki<<+55X!bdg#cJ0pnNQ5i~5JVYa|3TB_<1KZ+xyqcmQy4qXRqt1A6N`dn7O<0=FH zQt&zrhhxK;2I8a_=2gSai6g+)+kg?2ZWQ8-tC~n($ zY2=UdJJ;W%3x|qPRyO=eEz1aflr$DMg>{?PDS{=u+QekKXkSIEb99bU$q<(_W}vL^ z%D->V1_P-LcBhko3fMV)G#S1hw*2=~GliW02*Srylh#e2ndR;&o8nXSBB)28FuejS3R zjxCe;K{$hVZ(AF(my656f zEkHG4@&+AdcLdg4Ioxl%I@JtcwNCwRLg9xST-lLQNRxhdHT+eSkK40KVnc(1W~-g` zd#g2n{_?nOSI(YY)gf{xK@kUQ@vV7zd3lTV^Z+Y}EoJZCZN-L*FMN@$a1nT7zB^x7 z_wtz<&d}lrJ+ELjD#jNEav#l=qsQ& zIk@-RT~iA2Vaf*N09`H`X{J%Urg1NGW~|DCC@$7@BH@L1)ttcq%y~hM= zOdLp-cNgbJ4u~foisUAv&LC)0W^>OM>EwN*32q36%ZnFfrcE=njQ?lVE^pnOzI{)y zjfx5juNZ_1ofbzVmvLuV^gRc>NKQ{ba=Rdufei{4_E0uVqxiHoiJTH?|K43G8Ypu3 zc7bR&9Sl9RBTOvT5__ghO~H>@PLm_XYTZ?okQjJ+EE+70C680U3PxB{PD4rm%*<=Z zs^7{)un4vISlaA}imE{aJ48|PtZ>Sl)+bs&QxEW7L`Z346wuC~xTvcU71xdhyt_+R z=Vs}qMHm{CG}!%Df<1ELgrZ%AA%RKuTyuS*NPB5)t4vrH#=A^~J(vAh$Ae~Lg9jq= z6u(T>64HBdR7`&p%u)MT;=_kK*xQq0ZEW*#VzBh#Ud~(#ex|!%!2;aqKfIXE(c+ww zs*9tlg7A5ldOpsz$pyNo>+n--;_vRQL&+dmw%P0}aoX+KvuDS|jxNuRjMT*{1oVqJ z*h%>=9%DXn{axDkFahGFasK!fa-VE-@Z{nc%%?Ik#TNox7$DOt*W=+DG&kBP2Hxdn z2I#xZ-s9~}>-Ieip4duy4Hz(H^k}bB1E;rwC>@_Te59cWYLw36N@1J5KCo0Y85FaRJ&pOu0L}XvfF8kGcHl%ZlLM|tK`SuNeZUWo! zQ+t}-@!bkW#|vHYG0AOfJ!a|BSM&;-XJ0RYix|_pv!asQ%U7@9dsy$3=zvbWZ`CvC zAf4*>+D|vtS7F?sK_*0u@~%=-nW#aJRWETk`zgvVX5F+bxWxipx@;L_#%6qb$2YD? zYG*(T2LW)OmsfvPmpDv?o=UbC_8b%(r5{nqii?5d+e*_%_Tr|B^~(!Oex9Dr(2c}h zyYA9L!Y~{hp$9LtIY18+hD>2hN|v#!%_T=i1z%D2Ei&}1S$DpFe#Z!x3z*F}Y~0w< zoT)(8#JZ-`*YO=@EiP)3Y7$e>RBtnD&33bAYD4GkD_0kd9JT$3;@yMN&OxEm&*<3B zFdMDrXV!hxrLp54j5>Gk@)!LXGfpf$Jh-p;*)5A^^oZU&eUZ$*$CchcDxCJDc)Cq^ z_Vshtsjsw5e6kNXVgFfi{nHZ@*6ywTG)zRq^@!VAw2W1&-juSf> zymoYLs>@f!O#d_m6sRz5n$YWw``NH-7m-gLIvHR9DydUUGdk7$I5)=3E0?)T{=A;$ z@GO6CvOLTu^#DDn@YW-<-W1|wIyQFshk}Cfv{6aBxz@VoN1*zCD{Jd?O}2g%1kl&wL()^Q4b2+du}hbr15|Lm#pZ6= za)maD+nm!(h0bw+N<-`m?)1#LR#p0WKRmrz2j1SP zI7Ldf%-jmBr26pcV4vqMk5H zr*$h4k3i|p2K#0b-VQzT#BLxm$b_0gJi`6p!!>+d<;j@ffWMcy{1m5Py?%ZAT+j)o z%wqJ*%>*hHxdnM!pDsLLGA~FcNaw_%Lud3uVxxG>Qxz3qfYoJv7!OAK_7et5^dL0e zRydM4*c#0jLTKXp19)>_AAB;g58-q&s|E;s`}*~8N+FVLIs%2n%`*d%ar?q50L7GV zOrA8USpCMNewwT`pEBv@E3HkXY8!qSLS-R2V0u!&0mu^+D)3glgttgoX*sM0f2f6p z1sM$DA(>Cv%Jg)vPO}kS)XvbedI1}biGf`4Om}L1jUWnVxpCvXby|tI6|9n(6EU>M z9+sTA+Nh%%LwnG)COwO9j*hY7g8?d(@`DGTJ#!{GEp3q~pB`I6FnE8EN!26z%Gska z4}l-jvta2pf_kVyKoIE|8&KT)L27DERaMYB?!8+^2(HKe{e53ABhii|8A%+t*=!_e zMaXh<8|DuDfAI9_`B+Gk2QQS1 zJScA*AJ*@LR9kg*ycpj5_MICoclGl_7GC%~JO!DvFg<-|m)4yI3hoB=kU2UQE&OKD@-ZYdWDTgP35rv<}7oU#2(8`~tLytlFk zk`4+d5?v1uuOMbgg}O+#a@<^VqSLOoS6x#?R4OZCiJ$I$kHDQ6h=!BRUBh-((2#REJlus4 zh>5x~y2yXIMSN9uT*Zh0r!Q~4#&xZj3faOJ`P<`NR)$<@k?Ojn9%|V0@7%lBb(Lzj zeB~Qai3&r9zNgxBJymlnsZHiW=hjU#te!4hu|aRt(eP!Fr8pK;UYiqEw7e1`g*kzqqxTOMUz{#p>c;Isub zi<(kex`2wzD*DupF3)(zfWH#3XdF+F4fPDZXH!W8dwPRY%Yc5h?CkqS1R>V8F-LCY* zqKxkoMt>-M957@&Tko*!#F~oO%EoUmw6#x7`JCKA3TLEPrha*D^tG>vhOV_Q0v!5x zD^?jbk(wDzB9u&i`ZV*n%KaTHN*qYmF7Fw zy^f(o2FucLR@cwz-URE+D)BSotFU9|b?a`+gd^kn@!s-cgM;ycE}Kefa=BjG zx5u^X*PRM>^>NrY17L0J@}p4jnQQx>^7n6K$X4+(Fix3ErJvpFzDMNCTr1drfVUd< z7Pd`WrdW~kCyxJ-xW$mdp1QyGb~jaP@b9Nj3xoB*e@oJ(Apn#mEw5a^J_hZR%mVAX z4$U9m+`ax5->%3H9eNHc4y#Fs$g#0?g2MeKX}fHhovp2k)%yo*E1Mw4yDzV-j?62h zpqkw(B`xhU^_)hK6?Xwsi0g+F>-9qo((bz?Z0?b9ZC!(N(0#N`___Q}(n8~jkx@K& zvAkw`_3|}t8Hpw5eOcaLvrRA4!B$sS*93v*S66zqDkD*~EM|7BpL2ddhpYEXE4#4O zL5wJONM~qTv`*O^=*2Vg%Xbi-5v!()Lay8=yAzGg8<~qD>_c{JwXtbh1FvHBP#Xyv;AAbloAjC^q{(|%4^@gkK@}5AUr3>bOd8W^o@+h9-4do zFoFwW$i6*$el*rqMg}-QHa9>1@J!?~$H!%zcAbrj%SF>uqJM*?V0lQY?XE_%=geVD zPI2QBU7236axsS=RYjp>A>7`hM?tBiveFof9hdyH%$Oa|-GfG{bsij6y*#3_RZ3P? z6b@jlDh`>ima|D+pVZcAc-OJhu|D?LgIlPaz%L^!n`yfanm#E613w)N7aZ%x7(|@j zYHV~P!TD7{hxmZ1$}3CK`;R($>FdD^p?9dX^pu}prPRdJf)E{cN`ig@u_&;}vW-ghSe%0gOqcjfJUIV^10 z(5f7pETbsvlIM(21ImpyE02P^>NJ6chozMo;ZCEA?at z0!Cmv#Vi-RxN6FOZ_f-aM?>U|RHhf(~7g=s3;fB&?yXV1fs8`0y!vMZ*#HpW$7 zsV<91x$whZ*^zdwhC4mA4e{+}e_TYoGhz%G|L*VFXb*X4dgDV%^AFL?fO8eI6><=N=RgJIJs4ZT66q4Wk3!j=89#grR zrZ5Uj!<}{~Zj7*L3O6-A>#eMKu)$tDzq66UbDS;=;qcLN@LEc&Ad@&1X(fcJxU@#i z|4I$`+#{=^O_8$sHF32%^@SlBF&$z?8D^CB?>DoX0sbE}3;+}J5OK0ekEqIF$}FMH z!LdWB#lT)h307iTpWvgi%d=ThPz$Scbq|~C)EOwqgd|3GF5ff7 z=s<5;?h?oc%96`sGo|jA94>Bo<8#C6k%52H&%o`QBaZVaAm zBB&h1E=EmUqi3LD+onMo7MRx=U(<9+bwGY+z?inm57z%VFRv6$g}ij-R}6=;BXS@J zWBG);q>M>uUwKtJH=wS;utAxQcO~=ODGe94Cw{)yUzj5sV`Ewqpf7exJuv#YndGHZ zgVvcxH@~X9Z^MLP;mMAfEKA=8bTzy0S^?wVi^gOl}Nne)~UwKar4xZcnMY=t`-Iyey|z3C}?xYo4E*hkKG<=TRd^LLD4>xF)81 z=yttCJO(wov&!A{^Fv&#s*ARNyi)79nk-}N^m(?6OG358!kXKb+2hq10KlWS{ZTvM zd+cVny2Yy1G22z6qRcY$597Ed%H6*|r^$=bj%l!o6rfmR659s^_=F`$wz;g*Dny%V zpgMPIu-+xd&;~JZa|7$^h(;m#e<~7B(~p3rj-5M~eHlIR+acR2HTg}AQ5C%oCPb~c zu_IgR?mkK7Y+8Nmwk-_TpLS5brZ5_>9JMgdhXPO(&i{$_{P~n_Jc=>_5ZRQbvk#XA z>#Zp16SjZ9rlsX4oKj%(VBdfvM`+EjMmi-qIx>sm)2+^+sf)qECG$gsYGwF{5j+E7 zGzPB-_kpjXtfMJ~Y$n(0iaf_4)xl-C7DkOLGyHu9VzxW{@YtB8&ws41R`V<_E(YQ{ zR>s@0_PZ&6dj?Yy+cYGnB`^4tk8a3_=I-E5+lIJ8Oj>RpU6|_Hc+I@6>7WeldGnxk z_Z~QqKv5y-`0}TI(sYW; z#}j^IpYq@P(ZUzDpK`rv>4}pkZKYJp=2tmR{8T#AQ>A{dxmP;GVb{N{JQlZHWbyYhO0GumsNq!KCYONx7Z-2zP+a7%A>Ltx41WwuEh!m z!DHda7-MOf`Cf7% zAf|p|Ex(24)Q3T3Lxzf0!3tEMb;hsXZP zu{Un$J8t(-Wi8N@Vk8?r3mk+oHq1IESPFKtHN z++L&|=ca}lGWt~py1-e*h~>a(bA>ibi%!R-IMg|I`10_XPeXLRW2nDb!e(vCCdhoX zARQ8c1Grs#+GqEQfQzzAtn93;rouwwip)<9Dk<-2U^j3~nC$Mvs?FD`m$+w(eg_AG z&J9GnOG-ArS|8Bm?fQ>$j}(Vg?D|~iI*Zvry(A@Hd+SShCgh5|_vmj9w4-t|N;ED6 zHAf$_o7dXykn+JbY901(CY3|6GV*h!>ay|s=m+04=_IazMrCKZaXRf9EGKu+zpdMh z8A<&LfMhtVYqR#Qd@qzb6qJ-yHnUSt%B|eN&>?z{xU4ud15^8>9YiEvg{RG{$X4o| zmY1~nDveC#C0&>FOTjFafQyZq5FbxWcaip^h00wbhOL!yJ^)@XQp&Wkm?d9ZJj6jl zq`hBvBaxjiyYJr@Q}3c_mo^9i*dXa>G-;9PrBD5pl>mZIzZyH1M*=$I$ukYH?of!3 zPGfl`tznIx<6)DT*O-t@W>c!TIfQ8Uwf>LM`ZB#(P~OZ`UN{HxBc#Iw#H`za_lL9e z5hqG2Twm{+HFzG@7ANPGhKKT9>Tp2vrKqCvTD(SeNFZwzr#3+He2Viy0MbDQa4%eF zVqu{@5DqES@oC>j-Nz6U^+rvcFd==DjYZ1$+S=DvDP!A2tH_hh`La<^Q;Mj>1el#Of%!&|seqazrKMY}t#hxe zp2H)=*#a-_b1kLnIslh2_!jqhqdLKL-H>M@f;6a)f@jLUbi{$lA5DBf~us>kG&6W}uYp zhe$5WtIK6~J8%3$%@Ed_GBf*rw(&^38PVe|JKpZ1fHFldk`MrJ7=JXo0y4yHjLQf? zVIdqMoEfT`P+&R{8`L~W*avishT*RJ=p?39w~c%3ys=!U(|fByMtv)^5&RqP_A9h+ z+m@}?nA%jYZQ+H9&~KVO$a6JYu2*M)u)9c_$zmp?W2*~vWpt9pxrT*3_|+T!;}>4S z+MeT+DSJH(J=WQ&ghF|CkPZ*hPUXYPU3k>tjI{lx2KA?3IO$X0ig;0$S%^{zJ`++3zyKXI0uazqLq`T$e)8V|Z(eMtd>=M^u^ye~O@8eXRv4oBO@Op(Kb2 zajpbtc5;}l3xys^y^!_KU${VvmDBfv-F}yUgeNW*6=n-K@q-9X3?yd=brW)9_?S2S z`+^S3qK|CAMDCw*ur<%Aw8^drQ_bC|k4f=g9*t&^YSjGTaZ-#%tuL`CN7WE=)qVel zXKKO4R(F7 z`-v$Hw{GQ;Nov7&z830AS$D+DqX_)`tdj%g<% zH^w?ualqhNO(+g9(P)-#e~&Rh36(?XaY$Xel84v=C<(g$j#5*pe?4J6u9$}E&2 zok-HdbcT+tK_*>17Yv6?DZYYb#EjiZS#9*eyT3wsMU4=03SQ??!@}f_laYytidtXP zM#N-IvHw<&FB7`JUZTNKTWl+G%(1z$A?+-4DUGJ~?YkC73S;3$#^Nd)lCe`0$P4Y*RF>sL@f9-sL7afc z6dq1hjMq}Km7h87s-zb3)PA=JjFBEolO{~4O%#|JGwPY}aDK*xHc$9+TNM!z^-E`_ z&5}7Z7Y@>0Tqjs>@SzQ<-_42q!VY!cy<7EDQX48xgwKTX8#(^=A5KKQc9aNB#mWz6$r0?*N*~1jxV-G*1asaN2-uyFGpS6wi zLWz!$wxOne!od8~(7qalb4x2||I$P@f?q|ZQW1V+$R7%h3FmRz(1waPDSA4Z`H!mRQr4I12mDw-#bw{yRezckiC#tx1R? zqu})@y<$U24~<0u&v)WMvy5@FLUFy!xEF(tYAgv`Rz)o+oV#+r9i5iZbP@nbu_4A* z#FRl{9YLIwNH`;AK$fKBW2o+yty`Jr{P8*dU!h!)U3Pr~5bSND!gC}PIj5QSU1d+iX9y2k}KH<6b3g?a1HSahVi zZ$b`Rxl&@E2^0q-+n~#InDHPtMxs{q7~qI?$4t19G?q!oiaED6<+L2g5x$P#))4D6 zQ*poLSroi9Cgu^{)%`0FK-qn6Gt`rKLO*3r-bvJ8 zr}pr}_S?37d&vnVOhQONN~zFf-vNVgH;f)LW}mk=%zD{K2|n+nf1!5@?i-`sx=YOh!m`I}yR~Q=R zt}es33;S~2&aJoF0>7yM3l2Q;Y8FSQ^xTk-3+Kf%M;NP{Rh zqMmxQ+55v}(r-usea09}f71LM0@61{;;S>)A!JWFDV!vMmCNLf$CsgYduCzh=J*tZ z&pos1b|$k|tE+9LX90L%iGV0r&Np-FP)%Y}0|p0y;ridS(@^8Ygy?8Rc>0Vb#`Ls4 zefZFxtqV&0`CFHmI)Crp`6&JU>et9Uf^Bmsk1Wpe+IlXvCG5@@1ymjK^V75=UmUvN z_;h7kBvzAy-6NXU0^eF>LjPgA4mNUUa zpgS-tP&QD1aLRLoEnP@^_H=BCg2Ev)Ek%pua*LRf;pKT9Bk8TYcYq@>Oy~c65;!79 zEI5AJchba(J=OLNR66!E^dh3pKeI4*%l(09d-22&`n7h6EBki_7nv*+t2x4S-*Z$> zF0gtm5(+;aD7jzgcVa;%CH1Wk)rVnPu;4Kiss)TGFmn6dS^|9)fMDDYw(qb2ywN!K{~7kK#x0S6_R?^*oo9Zf@h)n-T`MIOZHyfaB?kI>j?bWHeHQDFjx9kC;7K+O~N+ z@4S|cOm2Cn{4lY=V|~&unD{TXbKb0FVVHh;xMl)Hvh2hlosG1IX#_EuB;~<_PhY;2 zUKK`$P>(J%Gz`@VrV7%C;n}(w9gTNFhlyWuhGK=H`V5sdx29{2p3svgPLwQTQe>f(Jtn@{ zWXHCljZ`x}57!W;j&PgBBihe_Z{e{Bho=bOz;BQA=2;v8$T1H{aq59*hVqaBiI8Zc zMroW`c*Ms?m*^tbTI{p*Ewuk29mc#Ir&Db_@#KNrks$`$MI;O89TqH7oSJClGNYwS zgUyc49g5tpCK{|a3cxUS>@ML*HK5^484+|l|3igzPFfRky^q)F+yxzmOXo2|fz ztbBChal#w64V8q~sg7l-CYfyc|C?i}wvE@h!J(vmkaAc1jjgpbsfH@H&<-Cco7c!Ro^`wkGU?A%Guf}`Q-u&}Yz zT?{9ILF=){y*bJMJ!Y02>)T+S(-Oa9x@}=WNSr(~t;6Vl9VmB+14bFCioW@Z^O}g` z2&|0JJEAeHolt^}aaNl-lPC%wZoQR37m-Ug3ud}64@VfoG;#VRyeU{7p96ZMZcDJ7 z({%I|*qL{^C?F);|AU*2mGz%9a^tK$v;Oq=SK0bufd-3IOjjtLh6t&cq8G$^clNabjsv zJI*x_5HlHe5%vA_q?lFkPZLgzp}FhpxgJ zBfh>N&KxS&P6IkhEdO5xWFI#hrV)}aT9BkO!@W;ChW335)ZhW^5J{_nSX8(Dzkl z<_#u-mD9iRG_ERhIlLD+fsZ7lK#8koRA*iMEg)2l!8Jr7%=>CKKgan2zvbJk+xPl5 z{iK~U_Q`2u+4ycpb_GUXhY&g5}ZF9_0r+s~l z2Q1@<#Ng&-62gd9>=6vL$~#4!%Bef$@0ff{I~$(CWy25C7$S32Bm6zh%Ay871tlfH z7phQ?p~-{wbbtx}MLqU!az(=)re{1Q1Y<*Z^ay3-f(hB;85w=1{h2hLlbnQWXIDeKI>w9vk(raf4KZj2X`?6(2m)X0X{n|vH+nDFl*Dg6dbIanB$W9t z1a5;VP=N4q3?^Q0qTGe+3^HaoiXa7GlM8D$L320Ll9Oj-{Rb}YvrWX~|H&xM&d!nE z^rT6$@Tgco_!vT98uVB(zk_euW8u@M2oUvlX9Y*H<1f3qI6$Ae)f&=X!ak;GfO-UL zwSC_fmX(L}6Y_OY5hidJq^&)#H;Pf!y1{z5E-BfOT>ONUUls$JI42Z1r~miWb3F`R zt`5S&rn72Qx-NYTu3NWGTnxr+Z~haA>xb+T{|k!uiD>5K_~sh*{LUJp3}dz{i;GY5 zw*>n)z4LjiX_Uy$-n4FA=DRF(Ar z5#kaO5|WZyKl!@9g9skE&0)+zg8hMJf{Q(yQPmy*dt*BocD_c^V%#hg@g)DBZ1DWt ze+CX$-H=2mWf^NEOg(%jm}VUWoF%6Dzd(qz$p66c-HCccH?w|7hMFhc{xsb|-|6X{ zGxJk+w8gqdkEi%qCTmGcuY{Lk!zWl4v|cMb+08T<{BtRfSRKh^>Fd|iwWxgmPWrWZ z)%92Y3H1Fcxa>Jo6*?b?V2%kGeKwBsE@*Th8@O$sc*MB-y*qc9^RQ;^+L8~9cr(k3 z$$)yy$#KLD3(Cj-(L%k{4xKhFmw$$(@au3F?a7OKt2 zL+y+wbB()pm1WHFG}r$?68=3U1B_^=*()B_7f5J?1feqO~d{E;!~2P996 z7vN`kn7weZP#mIp?PszE0tBNks0-;JN^C-than&TlPKHuSBJ$1M!nS4)^3tQ82{gi z!$*|%+9B$JoTe+#hX3WtEAQf~bXX$@cN!L0u-=d%w;BDE()xd656AsG>~iQv6+`9c zYiYUQ67M=-%A5D^j~qWfg`pPTzi+!FAer2Mfn=g(O*j?835bpr<_|Thalz&Q5@NN- z*xIvGcFG^=kjKKa-Z<}nNC23{^JKNWHJr5s$qVH8$*k`ARqdob!PDr62gY2-Hy-x! zC-wL{ZG^D_Tv~DS-2rSzuU(rOu$HQMviSc^!djrAfhF`UNEo-~pY(E^JQ>3{Bl2(a zFXTS+HfgS9FVYN%SO4i(TI%7}hHLvf3FdqGp#?h^37ILJxm+*6~kE#4xY=7q;UfG{u2s!0iJq`h{0|zpVlKF8W^tFFN zJ$Y;B0H?5EB=?7>e#;FF7eES%v?`mxu(GOg5rRu3k7e-bCGPmDaOL6@u}ZIs*uD$b`q5*e70Zo!_Bj~9>1pi&4%S(KYDC=@F?m=-=d zN=-u5$>~Fb1`Q&24jS}^V{w{d*A5>}&mviNGhIph_dgNF6dA?PojHq>P@w7_xkYlg zQ{=KR=Tip{GN^aR|ACgYF44dlF-bw8Y`C+N6PL;wa~B5`FgU>qOul8yndX#d(-%yO z%z&VS#gggn*US@;DL7f9rPfE&o`SK87if@)Gi>*~bZ&hh}Fi~AU zSWnFp6v>E<8;nU8fK(fWH9i~V;}_H~D@ z1z{*Za;Fyjy};r@XyVp>KYv{OkyEG8z-S=u*SBx9V~u%UYdR7H|EcD0<{kkmv<>x# z9^<)IWiB%|wphJ-<}MOv#O?n=^8BZ$vH+n)Htg@2%M0aKq)obV5wvmUzu?%ICTYZ0 zxr7mvD(k(~4>lH`m5N11y1AILA6CQJSRomk%HRF%QJ-pQ`z&w$3nj<>+%v89FaH)s z7>8u-Eid$Z!oKuvW~4%eag10Z!KVE<)DjoDqv5^3w}HmQAui3CJ)1qtAwWno)i+rJ zKPl{HOl?s-?gdB6{Z6tVeEQprXLoz`6gg%Vj$@LnI)x4cv@yTlQKYmiBU7KIH4D~m9{%cbNUxqZzds9Qnb2#BmXb2|JI z#f$p23&l9Orz`?Jw0p4Q(*kjxTGF8YR}bgXdQ$An?+zX>w446Lnm1ck{(6IdQq?7H znA)B2U`!Nl(DHX>mj&z<9W`uY&{6$m&EIw}Dih?*@~g{@M!?qj`C_nDQPw{9>-CKT zSA=NP}q*G$*6j1^xa^Di++AiMrG!%RKyI^N68t!H}JxcF~9q@NEg~t%j59Je zZlYHR;h&lRrnHDG`IgJ1dR*_En2zOsEzt{R*4g;$^kr39bDQ+LWVuyw%U1-+Gh$<) ziqjP4+zC9m4=2%ftw}5{4O=QmhU6gXiYh*x(CM?u;wYA8z!JI~5+0;2c^gZi)MpW~ zg^;^26Wauj;PK;z8_O~Ty%k$I>UzqWt5{gqV2g`6&y@X!atqhGGUFyrw5kS^b5w8z z^IqRvzNjBkX!S!to~Bvh=%ho@n1>Ck`W%f}Vv$TQ%p2Gg%Y|M8+SnO%UA^T=n~fR9 zLmHOLpLRRy?;oz24DP43;U?Cv;aaC&+NW^+c)bk;=->-y3JwW*KtaA>%feUR&3q83Uc3rp9k}rfS8E zvlTNf2BcxYt;5_fl3E>qqzuTeLj8; z{9-mZ0uw^*2Zhy|o^uVUZOAhl>V6y!j61(eocS2@0AdFY*jif;P%uAby9dkWlK|C3 zj@X5(7aj`TjZ4A}gB@LH{|4>B)nR7YO(9IZidDk=)z#TK^v@KRmC?9#6SW}`BP!F9 z=rqYxw&P9coW&wW1CA2=gLx8C_V2qJg*0Zx55|F_q&Cs1X>fz-4b<(32qrW((mlaX z9Ks-PEh&@P>@lE0pGh9V8GMv&FJErM$CRpEV`zM1DVu8{-UTLaz-A(ee`TM!u>XvD zzr40y8*c=VA-q^juAwR;|8TaazK+gS%9uv8iEahOwCi!Tl`O@&G>5Tty_%|)?-Iuf zKo{$7h#mjJx|)%-vfK$++)tz0^DflRJG4Ke7@V ziSR*Y*y?Bl9#sGWjqQX23YKnw0Oh}9qqmin>gch zC*x%D!Ed~?o658O2jD5!(UQ#S#_>yMn$Vs+)jO}mHBaUF22KEz7mkr73HR!B_fvz{ zx67{{KOQrAGE?lM@k6tg_>Sa$G<>nEvnri`Jn^T^04b^J(oIYvY)KkM?S@WY3g*jV2aCpM0#j46|i zT-LyN!|K9GNht{xYZ+d>VfSS$(N%Q9aBzG53T0Ok)I=$An&#)Ks}nG@ zTzu)k!x36u9_h_d%#?V{DNFmI`=;rdUF8 zyKi;=zuwL~uE%_T|DmbS5RyVkvL$T@O{4{FL<^A?rLvbqq=m9KG)j_?Y>6zPAxbrg zsfKn2DI_UtDQW*b@0^)4=Q}g!d(Pwcd;C6soW~qeclUk2U(5BnuIsg5Y-ysyC5LqP zxho267H8EgUp3%uaAZW*p#G=E*4$dzzfSQR=Y&x`B&Mq=Nq0YHWFYBjeeBlmQqh3G z!Hp{qZ5_YJ`ch1zUUYcWxN%i4ZEt-y&V74s<*TZy#uH1%rd{0YbGdw#n278mFhgY% z`eXdzn`vU9hEHigU;mDmCg9rLb3;1%!|}pjUsqp>cZ2snp7O6mveKD#KPfyodYg#a znNy~ub~MFwWas7K5b;ZPc9dS=Ew5ook-9%vzq@+vY-)3*W!@>_hG*}Ie4L3- zq!w$|QR|TxX%0Vgf@3@M%GNe}B;l(;=ZM2s0~<&n5n3kY2XB@}G6WPQNPMg<#NY9X z(@zn62-F-v;TTbnLj5(!n(^37!T9X@$95$j(t^{vUwYk3)0Ny(@u`VG{o!t&)9Te1 zS}zPMnNW9AvmyP>DQIC2C?yEgjPD@G)L**)G4*(vAN~X+PC+ja9NaBD8+CI0os|Ov z%aJ^&6S)Bs;O6szo4OEIY0ssf@=sPA5fzbDy6vnPde^F>3|}d*v%C{`08pql-aops zh)%IdIz$;JPE;4BLHG+4J{V@ZaF@A#gIAwX(#aZklCM zQQ6sm4*AwL*MS>!%b>-1zje2&WhpB=gbF?gYa$aLy`@+(tn7HbVv(n_VuMQ0-n}~n z=4)eMM`Qo%$IDD-TUa!*#=_hCp!{%;kpM))My`iKb~UEeq5?KE0X)rwal;n$HIh`2RDcZqr)8$%K?->Mls1O}qfj z0ov%y>3loh70YQFG?la*J*prUtcg*&y{Uq6%?IxZ%U0Q>b*$HG8Do&TmU1w@z3%zU zA^zh7S~o1;0DY3!9pwAnv;kB}h$+|#Z3aZ-=JracZ`kt{v9k~{GV;?b$jUFMuX~xM zsFJh&`+MU zG{0$lpz@9q80$nVr-LDkL(^pKAa;oh&*St{!I?a(G@8fvgz?^B%)QK7zf(n-4 zj2?m&uEa+cSOZkwQXAe|*`~$gWU5VD`;&Xh5(dhB=bA~K$Jmf90bG2}WUR%FlnQv` z0Mnp&jp@7VYTD=cUnt$l>g+*ntgRKaw{UDrB3pa)?D?slihJWHayx4~tJmDyQhW}I zkrT3Nf$8y6$n#CpQzKHq>xoGI@F%IEVYR042ml>yZ2Cu>ZEIZT>1j&{5RwFiE>+{K zL*qw}A5UP3yK#+|Z&_qmm=2-BA9JRut=&Sp6)2-j7?fX2hp~Yk32@7?TjB|nq zDa{QaRyGI{y^yCNEnV}DU3MSEuK}$2e#G-nLbNMdGdi$RIsQ`AHs3-Z@bKaM5Mc<6h*&LjylSuPkf51X2EqP7G>oE0=<*E1PI5BtfTQlF zvpVe(Oun@UI|+gBfG$l;!S%`pg2qY9csSha*nD<&&ZyTuBW)h5N=X=p9oAkoP_R%I z$uGE)ZtUoo#@lesJV!7urFyQ9J#oSXWW>j3;<{gvNO-L#M!L8RYnZI37o#Y#Cv#_U zGEZCQ#}?{&=#%sjK+rMcms!SKc!c7y^$Sf0>mqp)~cS9uJ!=Jfv^C z9Pk>jcQ=?8za}|>0+7<{-Mg`UcfGcT-GQr7we14(g}Zy4m)~jOfyB;vZ3~iGgfy2J z{u%6`0|O{Q#Qc8o0h|kQyR2!i;1S^ob}cus^$R~OHAy(n)1F?5GJ!*R=gth5y-+m- zwgbTr#yB6?E&Q-kR_I#DpWX?-;6r)OZAIzfyR3_*m!UGhF)Sn+RKx*6p+se7uHeuXJ zuJ(L@DMx_Os2*LF@8CIR(e{fLQ5-?Ws!b4n;c{A3Gv%;n&zu^RDe}XVgM)&YB``m= z`FwQ^61b&HC3^NG5ew4^S#L zeo^aVM#O{;m6dg!P`J?ul6C|G2}w!o3u>L@K-!j#5YV8)Jxbkl%`_TUl0gcgR5a`~ zsi%(`WWnrEq+XEnn2)INN>(Yy;26{Qcx%(Kqu3)fpnv~UDK!}+MW9xgeC!7l%sToy zxw^T*a_6sEi*fh%?eEzpo?64US$?~x?$>#b7B8;lw2fhH1zHsWn*IECu6k7FbEBCv zxh0eP5hRM_TTrdS(pgw` zu)=dv*Dc4GX@T8eQsD;qJ;2PXMDn!-!SDWV-VZVZX(s&Ly4I1}vRawe{*g2!rU$yVn@6BXQ zMAwJX2xwk?>{whhaIKcQN1bm1opAKAy(7hTw~<8IG$d$XLeAsn3+F5GC1 z(l)oSo7kDbL-&ZjquZNxNl%`9H+8Cm>&Ei~*urEnO8UZrD`LGtlSOpZ!Bz-6Oi~6L z4~5IE^2Vv{z2Au6GtU6O)Xr_S9k^`0DX+%DG>^HINb6p7YVc>e$P|W(geXez*y62k zAUu8Ub&3)Ag&{a>Y(Khsu4R<|~Q}rp! zxfZ}>BBTrusNub1kH&Rsq3Ga zE8-Gum;93yW|`Xz^gU)=UG+qJmys4nR+q_%$i>qvyo7kS#{|%@$F`r?)eA2gnD^49 zIU6GiK#v|icz|=z=G@92qCo`MbCP{jr16LzPtlCfQP=iy0l-+1&)p(ZxDJbVBFWbNWy3cPHG<$;3(n^@Wx^h$_FfcGe__b0(udYOb#@DCL1 zbRPe>D*8QHA-n6A&C1!7qNA&Znt+rQ!U$8`$*V|>7QwvHV7!Pt!&$PCuh6^QM236r|;D=*(j^!>xwO>r0XT*@Ra;GrMMJg^2wPZ+3>AJbijb z@;TUjA`TfMW+TD{faaGq&=Ypv1(CfpNkEKI?9{m0S-RLPb^c zRF|PAD{ENfgu$`3o}<#fIY;cIx$uS`g0h!by6p6u8l$+A*<*tj4$|aTyg8bs6Y_`O zyndZFNFaqAy!oK4OrX;Q9A@*-N<5SCT;rhw?&A=*Wncz`w$1aiuP6u$H^@B9!cC{# zrF&wZ3*V4?#dFI!s!b9H2qyHCUJ=n_WHfj3)BOC8o7Is0nzE8L-=yywHuJKr zYR%f1d2V^Rx&0(We@}VEwFw+n4-gc>Aqy7R3W;_PGlcYEJok?(v~aZL^(wPEzRfuJ zTaIgi4BMIGwEvXj>SRD_+~6wE08nFq?4rObKF6xVJTQ(T$wtD&pYxPXgoAj#GX)TEU*3A1+471D=; z{?{bOz$(@C*bD zgrKm$hQ-t5_|VYMJKY`lXM{cKeAeJCqlE=1qVRkI`NAM}L-mSDvBH>5WVmm9m+Diuqg@D<7AK8W6S6^QplQ42JBA^<% zW=*4?StiwS0DyIG)$Bv#<8R$+$DT|{;-`XweahKoT@$b%!+u{k0YbaT$G&Yo@(Fap zAc}Cm=%%<5)MzZ5kh~sILf#a4 zHr&!)TrjfZrNa27zl3Nvb$>;}tt3}ng;1S0$jwFdu|_#>e{1dtK3}!pyufMWmk3cLI ztUuO77@88n+E-V1lT(5he^QUFb6ilEvV05>RsdBtgxx@%BePzKAhtuqFH*a!j=D(1 zuxs=^W_t8%r{{TD7#kBfj#+VSW@k5MjET!T^4)ybuojlD+8px6ouqX?|3u?ue$PF^ zk)M{fxKlT^xoTH#C06{;89@Kx)4Ikpc@blo0rTWTvIMe&rnBgK_OpnjXa$VRe#%B1 zR6r=8)DwJv!!Pu9erNv1_^VYT9b0Ta^=n)^8Q5sHrC5vEY2#xHtr1CH7uBl)SZ5!(F?AR%y3I@sD` zirAE}qq72Dd}^Zc=gus=tR?$B6Fq33yfasTgEckRTpBnuJo^^oZ{|qfI!ER8-;r5; zS1`N)eOv@Igld}ClC^g{fA8|cok1XK*v!4HA2WNWo@)N`6HjmD?aMg-Z+PCwu%afd(vrKL=%iEyE^WM&;g8!}hyw(S;uuazlb^_fP z1P5-ivI&;1%M)_N?(_GRZtfMgKWG%jSvVE7+^U8?EB+;PXS%%r3?peR8liV+WKtXhLT>|e`Nv*c(gj@$M~_bC>FrBdZZph?QVcW>XC zOKqj{WK3+VeB26XqDA_;_xklJcinT)Q1tIHo!W8t++wrS20B5Cs9a+3*9r|QF}xE{ zwsZtFUmgAs+&S(Qb!PF?rOTIF_Ik=RaEY@snD&X%K0}Uu1 zV{q^Ejo>Bo{LLe&#lL|wTYM*mBC4ABrB_luMjQF=?fPscDKQ)Q! z?`OktWW}2|Y?5O2LhPoZ2($Gl`>Lx)tE&fU`kbdSA~yT$oI+E40~K%_^kfh{#8T%TMwY={-vLy!b zNHdCouVwsx-^%m!^$4>!t_s2%L zJU>qEJ&A&F`Ylv{Rn`5*%8(_gn!Hs~d&x|9l`3KbmGvj+4F$u;T%2mr|5V?AHIoj5 zBkeNs!h#LHpS+L9k}Ho0#Z1?*YD%R(z>ev)bCkIo`n>(kFwV|ic(?Hn_8rp*9z%oT9{a%fhW z^;+=n*}V7{=0!}qu)<^;0xu3&dQs7*Q`dTQ5r81!lRkv=8O}43S}7Zu+wk$DtW4t% zosduUt#0G_f)rmNM>Cg3QX#S3A9C-&NCUo0zaHBRmlv%4En#20>?Di@TJ^gn4E_11 zpI-0=2_8PsFfM8yO1ykoAUNhP^Thv-t$KIAm-~dvYQN9{^4A?xeZSU9-e?y51qQC{ zoj=vs-eIw^&j~>EbPW6;)KKL)EXm~D1L!sT9*B)yj06w>6}neQ7ERuK6aTXLOMXl8 z#c@|4`3pww;BmOd2vy+42z*mXy(>yJ>^5b0GbwRU*OwyA6JJ0Z*{=qZXK#+|)z8*q z!TSy!YA$qKz_q>Z{deWN{U~Wmk6yF!3IyNxO7??eq^|mQ#nPq7U*5jiMSTvIKqWz4 z$Xi6w4tY@fNVD^WrMzGOKR2p|u-}aWi&9I#tCm;YrE;iIm;?LFPAxJd%ei6N%zs>{ zE8g%RA*o4=Hl2-(oR69WbiRFGSI!Oi(IJ$dXCJ!rZLRaV9D!A1YVn92rj#PSiz_A} zj~09(ea&n}Nf)b4q%*7;x~=Xxg0$-dxQx`}km3M*$I6{Hk1_~T4!v8HIE@{E>@w;s zKEW?V5L6tfC~w6O ziC!c)FqT5%tw#5t>pmY8!n3U%p0WdYN4sEjPQ)%O2Msyv5z~6uJX-rarpRV0k-1ZF zocHbf)JbP|GZS*L#@$feuX@bFYM$hWdg5F8p5OsU1?W@y^k2_F8B9slcqtyD@P!u24C4GtZH&_^{j5#6ymY zyc0TPNZ-EB{0R)oEslLcvYKH9w6?X;HnNeL&-F#`%aH|@Ik+gBc=1hi9Nuz}p|VN@ zJ@ZN?!SOa7zje|QYh?DMW1p3pk|KZ;T3Ue6Vy9kiIl0^i|8}*=O)r1$v%KFLwa7tG z^<7l-rP!+_6_RBcUtCt^ptSGI+iP(2HTF*#G`i(UdHV?I9_RgW|p-Vjot}e19SyVl3eE?r{lvV@->g3ropmBqnSf zgsE3`^`qpqaV#qWm5IM(XpfyXBZ|G}DN|TYK2pM&^Up-Y|I5dr`cn0Ziu!^WlE6nN87ACod!?KjMnmu>m7&*s$Omp1x8) zc%efR43Q2TSYG|>n-xSF{{X=x3G&0BiL2+V(Qc0w&h1aup+lKdeyOjv+A{4}Yug_? z%d?xRyA4(X{Nb^8OHQG?=P&_~GgPdMYH7Qr6r@N)D}Yg$7l1T^p4K0rhX2RhNk_)c z(NT}x3NT)AaF6_wr!lx>lhNWtTONzvrq2l&a>qQF*U4Ck8RFyPqYyfC$~XXokrKx* zT$tVXP=%c4aG{U&sC@1^8AbJ#vle-ex!#d#!Sz>gZ&r6msNCbbM{JVOK_u03a?6~Y zj=3I>cy+1v+PjJPb@^eb98W+?Jn`>N=Pw0TTCF^#Kd495PH-b;u-TbO}uldf?7kq zAq`d__yCw2;$J^kV9%TX!Z&6pSbgC{dipdRTBIaKw9V9$IbAb^*~BiR<7*~}!gK1x zs;}}o1O;`i8P_l}>Yf|dv<8fZC%~fsOiqZ8pZmT}?pgn_wwIg``xIAUP7GiDOjSWq z(M??Ia6gURl(NGF~yDZ>O`ypuQ_xAYNDz6 zuYc$}aNt5qOJ@i=i&j^#1sR+h;$E#0Z&0hI=8k}VtTDpt`sIfYdaA2O?Z~Vp7}nOt zCnVgiAiIi)M&@FInF(9}51%g;L_9R}>IphBA^oML$2;GaDBZ{xY;bM;Z5mZKZGBwz znBR*L*B#YWMxE%v=Vd0|eCg&}_;=Bu*(Q5cPU}&Ny;s=?bq$S6XV03pjONHF?RyPx zP5p~6OX)B?XU*z^w4y{+0TF7w^>4>f+n)t3=BqS}bfa5uSA7CYK63c*)g|mAh7ZRk zUx%SsI%&E{?_(wb-^<8u1 z!GkbnHtHVT`>vOyq;yCYL0Y+QeB|4T3d2=ToL8=d%Tot&grb*dUMMHs?GMynBhDVJeW(XjSjtdjayA`hP?$m}hlXWyT zG!#v=#*e>x>{vS7J9e6tC5I|XEVp|h|K0kfl9#9m1*IeVo7i>&1BJezFrLu@+Yewz zh3wpUZ2dung!zhS>!M;}E)I?eQ*Qd{bBRDj$(Rp0W^tz29uxY3oF|gtu}GZ{Of6-B z?A*D1!kZFCLickVo__ZHdHHc}lIte9-ya;N41za-0kpL+ihJ5kwKD9Ru3b#RXymQ# z8-`JJo`Qd=FGY0gBO$7?4F)?`$)IrCwr|#5XCy@}6>;n%8l>Q<;w!+f!Lgn!{O~yG z&Ggl0NyZ|5*TIxU^m47ZopI&y!wyhrBC694w-&qOSA;}?OX%CJ>LD(4zxGGx_1T( zI|iB7!XW!v_26p;iOw{RZg-HJT(xafZ0ykJd-D_wPx7*`3;_}7>A*<+ZSx@Z&1t$7 z7w0b9Cd;M6#H>Z>OU|OX8WCYyyIDX=Dn!P>k!DgwluwO@h@lGQjrfULyr#-bx$oJv zA=$#1H@6rEB{C8qCO01MJzmw8u){mzMS{KbBShX)g|`eOs48SsU@ zr0CI@3hbbfFlCUEZeY6~?F|ko#cR#6_`K(KlX`&9gpDXQo!H$4r&h5(D1wz@IAQxK4T&7 z(%q1ubp<TF zQDj0&v#!m4(=S=iJ=qUAyl*x+21HwIP2(MyJmJbwM~>_f2Ty<2zfWgIIo_^z)XQn8 zlmu0@Kz}<|NT(DT5%K8Blg4UQ`H9cv*4wH+z~v4&J}3^1)#*#~J*6l{U+55Uj1ZOv zrs?8lhMrGfzl*-$#zyhWT$pn)=WLbdBp477(Jr=beUGX3Dsp*EIZ##kO`p)IxIsoE zQKrHSok>P`X7d@&K9wXl*9CC^zd3ybeNawFa+-AJ1@T-Gaq&w?iYK+Wd;TnOqaP$X zY^dR$JFHPswM7RxxN}DUW#ONh80x7u7t5rc3yf`sLkt&mz4(WnpS0TO$O_=dz%>NEk0$h4{htzq$YI)2&}k2Wm(Ptl2p9%8PlFq+V*RZ>^3!c4B^GX zgjZ2n&ZHeyNqyM+zwC=YOLe~lh4W7Pj=F)hX-{6^p>p;3@fUczR(T}@R3H?B3d_5gbK#?lC|dw%U)4f!e!Q= ztsu6aKgRk~4{+bczW95eijCb;wyhbc5Rwh!HJ4OgX0D7-N)v>C8av<$3%z)>-Si^= z(9Xv3hB(QJ3Q|HHHodM~`8I608MpNdEA8T|pcM_$3-B{G-U(|BdlPTfr@6UiuBVGJ z($w8~zWm2kcKi%JsG*wE7kI2-)m(QL}i*o??Ba)E{fo>Oo79%^n z4xgoRr&S0=_`{NtKB5_wUO?DqmMx~*AF_Y##Ff7)%7kFEgjs`;(%eUZ19_jfD@~1w z!5%qm2{bd#rD+YkNfQCf5h(ueUA-n9&Q5c6aXC>TydO1#az}|<@D8(1-j84C_1m{F zGFZ_Y=Mqg&wIZI$%ipW?Vs#TcYX}J|;kLMATQp|u*jZfv(xsC&)+tX|8D~(T5o4=L zxj#ZeY3fwrHDYi>Lk=;bo1PCr0vxCK2i7bMGYR{e-F5(`QfCsdQ8#i^Bdnp9LJLgvyzHy?v76f>F zJtNNH@mOTBrJdT5a%-bZiVS1IR=||^XR61p8Mg zg$u*zDDxRoM(fr!ppho@SAO_#(JYz{2AdqgDuVfR-wwHFMQdFB-c{YWdGpf--5Q0X zdVxo8ENOTs$u&8RlGT^mN=l*qVmyE4Ahb@cD5h=oL))ShC0tx8;11f{oow307cT!*Kf1N^O6&;0$o=Sg-aA zbQc6LpvOt<_g2KEFqD=zGBUDvYpKvIzQ2rB0@3SWaIhi1M@O9qoI`8=x>=0Rwp^** z!ekcC1k%5&iHQr6yXkiu(Xv)+7q`aQ`jLNMCK-0 zF;ccCPU&t8n+@l$jr+wHFTp$-_y>9om)4Qi(b(~NLoTWF_HCA!pSf^hZ?n1hlE3UB zt@f5Q`(L;WfZ@E8v5V6gmn)BG0|9A^P2Y3kay&|qwKI&t}++6o&-jXHCJ2L0?3T7?l8bUVk3{2cN08xU_<+b(l<@yMP5%_DR`EOeAHc&ya)`nRA?W5|7_Ol zr51VaR^69RnVt0Z8Q;rp`A%RXUHrZr0=AbIwh=;_>3QzxJvU0xyLa!dC|%r~4DCX| zp%>2*h#MPR7uecTl?#Swirc<4HI4Sj#xBs-#^zL;^xu&VZMO@Y3OM>AJ*#JK zo-T!Le}uJ){ne!fp2_nmA=~UU1l7-&F>fetBGnz&`}o|o;unAw_U|Z&7kGjh%eQ{z zAjaDt*pX##<MEEP*YJ6e)On=ot;_O5&+D!j{&=uJn!WR&TwXxP3ku2$`9fWjFJgy^1EfM zY^na}?%f@aciuq|+EiCb0;T|kbbe|ai=_DqRlz_Z?w7o2~z~BlG}d^A=lvqVlghLY0}* z|JV<*?UGMnTmPRKs$v|;ehq;O(jfjgd98auz*PU&FJ2ggE%7M8@<2qgJD~;4H2Idb?0Q;4?$|ms37A{!ON0dX=AGpsF7sH)*M9kD8?QCu5>;NC2r?u+dgB($qHrW=m zA9Ar}bvLVJKhA(3t%#I?sXVFm#)ev@qjuc+*y{hTWz6{6GH!~wvHqXK;CaJ;n*@)j zR8xBg!I&z;!v8>SCDXmVisHf&U>FwdE#)2WpFK;j^a>q3ISle>r56SKhog80!CeH0 zem!mMgbDpaIg{x>^t!}`a*tfc;Uh;1mTag{6(xxMIIr$AHi`o;*7L15ZcIOQ<%-qh zsa9^ZV{=9|`^ZtXkB~4(UE*td9{M%0rES!LDNEi~?Qzp$$xH9SlW>}tgC#VspHKI| zqL!~pW>1G@7lAF5nmVPcs_-_xdDJ=-oRX?Q^Db$C%)b`tFWH~WC@Xu$=M(k+H=?3~<2&M(Hosj)2J2QAEZ%A=w%l*e z|IJLe?c8`o6w2A`NL%bDME;$dw2d}ky})=04}~kqMIs^v?$vss83r|tN}E_Ohs2g&?rF( zqFy}yUHU=u)AW@3QY*Ein~!~;;WS5Ggvb?^uqRBKxT<4ii&HxKmi$lVA zm<3WmGE}GZJ=DpV5iE=GeG8k(NNFEbHMAED)IYuzYT|Bul~&aE0T%DlhRTLhuZ@i` zjh|nJ`zWQ<{)Z!MS^dk!A{roW(}-J_DKP*Bp=UshgJ$TiU2+qa@AobF2ef(Uxq__h z2J#mpVyx|U8TIYg&t>US4#{P0$%_r-*D$fV($lSGe?*U_F za3d3AjR4fukJ~ z6;#E=#Vx}DQ1<0Gq3jj(*p+oqnVv@x(Pcm5)FdeR7cOJ~hgsuT=^oUxj=tyGml|%R z+)6`P#z41rn$U>yNgopZzmAiyWF1B4a_w59lw=FT=Lu@tj>W}|lg}H85 zDEx6Ww2_gdP2Q}xbn^sJ5<7?Y70pobmzu%R_wYXWzZ)p4$jM61SiZa_Byc0HRuh;= zTy&QkIB09JnMdj*p6Ny}=+GtJ%)fSfR-v%|v~ zZC3WknEE73#tx`;_Y87dp}tWu{_z_cKe+fTq$ZcbS1$NLm`?gls^O1ef87_W znB8FC>DLZ5<=Lf+v9TG2g_kdm;5N4YnzakJNNT+?&nI-TO{&%UF9F*rRn=POfB^?JFQ$0^wz zv?%`K#W-JoWEUnU@E^9wo^)(_3F$*}6!5-`zbQz}<%I5=E!Cv~c3lzC;zc_PdHakU$C-QBD3 zz8O#|G%>i8tS~`b%3RUf!WRZ)-O%8SFEl}~Ga2|6$nkH;d?gdNw%aqje0=RRApn6F z`$D$w{f56p(gFmyv&VPNE-s)*)fbXjzjpfibs@L_mEs=^;J_@COk`d287xsnW9%VcuoeYIe0b|Li6UuQcf`OtHFGr{;;<#YSNnH&2K#Zby)lQ*7QVL zgq*^cdL6A--tPgG3aWchpn{JKpQ8|_?8FG%G}b$|Zav7KOj={$q5#W`j62p|H3x_c zprqo%2alyoe`U0a$8DvTU_ROSc_1BZzY*s7wazr*>{p*DCCp+H6DO~$k!~0s@%ZUe zkd_xj-^$AVefq%N--pI%l%P|-i9&mAaw+e^oamkW2mPhImAIvl{mmLzo_y;O*b+Tw zlw|MT@Ru?;#UONHY-<{hde}r!Dy5^nMO5E&(qLa((*gf%`qZ9UAY}Ofr=>9o0nxd2wqt_`m2stSNInqwhw?A`?r9^8v|3K>H*VhE^ z-q39AOLq&V+58k7Aa+gD7ur@KV2q9kLLhmueCB4O}%Gs`LMKfB~c502AetAA!R>liiB}8a&*uToI#Sj-!>#M zB%i9MeolC)^fUfARi5ULuh9L9+B02lk$d}zvfMDPVvPOYjg}*#T9Exd7KFN$xh#{3 z$-(ZX6flPZjE*0eCf`n*W&oZQk?OVWO8onT{W8D+J|7%j=w&XpR`0bf%lTb-S_kDa zBLM$}b~XZo#XrDdo^V!!okjcifZqQvMCn=bw`k4lPmW=7PtVNq7r}+mvRPz@1c66s z*f8`&SIw^$4%SvlV&MM|#2`7k<`0u-*KfM)u~nT1u!92+T1x6@Vap$n4JKE^;op^! zItLCPJ$egY8s2%UkncdTOVa|=Qc@1zm-@4eM1Ms1w<&+TxohF@^DP;Gqjl%=ioTe) zX5h`e7-^b?ofKxUh++}6EM4^NmJFw_!d})dro#7cHlOhQ-_4V|OG@rHJ=JxKQ(<8J zviS4g^pr6_G=5ie%98B9oaF{xf)F&a4(##0)eqcrt9tp8b>H}V{SrO#;*A@=wCLV7 zXqPzjbiKegn$DDUr@gH2I%#x{zs-RoILT-7R%+WthKYv}flNCNEX#Kg&a6hdo6y3O z#p5GN*&&0tVf-tWnTR%0bZ^IoHW*Og>eYJ=+DN=W#ntW3c|S|~e;G;9Zc zNA3c=!Jszt#dfbrgBbu184?5gR#%XWOoN2}XW(J}0K>|Ixs_B{X!V-1tn-``u?C@2 zHHml^l~id%|^0Eo`fvnQzsu1!@%#TD#(S{3+; zk3D4d+j_?cd$!kmc@>65WH<@?*Ncn2G00{Ti4H!vMBf1WfG(o zx#E|XomhezizCOdbRibQSI+8dYXpljA)tn1q-tjF`hSs%~$7m;=1IVwrU`iR#7?rKn6E_Y`)rKTko^=Z zNkEih8aHgl_s7PmF1n6l5IKb4#YS>h$sNDs-^#IFqJ*O&t8HLt2;UV7;-=j6=boZn z^lq9mwEQ3w^=;Rm4!vs!8$pc#z{rr@oT&Qe1W5fXqH)>jevzty(o@ zRLRTmjpng)ZX##fqowm4arQ6cFCOK(+uJ?9y~RXX!U*)Wm2c{7+5~A h_XB;go+8&*c6pM1Tf;NAG)DNjCevpdT`+J8`agTIK7arK From 56181dc3fb2ba2847616045c7174d76e03a76bd5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 26 Sep 2020 01:17:57 +0200 Subject: [PATCH 102/313] Remove unsupported monitor GDB commands cargo-embed as of now only supports monitor reset, not monitor reset halt or anything else like that. Remove those from the GDB appendix. --- src/appendix/2-how-to-use-gdb/README.md | 5 +---- 1 file changed, 1 insertion(+), 4 deletions(-) diff --git a/src/appendix/2-how-to-use-gdb/README.md b/src/appendix/2-how-to-use-gdb/README.md index 4153c6dcc..cdc8d6a65 100644 --- a/src/appendix/2-how-to-use-gdb/README.md +++ b/src/appendix/2-how-to-use-gdb/README.md @@ -83,7 +83,4 @@ Below are some useful GDB commands that can help us debug our programs. This ass ### Controlling OpenOCD Remotely -* `monitor reset run`: Reset the CPU, starting execution over again - * `monitor reset`: Same as above -* `monitor reset init`: Reset the CPU, halting execution at the start -* `monitor targets`: Display information and state of current target +* `monitor reset`: Reset the CPU, starting execution over again From 7df064098feb924a5091559d9a189293aa88ea78 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 26 Sep 2020 17:38:40 +0200 Subject: [PATCH 103/313] Split up the initial blinky example Originally the blinky was introduced in a single chapter, instead split it up into two chapters, one introducing embedded-hal and lighting an LED up and the other (as of now empty one) for introducing the delay abstractions and letting an LED blink. --- src/05-led-roulette/it-blinks.md | 1 + src/05-led-roulette/light-it-up.md | 115 +++++++++ .../the-led-and-delay-abstractions.md | 222 ------------------ src/SUMMARY.md | 3 +- 4 files changed, 118 insertions(+), 223 deletions(-) create mode 100644 src/05-led-roulette/it-blinks.md create mode 100644 src/05-led-roulette/light-it-up.md delete mode 100644 src/05-led-roulette/the-led-and-delay-abstractions.md diff --git a/src/05-led-roulette/it-blinks.md b/src/05-led-roulette/it-blinks.md new file mode 100644 index 000000000..75cd28b29 --- /dev/null +++ b/src/05-led-roulette/it-blinks.md @@ -0,0 +1 @@ +# It blinks diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md new file mode 100644 index 000000000..fcf888984 --- /dev/null +++ b/src/05-led-roulette/light-it-up.md @@ -0,0 +1,115 @@ +# Light it up +## embedded-hal + +In this chapter we are going to make one of the many LEDs on the back of the micro:bit blink since this is +basically the "Hello World" of embedded programming. In order to get this task done we will use a set of +abstractions provided by the crate `embedded-hal`. `embedded-hal` is a crate which provides a set of traits +that describe behaviour of hardware, for example the [OutputPin trait] which allows us to toggle a pin on or off. + +In order to use these traits we have to implement them for the chip we are using, luckily this has already been done +in our case in form of the [nrf51-hal]. Crates like this are commonly referred to as HALs (Hardware Abstraction Layer) +and allow us to use the same API to blink an LED and of course many more complex things accross all chips that implement +the `embedded-hal` traits. + +This also enables people to write crates that only rely on the `embedded-hal` traits being implemented for certain +objects which in turn enables anyone with a chip that implements `embedded-hal` to use this lib for themselves, despite +the other possibly not even knowing about the existance of the MCU the consumer of the library is using. For example a +person working on an embedded project might decide to implement a driver to show characters on a screen and writes a +library based on `embedded-hal` for the screen, once this library is published every chip that has a HAL library can be +made to control said screen easily since the HALs all expose the same API. + +[OutputPin trait]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/digital/v2/trait.OutputPin.html +[nrf51-hal]: https://crates.io/crates/nrf51-hal + +## The micro:bit LEDs + +On the back of the micro:bit you can see a 5x5 square of LEDs, usually called an LED matrix. This matrix alignment is +used so instead of having to use 25 seperate pins to drive every single one of the LEDs we can just use 10 (5+5) pins in +order to control which column and which row of our matrix lights up. However the micro:bit team implemented this a +little different, their [schematic page] says, that it is actually implemented as a 3x9 matrix but a few columns simply +remain unuused. + +In order to determine which pins we need to control to light up an LED we can check out the +micro:bit's open source [schematic], linked on the same page. The very first sheet contains the LED matrix circuit which +is apparently connected to pins named ROW1-3 and COL1-9, further down on sheet 5 you can see that these pins +directly map to our MCU, for example ROW1 is connected to P0.13. + +> **NOTE**: The naming scheme of the NRF51 for its pins (P0.13) simply refers to port 0 (P0) pin 13. This is done +> because on MCUs with dozens or hundreds of pins you usually end up with multiple pins grouped up as ports for sake of +> clarity as to which pin you might be referring to. The NRF51 however is so small that it only has one GPIO port (P0). + +[schematic page]: https://tech.microbit.org/hardware/schematic/ +[schematic]: https://github.com/bbcmicrobit/hardware/blob/master/V1.5/SCH_BBC-Microbit_V1.5.PDF + +## Actually lighting it up! + +The code require to light up an LED in the matrix is actually quite simple but it requires a bit of setup so first take +a look at it and then we can go through it step by step: + +```rust +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use panic_halt as _; +use nrf51_hal as hal; +use hal::prelude::*; + +#[entry] +fn main() -> ! { + let p = hal::pac::Peripherals::take().unwrap(); + + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let mut row1 = p0.p0_13.into_push_pull_output(hal::gpio::Level::Low); + let mut col1 = p0.p0_04.into_push_pull_output(hal::gpio::Level::Low); + + row1.set_high().unwrap(); + + loop {} +} +``` + +The first few lines until the main function just do some basics imports and setup we already looked at before, +however the main function looks pretty different to what we have seen up to now. + +The first line is related to how most HALs written in Rust work internally, usually these crates rely on so called +PACs (Peripheral Access Crates). A PAC is a usually autogenerated crate that provides some very minimal abstractions +above all the peripherals our MCU has to offer and `let p = hal::pac::Peripherals::take().unwrap();` basically takes all +these peripherals from the PAC and saves it in a variable. + +> **NOTE**: If you are wondering why we have to call `unwrap()` here, in theory it is possible for `take()` to be called +> more than once, this would lead to the peripherals being represented by two seperate variables and thus lots of +> possible confusing behaviour because two variables modify the same ressource. In order to avoid this PACs are +> implemented in a way that would panic if you tried to take the peripherals twice. + +Once we got the peripherals we assemble the GPIO port 0 from them with `let p0 = hal::gpio::p0::Parts::new(p.GPIO);` and +proceed to construct the ROW1 and COL1 pin using the two lines below, initialized as a switched off +(`hal::gpio::Level::Low`) push pull output pin (`into_push_pull_output`). + +> **NOTE** If you don't know what push pull means, don't worry about it, it's mostly irrelevant for us here, if you do +> want to figure it out + +And now we can finally light the LED connect to ROW1, COL1 up by setting the ROW1 pin to high (i.e. switching it on). +The reason we can leave COL1 set to low is because of how the LED [matrix circuit works]. Furthermore `embedded-hal` is +designed in a way so every operation on hardware can possibly return an error, even just toggling a pin on or off, since +that is however highly unlikely in our case we can just `unwrap()` the result. + +[matrix circuit works]: TODO ADD LINK, suggestion? @code reviewers + +## Testing it + +Testing our little program is quite simple, we simply have to run `cargo-embed` again, let it flash and just like before +open our GDB and connect to the GDB stub: + +``` +$ gdb target/thumbv6m-none-eabi/debug/led-roulette +(gdb) target remote :1337 +Remote debugging using :1337 +cortex_m_rt::Reset () at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.12/src/lib.rs:489 +489 pub unsafe extern "C" fn Reset() -> ! { +(gdb) +``` + +If we now let the program run via the GDB `continue` command one of the LEDs on the back of the micro:bit should light +up. diff --git a/src/05-led-roulette/the-led-and-delay-abstractions.md b/src/05-led-roulette/the-led-and-delay-abstractions.md deleted file mode 100644 index a91a0eb10..000000000 --- a/src/05-led-roulette/the-led-and-delay-abstractions.md +++ /dev/null @@ -1,222 +0,0 @@ -# The `Led` and `Delay` abstractions - -Now, I'm going to introduce two high level abstractions that we'll use to implement the LED roulette -application. - -The auxiliary crate, `aux5`, exposes an initialization function called `init`. When called this -function returns two values packed in a tuple: a `Delay` value and a `Leds` value. - -`Delay` can be used to block your program for a specified amount of milliseconds. - -`Leds` is actually an array of eight `Led`s. Each `Led` represents one of the LEDs on the F3 board, -and exposes two methods: `on` and `off` which can be used to turn the LED on or off, respectively. - -Let's try out these two abstractions by modifying the starter code to look like this: - -``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -use aux5::{entry, prelude::*, Delay, Leds}; - -#[entry] -fn main() -> ! { - let (mut delay, mut leds): (Delay, Leds) = aux5::init(); - - let half_period = 500_u16; - - loop { - leds[0].on(); - delay.delay_ms(half_period); - - leds[0].off(); - delay.delay_ms(half_period); - } -} -``` - -Now build it: - -``` console -$ cargo build --target thumbv7em-none-eabihf -``` - -> **NOTE** It's possible to forget to rebuild the program *before* starting a GDB session; this -> omission can lead to very confusing debug sessions. To avoid this problem you can call `cargo run` -> instead of `cargo build`; `cargo run` will build *and* start a debug session ensuring you never -> forget to recompile your program. - -Now, we'll repeat the flashing procedure that we did in the previous section: - -``` console -$ # this starts a GDB session of the program; no need to specify the path to the binary -$ arm-none-eabi-gdb -q target/thumbv7em-none-eabihf/debug/led-roulette -Reading symbols from target/thumbv7em-none-eabihf/debug/led-roulette...done. -(gdb) target remote :3333 -Remote debugging using :3333 -(..) - -(gdb) load -Loading section .vector_table, size 0x188 lma 0x8000000 -Loading section .text, size 0x3fc6 lma 0x8000188 -Loading section .rodata, size 0xa0c lma 0x8004150 -Start address 0x8000188, load size 19290 -Transfer rate: 19 KB/sec, 4822 bytes/write. - -(gdb) break main -Breakpoint 1 at 0x800018c: file src/05-led-roulette/src/main.rs, line 9. - -(gdb) continue -Continuing. -Note: automatically using hardware breakpoints for read-only addresses. - -Breakpoint 1, main () at src/05-led-roulette/src/main.rs:9 -9 let (mut delay, mut leds): (Delay, Leds) = aux5::init(); -``` - -OK. Let's step through the code. This time, we'll use the `next` command instead of `step`. The -difference is that the `next` command will step *over* function calls instead of going inside them. - -``` -(gdb) next -11 let half_period = 500_u16; - -(gdb) next -13 loop { - -(gdb) next -14 leds[0].on(); - -(gdb) next -15 delay.delay_ms(half_period); -``` - -After executing the `leds[0].on()` statement, you should see a red LED, the one pointing North, -turn on. - -Let's continue stepping over the program: - -``` -(gdb) next -17 leds[0].off(); - -(gdb) next -18 delay.delay_ms(half_period); -``` - -The `delay_ms` call will block the program for half a second but you may not notice because the -`next` command also takes some time to execute. However, after stepping over the `leds[0].off()` -statement you should see the red LED turn off. - -You can already guess what this program does. Let it run uninterrupted using the `continue` command. - -``` -(gdb) continue -Continuing. -``` - -Now, let's do something more interesting. We are going to modify the behavior of our program using -GDB. - -First, let's stop the infinite loop by hitting `Ctrl+C`. You'll probably end up somewhere inside -`Led::on`, `Led::off` or `delay_ms`: - -``` -Program received signal SIGINT, Interrupt. -0x080033f6 in core::ptr::read_volatile (src=0xe000e010) at /checkout/src/libcore/ptr.rs:472 -472 /checkout/src/libcore/ptr.rs: No such file or directory. -``` - -In my case, the program stopped its execution inside a `read_volatile` function. GDB output shows -some interesting information about that: `core::ptr::read_volatile (src=0xe000e010)`. This means -that the function comes from the `core` crate and that it was called with argument `src = -0xe000e010`. - -Just so you know, a more explicit way to show the arguments of a function is to use the `info args` -command: - -``` -(gdb) info args -src = 0xe000e010 -``` - -Regardless of where your program may have stopped you can always look at the output of the -`backtrace` command (`bt` for short) to learn how it got there: - -``` -(gdb) backtrace -#0 0x080033f6 in core::ptr::read_volatile (src=0xe000e010) - at /checkout/src/libcore/ptr.rs:472 -#1 0x08003248 in >::get (self=0xe000e010) - at $REGISTRY/vcell-0.1.0/src/lib.rs:43 -#2 >::read (self=0xe000e010) - at $REGISTRY/volatile-register-0.2.0/src/lib.rs:75 -#3 cortex_m::peripheral::syst::::has_wrapped (self=0x10001fbc) - at $REGISTRY/cortex-m-0.5.7/src/peripheral/syst.rs:124 -#4 0x08002d9c in >::delay_us (self=0x10001fbc, us=500000) - at $REGISTRY/stm32f30x-hal-0.2.0/src/delay.rs:58 -#5 0x08002cce in >::delay_ms (self=0x10001fbc, ms=500) - at $REGISTRY/stm32f30x-hal-0.2.0/src/delay.rs:32 -#6 0x08002d0e in >::delay_ms (self=0x10001fbc, ms=500) - at $REGISTRY/stm32f30x-hal-0.2.0/src/delay.rs:38 -#7 0x080001ee in main () at src/05-led-roulette/src/main.rs:18 -``` - -`backtrace` will print a trace of function calls from the current function down to main. - -Back to our topic. To do what we are after, first, we have to return to the `main` function. We can -do that using the `finish` command. This command resumes the program execution and stops it again -right after the program returns from the current function. We'll have to call it several times. - -``` -(gdb) finish -cortex_m::peripheral::syst::::has_wrapped (self=0x10001fbc) - at $REGISTRY/cortex-m-0.5.7/src/peripheral/syst.rs:124 -124 self.csr.read() & SYST_CSR_COUNTFLAG != 0 -Value returned is $1 = 5 - -(gdb) finish -Run till exit from #0 cortex_m::peripheral::syst::::has_wrapped ( - self=0x10001fbc) - at $REGISTRY/cortex-m-0.5.7/src/peripheral/syst.rs:124 -0x08002d9c in >::delay_us ( - self=0x10001fbc, us=500000) - at $REGISTRY/stm32f30x-hal-0.2.0/src/delay.rs:58 -58 while !self.syst.has_wrapped() {} -Value returned is $2 = false - -(..) - -(gdb) finish -Run till exit from #0 0x08002d0e in >::delay_ms (self=0x10001fbc, ms=500) - at $REGISTRY/stm32f30x-hal-0.2.0/src/delay.rs:38 -0x080001ee in main () at src/05-led-roulette/src/main.rs:18 -18 delay.delay_ms(half_period); -``` - -We are back in `main`. We have a local variable in here: `half_period` - -``` -(gdb) info locals -half_period = 500 -delay = (..) -leds = (..) -``` - -Now, we are going to modify this variable using the `set` command: - -``` -(gdb) set half_period = 100 - -(gdb) print half_period -$1 = 100 -``` - -If you let program run free again using the `continue` command, you should see that the LED will -blink at a much faster rate now! - -Question! What happens if you keep lowering the value of `half_period`? At what value of -`half_period` you can no longer see the LED blink? - -Now, it's your turn to write a program. diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 1f1b5788a..8737c5379 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -11,7 +11,8 @@ - [Build it](05-led-roulette/build-it.md) - [Flash it](05-led-roulette/flash-it.md) - [Debug it](05-led-roulette/debug-it.md) - - [The `led` and `delay` abstractions](05-led-roulette/the-led-and-delay-abstractions.md) + - [Light it up](05-led-roulette/light-it-up.md) + - [It blinks](05-led-roulette/it-blinks.md) - [The challenge](05-led-roulette/the-challenge.md) - [My solution](05-led-roulette/my-solution.md) - [Hello, world!](06-hello-world/README.md) From 1130f63be9fe7e83c16cc516457fc742f99e52d5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 26 Sep 2020 17:44:08 +0200 Subject: [PATCH 104/313] add note about armv8 targets --- src/05-led-roulette/build-it.md | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index cb9414abe..5e1ba3c89 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -6,13 +6,15 @@ as passing an extra `--target` flag to `rustc`or Cargo. The complicated part is argument of that flag: the *name* of the target. The microcontroller in the micro:bit has a Cortex-M0 processor in it. `rustc` knows how to cross compile -to the Cortex-M architecture and provides 4 different targets that cover the different processor +to the Cortex-M architecture and provides several different targets that cover the different processor families within that architecture: - `thumbv6m-none-eabi`, for the Cortex-M0 and Cortex-M1 processors - `thumbv7m-none-eabi`, for the Cortex-M3 processor - `thumbv7em-none-eabi`, for the Cortex-M4 and Cortex-M7 processors - `thumbv7em-none-eabihf`, for the Cortex-M4**F** and Cortex-M7**F** processors +- `thumbv8m.main-none-eabi`, for the Cortex-M33 and Cortex-M35P processors +- `thumbv8m.main-none-eabihf`, for the Cortex-M33**F** and Cortex-M35P**F** processors For the micro:bit, we'll use the `thumbv6m-none-eabi` target. Before cross compiling you have to download pre-compiled version of the standard library (a reduced version of it actually) for your From 35952a49b31fceafc5d881770be65c7920e9186e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 26 Sep 2020 17:45:49 +0200 Subject: [PATCH 105/313] reexpand the note on optimzations while building again --- src/05-led-roulette/build-it.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index 5e1ba3c89..3766f0a96 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -46,7 +46,8 @@ $ cargo build --target thumbv6m-none-eabi Finished dev [unoptimized + debuginfo] target(s) in 18.69s ``` -> **NOTE** Be sure to compile this crate *without* optimizations. +> **NOTE** Be sure to compile this crate *without* optimizations. The provided Cargo.toml +> file and build command above will ensure optimizations are off. > **NOTE** If you have looked into `.cargo/config` you will have noticed that the target is actually always set to "thumbv6m-none-eabi" so the --target flag to `cargo` can in From c43006156c1ae1dba44382dab4ddda389d1e27a2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 27 Sep 2020 16:31:23 +0200 Subject: [PATCH 106/313] Apply suggestions from code review Commas and the likes were never my strength anyways... Co-authored-by: Diego Barrios Romero --- src/05-led-roulette/debug-it.md | 18 ++++---- src/05-led-roulette/light-it-up.md | 72 +++++++++++++++--------------- 2 files changed, 46 insertions(+), 44 deletions(-) diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 9c80a8328..a284b6c9d 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -30,15 +30,15 @@ Remote debugging using :1337 > **NOTE** Depending on which GDB you installed you will have to use a different command to launch it, > check out chapter 3 if you forgot which one it was. -> **NOTE** If `cargo-embed` prints a lot of warnings here don't worry about it, as of now it does not fully +> **NOTE**: If `cargo-embed` prints a lot of warnings here don't worry about it. As of now it does not fully > implement the GDB protocol and thus might not recognize all of the commands your GDB is sending to it, -> as long as it does not crash you are fine. +> as long as it does not crash, you are fine. -Right now we are inside the `Reset()` function, this is (surprisingly) the function that is run after a reset +Right now we are inside the `Reset()` function. This is (surprisingly) the function that is run after a reset of the chip, since we did tell cargo-embed to halt the chip after we flashed it this is where we start. This `Reset()` function is part of a small piece of setup code that initializes some things for our Rust program -before moving on to the `main()` function so lets set a breakpoint there and jump to it: +before moving on to the `main()` function. Let's set a breakpoint there and jump to it: ``` (gdb) break main @@ -58,7 +58,7 @@ function because there's a breakpoint there. Note that GDB output says "Breakpoint 1". Remember that our processor can only use a limited amount of these breakpoints so it's a good idea to pay attention to these messages. If you happen to run out of breakpoints, -you can list all the current ones with `info break` and delete desired ones with `delete `. For a nicer debugging experience, we'll be using GDB's Text User Interface (TUI). To enter into that mode, on the GDB shell enter the following command: @@ -72,8 +72,8 @@ mode, on the GDB shell enter the following command: ![GDB session](../assets/gdb-layout-src.png "GDB TUI") -GDB's break command does not only work for function names, it can also break at certain line numbers, -if we wanted to break in line 13 we can simply do: +GDB's break command does not only work for function names, it can also break at certain line numbers. +If we wanted to break in line 13 we can simply do: ``` (gdb) break 13 @@ -142,7 +142,7 @@ never pass that statement. Instead, we'll switch to the disassemble view with th command and advance one instruction at a time using `stepi`. You can always switch back into Rust source code view later by issuing the `layout src` command again. -> **NOTE** If you used the `next` or `continue` command by mistake and GDB got stuck, you can get unstuck by hitting `Ctrl+C`. +> **NOTE**: If you used the `next` or `continue` command by mistake and GDB got stuck, you can get unstuck by hitting `Ctrl+C`. ``` (gdb) layout asm @@ -224,7 +224,7 @@ A debugging session is active. Inferior 1 [Remote target] will be detached. Quit anyway? (y or n) y -Detaching from program: $PWD/target/thumbv6m-none-eabi/debug/led-roulette, Remotetarget +Detaching from program: $PWD/target/thumbv6m-none-eabi/debug/led-roulette, Remote target Ending remote debugging. [Inferior 1 (Remote target) detached] ``` diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md index fcf888984..48e8a5186 100644 --- a/src/05-led-roulette/light-it-up.md +++ b/src/05-led-roulette/light-it-up.md @@ -4,16 +4,18 @@ In this chapter we are going to make one of the many LEDs on the back of the micro:bit blink since this is basically the "Hello World" of embedded programming. In order to get this task done we will use a set of abstractions provided by the crate `embedded-hal`. `embedded-hal` is a crate which provides a set of traits -that describe behaviour of hardware, for example the [OutputPin trait] which allows us to toggle a pin on or off. +that describe behaviour of hardware, for example the [OutputPin trait] which allows us to turn a pin on or off. -In order to use these traits we have to implement them for the chip we are using, luckily this has already been done -in our case in form of the [nrf51-hal]. Crates like this are commonly referred to as HALs (Hardware Abstraction Layer) +In order to use these traits we have to implement them for the chip we are using. Luckily this has already been done +in our case in the [nrf51-hal]. Crates like this are commonly referred to as HALs (Hardware Abstraction Layer) and allow us to use the same API to blink an LED and of course many more complex things accross all chips that implement the `embedded-hal` traits. This also enables people to write crates that only rely on the `embedded-hal` traits being implemented for certain -objects which in turn enables anyone with a chip that implements `embedded-hal` to use this lib for themselves, despite -the other possibly not even knowing about the existance of the MCU the consumer of the library is using. For example a +objects which in turn enables anyone with a chip that implements `embedded-hal` to use this library for themselves, despite +the other possibly not even knowing about the existence of the MCU the consumer of the library is using. + +For example, a person working on an embedded project might decide to implement a driver to show characters on a screen and writes a library based on `embedded-hal` for the screen, once this library is published every chip that has a HAL library can be made to control said screen easily since the HALs all expose the same API. @@ -24,26 +26,26 @@ made to control said screen easily since the HALs all expose the same API. ## The micro:bit LEDs On the back of the micro:bit you can see a 5x5 square of LEDs, usually called an LED matrix. This matrix alignment is -used so instead of having to use 25 seperate pins to drive every single one of the LEDs we can just use 10 (5+5) pins in -order to control which column and which row of our matrix lights up. However the micro:bit team implemented this a -little different, their [schematic page] says, that it is actually implemented as a 3x9 matrix but a few columns simply -remain unuused. +used so that instead of having to use 25 seperate pins to drive every single one of the LEDs, we can just use 10 (5+5) pins in +order to control which column and which row of our matrix lights up. However, the micro:bit team implemented this a +little differently. Their [schematic page] says that it is actually implemented as a 3x9 matrix but a few columns simply +remain unused. -In order to determine which pins we need to control to light up an LED we can check out the +In order to determine which pins we need to control to light up an LED we can check out micro:bit's open source [schematic], linked on the same page. The very first sheet contains the LED matrix circuit which -is apparently connected to pins named ROW1-3 and COL1-9, further down on sheet 5 you can see that these pins -directly map to our MCU, for example ROW1 is connected to P0.13. +is apparently connected to the pins named ROW1-3 and COL1-9. Further down on sheet 5 you can see that these pins +directly map to our MCU. For example, ROW1 is connected to P0.13. > **NOTE**: The naming scheme of the NRF51 for its pins (P0.13) simply refers to port 0 (P0) pin 13. This is done -> because on MCUs with dozens or hundreds of pins you usually end up with multiple pins grouped up as ports for sake of -> clarity as to which pin you might be referring to. The NRF51 however is so small that it only has one GPIO port (P0). +> because on MCUs with dozens or hundreds of pins you usually end up with multiple pins grouped up as ports for the sake of +> clarity. The NRF51, however, is so small that it only has one GPIO port (P0). [schematic page]: https://tech.microbit.org/hardware/schematic/ [schematic]: https://github.com/bbcmicrobit/hardware/blob/master/V1.5/SCH_BBC-Microbit_V1.5.PDF ## Actually lighting it up! -The code require to light up an LED in the matrix is actually quite simple but it requires a bit of setup so first take +The code required to light up an LED in the matrix is actually quite simple but it requires a bit of setup. First take a look at it and then we can go through it step by step: ```rust @@ -70,36 +72,36 @@ fn main() -> ! { } ``` -The first few lines until the main function just do some basics imports and setup we already looked at before, -however the main function looks pretty different to what we have seen up to now. +The first few lines until the main function just do some basic imports and setup we already looked at before. +However, the main function looks pretty different to what we have seen up to now. -The first line is related to how most HALs written in Rust work internally, usually these crates rely on so called -PACs (Peripheral Access Crates). A PAC is a usually autogenerated crate that provides some very minimal abstractions -above all the peripherals our MCU has to offer and `let p = hal::pac::Peripherals::take().unwrap();` basically takes all -these peripherals from the PAC and saves it in a variable. +The first line is related to how most HALs written in Rust work internally. Usually these crates rely on so-called +PACs (Peripheral Access Crates). A PAC is usually an autogenerated crate that provides some minimal abstractions +for all the peripherals our MCU has to offer. `let p = hal::pac::Peripherals::take().unwrap();` basically takes all +these peripherals from the PAC and binds them to a variable. > **NOTE**: If you are wondering why we have to call `unwrap()` here, in theory it is possible for `take()` to be called -> more than once, this would lead to the peripherals being represented by two seperate variables and thus lots of -> possible confusing behaviour because two variables modify the same ressource. In order to avoid this PACs are -> implemented in a way that would panic if you tried to take the peripherals twice. +> more than once. This would lead to the peripherals being represented by two separate variables and thus lots of +> possible confusing behaviour because two variables modify the same resource. In order to avoid this, PACs are +> implemented in a way that it would panic if you tried to take the peripherals twice. -Once we got the peripherals we assemble the GPIO port 0 from them with `let p0 = hal::gpio::p0::Parts::new(p.GPIO);` and -proceed to construct the ROW1 and COL1 pin using the two lines below, initialized as a switched off -(`hal::gpio::Level::Low`) push pull output pin (`into_push_pull_output`). +Once we got the peripherals, we assemble the GPIO port 0 from them with `let p0 = hal::gpio::p0::Parts::new(p.GPIO);` and +proceed to construct the `ROW1` and `COL1` pin using the two lines below, initialized as a switched-off +(`hal::gpio::Level::Low`) push-pull output pin (`into_push_pull_output`). -> **NOTE** If you don't know what push pull means, don't worry about it, it's mostly irrelevant for us here, if you do -> want to figure it out +> **NOTE** If you don't know what push-pull means, don't worry about it, it's mostly irrelevant for us here, if you do +> want to figure it out, have a look [here](https://en.wikipedia.org/wiki/Push%E2%80%93pull_output). -And now we can finally light the LED connect to ROW1, COL1 up by setting the ROW1 pin to high (i.e. switching it on). -The reason we can leave COL1 set to low is because of how the LED [matrix circuit works]. Furthermore `embedded-hal` is -designed in a way so every operation on hardware can possibly return an error, even just toggling a pin on or off, since -that is however highly unlikely in our case we can just `unwrap()` the result. +Now we can finally light the LED connected to `ROW1`, `COL1` up by setting the `ROW1` pin to high (i.e. switching it on). +The reason we can leave `COL1` set to low is because of how the LED [matrix circuit works]. Furthermore, `embedded-hal` is +designed in a way that every operation on hardware can possibly return an error, even just toggling a pin on or off. Since +that is highly unlikely in our case, we can just `unwrap()` the result. [matrix circuit works]: TODO ADD LINK, suggestion? @code reviewers ## Testing it -Testing our little program is quite simple, we simply have to run `cargo-embed` again, let it flash and just like before +Testing our little program is quite simple. We simply have to run `cargo-embed` again, let it flash and just like before, open our GDB and connect to the GDB stub: ``` @@ -111,5 +113,5 @@ cortex_m_rt::Reset () at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/corte (gdb) ``` -If we now let the program run via the GDB `continue` command one of the LEDs on the back of the micro:bit should light +If we now let the program run via the GDB `continue` command, one of the LEDs on the back of the micro:bit should light up. From ec58ae35f949f29f9849d902bab46a56cb346dc0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 27 Sep 2020 16:32:01 +0200 Subject: [PATCH 107/313] Update src/05-led-roulette/debug-it.md Co-authored-by: Diego Barrios Romero --- src/05-led-roulette/debug-it.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index a284b6c9d..fedee282b 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -35,7 +35,7 @@ Remote debugging using :1337 > as long as it does not crash, you are fine. Right now we are inside the `Reset()` function. This is (surprisingly) the function that is run after a reset -of the chip, since we did tell cargo-embed to halt the chip after we flashed it this is where we start. +of the chip. Since we did tell cargo-embed to halt the chip after we flashed it, this is where we start. This `Reset()` function is part of a small piece of setup code that initializes some things for our Rust program before moving on to the `main()` function. Let's set a breakpoint there and jump to it: From 4a612737034ef8a9ada84a9247464280a37d7fd7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 27 Sep 2020 18:38:24 +0200 Subject: [PATCH 108/313] Try to simplify the embedded-hal explanation. --- src/05-led-roulette/light-it-up.md | 14 +++++--------- 1 file changed, 5 insertions(+), 9 deletions(-) diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md index 48e8a5186..ea79a3e88 100644 --- a/src/05-led-roulette/light-it-up.md +++ b/src/05-led-roulette/light-it-up.md @@ -1,7 +1,7 @@ # Light it up ## embedded-hal -In this chapter we are going to make one of the many LEDs on the back of the micro:bit blink since this is +In this chapter we are going to make one of the many LEDs on the back of the micro:bit light up since this is basically the "Hello World" of embedded programming. In order to get this task done we will use a set of abstractions provided by the crate `embedded-hal`. `embedded-hal` is a crate which provides a set of traits that describe behaviour of hardware, for example the [OutputPin trait] which allows us to turn a pin on or off. @@ -11,14 +11,10 @@ in our case in the [nrf51-hal]. Crates like this are commonly referred to as HAL and allow us to use the same API to blink an LED and of course many more complex things accross all chips that implement the `embedded-hal` traits. -This also enables people to write crates that only rely on the `embedded-hal` traits being implemented for certain -objects which in turn enables anyone with a chip that implements `embedded-hal` to use this library for themselves, despite -the other possibly not even knowing about the existence of the MCU the consumer of the library is using. - -For example, a -person working on an embedded project might decide to implement a driver to show characters on a screen and writes a -library based on `embedded-hal` for the screen, once this library is published every chip that has a HAL library can be -made to control said screen easily since the HALs all expose the same API. +For example, a person working on an embedded project might need to read temperature data from a sensor. In +order to achieve this they can write a driver library that doesn't do anything MCU specific but instead just relies on +`embedded-hal`. This will allow anyone with an MCU that implements the `embedded-hal` traits to easily plug and play +their driver crate, despite having an MCU made by a completely different manufacturer or even with a different architecture etc. [OutputPin trait]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/digital/v2/trait.OutputPin.html [nrf51-hal]: https://crates.io/crates/nrf51-hal From 5698eabcd3197f05ec881c5cd128e5632a0b6915 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 27 Sep 2020 21:17:07 +0200 Subject: [PATCH 109/313] Update src/05-led-roulette/light-it-up.md Co-authored-by: Diego Barrios Romero --- src/05-led-roulette/light-it-up.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md index ea79a3e88..fa8b73405 100644 --- a/src/05-led-roulette/light-it-up.md +++ b/src/05-led-roulette/light-it-up.md @@ -14,7 +14,7 @@ the `embedded-hal` traits. For example, a person working on an embedded project might need to read temperature data from a sensor. In order to achieve this they can write a driver library that doesn't do anything MCU specific but instead just relies on `embedded-hal`. This will allow anyone with an MCU that implements the `embedded-hal` traits to easily plug and play -their driver crate, despite having an MCU made by a completely different manufacturer or even with a different architecture etc. +their driver crate, despite having an MCU made by a completely different manufacturer or even with a different architecture, etc. [OutputPin trait]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/digital/v2/trait.OutputPin.html [nrf51-hal]: https://crates.io/crates/nrf51-hal From c8aec47ffb89df5309fd5d7f5de8f7450bd72421 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 4 Oct 2020 17:11:32 +0200 Subject: [PATCH 110/313] blinky --- src/05-led-roulette/Cargo.toml | 2 + src/05-led-roulette/auxiliary/Cargo.toml | 14 ---- src/05-led-roulette/auxiliary/src/lib.rs | 30 ------- src/05-led-roulette/it-blinks.md | 101 +++++++++++++++++++++++ src/05-led-roulette/light-it-up.md | 2 +- 5 files changed, 104 insertions(+), 45 deletions(-) delete mode 100644 src/05-led-roulette/auxiliary/Cargo.toml delete mode 100644 src/05-led-roulette/auxiliary/src/lib.rs diff --git a/src/05-led-roulette/Cargo.toml b/src/05-led-roulette/Cargo.toml index c785c2d4d..776e8a465 100644 --- a/src/05-led-roulette/Cargo.toml +++ b/src/05-led-roulette/Cargo.toml @@ -9,3 +9,5 @@ cortex-m = "0.6.0" cortex-m-rt = "0.6.10" panic-halt = "0.2.0" nrf51-hal = "0.11.0" +rtt-target = { version = "0.2.2", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } diff --git a/src/05-led-roulette/auxiliary/Cargo.toml b/src/05-led-roulette/auxiliary/Cargo.toml deleted file mode 100644 index 1b7b80f55..000000000 --- a/src/05-led-roulette/auxiliary/Cargo.toml +++ /dev/null @@ -1,14 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "aux5" -version = "0.1.0" - -[dependencies] -cortex-m = "0.6.3" -panic-halt = "0.2.0" -cortex-m-rt = "0.6.3" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" diff --git a/src/05-led-roulette/auxiliary/src/lib.rs b/src/05-led-roulette/auxiliary/src/lib.rs deleted file mode 100644 index 2218b18e5..000000000 --- a/src/05-led-roulette/auxiliary/src/lib.rs +++ /dev/null @@ -1,30 +0,0 @@ -//! Initialization code - -#![no_std] - -#[allow(unused_extern_crates)] // NOTE(allow) bug rust-lang/rust#53964 -extern crate panic_halt; // panic handler - -pub use cortex_m_rt::entry; -pub use f3::{ - hal::{delay::Delay, prelude}, - led::Leds, -}; - -use f3::hal::{prelude::*, stm32f30x}; - -pub fn init() -> (Delay, Leds) { - let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); - - let mut flash = dp.FLASH.constrain(); - let mut rcc = dp.RCC.constrain(); - - let clocks = rcc.cfgr.freeze(&mut flash.acr); - - let delay = Delay::new(cp.SYST, clocks); - - let leds = Leds::new(dp.GPIOE.split(&mut rcc.ahb)); - - (delay, leds) -} diff --git a/src/05-led-roulette/it-blinks.md b/src/05-led-roulette/it-blinks.md index 75cd28b29..ef80c2c2a 100644 --- a/src/05-led-roulette/it-blinks.md +++ b/src/05-led-roulette/it-blinks.md @@ -1 +1,102 @@ # It blinks + +## Delaying +Now we're going to take a brief look into delay abstractions provided by `embedded-hal` +before combining this with the GPIO abstractions from the previous chapter in order to +finally make an LED blink. + +`embedded-hal` provides us with two abstractions to delay the execution of our program, +[DelayUs] as well as [DelayMs] both of which essentially work the exact same way except +that they accept different units for their delay function. + +[DelayUs]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/blocking/delay/trait.DelayUs.html +[DelayMs]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/blocking/delay/trait.DelayMs.html + +Inside of our MCU exist several so called timers, they can do various things regarding time for us, +of course including to simply pause the execution of our program for a fixed amount of time. A very +simple delay based program that prints something every second might for example look like this: + +```rs +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::{rtt_init_print, rprintln}; +use panic_rtt_target as _; +use nrf51_hal as hal; +use hal::prelude::*; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); + + let mut delay = hal::Timer::new(p.TIMER0); + loop { + delay.delay_ms(1000u32); + rprintln!("1000 ms passed"); + } +} +``` + +In order to actually see the prints we have to change `Embed.toml` like this: +``` +[default.general] +chip = "nrf51822_xxAA" + +[default.reset] +halt_afterwards = false + +[default.rtt] +enabled = true + +[default.gdb] +enabled = false +``` + +And now after a quick `cargo-embed` you should see "1000 ms passed" being sent to your console +every second from your MCU. + +## Blinking + +Now we've arrived at the point where we can combine our new knowledge about GPIO and delay abstractions +in order to actually make an LED on the back of the micro:bit blink. The resulting program is really just +a mash up of the one above and the one that turned an LED on in the last chapter and looks like this: + +```rs +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::{rtt_init_print, rprintln}; +use panic_rtt_target as _; +use nrf51_hal as hal; +use hal::prelude::*; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); + + let mut delay = hal::Timer::new(p.TIMER0); + + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let mut row1 = p0.p0_13.into_push_pull_output(hal::gpio::Level::Low); + let _col1 = p0.p0_04.into_push_pull_output(hal::gpio::Level::Low); + + loop { + row1.set_high().unwrap(); + rprintln!("Light!"); + delay.delay_ms(500u32); + + row1.set_low().unwrap(); + rprintln!("Dark!"); + delay.delay_ms(500u32); + } +} +``` + +And after another `cargo-embed` you should see the LED we light up before blinking as well as a print, every +time the LED changes from off to on and vice versa. diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md index fa8b73405..6a80dbc25 100644 --- a/src/05-led-roulette/light-it-up.md +++ b/src/05-led-roulette/light-it-up.md @@ -60,7 +60,7 @@ fn main() -> ! { let p0 = hal::gpio::p0::Parts::new(p.GPIO); let mut row1 = p0.p0_13.into_push_pull_output(hal::gpio::Level::Low); - let mut col1 = p0.p0_04.into_push_pull_output(hal::gpio::Level::Low); + let _col1 = p0.p0_04.into_push_pull_output(hal::gpio::Level::Low); row1.set_high().unwrap(); From b4d8d0fc70fd153ffd021398c2dbaf9612776a1d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Tue, 6 Oct 2020 15:02:16 +0200 Subject: [PATCH 111/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/05-led-roulette/it-blinks.md | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/src/05-led-roulette/it-blinks.md b/src/05-led-roulette/it-blinks.md index ef80c2c2a..01213b41d 100644 --- a/src/05-led-roulette/it-blinks.md +++ b/src/05-led-roulette/it-blinks.md @@ -5,16 +5,16 @@ Now we're going to take a brief look into delay abstractions provided by `embedd before combining this with the GPIO abstractions from the previous chapter in order to finally make an LED blink. -`embedded-hal` provides us with two abstractions to delay the execution of our program, -[DelayUs] as well as [DelayMs] both of which essentially work the exact same way except +`embedded-hal` provides us with two abstractions to delay the execution of our program: +[DelayUs] and [DelayMs]. Both of them essentially work the exact same way except that they accept different units for their delay function. [DelayUs]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/blocking/delay/trait.DelayUs.html [DelayMs]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/blocking/delay/trait.DelayMs.html -Inside of our MCU exist several so called timers, they can do various things regarding time for us, -of course including to simply pause the execution of our program for a fixed amount of time. A very -simple delay based program that prints something every second might for example look like this: +Inside of our MCU, several so-called "timers" exist. They can do various things regarding time for us, +including simply pausing the execution of our program for a fixed amount of time. A very +simple delay-based program that prints something every second might for example look like this: ```rs #![deny(unsafe_code)] @@ -55,14 +55,14 @@ enabled = true enabled = false ``` -And now after a quick `cargo-embed` you should see "1000 ms passed" being sent to your console +And now after a quick `cargo embed` you should see "`1000 ms passed`" being sent to your console every second from your MCU. ## Blinking Now we've arrived at the point where we can combine our new knowledge about GPIO and delay abstractions in order to actually make an LED on the back of the micro:bit blink. The resulting program is really just -a mash up of the one above and the one that turned an LED on in the last chapter and looks like this: +a mash-up of the one above and the one that turned an LED on in the last chapter and looks like this: ```rs #![deny(unsafe_code)] @@ -98,5 +98,5 @@ fn main() -> ! { } ``` -And after another `cargo-embed` you should see the LED we light up before blinking as well as a print, every +And after another `cargo embed` you should see the LED we light up before blinking as well as a print, every time the LED changes from off to on and vice versa. From ab1d3bcb96899b423736049ef45c5ceed5580ecf Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 7 Oct 2020 14:36:45 +0200 Subject: [PATCH 112/313] implement LED roulette and add pictures --- src/05-led-roulette/README.md | 3 +- src/05-led-roulette/my-solution.md | 157 ++++++++++++++++----------- src/05-led-roulette/the-challenge.md | 73 ++----------- 3 files changed, 109 insertions(+), 124 deletions(-) diff --git a/src/05-led-roulette/README.md b/src/05-led-roulette/README.md index 2524d8d91..6144fce2d 100644 --- a/src/05-led-roulette/README.md +++ b/src/05-led-roulette/README.md @@ -2,9 +2,8 @@ Alright, let's start by building the following application: -# TODO REPLACE THIS

    - +

    I'm going to give you a high level API to implement this app but don't worry we'll do low level diff --git a/src/05-led-roulette/my-solution.md b/src/05-led-roulette/my-solution.md index 0bf05d0e9..4d86b900f 100644 --- a/src/05-led-roulette/my-solution.md +++ b/src/05-led-roulette/my-solution.md @@ -9,99 +9,134 @@ Here's mine: #![no_main] #![no_std] -use aux5::{entry, prelude::*, Delay, Leds}; +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; +use nrf51_hal as hal; +use hal::prelude::*; + +// All border LEDs in order with the exception of the very first LED which is set +// at the last spot +const COMBINATIONS: [(usize, usize); 16] = [ + (2, 4), (1, 2), (2, 5), (1, 3), (3, 8), (2, 1), (1, 4), (3, 2), (2,6), + (3, 1), (2, 7), (3, 3), (1, 8), (2, 2), (3, 4), (1, 1) +]; #[entry] fn main() -> ! { - let (mut delay, mut leds): (Delay, Leds) = aux5::init(); + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); + + let mut delay = hal::Timer::new(p.TIMER0); + + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + + // Initialize all rows and cols to off + let mut row1 = p0.p0_13.into_push_pull_output(hal::gpio::Level::Low).degrade(); + let row2 = p0.p0_14.into_push_pull_output(hal::gpio::Level::Low).degrade(); + let row3 = p0.p0_15.into_push_pull_output(hal::gpio::Level::Low).degrade(); + let mut col1 = p0.p0_04.into_push_pull_output(hal::gpio::Level::High).degrade(); + let col2 = p0.p0_05.into_push_pull_output(hal::gpio::Level::High).degrade(); + let col3 = p0.p0_06.into_push_pull_output(hal::gpio::Level::High).degrade(); + let col4 = p0.p0_07.into_push_pull_output(hal::gpio::Level::High).degrade(); + let col5 = p0.p0_08.into_push_pull_output(hal::gpio::Level::High).degrade(); + let col6 = p0.p0_09.into_push_pull_output(hal::gpio::Level::High).degrade(); + let col7 = p0.p0_10.into_push_pull_output(hal::gpio::Level::High).degrade(); + let col8 = p0.p0_11.into_push_pull_output(hal::gpio::Level::High).degrade(); + let col9 = p0.p0_12.into_push_pull_output(hal::gpio::Level::High).degrade(); + + // bring up the very first LED + row1.set_high().unwrap(); + col1.set_low().unwrap(); + + let mut cols = [col1, col2, col3, col4, col5, col6, col7, col8, col9]; + let mut rows = [row1, row2, row3]; - let ms = 50_u8; loop { - for curr in 0..8 { - let next = (curr + 1) % 8; + let mut previous_pair = (1, 1); + for current_pair in COMBINATIONS.iter() { + delay.delay_ms(30u32); - leds[next].on(); - delay.delay_ms(ms); - leds[curr].off(); - delay.delay_ms(ms); + rows[current_pair.0 - 1].set_high().unwrap(); + cols[current_pair.1 - 1].set_low().unwrap(); + + rows[previous_pair.0 - 1].set_low().unwrap(); + cols[previous_pair.1 - 1].set_high().unwrap(); + + previous_pair = *current_pair; } } } - ``` One more thing! Check that your solution also works when compiled in "release" mode: ``` console -$ cargo build --target thumbv7em-none-eabihf --release +$ cargo-embed --release ``` -You can test it with this `gdb` command: +If you want to debug your "release" mode binary you'll have to use a different GDB command: ``` console -$ # or, you could simply call `cargo run --target thumbv7em-none-eabihf --release` -$ arm-none-eabi-gdb target/thumbv7em-none-eabihf/release/led-roulette -$ # ~~~~~~~ +$ gdb target/thumbv6m-none-eabi/release/led-roulette ``` Binary size is something we should always keep an eye on! How big is your solution? You can check that using the `size` command on the release binary: ``` console -$ # equivalent to size target/thumbv7em-none-eabihf/debug/led-roulette -$ cargo size --target thumbv7em-none-eabihf --bin led-roulette -- -A +$ cargo size --bin led-roulette -- -A + Finished dev [unoptimized + debuginfo] target(s) in 0.03s led-roulette : section size addr -.vector_table 392 0x8000000 -.text 16404 0x8000188 -.rodata 2924 0x80041a0 +.vector_table 168 0x0 +.text 20996 0xa8 +.rodata 2956 0x52ac .data 0 0x20000000 -.bss 4 0x20000000 -.debug_str 602185 0x0 -.debug_abbrev 24134 0x0 -.debug_info 553143 0x0 -.debug_ranges 112744 0x0 -.debug_macinfo 86 0x0 -.debug_pubnames 56467 0x0 -.debug_pubtypes 94866 0x0 -.ARM.attributes 58 0x0 -.debug_frame 174812 0x0 -.debug_line 354866 0x0 -.debug_loc 534 0x0 -.comment 75 0x0 -Total 1993694 - -$ cargo size --target thumbv7em-none-eabihf --bin led-roulette --release -- -A +.bss 1088 0x20000000 +.uninit 0 0x20000440 +.debug_abbrev 21988 0x0 +.debug_info 283389 0x0 +.debug_aranges 15832 0x0 +.debug_str 307609 0x0 +.debug_pubnames 68859 0x0 +.debug_pubtypes 55406 0x0 +.ARM.attributes 50 0x0 +.debug_frame 47732 0x0 +.debug_line 199401 0x0 +.debug_ranges 68936 0x0 +.debug_loc 976 0x0 +.comment 147 0x0 +Total 1095533 + + +$ cargo size --bin led-roulette --release -- -A + Finished release [optimized + debuginfo] target(s) in 0.02s led-roulette : section size addr -.vector_table 392 0x8000000 -.text 1826 0x8000188 -.rodata 84 0x80008ac +.vector_table 168 0x0 +.text 4044 0xa8 +.rodata 692 0x1074 .data 0 0x20000000 -.bss 4 0x20000000 -.debug_str 23334 0x0 -.debug_loc 6964 0x0 -.debug_abbrev 1337 0x0 -.debug_info 40582 0x0 -.debug_ranges 2936 0x0 -.debug_macinfo 1 0x0 -.debug_pubnames 5470 0x0 -.debug_pubtypes 10016 0x0 -.ARM.attributes 58 0x0 -.debug_frame 164 0x0 -.debug_line 9081 0x0 -.comment 18 0x0 -Total 102267 +.bss 1076 0x20000000 +.uninit 0 0x20000434 +.debug_loc 7520 0x0 +.debug_abbrev 3444 0x0 +.debug_info 55229 0x0 +.debug_aranges 1144 0x0 +.debug_ranges 3608 0x0 +.debug_str 48267 0x0 +.debug_pubnames 15435 0x0 +.debug_pubtypes 15970 0x0 +.ARM.attributes 50 0x0 +.debug_frame 2152 0x0 +.debug_line 17050 0x0 +.comment 147 0x0 +Total 175996 ``` > **NOTE** The Cargo project is already configured to build the release binary using LTO. -Know how to read this output? The `text` section contains the program instructions. It's around 2KB +Know how to read this output? The `text` section contains the program instructions. It's around 4KB in my case. On the other hand, the `data` and `bss` sections contain variables statically allocated -in RAM (`static` variables). A `static` variable is being used in `aux5::init`; that's why it shows 4 -bytes of `bss`. - -One final thing! We have been running our programs from within GDB but our programs don't depend on -GDB at all. You can confirm this be closing both GDB and OpenOCD and then resetting the board by -pressing the black button on the board. The LED roulette application will run without intervention -of GDB. +in RAM (`static` variables). diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index b023849fc..973f06a97 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -3,73 +3,24 @@ You are now well armed to face a challenge! Your task will be to implement the application I showed you at the beginning of this chapter. -Here's the GIF again: -

    - +

    -Also, this may help: +If you can't exactly see what's happening here it is in a much slower version:

    - +

    -This is a timing diagram. It indicates which LED is on at any given instant of time and for how long -each LED should be on. On the X axis we have the time in milliseconds. The timing diagram shows a -single period. This pattern will repeat itself every 800 ms. The Y axis labels each LED with a -cardinal point: North, East, etc. As part of the challenge you'll have to figure out how each -element in the `Leds` array maps to these cardinal points (hint: `cargo doc --open` `;-)`). - -Before you attempt this challenge, let me give you one last tip. Our GDB sessions always involve -entering the same commands at the beginning. We can use a `.gdb` file to execute some commands -right after GDB is started. This way you can save yourself the effort of having to enter them -manually on each GDB session. - -Place this `openocd.gdb` file in the root of the Cargo project, right next to the `Cargo.toml`: - -``` console -$ cat openocd.gdb -``` - -``` text -target remote :3333 -load -break main -continue -``` - -Then modify the second line of the `.cargo/config` file: - -``` console -$ cat .cargo/config -``` - -``` toml -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" # <- -rustflags = [ - "-C", "link-arg=-Tlink.x", -] -``` - -With that in place, you should now be able to start a `gdb` session that will automatically flash -the program and jump to the beginning of `main`: +2 hints before you start: -``` console -$ cargo run --target thumbv7em-none-eabihf - Running `arm-none-eabi-gdb -q -x openocd.gdb target/thumbv7em-none-eabihf/debug/led-roulette` -Reading symbols from target/thumbv7em-none-eabihf/debug/led-roulette...done. -(..) -Loading section .vector_table, size 0x188 lma 0x8000000 -Loading section .text, size 0x3b20 lma 0x8000188 -Loading section .rodata, size 0xb0c lma 0x8003cc0 -Start address 0x8003b1c, load size 18356 -Transfer rate: 20 KB/sec, 6118 bytes/write. -Breakpoint 1 at 0x800018c: file src/05-led-roulette/src/main.rs, line 9. -Note: automatically using hardware breakpoints for read-only addresses. +1. As we learned before the LED matrix of the micro:bit is actually a 3x9 while being exposed as a 5x5. Furthermore + it seems like the 9 columns and 3 rows are more or less randomly mapped to the visual 5x5 matrix. If you don't want + to go through the effort of figuring out the pins you have to set high/low in order to blink the border of the + matrix, here is the list: `(R1, C1) (R2, C4) (R1, C2), (R2, C5) (R1, C3) (R3, C8) (R2, C1) (R1, C4) (R3, C2) (R2, + C6) (R3, C1) (R2, C7) (R3, C3) (R1, C8) (R2, C2) (R3, C4)` -Breakpoint 1, main () at src/05-led-roulette/src/main.rs:9 -9 let (mut delay, mut leds): (Delay, Leds) = aux5::init(); -(gdb) -``` +2. If you are thinking about storing columns and rows in arrays you will quickly notice they are of different type since + all GPIO pins are represented as their own type. However you can call `.degrade()` on the individual GPIO objects in + order to "degrade" them all into the same type and then store them in an array. From 1421432681e9f3131c277093d45ae3578a0fd2ed Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 7 Oct 2020 14:39:01 +0200 Subject: [PATCH 113/313] add indication to put the example code into src/main.rs --- src/05-led-roulette/it-blinks.md | 8 ++++---- src/05-led-roulette/light-it-up.md | 4 ++-- 2 files changed, 6 insertions(+), 6 deletions(-) diff --git a/src/05-led-roulette/it-blinks.md b/src/05-led-roulette/it-blinks.md index 01213b41d..17b572abd 100644 --- a/src/05-led-roulette/it-blinks.md +++ b/src/05-led-roulette/it-blinks.md @@ -55,8 +55,8 @@ enabled = true enabled = false ``` -And now after a quick `cargo embed` you should see "`1000 ms passed`" being sent to your console -every second from your MCU. +And now after putting the code into `src/main.rs` and another quick `cargo embed` you should see +"`1000 ms passed`" being sent to your console every second from your MCU. ## Blinking @@ -98,5 +98,5 @@ fn main() -> ! { } ``` -And after another `cargo embed` you should see the LED we light up before blinking as well as a print, every -time the LED changes from off to on and vice versa. +And after putting the code into `src/main.rs` and a final `cargo embed` you should see the LED we light up before +blinking as well as a print, every time the LED changes from off to on and vice versa. diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md index 6a80dbc25..229d25b98 100644 --- a/src/05-led-roulette/light-it-up.md +++ b/src/05-led-roulette/light-it-up.md @@ -97,8 +97,8 @@ that is highly unlikely in our case, we can just `unwrap()` the result. ## Testing it -Testing our little program is quite simple. We simply have to run `cargo-embed` again, let it flash and just like before, -open our GDB and connect to the GDB stub: +Testing our little program is quite simple. First put it into `src/mains.rs`. Afterwards we simply have to run `cargo-embed` +again, let it flash and just like before, open our GDB and connect to the GDB stub: ``` $ gdb target/thumbv6m-none-eabi/debug/led-roulette From 9a14384707b8cfb73ac01f83fffd493660728209 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 7 Oct 2020 15:18:07 +0200 Subject: [PATCH 114/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/05-led-roulette/my-solution.md | 2 +- src/05-led-roulette/the-challenge.md | 6 +++--- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/src/05-led-roulette/my-solution.md b/src/05-led-roulette/my-solution.md index 4d86b900f..a9c2614ed 100644 --- a/src/05-led-roulette/my-solution.md +++ b/src/05-led-roulette/my-solution.md @@ -72,7 +72,7 @@ fn main() -> ! { One more thing! Check that your solution also works when compiled in "release" mode: ``` console -$ cargo-embed --release +$ cargo embed --release ``` If you want to debug your "release" mode binary you'll have to use a different GDB command: diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index 973f06a97..548c06e04 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -15,12 +15,12 @@ If you can't exactly see what's happening here it is in a much slower version: 2 hints before you start: -1. As we learned before the LED matrix of the micro:bit is actually a 3x9 while being exposed as a 5x5. Furthermore +1. As we learned before the LED matrix of the micro:bit is actually a 3x9 while being exposed as a 5x5. Furthermore, it seems like the 9 columns and 3 rows are more or less randomly mapped to the visual 5x5 matrix. If you don't want to go through the effort of figuring out the pins you have to set high/low in order to blink the border of the matrix, here is the list: `(R1, C1) (R2, C4) (R1, C2), (R2, C5) (R1, C3) (R3, C8) (R2, C1) (R1, C4) (R3, C2) (R2, C6) (R3, C1) (R2, C7) (R3, C3) (R1, C8) (R2, C2) (R3, C4)` -2. If you are thinking about storing columns and rows in arrays you will quickly notice they are of different type since - all GPIO pins are represented as their own type. However you can call `.degrade()` on the individual GPIO objects in +2. If you are thinking about storing columns and rows in arrays you will quickly notice they are of different types since + all GPIO pins are represented as their own type. However, you can call `.degrade()` on the individual GPIO objects in order to "degrade" them all into the same type and then store them in an array. From 759a9ee75289bc989928ded6cb9647d8c1201200 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 7 Oct 2020 16:04:10 +0200 Subject: [PATCH 115/313] host videos on website --- src/05-led-roulette/README.md | 2 +- src/05-led-roulette/the-challenge.md | 4 ++-- src/assets/roulette_fast.mp4 | Bin 0 -> 990767 bytes src/assets/roulette_slow.mp4 | Bin 0 -> 750575 bytes 4 files changed, 3 insertions(+), 3 deletions(-) create mode 100644 src/assets/roulette_fast.mp4 create mode 100644 src/assets/roulette_slow.mp4 diff --git a/src/05-led-roulette/README.md b/src/05-led-roulette/README.md index 6144fce2d..b3e928d6a 100644 --- a/src/05-led-roulette/README.md +++ b/src/05-led-roulette/README.md @@ -3,7 +3,7 @@ Alright, let's start by building the following application:

    - +

    I'm going to give you a high level API to implement this app but don't worry we'll do low level diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index 548c06e04..2067ff76c 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -4,13 +4,13 @@ You are now well armed to face a challenge! Your task will be to implement the a you at the beginning of this chapter.

    - +

    If you can't exactly see what's happening here it is in a much slower version:

    - +

    2 hints before you start: diff --git a/src/assets/roulette_fast.mp4 b/src/assets/roulette_fast.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..fec3f6c05d5083ba5b1cadd304125d73276dddfa GIT binary patch literal 990767 zcmZsD2Ut_fws2_DtMnQW1VO4GC}3zRs5F%#Ez*0h(gFwqq9Pz7AXNnfX$sN_f{2I+ z(jqlqEpP`i8k`i4Lt5HIf#Hz#uYw?g$B0%7chP(c2C{(t$e4jKKAzSjTe{J$Vd z2!tvlD8Sy6OtcB|{L3cQ-x&X`jcoUywyvXqL zz@WhYB;*gzgFi_lw|~mtb^e8*>L3qWpA7yv{*?d4@h|k>YF+@yJq5B>T?(BJ5ikeg zbtSjsG286XbL1pJPLL}K7gk;+Hwp-iTkqZ07&1R^V9;M-{iEoQ4J&dJLvA_A-u?;q zpYjL)=MW_09RDE5R)RtQ9E3IY)rA-i`E0^!z%K+f_&AQBh|1V+{&K-R%0OP1Xu z%kq=yWLYV52;|RnmE9!MRv-|ObTUlVb*2phksaeJ`zbQ* z$|thyI0RyRmfYSX`z1!U$4vH#jN^(YkAa)aPo6D&$q)z+SudX|xz9z8N8rEYjAqGv zY2WkxxXHP4^!-=Z|Kx(2Y#-tf>=Zi~fDulb1?>y`LZ1 z*8eZE?*Cd_5^sZi|0oo4vj0n%tP}#dVISh6qAI7VD5t2PKomYm+LLGeroS}-!YR~|3{~T1s@w|$v!XJXZ z92p^RXma_gtTI&FT$jx04j_C9jH0j~0YtjM_qd3%w0eEovleSHEiLLKcL>>V`~pyZ{gsRDI!cJT6b^wd4EA<|1_nEjAr+`!;5|*nKQ%nSNmEfyfh zpx*9b&Q9<@8vjVZ1MGcVoi!EDLmk}$e7)`AWKl(EP=K?SmwO-?QVUaaattE7;OOs7 zuAQ9iox**6oHdmc&nhZHUF-vc;C_Lg?tWy}e=G3!gZsL;1Uml_3UUh|3j}JaLA`u^ zJ?-7d9r%B6O3*+rcSo`-|3N4~eFFY6;ppydAN0o!cb_2V055wo6B+N|6&zrH5ANve z?Pvd&L*xjNH*I$xvI;U|fc+mHmjHWj=Rh(P>g?|77UV~UJe}{6$(l+k{{i9T-H_ac z20A+XI6DRhX(}uHu@qqcCny2Vfo^1>07v-$c>ISR;Hc>s-~#n_AV=npXXK8ilCqov z)c=n^nhJ8~$)MjK#fpCc_F+j3^YXuno?oKyc6t1%83U%ImsgUD6$P;?o!0^Dk%=NzC)IFP z0ayZ3NHbNN^fA5j$gI9DuG=lU>YKprb-lI2`oi_0D)bG3Q42G;C5q>w`bId9!sU^v z;ug+0YYU#M=Ox&^Ro8HeTg)HRSp~)%D?X06R{PvamHHwkkDLQXVhk;<{;wDRR-POE zS9w#_y#DV`(<{aq?=^QyBQI=^z9%4F%p1S~UJlq7JaMm*9F`UD% z_0XG}0=ENa^PGW8Zo2hX%6iH(F>9PZJMpHi7i;~_l%JgH6LhrNr8v2 zRrmyDVRG@C1(6Eyg;$2-1+UY84wnU8>m~N)*GnJXAEU|ad2W_fuIf8kdYS85>3!9G z#dMhl_}Ym}Z#+EEoBLh$DBIw_KG*-<{;T&tb)e$dndPa~8(O;kCmFWiZ^x}7h!>wm zQ93^)u7lTLw#IC~d1r=bR-88XRL!0#t4jCl!Z20peJ3C5$v(U;*eSko`yNBSZz_e;m5`AAkRY_~W);odN)#!gncQof_W6sifMsVru@Y{!Q)HX0K;M*-W7y zCv##3yBN$cjUaJMec9#^V^#IoVoX$NCYZ^2{9?*0DY>^1a3YvwEQ9EYNXV{v7lcfL zxH-dkv|dR^dA3EOZI-S5g@!#p{$x_G72k~F5o8nx!5p72b@srgq!Je#s zeCed#`GK@z`xa;|%oCo^T9PnGLNelGy!o}I<0=WL2RpI z6{(ejJr@btaj@JxF%GLJO(P}7u$BIrng5+dWm5ktF)!ZCb zk?yue5D{C(I@>TipFq3DyL~ddFbUe^`-p<7Z2V4v+QX?cPJP=5uw9mXj(6WCnxmWo z7~hx#JzH$w{WIS7>)O|}0WinVpYMSH1er5j6E>2$u+62PEEuqGWYL?W_&|3T4fco0 zz1vMmGF{{LN7qIW2V<&dU-f~7b%re1OZUtYzN*syze@gRxgZzOEL|}VH=2LVOVd!u zV)QwacH_0nBzlH;FJg@g-=(m9%)5yIy8)C7x~Z2%cT8wWOwq^e*N;!^cjV}^^pLDc zg^1 zf||g|Qws`6p|tVpEe(mtLP*3<>tj*sGjsYW*r~AT&k7BPJtsH|v*Mx1>La7GJ_8M_ zq{n6cRE{=wa!}#%P9IMO#h2)2<0DGKm^m!+M6PkSM+AcOnm6Qp?TPq^>KW4d%-&O- zfXDKnBp=P4*e}DTCtVP}{B-QtD~;-B!sIrCgBC^a=7Om*fs?Po!nlI!qJV0_C!c9# z{CFp<6d&PD+RjNlhT%%1ejJ9fD(y6O z+4~#w4m$KN9k?B0uVN~r>s|Ntkt8EMtwbaXE5BZhOc-7KjlLt5s5AuW{MI4SmF*2j zB&S^u;S4vID{M6MNbii}vu`r)eD>}!B8wBjfZ$v5!B8Gfde4?~CrsztS!pZ$ttmRr zP8z~g0!5PjvyYV?!R(1seWrG6C%@2+i9TmI4WrZAS=xeW3QdIKm(?(M8Yl zC7)NG^z+Hjo^R>220I=6%HIYhf?r-b{TS3?nZ*AwgB$O}-Q~I#f(7_}Hqs%3q9+Cr za?jDjKp#yP)SIfK=6zvF-_b>q9spa*KS;y{OJ$C2(+H8zMMY;jq1k@v@tWV;Mt=^k z+^}evOWBKN{Ol*^E<>QdMt~YbzC;4%uGC8__?UTiF^Q$ihn;SVr-+CNj_>GdQVyGh z0N4Y4;IJBAzNM*G0C4Ne2&q9GfM!0r*m;{85x0LK)}{?T@=2n+x-UAd^_N#g)v22A zbB$Xchd&sUUJ=1$A3s98%4%6@Id*BPtFSCz5>?61{t;Y29of1?%0yY7(~?5TuMtdY z^UFvj1_^>&z`d-o6^`D^uWP%mf@-PR93j3NJ4W2<85b_3#!ltP9(#b{rEeFK+SG|# zyjORJiZtjFf1`nM*$PECu7Fe^JUhBd$_aO$vpM&Qcqy+T>`)UiJh>g0g*YJDh&40D zKE6)vMJOf0S-qZ43*Pm*68jClhD#w$# zELAwNh=*PGe_~?hm9DpDzeYtX6$Pq956AdVKN#@&D*E6o&j?{|deZ~! z;{?{>NF`#kEn_hRb4wNMn1j+U<*nxK#lkjCV+RXRwlvz+Oc-~!+3M;(^~JkgsA#IX z*0ilbJllhEv8QkdZ=3goZ#D5)eYY)|O^;HhrJ0x~GWJ53hD)XaNjzgAUQ}{EvTcr@ zY89&gg}nB=7{*oT8n4G~z|R7v_V2lePdat4J~co!fG_y?7log5vk~iux2Ws?*GX0< zHukBE0(F6Y4;fdNqatE{>!ry{w;!1aQa|{4w1#XTvVR=yEN6_Y#v=A~T5t$L zd8#S@E%FIuJK?&qF-YK4#)uRH^W1<+dMW2o@-`&RaLon}R-eI&#fKgBM9^%t!f%6P z9Ma&QkF3X>evD#}@=J@O=T|RzU2n4VTaT8cmgk?qnixAJp#}KGoA&Z~(3pK7X`HVx z8~3?JMKWuLp%?woZz^2te!%#{J&(^ew*Zf@btp6*HnVam9dl;(1C&A_${n)_K1bPQ zM;|g=$$+7OQalZNj^3I!^vT#(h7YFf7G+-CW87pZmGwT+94+Ip^U=A6_RCye62JBqG_gocu|Ngj0 zS!9?R`;SbFh}+PA7ZhLx)rduS$4z9G@QB55NIttBL z`A+cmd}5_Gi$@8al=m4J@Wo#xW{sf7+6$=DYkvNkmjp=qbV_4FD27NBe269jrP7;H zXje-MRF_W0`fTT^FO3x;6C;Q+A`6<EA$gT`m6xv zn*hIELZ!I^;~GID!{+so06}N}`3>m76V3o&%qf~@d=y#>a)!JLATyn!)`C?{S^Po) zwP6oTR<-A{>A_LZMwBPsuP$s3;29rzKOwAHy^#FJW?p6@_M$NI`t?pFYP2H`F~`IF zSVXen*pu!n!$fkKcf(H zzLjpe*_2h$E%@I(VJo)kXu@8?Z()wkW&|-rR7y*!Bouqkl2k(fpRY9kx>$Q90)WdF z@v!~0Hfw88j8A719;k7gDnNC=}N_-MFm9@#Z^S{bWw2 zFN#r*qV6ysytOAF&wN2SUv3jAPQOCu7`ky>&PyT~f2)HQt}4QKkGLa>AARLHMHt1=H1l>tH6b@wdgf+5rT3q{DI! zn3-YCoI$Qk51l}n&Y3fu0;l*kopd}K;#zw0=!@AJ7Ki{tujsg0aO8#GFTTh|v0B%M z##~;F%lUf(*VG!fAM{^frL<3I3!Bnkg;Xw_hJkkvp3=zQ?4Dk=vM^Hpb>%KaGXDCD zVM9&px5W0z?K5`Ap_dl=R==6&vY@kSepNJ)RwprG=4Zthtw++8~0`# z9}H0uKm7H`vLR~q@P+pQP#&;9=CV&^=kFbrIhMv9w=Jwt83LR;-kWgJP*|C15x z(^q&1=!OFX<2O$WbW1z_wRYH3%5xFzcCE;tW55+h-kbJItA~3HLEWv{N3PQGcPJH_ zL%}d9$v;YO+weVB*wRngb5L45`w_cBl!(Vr`aP?5S_VEPrsgaqbCV|-D$w0WXQPxa z6YWH})KYiPraWhphzvcmzjK4>l<)oOg1IXy48|+Otlv2tPiVNa19eCbQ*i&-x9k>D zpXl{Cm;q37hgKq9!MulvjAnmI8A94cd?2aI@DE@FCS_QM*|5j+h&mN&*&q&(smd)Ee4CAlJ3hT`Vy0YPX{GmwYRu8f}nIM{eB-Y zx@}X9U-;Srt=t_C;p% zm<@ps2P(>+Q<+Kr^tmSHVS5!5e~bzLLt~4k!c#=p_x`&v%JvBP$g@1J`-iSkKN%q~ zaL^h32B?zUlh>$22P|}qApV%4X;69$x|b*$(N$6I=4|i#jmA7KLFpbX9kG;(0Kz?P zgY;~bXL~X|^hMWCY+h2Z>s!Axf>jqGD$E1rTY8PQq2z>rScf9eolvB~f>hJQx`gIb4AK%$dJUhMEqU7aal=L`SRT=PK<(!8$T z_9jsz>cg+AkD9|a+~M#0zk5>>PbJSJYzn$mG$2pLd%giC6P+C9d3ckLnIlWOp2q8} z?F?*|)Up>!+miE!!6clha+6iL*mBDz_ngc9tkw5*u4Q*Ro(Y$>+7$a58=XF8>x^m- zb4R#2{{C2xs^?H0a@h(_DllVDVUq<{uhR4Kd@we5xxu8zY`dNM5-n|`pEk7 zqzQEA)^)4^(jnSc*x0T>4j7^B%T!D)D$sAthD*_X)4w<^>dUfPZ7k9v z%w{T5L%swUA1FoRA{_9q61cb$rB1v>e!+cypc-mPE3T80bgguJh6>H%eK|hk#Ne^4 z@B1F)Mk`wvH~eA4@y2n1@>Q?d+rq{)vSD!#sPxOPTbwA6< zV~N4ra__1OdVk$X{{00XU0VEgS&9kg3a^Y(xbi#2m!Ju!Vp1d2qBIMDB*P8JUE!OOrO`<9$$gm^#Y-z6S5;w?-~bdX`BT*q zx8J`YG{q#H2#+NB%>hT(Jyo-Vb55^uD-I6eFj07KHKLQ|&85Z-MzOu)&WxBB*s+Ku z03I=mUNfb7dXJt$gVsXh17prSdIBXv)v#Szyic0x;V?dIoL2ez5I4r*DklbjoBq=! zV>sz?k@&+wyJu2I(nS+j*8rkMOEJll-(=&vJ004P&%0o937fm1s?CTBzTN6ul7G@9 zv#Sios7XRUZ!Hk%m(DzvXvV&IReK~Lh2IDD-p%1|rxq&SRE|`H8pz-+dZ!TK>mqP8 z#!8>+fYemFM&w@GLe)La~%W7>x4Yf%eflPwit9FBMhmy z)&&3HlhL;R^C7V{uNSp_f8aC;|GQk0g`R6L8G54t;(C3u8e@1Vdy%s3w)%DrhNhVA za(Lar(vt@lZs+3Hjh-i>b&dIN;6H4kKO-|yxj#7-TLN(iVIBfYJR@soRJ=_ zZQb1wX)p(7$qwn&i_#`xmcr|ZV+@E&T^}pEwfDob5!q3_6TsvVv4-C+or{~{OMya*VIqH|*{RqODvb zEU%eTmVvhnsuZ+k*U1%9uq5aHltqKU+4UdJ_$d0x+NNht0xbepxL6Sd-KX0)QWq;G zMdmjAt7j4hi14Yi+M-H7xOw510ou1T{_`$J&^hobVCN)cC&ER zVp6CN*vI73uE&+Hc7AXAbl>6qONmoQ2N_+Adx`5kIVg`EQgT-clZ`0G{$Blfc+O|dD@h%d-^pyWvJEfZHE0*W$|@0_`Ya)GcR2|B7BRI5UFWB%sYe=>q(@3}yML&(n>b9wC$ow215u&U+!uv4B z~N$apn7MZ~TlG-Ce_QDl~R%X(i%54<;>CVGHc33Fc!Fcr0WbUQ{E*oX# z)aIkvez?-MKKzf{A>!g*Hs$BzFKW@X*&#DPJRgL;)Xsl-a~&|;O^SS*yg7gcb>g!U zyFbi8?>^9ZPoXw>jLazA#O-WS5xLw=(Ue{bZ8eY6#z`> zfkN?F+5`g)t;Oxph9@2jeOY(zZd!b1ed@W_8m{wd)t-`PBPcz{q2r@`Ff! zf0o$6n;)`Fj;RCKukE!~GuXxSDbkoET28{OFl)7R5p&wtuVsWuDDYhAHrc}l0lGv27a@)5So4G5`Dei5=<{O8szen&A*_*5h4fhbPE7<*of6hUgH#2p~q8atJiwM=~Cb2NwZ*DG#{#MizDr6w;Vmt7ICJwpSnv3pxvVfT);KlAn zbPBn8QpO3f&8yYr@S9G+=P}2KSy|N_TUTW6cP@+IW*BF6_oS~R?L1FNOLr&E+hM%d zJ#$3WB|}viPxIpe)e=g5YG)|$Q?iysl&mEVzP?)sM^*9p4Z%q5aRZxkXEn#s08!@5 zMtR4Y0Ei-xQ$(HDv8u;QF!0eVl5}?Fe(^IVL7V*OSjAmG77j*{Bg>s>U>aj-`uI}o zcs&EZBMqId)q;`g+$X~c8HcDqdxG&1Z~f27!AOvp+DN!dOBK&NwU>zW50hb4Jr;fL z?H>19n8J5w%VHGi8$?(Kr&_MG5$}3;bDm%%v~rh}Bg4 zSD)^=6_HAjATO44eP&Ig`fEeauTmeiGuYeZzD#P`>sfGo03wR?;+h^@C%N}6dU@N+ zpwCp%YT;gYX5k0_p>HMAvfv6~k*rd5XS-|Ud4xD_oDDns&^PB? z-*l9l5XLza0BQzjp)4NTC$uMMsSCxDRs5U3C7D_IBv60yauqr!n5f?lzX9hcD3H+T zrqDkNdAcS@o7bIp`pI~ey|c*Il$-Sp@JCraTO-@oBd+WgIUHQS{v$buALJtMQ!4qsG-BJ!g%T=}xov&NyarsJn z-~;Jgf}tGYDW?RpiQw(eLHJS7!sqs%=OS(1(VnDk;)Vsy`TF&DBvs!zZO0?cQ+4n2 zIj8lsOxv5>1Lf%&q0d?8YqM;NtNYTyRyK_RrN9th6dHUAyPbB17OY#T($8|ZmsqWD zwNGX8S*%{P>4>1QJuQ`YpW%&|V36VihwjZfVVzPBBxbP!I^rZ4RpOV)*iX@%>?DSfc2 z((cW=p}UmG34mszsCh2noPA)t$MbAm*6+o9px3X%(R-Sz``9C3V*mc~m9=l`gtvuN zMsL=tLdutpn<8~<>!PIc@U87^r=N#CY~RTBP6Y*vbROG_&A#8c+YguH2bYMH>gWQL z-S00?gBv%SqK-%k@AR2|?<0s!7iJUfe!1kR3yP(VZ^?f`awvq3soz61%v{q9M5LNw z7hpLAwvVK55)IgDr!!Li7_ge17y0tNWJm95d{bM0+G~tEqWZ?S^52RBC{sSuT$(7D_P@cvDlluh>OEqlPTt~^Cu8D9gVj? z7gaYus`;`HRP|Dji-ax`%(2PKn|A5@JL7-c#9A-=bmjf_ORdX02%=_@AbmqlFcC>O z1EqibeaJUs6MSRqa9Ke6!Z$mOCR#%s0c=^D08sU zm9`4sYr{HT2=gBbQF%@jegvDp!omf8YE*E$fY|=H$IbF-&W3N$blAj~i){s~3EQH= z>4703pnu3aK%4a97KPbq^@|f9&m+5imqX52jT}?+do7OQ;&??93_MYQr2LeIuWK<+ zd*P9}k#QA|HC1Yrc5Qfd@CfTE=8Ft~kw%f9Ic`pue-5>El&d$^G$1zyZ0B0Es?_$; z^72RGU~nFm_~Q|V=0zcz0l&dA$ZGJ8C(UAv)nAu;*sw=r!g%sw0uT)=;z-+&fPeCFf!PEOK{tf%p zK`kQd7ssa(lRoTUzwDO(X*#z)+GcRmm{6a(yV5Pvc!iPYxTvXJbGfwtxAH*lwo+Cj zBvIf_cvTxl9~LS7gE~BA7hpCC?hEsDqjniBhtr88oxCoGee1__8g%E5u!Y`4 zJSGDgwXQM5I6&5<=uH_<1-U=Va}7WN?V@7cjPs2#5G}n^uWuRCExoaT+je!6z>xvtfIs!-eJs?^r3NILl*A23%wD zGtD}pyS2w3u{ZZovGn&(ZEe9@I|Z7fUMHWYmcH|X{@IuvQUyh{AhZui-s5OQ!JIxhb*ix_l;D z4oagnb#nlSFAcT0USs35*F+OCAmUl!<~B)<7drNlyRup)9Q(7wA)Z>of@u53>shQl z4o<_h!HOjz=eF6SRz+NE9!O~55r7#pCn=O*1&n_mnf6owe1sT1qYW1W2M4p{0yr+B zrMQrWK1Q~aS1lxXs8F=Jd?w%0;a(n-8k6me=^+X8&M^xcpUhEKP3ns%lG0+Ha7F;E zg7tsh?6^#Sub9$(%TTaT>g^N~NaoTxG8Vn=z5kl|8xKIm`-yJiOTmqT&Kn(ujXw_Y z__xu68Eot~RshzJ;X|zEmMsD`>HXE9BjtSJlJPQWCf%Dcot`3ZtP}t-68bU9D!n^i z3E!}`L4LM%{}~DnpS!7b=DEv$LF+T%c8fZi>X`pt5&Xf=H;;3A*SMW1gmk+JtswHw z^HxXsbxC@)GuKox)jRJzIrOho&6*I42qOdbKS*OVrR!em>n%+?oAO zJn7dgsw!F(g%5Mmb~o7DMSV z{E@A7IedHWteY6(m^A(3q;+Z{j|aj4^G>=@93eY!8q8$U&_f=r1rOuj!XChlXZriS zRjoIuN`9vQXmmVt-s=tO#A;UAmks6YP6SZsZ5Oqw=>$zE>`Gd+2mzb0#P( zj~@WMIUV6L%Ttc?w-J*B(fAGcZv+-3D4aw~di#>6DJ8FrF2IeP?WTY>rupu6x&om_ zWJLUnr%c4OQ568D13*j9*4B~E8$YR4ofyr`0jP9`krDIsr%2cl+Lrc;I&;2P-EB$4 zuJ5W@`LvwbCb9KhJ0yv69!(RroyKx8Z1|`m{C4P}t{f$-gvJcjzYjc6bE-_i%4^@i z{=IPyk%0)u(endiDEpJ%)EUf9x%l?jE8TY$6`Kvo;z#QIC%DAfUJwza zobg|U)=g`Un>Y*fB?@hO2j1X@f$jY$>d@(_AT~@Rqw#IC1x0*g_X%EtHT#Tgjc~)< zsQH|}uC1_q;L6i;mJPFWt)6qw{nQ0S8o+RM`^t1#H;tcUAC-AMz^T(Oog*HS9$DH8 zDo6~%fp5_Y5#c*UF_k9dJ4K~f$Jm@8l)?S5<`S$#7Lb}pOq?>gXUcOM9&e36+4x#c z4?YJ$pGAmjTS77kFBncG?}l3CzU4KSSK|J}#YXiqkP($->kJ=j7vAoW!OewFB;);W zy@6NHYtLmYj$*KJS8He>VYxzDV%t%GZrgwKwux3+&?~v4^0u>`ZzH;lxrBm9^b(rd zH)I12uQRT-U*$%{oOur4er95fY?nciFY#(`aQ$um1oLtrQr@+F&E{0$3-~IkaAOj_ z1{MdP74Z_SS=d!jbd!zJ*T@*Qh-)AXX7FAx#**|^hqOsQg4PPWcVL>?`B=%>TW6s}k1Mik>Qxjm~Vg$2?-4Y2*#9!p6OVb(@z_dY-o7 z;r^P0wIA7Mrj?r5<|6qD-c^tXYAIr}K zEfLJ)Q$Lb#EkSCDTpA7|_JqE3zItSNfC=GQ|6m`ojjF(9XvX2o=jEZ~6I|g~O>9wk z)+GUKfS<+#N~U}RLWBRJ4oto0!}V{vCP%2O^5W6a&KsW@uMAdHM(0G{{?R&sBTe+? z2A|Bg>S@M+oFM9>C-{ST!Q@4{a5TE-LkyEKdVmCgfA^8eQz~Kn9swMkvZcv8id_@4 zN_bU1aXQyi zS3+gKA6fG(_LNbcGP%^UnuYsGnKFFk{MJZoYu;ujALNsG8Y@Q>MzC9Q-L5`|Htz1< zA7(Xn&12jvzuytMdGDOw5X;xWS8+lGs`3Ca_$=m8FDov}Gl0VpqS^ZrkH*~7`5bfA z^FwfSTR&^~&12!Q+OSrEQ2N(ry_4m=YL}9iTnnnyD*Hc*J!SXJ+Z|V{3XPoqG4$K( z1F=3;{x=4N8`Qd^`Qq$EiRuZvdgIRTCYRTqHCE3zA&xdN>-10c>5O*M-vHDO!(HR4 zkQS?8Uo-j5bgHNv-k>SH8EG`G$+EQI?bDtxv(AaOlyBl$Sto-TM{J#p`Ck<996{Lb z${>5m-){FIqZX^d>%)Z~p!9ufd%)TG;Fg~78JdXVAnlu4*GGR;SMTo>>1s(y>+3LR z>;pnNm$;HdB?>IOKUglB|M_@_m22J4d7~0Rj8NAxTYb|VUi~&;N5dy5qg>2}*@ z`rG%m%7)qRCIvk=>t0MGtn+t&QGSYC@HtV&xOFeMzVhyACLjJ8_l9m&L{i75Wfz`y z|0;W&sAqjHX7rX#AVQsU87IF@dK1APvQ~bOw}42$g0$*PkD*0WplW@$LHP7$?~qY+ zzNPrd@fMq_5h4ZCvp-^PX=bXUS&yThKyMkpIW$aZA^z8MCEhhTJf^^r3_Zm$`DgcmU!(N`J4=sYrs_sren`f4n%Y>|Ly zdRS2Ag;|GRyq6dqU$mbXebLTubj|Mvufp=-*H2onI}X$z!(UoBi!h#!aq%%AJGIdH{YP2mEn)6H@It3CFIC?RLJr{gs zI(Wo})Azjs+5zD_xg5?giI?2C$af;xf`dfT*qOGIxZpa~36$Z_`rqNKc+#dseMQAZxU?hbYA#m&&zex zR8$5_qW@)$bE>{c;mv+UVdYJN@V@>gr9=4@z6+Fj~+Q9nIQ9nw^lAFc}+5X|cn6#gT+oK-R zn6+4O7`^Yhv>6s?>hl5dhWftkZEiJ#fy}z&`iR&rv{dZjeU@Sbt?yaJVAJlYboG0F zX;zyb zr^O&1&bP;B>kZ0A*B_TY;H~{m-VLM-$v_fTk6> zZ=G-G@xkntQ9>F_WDgCKfxex+T@C32mFe#pR!01N_v8dPKVrHQfRlL>4!U6Noo zG~s6qoBro_TLkcFsWawqn@Xsi>!x1@)bAD?po7lNnWw-j5eN0#AL1F`?ZYu^hhs&q zI>0Ae6pNaF$rPpJ04I0z%Fl;qpud{G3Xyw!D>I?vCQE?ZWNeM4iKguK8NLD0nCpZy>M|B#hy&#Ty z1_vK*@3L9xzKM+-Iq{bHbjO*ImJ1AbWadti%uWM9LlqpP{mXw-S*M)9FS$JHaHG_6 zBCrRrKsxHL2qn_K5u(~*(_F zN$+DmRPRIxm%A3##=CZxt7WAexEX9&X#+AokKquH{{GX*&S!nc=&UCuI`=+v5j5Q_ z8dh-H>$2R^6o+a|ta3Iud-c&LYyK*f9h`Q%wiHA3Xn2|!_7YfipA(8`M&>f#VtAU7 zjR3_nXS$>r(LFHIAu3|$_ zF=uzhDFv^&eC8E)J=NWGCQs*c9)g4$1@X1qQpjTb61@^__@#QVnSEB7i$<0Go9_@x z90PO3m(joC*Lr{b)>kL@e4Cj?7+&&oTLGyfFi8MW$=4UEqAk7~_t>5(MEpm?YOg%r zvr8X#C<5Fc7_vMs{jxG2q7$mKAo6(Z`*Kx@;nYXpOk*oR>bIZycr;?mwX!lEQ`qVMn1>qNG>_YP~ceaCm6WAIPDCpHHL zhFHSkr2HI*=h2f9-U%bBVfExyjM)9Xul;&p3~48_V&z{wpC&O0tZE`H>iHVObF zi|J>P!}SRyop(bB8kG5XshQ*UpaOVb@M)V)z9IwrPUz#bHJsFH8=Rf83FM&FGn)jR z+y|`BrZ3G%qR?MuEL6uekzK`fv?sVSN{5uxExc>PQ09YiJ8l)@;?{`YvEzvfT|p$2 z+@vq~slXN=6{U`iMuQ`2UDVgk#ntHJ5CE<{n7yBw{a9(4Kz;)r11Z8`7e6<&qw}uh ztke_KaB77K9{C?fWu+_SL5xiCV`kLYYG3^I2|%o|KuH!Med=2F)~ygn`ONR~+UsXl zvG7vx;x4~PbUt?XV1JmtjN;X*a0?uGe>glo9`Ajb1#c@$uB%q;(lD;1iQ9(1if>!1NcUv}oPG4Uf&C{O#+ZkVC2OI9Be-&6KeYN7xb zO%X-He|f#gmtV__#)!!ml_nqAyj3#`$Xf1QybAYyS;&isgXY2> zi3J6Jd+}fQZ~xl(20OtJV)r3(qU!lclib_qC)`&{NolO6yE8Lr;1(Nva8jI3j-MUj zOKM`=0ovXkUUxzhMyS=#f&R&lXkv6e`9AD;=wxulq%Uz!g}UTce@T7;sfddbW8MIc z47Itp1OWKC7}vRrGzXn)6m-XxumWEgSYIXoG>b%31~Vs3j9q*T+Cso4eHq`iJ4o`o zxMe4uw%XC8U!*rgnzxrH&O5Y*L&dD~y*~~&0O2BvhgAhe=p)0MzK5X9P)C{>Gu&WI z%40Pdr(PHyo+O0Fp1=2cd9}m{4I1}c*cV=L8q17rx}(~q!SKA1jNZzR2-4O(Ty-#= zr^>u_9F6j`0HW^Z&D8;yOc;N^x>Bf)o%C)&%&AZYTo$R%&$$eSrkY9=1|B7k8*g-cs>fqy_lE`{2TlU?->S|qtwY9ZQUS(zR39Af~ z`%5|ZRdezmbBdV0nqXUHt8sh%CB@B9Ht_QQrSP98t7c3U?g`Agaw;l#q#mUl%HZ%< zD*tk%n*2utPTDzY(I-!$^n57%HYgofwbws)D(`+`6R)hBlAsnF`%p3aq`t038~n2{ zm-+4~uJn6*kzv)nbuocceRe&=E)!nqQ z=T8bU%V?N=!Vv7y{BBvyh@}TdJ#FSLv6e00_>xapH8!q3#bK#7x>eszluv!WboPB4 z$)%itcyfA-0nK+hkMGhVChe(O%rAlEv=S*OZtnDNw4ZL+;($R&J*NCsS1s*q>~#Wr zV)@6<(v{`TC2yave?dvt8#0?(lak}Y4X#3E^@jdQI4*@`n)`k{wqZ_wLJ7E zx&$-33p3a7Li|@0F8>zo*)?m{dRJa=HX03M>V9+@F&c_@RM;?zNj`XpWjTUO=>rZjet($Zh@m6mxdfZEWvHDlzP=tLjy;{Ic zlwLh?3&-B0v3d4&uaP~e@ki+h~+k| z(&>Nnlvy~fmKU$*!tguG1@vvmnGqddY_9)5uHFKu>Hq%&r@LEFN@4;^3J6H2pn!Ca z7C};J*yv6XkS+zJQMyJe0)ikRHClS~U~GH;_xb+*cmKQpZnwMlZhOb;_3Xzpm>aZT zN}g!c1p<-m4II_?gEf#XZ*(~>H1llK6#vLKd-?W1HEpSu`EA%!+p>9DF~PwvFGN3iQ8(zgq5eF6O5f#>p1>t?q1!87bolR)Mo_f7f2aR%_|MYTPKBw857Rm^AJwC82xLK+LJ7 z(8G7&n-4;za=$p6QUT!3n6wO$rGAZlST=yI|5eIWw_(QC-V~^M-0eB_vZcDZ`qEPN zu4Lr$C3JHISQyZA9v3SeZ$Nk2TvUr|=Jh_&x&!`McllaU=e6aF#DxZ{fq^#FcRlpI zb(2J>29wR3DhHXEO)Vebz!Yr%UPajQo?qP`({E~Td{YKuJDLzm<_clG=;e~qL zg@11YC}H}Mwo7nZKfhb=Me?e$&aBn0S>p`5IKoutE09n1-jTJ(t+%H2iF$$K-4g!q z&)$Nzi4~gPvg=wTTksGDWrD2VgAQQW1$$N2G^K{4n#P|I9HBnJK-go;@b&aWlgGq_7*Yk4m2Z!ZYF%!d zZh^(KPLBIItLrYc_Ac%(e!&N|)VXytF<0^Ony6iGQf%)2@RH4~_Q>Ij2pZy6hv>RQVuzFnE@WSZ3YF>j!kzEjDIr!Ay%79@&M5 zAU<~`uQxwPNKd-n=E?)H7>_V-c-Eb*evDgUgbD6t+9F5jqw$MClI4h4`N@T+>33($`Dr8cO z^CUjn(-F007rz4Pe7y?HNX0iUugGDpI>lFCrNFY?Pw)ORJaLdnmdNW#$F&T~ z@8CwTMANz9)vQdvV1QRmZ*(~}T5>I^x;*fYw|y@EZfXlWzq3AhuUq`x!?v~fpEaJ$ z5~rBo?f>=zvnuDDhlEvnXzZf}p?%0PAOqX^5I1*s`33!bC*5p8UB3&)ZBO zk~&~?OrThpV;Q6fnfEh+4_vFBvflt!U2oh=H1(Fj$pjS-PY*+PyUflmr(1;YklCwn zxCFoUh_(rX+(?}Cd^VXjb|ntFDze{v)WVkj5DW)!YR7Dowq+Ar1vK>59fe(P8NQ6> z5)8<*8dP9%YnkmOL)Ey?r|scZz3kK6T4K!)J{0ji)7g44iXIi|FP3$b!l14D`BH?U zm%b!J4L!S)bM3C_Ihis@RPXqlCm36=5AW$c?ZtXM;ELFqq&2-X`D0Bd=Cy^fFH>>W z^@Cw=`TNBpFInTS!>^OVMZScJ4mjf_mXPfllx^&nq1kUnpOn5z8j6j&+d&87t!nR_ zGZwJo=3~gy3M&uvC?FcmLMy=pUXOiVbjEb<(q*_+Wu53-)>o%Oy5Cwe;HdfF$B?s4 z+qkXu7(cx5V>RXbJ3nIDaL=WUYQw3a2Dqw~t;f&B9HPe!S!V=iLes)@Od#i^!6RK9S85kW(P`GyK*jj{Ac?Q{)<9Ua5m7j*TyooGK5 zFE>-n7-_^AnLOP)w8}!#SV*z*8Y^k37K1QaqIVi*hJ-E{@){E2|ybZdO$Jvu&b$cv2UUa;NppxfWrpx3YLC zrnv6xXK9)8{mT-DnH_NxYg##PizA{WVq&SLg{t)5#RA}cx=WraVmP?a8nlnK#Pg^Oib1?n`qRX=z{Fx_ggmO z`sp6knZR*R*BF&Aiki$1kY&cVd&HL;)YH3gBFx0}KyyGnHtUV^<+XM+wPAlh*ld^) zIuXltOB*}?y>aSiTtmlW$D5DhZ{p?3$=uJdxkGoaV=4459nP@c60g|EuV=&D0yPvF zoJrqa%mV?Dk=~ZKw2OQ1A&HS(kqax}KJ;A2a3pCNut@*(9e?th&-SRu`?d%{Ta@si ze^!Uv(uL=*pUh^ToRU7NI|tvAi{FQ-K#{S_WHw5dk9*|XR#%{vi9~4gaKk!Z*Eos6 z1^u?i^OZomZLeK?gJQD;t1W!l)0rG}M9Ac-y>HxW;~q^P_mD8+)4e6|fJHOkV-Q~q zLE%5-_KD&`pHKt~X=9zUP^I@h{ewDK&QCjDbq5vG7L*1a$rG<5I4-y6rhml~tok z)&Z9GToL{JNZ4<1H89?GCVZnPcZ5qmT!))vmXfoUK0MK1Q7F!j&>-L_=4$H8Br*{> z$hPkrmlLl2p-|ZRH)L3X6x=sLV8?0_wmc>&m{9oQZifHWszQC&+c(U1CT+eDQ9CRx z0nbZ{#g^0tvC@%Ue?9cLcC`7stTg6T&$gV+SaN|xWRN>8zIx+3dIq8yynN!1Oz#@Z z9#PgBQI?Qb1(xogob{6U_^dvYO7MS0N&2p-kYXVx;M${rSO$-i<7u1k%+YEcq)YkZ zz};*ardRzco8mH!x@O2=YTC8@uCCP-Jg!Kq-s3`I1%6_!0IQzuvGTP32ZhK-ud(Fv zJ*UZsJttZ#zZcnc^<%xWcW41b$WsFOeL#KnE>dbfWT$7fnX;|L?6bkDG(86fS_!V4 zHB5~8!ysh=vk8!?Jg1d?)^m;!1=_$1M4woq=rk4eE|qfXqt?A0Sc#%dIvAB53Ht7n z*cCJhc78s!iSF0WtzJ7>mwQck-z=VthVBKSrPNSYT(i{AM!WjEP&<|%MBxPKWTPv* z)&w62(-}=oOrX021^CLc=@tw?%_nMGvz0i9KF;|72>N!>YvFR{&-=))6w)%i^*8j! zomf{U?nJ~C8D8jvfUd6Mt{z0WGU-}z$jWLYDV1cnhR(t)1Xu#5qTM@5vO-_eP&}zL z@74zo)nLHcO=wk^0{tqo##Z~)%IuA2epfx&M9A+|OF6N-QrdlP`nT@@w9CC=y*H8( z9NIJ+vr5=Bkp^2DJ%V;ldXfkbcTCE!hZ7Nt2Fs2-ZOi^SH-Y0FU-!74Rn=o2%sx1M zMof#$0+Tipv(d^*;@K*nFlAp9p-CzpB#spPp$ylztE%s;**}dtWJsqh5y5|jYXcTA z^815WX$Bru;U4x6#z*3g;ex*3FAW;2KATX=l4Rm;CYy7NNX}Y$*UAb#dx@e38T$N)Dma|Sw_4@CIu(OjGFhKRw7uG-&uv7{sbfI>;lI9RBciH;k`F{jYc}S} zM0Ot^@M<;#9EODWk9;Bs9~d&plK(rQUZ96itI#pT=JoZf9k0k{|6cMH4!I(%r~S0k zG|0{RtzBc5Qqr~9-rya@i{n$j++4jLP1C_AtyU``4v5;0xCFddECak7ZUcm?-9V|{ z_aC6UjwzQ|^d2yGEt`TSS2n)w09=dOt}zgPQMNB3_`+isJnXVxDam_6{gpT_kAM^b z%v9Wd^uIG+=x!W9^eO~sF)&=&KL&`NuAU&y=2eRqS-ir?Gzn99`pEJR-e93~Qt9xo z+uEk_qGk+~(Ot-ZR{JoQ(3c-5_3I;wDzVxI%H>QPiSUrbmDOW0ko}+&h|;YVyx-Z= zZ+`#4azNX&5qwB5`srN&SvyAm^72P=ihVmK z#JBU&ncrIF?n}S@_?ETA+Yi*I28e+bPS_rVXjk!DMlvYwBK$UCL{>fb;AN9u{mh8@-(35Jr29!h zvY@ja>us^uOajeNK(KYNB7BQTAmAt;1ig}azPfiioP|J#d#eh6piLBN^7II`YU({v z(SmvF2b`_H&iSc_t#2DR_J=l%Qskjvb3t+!Pq})dpT3jsO$d?aZtCEUu0Ot9W_}if zTm55%zrXsEl})%PY?%=evYcSeV1rt`ubf_gEd4VlO9X7T->`^+&F%!a z;}k=AZwBX!maVO`%9*W@&aNjIq_rnZ>?5USDk(H`cwGy>}ML(TnY;hHF8{J#Ty9Y@cg3neOc^4AZ2| z4LwL00%^Rl*T9pnoRLY}YrDN7*9qwAj`tbijg<3$`%y)O)uo^rh-TXO>j6-KI0}mQ zmS);mKQLs#19p$apHV}F;0rum$g8%qI!w(xk=ZP^Ay_Mrx4OH~7WYgCH5j7?$unj}v-~G}0zx)?9%l7!En(%##Y02-C&z*o*qQP0HRD{TJj0?X}c08Wwo)MO=}du-bv@V4sN>*JNZQ* zZx~*UEfl&!q@)wNe{Bwh$A{e34LuzWPTV)lg2nhmyap;RE2-8MNxpj&YJB~3HCfJe z9(pS|zZo@V-qmZJ^Wfjy^B8Zs{W(bE_XE+f%35c5xssdgD*_?x3&quoAVBcS9RPc0 z3ufNJoWxVTlh+~^cKWyL7c*v#m;t2y!+n>cN3a8xu$cpxaD* zNFORiL|6Q#Xj&7Q8W}%|37+T=Ez9R!8Ev6%2r`f&bUplK5*$Fp zzqEGImKJ3|P8JI;#a0kK$-!l%k2b_u(}xbCp!#iZioS)C#~{f5fa&g@Vel|sNyvP`pU4Owl->)-(VwvvR!LoHpg36IJ+^TIFB|H;e~-eDZDa#*xT}f_H1Xbi1}1?f`Qay_0z-K2Uu+Rud-F?P z?3?&?$xp*o<^7Ro0Z@HNAuyA_y1_Re$#420uXXtgH$vD(b*9U{5+5;8C!=(ntBA`0 z6%JQ3x=%x69OhOIV0?qRrgQq5|NWiFsQ)@$X9BXqi?W76=QE|Ich?Je>|T_G0pRDY zqh}_)6;Ed=N-i@Ld>HNQ#1348kuiP>te(Y~*e z0-nzhvN@vlctrd`8|`%$@Bi?Iu77^kttzomN?-wd3{fEE8^Ap9(IEQTpC~ah1AH=S zT$X(#3x^RITAYVI%j7kq`!b9$X7s&Ln#cN)!I^CD)jfH^AVY|CHfP^Z9(uIR{$Hg0k8Ar z)~4=#7>^)(@Rt918@gER!tOo6Ny(}()2s1T+(nx-|NAhnb&K!TGTN$rHM|CDY71cU ziucaw3M^R|W}C$;_Jz_@Z!~Eo4$1yUYD^Bv>l?{Uk;6==AoNliY*(e5GR|6C(`p|1 zE0@;_E5tFDpaML)E5&5*r$GuMUyjuI)E!22C8{Uxg<=g9ervAg6|N3`L zV3qDlaw13a6thgiXOcFWY=xO;7kY)6H=3;B*BD~m_cxL<0E*)L-B}KOGwaa#TI#0F8S4C>0)yRo7z&{?tj?Jq-d4_i z4&2b=`;_+Y<8j6txmWf_qFVb32tW^*{t;m(cRpRgB zmVInv#9Uk@GFG~RlBOQKd0}8(Yl}T?0izwF-YO0;<}cFkga))rpNY&>DDaFQ=UW0I z512?ohQj-hX&l5+vMiJ&w{eYh)RZK+()qci}5ZvtfCkrGPaO7qXnx9g|VU3PsH#wH zF@9EB@jmrq&jOo-QJksF&@E1)*=s@E;R z>|ysoa=%Nq|D8TI<5qVitjr&^{j11WCWj~{2Wuv_auJ8r39`RG;x_xUsSI#ml8CFF zl!HXtvvGuvy&fk|`cgQ|ZGdANhh)PlH5UI3~KU0kw3Fl&N3GKA@}KalJsHS030oiU*%oVF7oh*`4gXDYQ`j-s%33^S1^7JL zwXVSW=@53dZ)wY&Zc~=t$`m;dR1|JV2CfKxck)Q~C)3-161@;kTAw`Ff|?*b32%R{ z zq_M9c`quQmny)RNeLi!doMuWk%pUtsiq)pi~-i5b8b9#*P4bub(FpJrqPA zVBUW{iC7mKG-R&59r(x-3eQCsV+E!4yHztCK2b|d&EeZDEXkmXZ=T4nzYfpHF>BDb zg;RpGLX3O^Fncpvv5h2POKH(e06XxAXs4)KtG71iq$J}1l{{AMbt^cwur&M_-)^UW zqb2!uuJHEu7e15fiJTXVEs;5?V4+Mm!?(z~{vjQAp_Aqxhk{h|VXZ@l*a`aN*8(;Y zNj%RNMyhxaP%uZK3nS@neAa9Vea_Q)Te`jAiGkI8_5SK4MAEd0fy`qrPGhgqUp{1M zl|>JKTcr3KdE9PCQ5P!SVz5UqoDa1>;@6fAauh)hc5M;EQX@UCL@h-UfwxSaAe_h% zx9a+z#i2#rj-TK0`uGZ{)tcQE#-zi2YPClo6Ke(WHoK9ZR+dgiDafAJRbpVGhy^oz zdH-7BA{hL%J(k|FK<%4D_oKDU!5irO@@mny=Zs~>Z<_*A{(bBetC=8L>{%}$dwC-Y zRFX4aj4&HP*lz)>e8`>1V%kFi38>upZ8R-;3w`Y^h2Sei)zg;*Mf{B6R326vuXY{m zh--|Op_w^W7Rv!s_(a6oWRVVg;DCN*8G;`jlATER#-HKxop-)K;I9PR-cqg^$x7+W zU|@SpaNeIw4EdrfeTy`y)SYDJy$*D`N_lw0r*5zcK`1TO`r#!6TX&Mcdx^PriX-x9 zJax_a?S3_~6-mBcAWu1l#6X-WK(tsVXkHfD^Nw!l!e`1nO*^%mh3AQU^oM3RNMx`F zbyd<76s+UU7Z=0@>LIXAgrHf3iSWkIKhdo}YhCgVS!@J#ljH4Me%n2Ii zYK#}?OGa`bdp5dT5ObouhxXPL!BDU5lY03y;Je(W z|H62slr(Lh=+Cpp!oZ6SA6!L0_*PcB8EyJOV1#QG2#iT1<76bNa7+{=crNKvCSXX- zE0!3Vm`GN^r}}q<3)nh;pv9Y+GbbE$@m8G1_xa>b+u^SpqwDo&H$DjbDhj)j{9-Q1 zKyqoOqhMPmw(91yZhtasn924xN&-(&|BB(xfqlXQqZRjqn-7mhNYxYaFz(PdLMo-5 zTu?-y&5&@}YS*gka}q220+gE$09(4V@y0Ve)Bccu`z0KhM_jGH*Ln+JY(*@1f@z%D zD|ww8JGkQxv7Q*H$$CC;nl8uVUqq$*YZ&VhyR8cl>4$^`!IqNv+HYVYVXmx*ZkE@t zT);CUbQKxKj$-iCq~rnBo!>$E09QHuGyJpR$7F_RkoGG0)_-Z!J4T~d#KE^JX4?x2 zyE$!F-~BbnHW>!p4DO=%N+^l!hFz>nbXj8=`!uj|$Gvw=pRWgSspYXvy59m<{8{bu zI_Jbt?0{n#i-fXgJ{hq+-Tedb5y}mc4=_dse7a5^A2`EfJJTJR^}F$d!DXN(l;UefmOd#|tf|?NrI-uaE2qA44LE`blvS zsZ;)35zf0I)J!o+b7h6AnvWs;u{XW&8-hFCLGCO2IhT11bNpnl_)!_-h>E@S+ zCuxzXpkLG9nZgoP`z0S9WK*b9P#GrT-iu1ZB>Wpu3Q!kwC?@Ll+9>shzr406;`yz4R|?Hm8PP3N8k9Cd8ZaCub$4oHt^6tG^NG#Ks2pB7VLx zus*~+dv*)GQp)#UVj+OO`v*JKKe&M~MiUA-GqaA8^Q`053Q3tjuST(g<}Z|~|Gvfb z^K&dTCm&ZSwr^?JVoOUQu*0(j0ILjN3yDIiEYoObY^JO?U@YXyc0DBrDM zRsm|w=!GwwU;XN!BUx1YyM+wZwT<0%VYzi@anqOcvtf>R2;4iD*i|EcW?!-LN$|C8 zCG$7~GoV=-qiD@RzS!CKLA}5Q?cK;-q5qU6KJ>An zW!67%SIs5e*+DNK#6qT!06K9HG^9uQNVu!L*7SIz zsSM9&AU}2ipt`46v&DAo=Gt=3DLWIIPj`G{Hvl8`nrP)1JFyR>3VWPg^9c;GLluy2 zQF8VCuJ`!OX0U7;Z{R#IVvTcc$Jk16cH@o_S>)ybCpq!r4bxN+55-;Y@MJ2*C-oBn zp;j_S=Wnp96`51je#FemNMu-Jvo>EVS`K{Ux`bu!OCGJQn->K}BqHk4%nLjm`KUj_ zcFv!q2njT0g(?bOv{$gJ^*M(RluWtB^?P%QkX*}}Q#CqdoP-;*5DKs-;W>wkD|=xE zZFdHF9eB67&nU0NY2DTJf7tb6X?kg3gW`7KwsJv05iLOI=89_x9xZ4X9N?-fOcpL3 z_WTa)Vr#oR%#M^~!IXB4J$kn*(0Nbj@S4iusXtp^fv3#*(!7U~ zUU9bPb^uTRp2>2@+oLqm!6JxRg~3d?BU6f>r~bh|jP<~wA+skC0_2vqeN!e;A#kf0 zrp^-J7D*3axcpjvzyIFZPYP|&@Eu3y|M?cAu_;|s(elR*x@XJIcJTp%zlI}5vH-aB@# zc7}#Ztg$2Le%(i)Lim>w%$$hI3;yTtdZJbNrJ>q1{v3+?gc6gcp5LWjUaqfO4F1BS zn+TcC7X`Y7Xk~8);XVYK890Pw*sIfvIkj&YJi305g{=2W8D+Kd072s}5_V0W$jWH%g#IC=V~qU4#DwVT!a24MQKb6CT#2twXJjT#@uI*r+dt$RCj0AN zL$*FZLl@p?h;B2kcFm1=9mx9GFIWuEWd$fLMSH7v@?|Mqo8iqj<6i=!fVAydgqm6P z=2-1lf~faDI-Vwa@f&>VQ_Ix)r@r(WtK_M<#2EFKAYGlAv~P>xE*m`olXUE$n`3?= z;lo79fhDOj>y`2?jTzdObdV75N7@a7eOrh}X0m zKmR@Zp;VqH+xy7`;rsmtRh@sIVf-xe0Zhz@?geuDKV74rJ}3jsE}hsB;pv3H8#Qde z6zxRvrt4PUMlaj8J_&Qv?-N3}E-t^h=&uonQbyS5Nvl9&YJhF$(ye_cfm}~A zaIpe&;YIkY*D&KcLDoQ+jIHWgJ}P{{PSy0peT8xAAE%8Tdei?VP#(n>VtUA)`^5Uh zIZA}BWTkVv`{sJA3M;_Ey&hg;4UA>L$-JAgD7!Z!)I<6SV{kv>+CLC+{#rZgq$O_P zXMWgzxQ1L{I@zy~&mGGvUh?gIyGU0;2%}G4K~R%(`NOExzJBFz zxvmn2r=}v?QuaD%ZT{ULK1>H>kNeY zF7^Ow21e#w6ebWv=kvXt(C;%DZE=LQ472TDYvqECmwXQ+(I}>E3X&xkBC#wG3VL5U8}EIrIoCF zuPo`l+wD_IgO&~VxO(&mev*uDL0 zFHh4DWX`=q%q@e8-LIc-2FjaPylgQ6@laD2Hspwc@Z!r?N!#sd<1VpIf2oIx?tQ{2 zFW>xBskKLYHE9x4fh3tGD5y>&Ua#$~55^=nwc+BK*@R3uF^&)XC5REkHwx#}!`lkP zTPvZuHYWt-W#f(E*&Eztj7l$|D!WICp9F)Nvr;5`1`>Kr2U(OYD?bYfgFj2lcg`ak z_pkl(jo+PTfD>I0+bj+cD7nWqcuY*}Z8G^ZBbR;rwrxiZn|;MSc(Gam?>?MVy(>XB zJnYc5Zmm=Z6$DD{e2Fc4OhQ3_t_{=Qa6fnotF#KcD;(}_efsJkvnRBe+KxH6?}XB$ zQcw`8uXlU5701K7n9J0+xtd${j#|I>s{K!XC56pA4~Eo^jy?N}6WNRVzub|*PN;uq zs9w3ZfOW6vx(uH7nGO#aBlr3o%jiuHAU(P;Vdqd(g!w7$D!7+Gz`A$`SmbFswe_+I z%}4Dxc*R|D?e8H$@Xqc>Y7uo6!0^GDd6^1gxScmQL5=N-od-`NpJ{Rx7Dz_M z?VZ8RVU&KY;jTgQV;$2Zk4dmK6DN5t9RfoNJ68~J^@G<(G zzG7Fc0*y#pThzDMRona!D4{k*-=qmq_`DT5f0Zaa%r~+h|Cz@3hhLRm&pL34lDr`O zSTSo=zfAVBwgV1vB6KM<4kAJG2&BCo)cxG7PMQ|hGLE>OpHt|~{5JD??uJC-e10$$ z&we)Vt=Xjh(vn8p(T@0XJDFY6%$rm$lLkMtAmK}|+&_;PGY8l&^T~T|t9Kah?A(8n z?52UdV+q-FFYEqw+b6TX+k)*vAnty*XWDz2EVagR=#Q;mf>zEvmq=E}Yin+bn`n={ z&+LS6gbZt#4>-n9pAz~$8XS8$ZyW$QzF0h6 zm}Pu_@qz$3eX%mzGXfXNHkgu@_Y5}??aC~oZKg6{P?jjg|Hh=dFw5hz7+V)(4R;KI zzW7zRo^8;z0oBW1g<}V0?`>-xq*-Gt&q9l!8J@4{-}TyjeE_Yi^$HmJ8f{7jdY;aM zXaOwIAz~tOc+ZLeNofBEE-w2057?4Wx2z64`i8l>@%Uo7xf(7C;hpa-T`d}v1Ha_# zfWi0@f;;LS8PBl*@F$mT{~N0_l*k@$@n_iL~?*uuOE@}i}> zzGai&(Ig`&eCzpgThUdh*Pibtcz7 zCvj(fDCzejVSR-bsC~hDPn-M)`#Tn@c|ap|m?Keqbz($cl=!Eh@8<3PoaYmQ$QZVC zZ^LXES2HFyx$E+$h{?m$bL9a-hc{AG%Fz_|TqhwZSI7WGr9LCE+jWCJ`k%tE&z-I8 ze3VL#Gi@lB<)vp8DKD~?FV&7%>#hBB^h|q;bMbArA8{C(F~|E>I?5NH!>(=Od2?1< zP)HYIZCkeA$1mGVRRiVewkud?xA%s;*9QwBm-*_mu0^n(&=q)Yn8azY!f*ZNAbE=H zZjt~#$#RhS`*`h4(vlJhvc~M8B(l_4`~D_T=E$-WSx##aQZ^yEyL!_NhAOaal54-L zDKeEuf@A@R_shDB*BsFip>o^?4&jFeUba{MNpFAKKp}Jrt{(Qq>}atPUa%z&54qiN zB9n!G5RRaQeb8@1zs)s!2S?fKr z#vj}~C%t>aUw>$yEd;okkBIqz6IXU%)pp{l`bSL{&gh{gdW}Wy zZMyz+CCyV2dr5Gd#a6%Cu0zN3IE2jA{?ol@nTOT&CkA475~z{hzy9IM+$ABLeF-|x z!rZaExDi~^)=^vZ$hXKF&tzH^NfkUH0y=(zbbjumfJ{z??alCcq}j|XluJgNnT-o(?@8RGdr|xG+A8NHr0(qeI@F5rY5s7iI)9k zwN%yqbi<)bTK7Vvfi?{$aldQm&`*_sh>~nW>V2ooLCz;Tb$g z6kkko?|5Sve^5c_fTBUAHcy^TmlNaZv!rvPZMk`|;N{_gqqw$En*5&E$ zm0s5M;13L0;;i57Us2rJKv9rq?P8^DYxH=@0`&HDmBWk&aJ=316~DyI%W#m_lX!fk z;=f70w*iZ$Qzj~~0fJ5a1M>DoN1S;!Z#i+6AckB$J{xR#B;h`+T}Zqsv)%l(^U^(} z7cH_Tc;T{iwD<2e_@sy+3_N@mCI?@)CMvY}+S_U&_xie$>>Om$#|u0PgCq6VU*VH? zu{K~Em&7boMMRPD=C^?Lf-vEEBuko?@7y}u1IlAZe5VOK@8SfqKSk0%RQpL?JMIs= zD5b!A7oW#Bb1a@}P2e54TDBi7nvJ#IrahN?8%i>t$MdMg{VBH_Gpj{F1=w`yR7Kl> zSWCZ%Fedy|i|JnKNr^;%!MY>PAim}R`5U}2HN6K1cK;#Q+lVOKbHLjc4X5z)PMHIg_Fjtci4jtG=f@#_%l zi07iLZfA&Vfu)=y_nAn#6%757bXIg?wv(wEId#ozYJmfSb|R`i;eK3|nl*`Z0G$Z_ zvUXs_*vnJ%@`vrmB5p735riFy4SqLuSSZiX@IlSis%7{H^-pEJSD`or=+&kZSO2e# zpLsxOU}V*NdPh*SrF7lrDI$yhCE?dV17%#eK{&t%hli2y1?D{L&cgMlyo_5|IX*t& zzbEVkKE1#vJ;`j4xlEYu%YcXNj3S!yLKFQ@-RH2g!6xkM#M7ZGsxR9jZEZ7;tzlN* z@E!K0C^SELm#j1;rhPUr(U7SEHqmdz)KH-Vzt}wJPMcpvRh>|X^!1AKO=QXz8MB@h z%Az1_GwU2dS1?SA>`D4d5Frx@2#1XRXZB7Gv)TIc1tFR$yP5+e$cWw#^v{(%PCDY# z_!2MMn*eK|Id-1#U&d!7D4i0L%DDL!GYj7UmmEaXauSKc{Rd@<+rH@*D=p0`UR&V_ z+!z-?^y`#7T}XZus=?`l=TDs6ZpwdZ+>4*)MLg#lOPdG-Q>^`sEk=62&_8L#lQ%P3 zI*?zQ+^c{-l{F&@15YYhC^dK%2(1P6Ce9vhq5P3v6l+|ovcqq&u+63o4toXs%!_2& z!w-M~ay}?r39#=lZ>anYMqF4;ewMls-3vTA=Et5YD3Y2JHNSxrD1?bylB_wW@%`7n zkg!9R?HlT{hp&0rLTKzr-mJWa zUqN`FX!!ijenm>F9GS^tgRkRg`j@tY@%Wlf;Jt@vh;6$k$X(b25GWDj7$dakD-!XB zMzOR{V}~=>l_jwg+}hpQ*UCy_*v-s7DWXP_Iihr;pG3^GGs#uw1Me%M>X6JaQx0El zfu%0rcO^{Z_#b6R#GWw{afjo=h)-9BNtsCan%zltPlG3p2utb$lteX6uSCZjXXDb` zTJuL5i|7g;+LpdLzx$K2K`RBp#3VU~4Eyz?{-VGwBK!H;dDHrs+ zW8;CF*H<_+wE)Q@8mL^DrSBP@0Evbr__*cwDIqg!e2-CvDhIZ(yd6U!2DJPG)PZdd zhpgQlP=mC%ZwvD$^^g@o|CxZX?tZyTLpthMy=K1)x*dv-_k23$++BXjEZeMpbAyl} z>--LCF;zm@SwBUfwF^3*_~Upl=d0(J$MIO{29${&8ULBB$2L$GNh{vNHvS?9^iiVu zmo+X-;oeHS0n*I5*9SiH`+MEo0*thsO>8e_RwyKhjx992fQH8uYP2yNLrQ-Zy^7sF z|1jyJ&;ML-u2ejtU%Lx|QLK@+&3kt1`(cb;tg`prudConop8TVC_enlxVs#7=349Q z*0QuW6YEXlQT_OQ(H9CV6o~8FhZ137V89xCF(d2oktkSA{sqP&v>}fYv)*d({N(Ti z1E;NkBqmXhf6@pBz8qJCocq zOZCq*98Pssqa`9j#^BpLRTq8m%Qe`w3}s^Cc_o|yygNibdd1c7=aW`2qUZQ_eVNL| zgzE)t>E?DO+FS4OGZLI}A*MYIw5~vNI11|I;>6=Hehml5V6kg@G; zy!Cwk=uj2>+fHjR^zJY6TeF=)wU-1+PmWN*uMw#R z{C}zQd+h=MH2up*Hpyf2Tz6bP9nAO7!X-x?`W*07By=C;^D}H_s?OQ0AYs>D^ey-@ zqDUle*60M?O0BE?kI{ji`@&3-aI4BLcUG%$K{6)s@4-6rCZD@jSsn2?_yuq3ue-q@ zW$Qs9_qI^TV^CKuEbC-R{qV z+%RWR)vle%S>)E%Ii)ePnb60ob&SsosTGsx$9SK@`}FWcT?h_U*Xsyuhq)V5NAetm z(Ugd%s7{#t>MjR6Rw*+bkI9a_f0e3Tsz4Q*A~i33hzy{P}JwCKr?b(QH~ z)@@7;Nkv+7fu9bHjDRFjAj#V7{}5vHk_}S(8|)lI0={&ZyiYK;xEWspUuqY`17}z( z7lj5eM{%b7qhgwB>z4_PCN!sv(8i^Qd{JP*;Wy&uwM~iC!yN6kW+SNre4yH`7_Vga zhDT2(`L5?_P~*bk&o{?9(AXvHojyUi@|Km*;?r^0N~w!EjUVYrv%xcelZJy{MdRH} zaWh8u#ePF6T`YC27vRI+T6`+T>LX%u3CeRW>v8|)?XW72S=zcDxIzxJ6B5?vp-$x# zSERPEaB4ecDaBDGj3V^#1-TTYJ>@$A>4JTqcsoM0qA1TVtg#+nX6HBZ73Q*+(K{7V zjNQLk2wxM@o4aO?&5?(7_!eQzP&fx)bJ-bdG;@W`d!rVoX~{MyadFfJv@WsP8Z{hP zUZO3M2Kas%ft=|GZ+C&SGqpor)kx9w=fP+`ZMvY8)=!Yt0v&FZBgUyy?v`a(Cm`up zS-Z%|^lZ^QamGV2nF{gcyO1{M{DUG86@|6q5t5h6@}0j}9$f42g(F~(TnB`gu=*v+ z_tfkT^;qaVD-C6DX1k)~A^v3bu%}X+MDg`->-Jgu`@5v<{{&*VJ8}K$*QaW& zyDkM=J+#ys5(ZkB-{L>seoc41Xnw?{4%d0P6XiI`)tg(|`!SaMuI;gvx1-VUOxk=_ z?Sh4?_5dXXT`T{<_v~Sk5D}+@lYcbcx&4l^-i@!6e^==g;0^8tWq-zYlhY6qLbUp+9&ArCb1Z^ zBvWT!z(A?_kL%R7M<~Wy{jVPcz>Q9Sh5yFHr~x=_=MVbmNV%qee=-;->wcwq80E?H z22Tb#RyNkkm}u?w*?-e;B|t6p-CTSapeBGM#a_`Ot|TS9xuxmJ7dg13f>=ifouIuE zJ&ULHisD?94o(?)-$rM^0L3~d^EP5;{@O(O{)$^Sta1rPwMO6dCvgS7w}c%C%&Y1NZK z4&hw$9HwMJ1-f`A#<@a=FuyS;9ZKiWvjIvQ*hqRQXA4-Wra_QnN_jvGGWF)9FT~qP zkwOkEO1MK?^idIQl@EluMG1tJZ;zQuLicm+SEph0d^D4yXnq!o<*EXR?DaOom&w!# zCYCBSs*wZ?)(dzzg_#apS>|!33~&EKWoY&|_$_q!`o}#nrXWo@M2ge|kg5P(IVqEY2*O(yx6MT+%{)qdCvxhgBux?@nGpyf6h`+{$KF z$_AW2Z3zY67k^s7X|YfV#LnOvdvzksB86}Tlp{b=?t?8LmH0xAErjE^p%#WM#)ZlV zK3kt#+&0AZ-xR~C-ZLiNaXHrl;4+stPGoL^g~ssAF!;F_4t)th%WUKIa6AZCx_;;8 z%aFNB3-fgIO-i%+^0nvXxM)Da;IV_$L$XP)ucdch^PfwrTE?j6tnRru{^`B88kbeV zytP~<#3jJkj7_d4k#Q;IO`77GxcR;8!4H2bfO$NdEA)DC9wPD}Jg276rujA) z{V@D_9s85{%Pm0MK^=cnml+<^gUdi7yZLtk)?hJu2E`QAREEMh*Yw&as+yBpIEwCv*MRqs7bEK^8j^^K;QQ>EdUkjkYfk z#FYyKSpK*jY|uorlrEZwImHvDadG`sg=5KO;>@e1iu+mL?vIAn4m3Y~cybYp_jU6d z%4GhSsEtUwA!4!*Qk!Yw6|@PP^?}TN44}py>j21*wpn1Ft|s0|V}RMYe4r z-{XzxM0(em->t_^~}zHU$rjwaydX1lj_5p4O8` zgrrx1>5I{5&z;K!_5L^GxRnl-3R=+*eV@##IoLlT3E}&dYzbqulTH}Y+LzCyQ@%_n z{rcTU7#ph7CDoc4G}hGTRareBc473Dy@yFYz@YFCAd{LefD^_Qz9hzF*_ki*43-bh ze*creQE{P$p+_n|ak3!i8B&*mPJ_fMTELFVsLrTw>KfcKh^*ndD$t|0v<|G5opo^7 zgIwQ3;;uQ_DyAi%P{XWyv}>uaybTC7vftv#vN6~+FY=&1TOu>y0KkHX`}zO~k_nYw z17H9H8GCP@8vf__UYtk4)I~?F=j>8*3#5Y7FH;C{nF)(!uy(NwjEC7n9{8qG_QyW9 z)K!Tl+H0r=X%p}0puP|;Dz=lQ_W&2a$2mn3o-EOlD(D-R#wwsh5-;+H0LSdpiwWKoJ zq#)U!rZ*jdQ9|-{PNmtX0Ozj+0ORxY$ns`RWDH)Z$Pu1yC&ZMmtMGj#p2Q)-T}kV5 zzEmHB+yO$5C64U#`?c$=DI83doLGMO-!nGTF^^XOYqts$VKun-N?QK56UfDFB-kla zBb}32^2M2KBQ3Na_A85{U^0c-VG?Dak!5yViHZ)r(1`!Br&rKQt8H;A9On@Ed-c)F z4G-Fc*gPfqcN~YU`%EouzLAp8*qYI#-cf)`r^9l>NRK8jCk2^oNKYRi!B;_j&rYTS zZdP25Q=D&p4fS4&#g_`BRA(Cgb$Y4o$$g%yy|;ql63$8U0m%oU-a zBAOPw!z9k5f0_2Z)8u{TS=$N(C-=<8{K}U|7pVCpc2q%tNO%^z(asN8Wq5S3wj%Gs&=kivc}a< z6>5=K;E!l*VcY3Xdn>HBhhhe7kAH=Q%no2{t{v2QQi`Q&y!W#`v5ph1RL5>I0I>8p z^Nwq0b)CEihGfUWUN)`m5t80>IQ@9SWa@4<@!oQ+lM>n81j-EJE>$?xhR&u%ux|f0 zIZJh(hik%m%RSv2@L0LPhU1n`%jKMp%?2Ot_?gbu!@ksEboBc8c#L@)=I|k#BkJBJ z?JxdAEted9SJHW3Ef1&C-{ektbr`5^8Er4$7Mf|mQ-^;{zj~@RaREIMg?aH8f9jU> z1F?lu=&#FO_Y7Ib&)7`8x$gmG&+0qJHB>_y5(!JEDi!b-Y2jXWCfvLzxIJo|`wDqy zAxT|lp|S9wid6piz9bnzJon=6;k*!FupIg6V@+`t`=XZ4r5=>kl|y9KemrTK<=s5y4(Y2u4zvvUdD<@VP@k|G^%wi354$R0#BhwbJq zsD_1)x4gHFLaC)UYmo4=d1`0z9Ojoc&#nb>a|oLpM2D7+HgA#H#cN?MgR^%{ye*Rw zNv#|T=;L<=$x3dATRvr5wwzSDZ(?=z?e_-Te5q>Lq z3^`o6aCqj-a!In)sSeWc{LTO_m(k&+3wzb6TjnKDEu=gB=D26BY@hyxTU>(iqlKTB z70-H2lsZY1eg+e~>#x@dTsiM;02YDeo>64?_{stm#Zk9yI)^W_2ajpW#wvPkQ&GInBWYqc#+09+- z<^B0_rCz7+GVbqevK1#HZF5&=M}kilkeL$% z>ApAq3TA6OGuY|!jvFW#{?^VRQ)O`}YVhF(*-Q|Pzn~@}8YsL1Y)LpN*j(uhfJ!1p zu^Y=Q!`d<&VbY~aE$)n`+SuM6)d{k9Y;YUCPf4mfjHFE#14_#md3-L;CH98|j(fdu z2mn0y3N_z~8JHpOF$rIV??9#}V#Zl&_HJhvqJEsA_0SsfSIbMCxBeuf3jrmExgVVE z8a{R|(V9ozyVd}}kMO$$m3$I_kP&gyZ(teTQajBT>kX>GN^EDpB3qyW#9MoLmH!on@8l67Cjyxv24&FamrpIvAevVP^a+Kz6xjDJPidLL+ z;in)Nh?geV11!~%yqe!$Ecl)G<)@KLx%{J!4@z$5Hdh{`uoQ(f(b2wXt|bdp@nPqV zfm;*z5P@WrjPltnFoga6`@e;m0Wn}?LyrNCcatAsLEBeKB$q{_{sLt4YU4?2ce(wtRPxaXQt4DR;Uj>^Jn!+`8ia+Ob*c%E~jw z*>4f*As44ucV9wGS2YHA>M}-EqR(Vy+X6Po(_5*}-rsupc=<9pJ1JE3Meb~T0rnF% z^2)-v6Kdw*=4O(X>ddD5S0=1`?h4egJ?)X3Its~By%o2Y8y#}jsByl5(gdTNjd_`O zcrIA;H0OuKYIVuRb9IHvVQU!z77w#>^80LX{FlkoaTBC58q4q7X1^1_+Z``%tx9dP zk)}E6u-WPA-0-`QQ09z=e95{QbJaL4B1qL@)!Hs&=gUO(2^#6oV8dmzS*rMF2I8WH z^+Se6FK<|FYb)TT$Mx}||3qVF046ZUxX766xa6 ztNSe?EnQVz{KELHhk*ljr65dOY}tJW6@)6vtDV3`gM<6vZ;zDUWvPNLnLLo9!1wU$ z$}P$%oi(O?8ACN9T+@8&MrYc7?>yYaP6bTRA~mCG_HtP2Pt;52^ptS1jns#eO#eMx ztavEsV;gglaXG*Q8o?OHO-)ltc@%=&G>9S)EkAQUBD5RF$%~wAP zZ{zZi>w%*Y&i9c+O&yILtt+YHeR~l|ogm;Z9Q)AmA{^WKAk(Rpj`M%T4rKR?+17yR z+Ws2pc$uqo)gO6?ptt5ygWAz&hp1{UqFo!=MLG+syp|fA$ag0&zZiyVAE~)k*jI$Y zWjbY^X{%K3)ASNs=x>XQL*(C!+DyZ5DQ>TCu>uOUv*)n89Wir%4D0pFzPQlNzw*Ou zrw43pD1ZScU8gSTtIFw(ijBs&gTD`>(dNgj`6`sBioo4(Phj{0C9l2Vxa6a?2( zeyw1rJOm|Z?4Lbp;wW-OwDXef!9^_fx9}PMLLo#}*OvakhZ|GqTJB~@(mmY~V53IZ zPT*5BkE4g}L!i5X%|^99Im$vVA%GC-^PVj(O8fA{$)S5%ff0%}ta&LKJiVjW_trYK z64}oGUPdI?wW8I{$}T~Rl)p=!S00V=-=l*$kMxoCEd||os<4NSesz;S9Q&tPcfEG_ zr2Lm@aE*t0o4NO*hr2RO!`vyzE6=PZ8+W4X@TzL-=WM6L`a-(msc&%$YH((v58 zeiDRVuW~qlG(|cJsjEdxUjM?>i6pj~D3)36AZL#y&|YTJ-PIdefs8|JR(cgNpS8X+ zGKS|*Y~1=}O`CRfS8`7uX9d#q6IP==iUM*cJi-F!1>P;1U={y^vBlYUPg(|KH0hTv z(;h+3HWt}1eK0$>1}KW3WA7r3n%BSyx@sl7+xa_ymOIB++GI;GdS`zWt4B5DeS3gf z6JK6#8fQj(Yloile%~7iJo=uEL6Hh+hgNG;Z%X`fpkbb&f#E(v;i@L7W;u{@;g}0O zpyth_E1Pxrgio86KHv7hg{fX(< zSjHH+U7qs>+29p`La)e+O7z4j6QZIp8rN71AvnCx67q+&6}_GFQ{B|o{EZRn+%cg~ z{vAg(hzApBk5K^wRXwg7XQMTf()vPDzXiye`d_#mr})RGptFX(scI~Ia_@jF0CTJ;U&DBI5f&WdwP!U*b!<+ttwES=Ozr5Y=sxP_r&CV#xp7N07_)T`ve3 z5Yh#mJ@lpZ>?;(>D!1u?@t}(YJW>+<$J22Phno5Y)5TlF$!Uau@G1EQ5na>{d>)+k*(0+9(eu(C2+ zrHnJyIB&HK^r<>?ivK+qN>@5>`2^u1mL6~qIHJr+{Je$S4neviJJZ?DImYVx6U&-t zNIqq#_*U>H)6h2BB#~>nGyQ`2=o*kawoGr6V&%cZKXzaF`ZbYBF?n}IBrQlPGBOa( zTRBxjDmA~#65Vh3{^t6PgQ~y}ErX|opLVHo@E=UyQ;MP!7!NNsq*Pw@c|o0dry={A z4LN=d0c`40Mk$n@*{c(=np!oGs2(CCv>I6Ooq+3>K8I+=#QM)W2`nbA@66?wIdq1> z-Y9dx=w?_cWx=&74eD#o;yw@Uh^fEP*W+@01BDaBhX+#!lI0;%ug8UEpN2IhX&r*+ z^_^ffZtj>q(hg!mvhLS59xut4eRb8Fo8#~P-6ZzOM?VJG#czIoRH$3D;W<7w{^@h= ze55vWekcBw$Ad!gv%!J)b}oK6io*Zm(Y*KYVUmc@^N-d|O}3MB=7-t_z>zB3)2NcH zQ)`taMKL~uJj##md}U2P++6D%;Kl{s*avL4cqD!2MHJO%za{aw^e7Aax2D@s{p6kt z@{cMnu?y8Sk0x<*UOlY20w~!gr?H(JCk!<0gTUo`1p!(ug1k@d2L9ZvkH&%(f0cx3 ze1%*6R@|rJ$h7m%W{l;QhaLPQ@}*w+YSqx%;vvJQz2`SIEB1!oQG|woDr-}b3y{n)<#KGesvgt?3|K`{aFL#GJcSsipyn?ONn^vVp&HHsm6sI zW*?n;!|uHTPzGaANpHj0PLn=iDPw(fAckDSF*<}~S+3UrJIiOpm5IkMdGTynFhqn? zBWi$Xvr=j*UQtH0*S`79StV(8Z?}t|%;sVoNRmss)RdzyQ|+gJ=nF4n&#N6Fr`nA? zNHb%*6}4}u@mIA(^`}QE^9+ib$)S3XBb&+Yw3PeuGr;^x>C$IkKG@sGP51BB2K-J4 zjQ%i)_;c2;W-mDidZJ}(OS2jq{pO%)(aao9I%_rdpe3Y*Qs8Mm%8bHnjccx%9Z|oN zeJ#jdY3)z{igK9I0?tedazLEkGB8E)3#m+g1IA>F9zHc zW88Vi+S(&euya=ivUaA+YHaaTKlbc8TkKfz{^;-D#JZnxlM%4g#?{Rxb*KH&i%nh5 zP($>z2Vt$cZbB&iRWadB_3u$|N}8kkc5aIJ#x^1l>6E0!_Q%oRx-GZz-~b5RY)T4B zjckvKMLGuN<~A^Xe4rp+kF27dK4eyo^UVssdS$)2KgyhDlD|T@>|@=Lf&I%&RGQ_& zg_p%f!#g!@#38N(k&Gob#);_W36L@|@7KZW22J&!hqz@H#s&(+Z8EHd}|pjmjwJ(i$8jgoux@bmcm|{E#4I0nKQTYx#89nLzL~c6BfO| z1zhm)pfQT{q{otiuH3p zmuvIr$y#`Cxx`Eeb&>=2D_jSv$XX)5fq0^d%!W5eA8ROp~W<3Okg^+OM>U zqwR-h+A4R~%2p_p?pb+?`wyN4t#lT4?keQBN9+L%&<3##x%a27@|&a*-Og{3E8?tb z^GQ+IO(4xK_jNT~_p8#}?i#9b;!h0;oOt83T?e%cnPyjP^evCwHF#vz(TH0E{W5Cp zTo}kcuLP+XYY=VcIZu4cSlge*EpAqV$MxhsUoWm+gN2QGka%VVVHc$+xtm{+2e2(w z$g7cqr>aMd`gm>$T$mxP*&xgI30du@8jmrw3z`Ti)2MCN3Abt7&OIS@(D%Aj{U*Pw z9Tr1x6ULR2E!OsZC2~kSYF~%%v|S#AMQQ|Ieu9S=rq>QF0Z-~~2>q>Z*&8Y!DZY8Z z^uL!a&ugRyuWaf~ew7Gf=h`dw*pvds17w}pOs~H?G~<1)e_}8OvHJk$bxj0vf3M{? zaj0>t7!D?NdU|V-05I%tS7}0k5`#X9Vn?|7XAT3840A_|4=e~ZQY);WHhJUPDp+PNu z+rxaH!w8-%>P*yvpWW)RNi+B8eFwma8fIkiGo%T42LD-0GEmvqflU2Y0(-N@X~4lb z+B6KJ7wkc8Wb>JfNoi+e)7&?H6^`u8hZ9K%6ly-mlJSwMzGl#}6Sa*460Gq+A_|3F zEyT;K8xo^gJO*g+8A|jG65nj@?bpRIXisDx1&+V~&}S4h4jl3j0efpJ!xYps`6gTx zhch75jYtShAlIpV!X+_OKo}8tb2TA+vZGz0 z=79!7AdetvaAdY{=^z%5Wa*VLjiJT_N~_p)-P+pYjJRi%SXk^?D7`WwRrOD669P*B zfYpcIzO^p3E=e&w$i8{$oLVB#5CCZ72ofcwgNC9Vm%0EadV6D?jTLURkR^b^!qNDX zBIMK#Lwp<6YVT=tq}VXUx#Lzafr3#)iy5NcVU_93R;VNdGkbe?3Jsc!I4f@0$(im zg=Qe(At@cFN~NRlbS#nHJ*O$5N0v=n8r6s{!YgTi%jb3Z(gF(`}C(Rc1k zqxDCCXWV9}od-kBR7D()V0l3$<$^#4xTmxEkz0hK^A-*fNt&6R@OTjb^=5(4AF1m^ zHZfVi>UGb3*_QYCJtNTM8p(e71POdg_;EL3K_U^5rpl@luj{HxIC!lVgG@<+$Lurq z(UaNID&pD5>wZZ8J|>46wvV3Rk3tdt{Y~o4TNBPL@w^owibO}sk65Z0TwYva4O z;NPsS@idrpwKd^f=xzoRS-S_s1K8a5Qa#I-SY=}b_E=)HGsfdNMS3;^j?oVALCk9n z^pj1T;Mjl2h9vA0Awe98`q&*upekt0H!)6vBP;VE3HFE!kd(cJlw$|=qtUUt!ONaa zw4=S82#<5jh!tpWOMyWw0mrwH;vrUhoYi*E-6>1;w9*{e%qB9pac^OZMP>~{`wj*>8r(($WMLc>{P$wW{BlPTT zj$Rg290L^xxHY%Y{m=LZ2e_>-#M%G~GG`T@M?a|b5x}tj&PR2h7Nx{1We_RYPFFPH zKr`xCmH>fgHvZtA4H96S;Fx{k3F6NANQZaYdp!(|X@>3XG^^A8;$~{zDIu4Q;GcgBcb!s!ijJElY)w>6*g(WAV66}tx^M>VkHl$q+N-g z-4{UNDJWWkwlHNHS`SA?$4Y(-)kIUxyhRKoAck#03#q5Be5z}WGi}jj<}&JT+-23I zVId}!*WPyRelRsE?>rv**Snt^GydX?Y0KRPiqxU9>*@NvY{A)QEMO-3b2N{0z4BTy zw9>uC(yh^N&>Y0pTTjmGwfPjRH1#B&NRNJN1;W08y4BRlZiOM?h|%<;kC_h?=Q{H> znO}j-zK~Ep{cspyZP1e0`%0pBv6n0nhP)tnfJ8hu_Ye?15h#>;;@s>)No0y<+%VEkC`tou0h(Brypsb#6^KZ9kKk4yQVJ!c}jyWd+b zv|+V1wAjQabo`Fz(LvxK^Pb*hg@AzsJHaK(DCpoWBM5f)wIA6Ew1j;d{bu{89y?4< zspCml&fwE*GdRtJN>H;=_^B3?Y|rjzVs`Ux%2k>#q(OX!;v8O%twhj5!JBZnxvP3z zz+&cE3!wq`v}v+CG(r}I!kiA}KO23q+Wgz;*S##hB2tZLhpZHyC6G`FT17aV{E71! z2}ixAgTT;H1WgvF8VaNTB(HZR9D9B6yX;Ba4W&vP=dX@!Grbv$jA$?_T#_6*W%A!Nok_}aFm-Nl zs=pn7=?QJpgeRFzdNLtyL9_y76lXm5fDPp5bZ*JK`_TweLSmpbprutJ5-F6~&!H?z z6a24#vPhp#$m=U_i$IjvARWt6lWtFXg6#j$$$h>r|29C6|aCDS- zE35H?VICV{R+laFJ>@55)lbONs?TsXGl0~`UoI;`1Y+JYkl*DbR!Fp@p16Gcxt-a@ zPvS{8G8V;`A;Oi3{Pl_BUNFf1Ggy6{D04AtaV2M+0 zNknmOg9X&qc0PAv2;hk-VgIK$}YQC=`WF7SJQ>X6~_38t}gn))8{ zxrD%PO%&?Qk^Fh*;M*s-tB>@{FbS*n--UTwx4ITjiy0h;SvpBm<&L3BS@Bv~1bE;u z9KB=0&aMfb%f^5?ko*~`rq(3@DLJBMmD!tr%FO5n?!Q}-8pchh~538wB0{-kmHcuy1R93Br% zGC*xBhhNa*+W_`7N&C#~qi{5CAK?6_gLNn&NY2g*fCB1jAfN6~0Vj`F!2!@WX=hJ% z#x&HN0bh&25JAn%mIr5yF<<}wLr4y`K#k^6J3j=w<4H5vnsP7B03wkTh+-C{B0AZf zzbozPgki__!odQNxB`8WRT-f8vz!fU6B56j=2d+eVf zJ^Xp@uKQVoo^W{R9pa4E;R%L~;9pN09$pk~rew(bYU#qC`FSzvd%nc{NKzIPxGThi zJ1{i531%8tOO$dak?0-;=8I~WI%j_ZJci+GRhatCn=PGFjsj{VPM*laX+VIJp2Uz4 zINUX9Jt%xUT2kMgW1u&(4EQDtSjFdN3(u0k&2W3b*&1n=`>!9Ij0%IoV;1!d?~g z*rtHWjEC~6bG)sMC8014782r61diBSe_f5Y`H>wUp35v~5D8DZ!8qQTA3$%#P>?UL zwZ9}=ahwwEOyH+EUY^LE0QXNm^Sffo+!9;G5*7{=&vO}jC37wE+!M@E?;OHB%sT}& zQexZZ`0_x7{Q^gjHY7jTxyoI6_(kM7x6_(%RC5iHWO1a8x4m81F-9W5kZ!TWP{C0^ z5uDjde@W;)16ai{ae;o*J0^z(skof;?iKEj;Pj|f$fBqq5!Cm#P`zwl!Jp$BuJdS` zI*kXMYX^-33ggJ&>u5JPB`^jeh6 z;RmKGzXF7@$pPN9^Hrueh6u-awCdaDYp&BYWGCwK1@LY z#TG<39b1yBdWHmV4ZNJ=r&Z*wl2GX^^*PJ6s#;o7d~$(5vyB4hnR zJjE=9sv?iVco1XNS|BL0y0HzV6$Eyb_>_EPA9{}d=BKks|K;a{Slz4pn_j@jHpp*d zyPSI-6zt0e^pCc1`!7Z6#OUd1PWd8yG@7JJDd$a9MB%qw3^GlOA}!=4hBzJ%1B)zU zS<7nB{t+pN(w2t|i&M8%;KBT%+xUGoI3|0E(JYn&Q9!lPzjZsZg7g0cFDNv=SzSy< z`JYnaK~z{|&<7?Zs4^FeLT`wsuP}t6m^J*Na-*$V0_bHQa}cscyt`a52XXf`&`MjH0_O5amHzPXiJCTDAZHM-SciJLJWg1PSNpr zaD>u~ug`1Ywp|^uAwkatmz4tLNW^q=HJ+ZY&U~T*)}L^AH5hL83^W+ZjbCnJVh96R zYr`QCq50z>y_E1Ti7kjl%U_=ug=wV;9PaqSo?uPv`n=%-c}84K__SvXj*w+TB>lEwObe^OJ0wis-mBy#93=y3YM9HKH!^uvvT`}CI2;gy5%>_4yT3U z1sSc`_l2sN7^Z&pH>1kr4_YZ@W6Z!^5p+)=JlGioBUBSzG~i$6am0Zo9ZOtG$ln{; zhYEw2@0165TZKhL@K^X3YQkHY*l!|wX^Q`YBEO^>NYe^>d%-lz`=cx69Wy$vz!Y4T z>?KrfEo2&`Q2Eh!Jo^#%b7f1bV820tMh^Lp-ANRM!&6F|z1kHd=r=g*+y}N|dd&xHAYu>M5q!o8g^iEkG?Hy4rP(P>Ze3CqYJ3_V zO-^f%-?)(xBr>7C#!?nLiUU0gJbEw{3Hk19MGv}FVaiapK+l7ylQ`Vaq3pXk9s=bu zjT>#KE6ZE_(G!i4Uw_P6^+cr&uxS2xN11E%8M>c7QLQQ1{qW;qF{V3#LmrH7FwCiU z0vfGv)!)4hB=spP{rxSPEo%Y2F5chtl|7P+P12A(h{F&(sCcI*++r0H>{wG2yOc>- z}`smnYuH9x)TB7ahbKB1~e*9h&JJ5W&+?JCruIx)*{n zPu3men%kWwm%NORfPN(%%%#+#TckP6xSS>58@(gJMNy^f4mLJj5>$bp$#sUTNS?~o zkFc?}4;^-WVD#ZS)AC5{+{@zUZ>i2UbVhQWq=4i}P7Wy?F}GWwqCJ{pk5fE`Fw(gb zS8;!nHSN1qeeXKKdud;6y%k74F0;E1@>Y(;kQ23dYGc4fBMfx}pI{Q`lm9F^7#ZQI z3D%^WCWRo41p-I-1scSBO$3UxuRlS7QBa8-^(EXCR?gQ=z*?7~7BBl*?}!Q>*;Ii5 zvzAzJ$H9xoCcHBMtHtn`pk!VR3g|v@1fF?_#q|CfLn+R<)-nT&L6U1EwftZ%d$gdD z!~98pfn&MTsPN8ad#HIR09?Sdn{WslpfIaqP7Uk!fNik`oGv zy0x~;1K6C9-;y;k055t$EdZohW(&Rdp<6(q;fG^-I%w$ ze09p~=};*af6f9Ldpblfk-a?isdIZ}-F-3g6TyqQnpMuV=ZfKvaob_$F|S&9NeB#>Nyj8YcK zFJ=1EbzDxWiF9*s+ze3*Uivc+6guolFqUH}6JIK}-mQwc|BEWIBRpV?3O-gz9Z&`E z_kalKb^krUP8|p7gE=r!b-<%a`d)=hcsuV2ems$sIdTC+dhvxn|???d=eOD;A*5n1FJ~cvl$YlSMo^zcj*s zJWl*=dQ$HI%L-%5I=HtvnXDyP0w!b8%pK%4xdTBDB47*u+ZDg#r!xjMb*l2|tdO%OCbb2{HKn-Z{~{nw~kGUli>> zx-I=&tTUDt)J_vvX5krC4PaM~XSlYTcPW-wS#k#^xzHCqDWa*#{6HYUpIZVSUsq$> zQ#EIQi6nqB|3bYdfXU8wn5C@j3oiD-_UXv?pZ@`9#?Q4hCd!P|s9M;y$(%SsPGCwkQ$l>#zTGL|ePiz1F)a`A>X6ZVD2+JbJ=&xfP8OnlP zCJFOzHqjtf*~;#Q0!J<<*p$L}xLWU?6ddwD?)6R4Oi#np3OD&NrfdE+;|5C&DT+ny zK+nU9)57Q=-Hi&n8&%C3H$+GO5CmDdGM~mcLDHUt@5RQ;dRbaFF$3B1^LhCEdkox$ zaF~7K*6~oKp04CfqscFOsC+~1Yb=f|>M0RWH`IdpQ6hI`9M{>e{p&iQQ1$g6x(iyA zdoBrMWL>fpq!Dy_}R?^ttY6~Mly(3h^nF!xYLp6>Cx-Z z)o^=#p))CbxDBg=cHft&kYWsfo zT>ZyHt%~oX`*WG{#H_D7;k4Ky^8vLxLJFt(-o#+}ZzXN)0`%n3hJB#7nQ-s=9VjAk zC|F)!btqT?E=synU?{sZRo&w|=u{GWXnU_~%H4#6P>}S^1TrLK{bcK6z;Dl=c$Ys+0xb)l#Fn*bZ z;1IBLz>tV^5CHMpDZav|v3p@O5p(;^B?upf&vmo?>? zxv@^I#+AB=8?l{ywyKF1a0aT z_8YfZ00~8!H%S81Ixr+bw9o{o&2G$Z44&s8B^LKr*Yx)9?9xXZ$zM4vRzFc_3>)bA zi4{8`|H57M1WhqG!E*~FbPxTT*0-G=By)qV(vVw9H-f4X>6E#%%72kee_brA`$TLJ z)dc#?-q?y$uXc~eqZEjoZ*bFfRkz5DxYD%6L z+I~1Rg=5VnruWp=aRzP*g~HJhj%i<#b~dY)lL(_$!bTU;U{^58AuiQ^tA zU5?L$j*MEU{;{^krZdd z-7fXyxUtc*NJ90sPrptriCJ>KncbOlv;|;#Bo8fBu;H>rBXiwR7I|JU6AR)WPaOF;xu;c)rmVy7ODjV42lmp$o)%&pY7nO~95 zMKZyDEs?k@cBECFQz-`AOGc)n&-`~auq6kFr!17znWFI&oCY{&EJ4|!0}Sx=7Tqh4 z?eH%eE)a|DqwEUMjZF=}o1F%Ku;r@x8hG$SaC`IJ9M4dwFQgeN>xo?sniNV$Y>~*8 zvIOLJcPZ@k@>^4JN{@hDLp3PwclRvcuv;P4G4470VWV~hRFpw}XGwu%LV$`O0LjB` z{lSQKm@8rTYI|l=F7Y6Q^@EF*?y#}!-$2>#reH`GVQq3?RD?!@DMo2aRA+qdTxf?8 zxZor5TYS|6K&iQtnwSWY6pFxY;vm__yxI8N!zZc%N+ac(1#>eA;Ik2o0q5E= zt4ki|EN#$rZ#e_hz=~E+V3gcNiX?9hkrH$K_@6EIaj$~QpDEQL3}s^w@SFq#nG#5( zR^8*SKhiT~uM8G+UW%r|IS!W*e#UO`8PX_9<6msP!wJoXVCpd zL3rjXm}ZN9cLvdHe837ZVzp1wc(XLy0J@jMpl*0LaB^NG(g}!CR1Ys7uZ0D?L6Tb! zZUN7#aYDw0cpi%5QVlDd-wl;;six7pCvbI0}J)KN5i@X~MzEGd!d`TmVd3W^T-bGK1zcOiWn) z$2x(LF+iN*cxHeKI6%<_iZ%C|Pn~iCBR!lXLsz728%4KyLP+y;4NASW z6fFylg?4~Q0#CD-_NuO`gf4@jT{IR^nlojWO-F%Ie&q3xS{GkPIuA(Mh2IU{Psj&@ z-hVQAsbc3+fw0>RBBgITlSfML=qNk})kfV1lJpSixWBsyu-Ibv0qmvyTM;znhaFfS z`rjMZxiyHSbzVILXiDfaPp&y(+)${!eVz|Z@PCh(kRrb6fA@+)Z?opv;^s_1@8pR< z0}SD;mPj8>!X^Et3%Qxz>Ko&#AaH`bXJ5CMnzPyJ+y$t|4hGySJ)kl<4T}y(VL9zx z(O~XCD(a#TIv3&vom>pEZ$oZY694vWf4CqkH1QB+m$3&YP)Jh`(|LVj*IDnHtD_iA zi=<4jb&sd?_SUDih?l%A!hy~}!=0f10AeP|p&dYEW_Zc5MyQlyr5m0(!7e~lyWgz> zAxMOH=}pFaP7^8cJHc7%Ckd^1Kx{!bI*PX8nGxzY)#Ovm+mer9l2}{hx9&L5q~M7w z-EckH(8UD2^bbM~eCt8iTK34Yy%?!K1FBRZw2=@Y> ziwyA4$yf0ZI)Q}zs{zm^mvSDdIWf@U4R>c>gTo<&Bn?nZDCildVvpGx@Xu&)D4;vY z2~67Eam0Mdkz+shBTc&UJN)wXtDFLn9TxEPo<|jDe(QUr?}05s@R4W!O)oe1f;8KY zAJWGXd@eq((Sc5JOm@Fxd+hOoTBV@U+B-W*pZDbq5qOsvt|1HnZ*Dm#THkrGI8#HXM^F^Ki$(D|UGR^-Ms&(Xh28E}E6 z{1^0C>cccH3p1#~?CL@gC6inKFF|6B=zjh+5OT|75uxI4~%A5hT-mu}O%X zvmt_pB$SC-4v=PMVljbb+|7AN7kYK@gJe(FZF6wzqsE>qyP{_>cw+P>@Zw;bA?o>X ziU0>0=8KxEd%)Gl0idNIu7Gla^g65ShaCkvx7iJuB-(ufGx7Ao90FNMhj%suBw&v! zk0T}i5)OTc?B8UpL$?O6UrA{yc-hyGWjEN*KM1yLp_HiW$=zHG>b>^xB545eK3GHW zbBo8JRIYNP;|UrS)Yrml5n!iN>GtT|EG&SgUgFIJi(}aMa_;+7_vg@1Ek2+c4Inw~ zOrw7E8J-Xy*O>b@m-y^aYBtr(@*3q|mJ3F~vu7b3*W7WW41sqQBIf_`_)S#r57TCE z85IbEvUy83A^)GCqVe-BVeMH7)nMOl$$XILX4d|Kf+uB~@u*E1yL&S5#}Ts$oYfw! zpZ%?-nOoeTj8Z^1u}X^jbsx}I!c$zS9E++&F6~lf_7BpvkIwh1Y&UjleU}6KQGx`S zyF&kBYd{SG2G%pHa955I9#FC=F+erh5tITHp4xru`=FF|M#en5J-E)e?FC?qg2o*L zYsy@sfUXae5o;bSwG7Imqwh3-7m zy%v86k8A%05w(bR6A80c68$l=4srF24oU7XCsbBw55IqF)j6f8NViJ-pcz>&6OD(0J}iKLid zt<;lXLxyo5+g(Y0d!K$_tmsCXGrm=2HUAj^3#TAEAK7qA_wEcpVK}8aCH^|C;R*Cl zV3Q0$%1|5y*&!Q%B#=S7M9RJ)JoiA>S+)pEd)9WkMBw7pyV*yvX$G^2M>*8N+C!%(_T}ap4KwB2uDzC54`XhE z?12Kz<;i=~O~9O+z=N6duK}P+CxKJ`E4*o}lDTynq9c8JA4)<|-9qFU6@X9^^@%;$ z(I#{rGCoPM2+NWXPzLXKuKg8(YzvO7T13k!3weOi+aPbX6xrIvfcAp`I@r?Y1f$B` z@kCl_bJ9`2_KQB_QoSl@FK^?TG4PvhlM-Z7P=wB_LU1SYV+cG3fEx%{ z1GV!!JO(HtOGpw5cFP&FpTUVq6fVzl-2XHpQ+rW%fLKNT$pny9b$&{$=&T!r9bzI~z4o{J|2e_=?7@pP^@Fxq2A zymWBz5ru5(DafriZpdJFsiBjXeb=v7-)P!%tXv4{@>`4vj)yB}D6%2m?)qfh5~c2FwTr$gPr;jn*2LNkSzUmaGhKQ;)v2QI<*=^v67N z!Zxe@bGY+O(Z56fkEd^sXY&8wpP8Ct&WDimxtz)=Id>3+4i4o^rK6#;GK_^pbWo|# zl%mL~gJHHIhl&XAQq49jmDA?DvBUj)`FtOb-~C6THTPck>+pPD&+EFLO^&mkErDl< z_#!8civ*zF{OudpNQsoxkhF`J2?3t`BaM)@U;YZqEM@H!2K?v)vvS0 z9(MWZoZHvS^_R7CVnBFdTrl`me0gOywoRH%w%epIRBvjReKz9yUlW1LcdjpT@2@j_ zh@XfExb<}2xb#tyaUk4z#wa|zR^}yQBS&z51v*~Ke&VW+#TO%#wydw)C)g@FF>;5i z=bwIyU=*r4RT4Qt01+f$@*@R1S-ubo%DX2UUEp8zd%v-_haG$Q;j@Vk_^52waB?#~ zNbR~vY;9JYE?ofZIT-30xi!qhGu!VtJzX+qW&aH051R4a`ftz}cEdMxT#X<%!o0+& z^u?KpVd!$D$&I!i=v)8wPpEH~rxmUrMm-9fm>44${5qrehki_jldXM1TOxLxK+NIf z42*Q5%?&pBzH9cS@wcZH9B~v+N=n5i$HF(wZBei+hqW(Ouca2J_|6L%nU>1OFU}Pa z%A2u3DU(k5$vPPunu7I3ufhw#kqaJ{ivt@}U#W$XQG^sQ*!gTE4z6hOn`O*0+qMo- z3^3XYi6mlw2|0gy#3X_9rVG4^ljQhX3~8B}9y$Vz1cHG2#0MR3>N{>VYl0IiJR|~; ziS_W5G3Om0%s~%#!PgJ>1Rk~&u%5ON`|-(?L}qC@j|k9WFl)g3fm1bqtPpW3`UT!7 z9HNL(F)a2xtQ<_%`e2Y9ci^bt*q?M)Yco4^at-3=XtwIcGW%=)RS!-33kmJ2+h}xl zDU#dj4?a@L;@Ecfj~IBkR?Ouk4u`KmK^hPQg8BlfUq zW5ufPFc|D4Lm}gUm2t5VnmDR2V#t?*6;8ElFfEDg_WJQp zf=Mpd}Qa!i*MN)Cq<5 z>-jS7^?-Eo`Q2|=U9MKi*=wm z#48WCg6GWQrAh$u6dg*H085@k{}Ce|gmPTNTq5ic=9?NCd)-y^bL_YQLCg|~Q7OWA_H3aK{!R7ln6>KxUY{`a!E!m>48gYS$6bx* zUMKUovTahUwB=F0xONT)-CpT@ykfEz2yv!|FMV};m{XN^a#PBT*F6TDYoZvFsT3Ou zR5uoX?q=5MVfBEA)RNHe*gBmxk*@@TL>8}%ueiZYnRDKBH18N=2Q)1JY>;(_0g$r~9Z#L}@}{#Uf|mlmzw8B4@-J}`GhNHK(|GJAwI`IO?r57Bj zL=HOBQhmlwZ&p~+;&t;*->B#Gp3D3zCUllgTPRpRIN4U6?TlV>%f&T|&0>2^cT`$k z7=5?nMz;*V6A_5bgSS+5W$v|L)NU5L)V6Ku7L+GZv#gnYBUzRcUnysR%_34PF|ib! zE}efN84%x?XPoN}1im#fqgiv!!RozHSm$>R!2X2@r2~b4$xWP3VW1852IjJTcEB2{ zmuvc0mJizYPe60QAURRzbTLm{zHu?Y2)UJvD&0?H{1I~kbD0sIfuSf7!HxjZc@TU9 z)qxpalW$y$3dgmFc7n*3A@NzR?jA&?R?o@ag}eEp502fo*EtiS+rwdWFMVK-|EGtF z|Dk$$$v)9xZ8vj1R=&Qk-7>pNUyaOIQ*}h7JD1hBuAS?K30vZ1ti+*j3VCMJ-7-3R z6Ba66uE-`e2;BBOy}z=$a$&v~pC9iKZ;Ioov=4JevkRMD=Jun}D7dSv5?M-#EKyj0 zj5!*CI7x%D552gl@92qzBcr?A;dUU-MPn6^(#847d(ZAR?Z=sYF)l8Bc|^1t1x?<) zwV29*l!%2IO$lTJ*SpK4-OSsn_tni)UC{C7slW^4P8Vo(P%+zG@3t-1KAf%WR?Adg zLUaLRIO>vPerh4mBt-A8+XZnbA{gd#FpBr zOO~*mYhoL!X{;+_0`YyYwO3zT&Ax7xWnWETQ`8RK(P?`imS`QZs2H6pSCuSt>}U5G zwL*+q_05FqXH+^q&o?F1J1|>A=Z92Wez%JzOh?t_gJyF3vX+I9ortMQ?TPOS2)`k| zXt3N>HhKO>ijAWJZddM@1k<1#xb2L`eG%x!@xNu(8DdsZOZ1pq^&G3Ef^ z271^Z%GypQ~Nek<<6;X?!{I)`uRl*(6i;rY3SzAJ}ly!|MxP*9Wb+Bb{aBP@=&eD8cyN! zes*5XZb=^=J$c_w?)`oI(z5+D1{2?A13=mp<<{@MF2W`rD*FQ^5Z|k%6bV?%6o!s~yYbdLd5fq09Xsa)==%`m(UUjYT**$|_rw_T=qBhU>mG@wW z7m{PepA`S<_>9`s>fO?J)GTcWTEUto*g1*432Krov;HCqe9i&^HcIqA<#eJ2U*e%D znBthBXk8D%uwpGvu8(tpZ=cK7k$sqFYKOY5D}L7UcDMI6Li!J}s5wuKNW+S{salF) zd3x~*w4mZYJY3wapC#;Eo6oqhV$HuBA5pg#R3+XY>Jo>+z21x~#^cg94H}7o;{m&e z>0VWN^kRPA`AQdX3jjWF5g#?6U4n)=fkEL&QWCUW-4QT3s((Q8-%`ZOLl2#Q?sJ47 zm5ghTqg8X%7as=74B^%GL&c@JxL;G>Rpa!r zq6|FsH#EyN01!m%>RfbbX54Q}EaBS@NHjy&n_kdZ%{}C*JACq|u)2ZX_(EoC?3vq^ zl_F@UV&#E7QXYMU0Kf3WA!SbvI)%oLi`>&QcibE#SRnHiOXyjc)a{}M%P3n^^5A_V^vAh*(b_v$U4sKBUhOs$c-1oZCk&R#E z6b|OgRxZ;aWI}jRCMjh90r9g{{@oF!FoTEN)^5SBdk_Bl?G;{hgfd|N)ogJ7!ZYQ*N|3{Tde)3>1gZ*!A80K;&-B<3_$sb%Y! zUa$-F=)m?p>L!=X9jLViGFM#B2MI&*h$jVDF-;mLnY#i&shY;urO9cVBkbY%RYbDl zZurkuCvs6j$}XFi|!)7`=`&EzvG5TH0L)7T?%x$CD9 zIqiU(RV*9B&N?Z6>h6HWGUdna2ZqDk6L$tg`E|i-g6qZapyLn@VkmoJj~|fpk$jPH z4%hPhHKQTexjeO!q4Hly$ga`h$)zz}H{G^lQmWcpDEWSVR8d8H=8eQJ4=3#RnjzbM zs>RFhJ{g{-E%NXv)9cp1SR%;ijD{{WcEYO%_HO!NSnVIkgbAL_mj+&D7S9A7+UE?9 zUc>HGi<76c#-4-N42hT0!f!w~h;=kqxNU`BfS`;yCIc!M{}dG+l$NI6+N*sFjcz%E zxW=FG8`1^+C_ksx+rNJyZ^Z^Y<12NWl72D-)|VF7_$Dm@tYk)^&K}>Qaes}&_YKop z#UgxDXqV8^5pwTFC_S;h&5%*bsA`;EYn`O$v&oa)jN_J4yKn6^)pa#I&U#j?D*S3F zW9pdikLt_;pi;~Et6#TEHcpPQhl$mv`DeCq%p zZOdU9C!sBgBK>hAtDi>T;eSEFt+(NEJ1k}IoNemW_e5+uB_VXp67#JM^1SUMBT=Wj zac=gAI7}-&0xpd9e^M2C#Z>#0MzBS$lvOH51x?b{rNZ| zA}soH9p+?K7m>Dh>X@iH>o3r=K1!;%43gqkM%!IMh4IDVjn>(-x1le+SiYkXm*YjNiWu?8yU=; z$Ie(>C)~nVJe!KFzv}Oh8-KG(+~G2Xy!8Q9+5t7+2d#ZL-zs6lw+LT8+D1Od57zlM zy-imn8?h95&FZ#?*ll0L?YV)5SI85l*Dq`f^p;yTZGRXpA#yD@m?&L5LYx@UOv@G1 z-Yv0T%y|5uD%8fP@Hu_cqlCD3KWz#CS2G_JChtQ<4 zV;kpsW(dpIyWkIXn>$;{3~|^W9GyjcfD$!OzZUqar+ZVswD^`EOdqO(u`=tbI9tY+y9bfeqz#Z|=C1pWtiww`E>vGj|1 zKpSZ~^Pllk>z<=mld+WfzTBA2&D;h{*<=UMMA9>H>1_$KDT}Ol=dBejs3Q^D)F6bUm&P3oUaH#{BgpC zXzdPFxV#gi?v9j5exubB4A#PLM;QzcFWqjOh+Iw>RV%vUw8645_RUzFqKS`b4@Epu z@t%xfM2ze2=DeLOY)DnxSNSP9M1A~CwW`rs zn3dV#AwnfVYSiduR${W<%F5*O`K=#CG(!qZ1&LHtP=j$}Z*z!*&DMF?Ly*`4X4I?Q zfZ$1^-%acOmS^zQe5xs)>c7EWpB~=#v|(#}zi@gDsdK5`Aff~Qag!tt8K>x<65?8EJ(lf<8=tCdY!|ZS zPEXgv9tKg+n0zm}Hm!jx{q-K3K<3KgVD*fzlbv^M&#wrla_93oXbj`Olk1glu#<)a zXdCOCZdLXd}1sl$?8hX73 z0EliLbfKadv5-i8&=U*7CT!h{kyGX8$XI|JG*+872g(CpN~5cpGVC+Q3r(q*njNzd zk*r47UPwAiN!DH0Fx$bd2a*MNi8193t-z%u-~|7tIz60?c6JcHKTt&Udjbpe=aZli zKD6!p(qFE>>!xXP@%L5Uh2IMpth}f8OYwMxG}+B-`Q_%}J@v;JoDP?ueCJp|Hv)>@}mTlkqO;tQo_WWp-DPtpRw&#U_(i8CV? zVnG!sO5;se_(k32kZrK{b&9tG#Bel~o7z-#V^}h1@zwB4+}0>RJ4^&DCl-4{b!i8gEYfC-L!#nfXblZG-Va z*oFB~kHEeO~Lnk$gGZI$hZG_k8VI{e_$@~R;OVB|okH*k;|0BH% zPG5B)a+DfrTZYHyeVp2J>N)cr_V@E0^YuOMe%OtZyz9?_Mm!K1F9w&ZpoWO;@weuy zU-bCCwwul*`JD9g6O63Y{LJm|&-Qm@B(2?C<&2A{b|QIiN|eGxTn*qjEv*;H*I
    tFKR-jcj{1TJ|shsJ*R)S4rSCjP3a>bWHuA-;23nva=Xnk=9& z)NmulzrRoaW9GFM@~eHK!Ws-DoC^o zgtK!_aLaA*?P0585-df$6Ky0gm4yq}lZc&Qt+1mAO2lS+!T(Cf|0@e=Y@~u(<^Nr$ zm5aY@YVH4FutPZ0n?64|)6FKc7MYLsb?ytoh;Js@89{42?o4HYef#;7b7Ce@W@1@S z?g+1cF*nvnPbQk+4j|pzj_o}|C3z9-ym79uikC;?gF!RmtByG|=CuSFiC5SJW}AP! zd+OO=iNA0>^*v{|=(HY0;lofXRAEVD353d(SG26|yud2xjEQ92$+j>XkJx=vwlqh) zHf8)>K=_y=nb$Kr^BflnP`_@vMy5OLwKpAjRm}8E*C?v8iNQn~hwizP#12xhxu0s- zR=WkA!bYt4(dW1Uj-f4`zT>1fehvNMtF98L^U43e3TqgmVW^fm`)LFQ!Xc31tBgxD?E`XUSnIK zY#~mpKY7zH_gcMaOk40V@lkg{1$r8ijF5;;*iWZSAG?+f&6r0vCkwx+e3=v8bKf*9 z=C&tzDVcuSuaMTn3IkBNMSLhZ-#*f{`Igc2a_Y=LvKUFhqt^D|)Cnndg&r;Al&hCY zOtg33g(J;U>Bi&lA|yCQe^BpWlqgWv!w_2zN!h=Bc|Gc$C$}STr`BufQG+x&r1)HG zMSM_g!kOEuPFNSaX82b465La+IQ~TT?c-&uIt7EC79txzj2gPRY55zP);=F}-Q&G{XbgpL*Bj9&|QOpT1t*Jjt# z&zOU9!?1nnaS2pv0oW{mn61ATiG5veH>qYQoTpXs{T3)^0ZIamwhbJ}j^Ozbfpf-o zC&p7aH->AffF@3~1vBRSi6jchYNFuU2Lq?go#*E2**sU+c>h{q9if+uR zcj4p5=dRrvo0e!aTukOb3s;IowcfnTI;pKgB?$OI)ng90n}1ircNk{SMW8woIdMlY zGe+ZQuvPKsi&bl+tal;1sPS?}x$COH-j?_<=rACF(!+E5G`2=H%yKVzSESfc*%MAKpR^KqW~X4uKV!HA=g3-CLAU?@f) z-4@bI=c&>n68qA*LJD7=&H?qFgKnU%!WsO-A1{?bXYHBjPdo|zMts=9A#v_nilv#* zLK!5+=B~87)F-`NFWodxCQG;8zT=E{tbp+PZhhVLH$g0a}h4biSfDZh>kr!20z|F2pwvBlckrqiQ&`faFnYV^$xXWJ0&R*n!k6pdHwZ>+~FRcpKLUv{V zNrpwNK?$_T(M5> zx~kY-aA=F{T*kIZcFLMLpK)J^KpMioS{BRFm(X@YH`n^nuP!c=TM*X}&)>0%h-HnR zzC>4q3~P&jG|(e)E=~Ckj=mK2Z@X-VrVZ6dZGc;oXnbu+P)6OCyu;NOLj*9pePqZ1 zd<8_8`5a_~w?H$(w9~3EBpQ8r?5isBe+^&ut0YmMhhi%Fx8S&6CaJ>1>b+A*TK z6~2paB<#DL6K#*O^K>>|q^zr!Kz;<4qoUsipZh1VoZ-!53+2=~Ii0v8nB_o{>iC#Q zaJ#BCJWf~3pYlO6*(P0v^-tL3!VB6QiPR^undz>2HA`rXQSIYobX1)7Fh|aQf5&4B z1f!SRg1kz3`8qhAlo7dD@228l<&jz4ZTy6jT=Y!8OhYRCz>nTT4cn0|$oagJyR7%v z9^%IJqZ#O#_Kv&{SYECC({IRj_sZ4$1Rm2yvukOOSkxA5EE|1MC++3GugD^Yo}ABB zPqdA#IhYqj(!YT@iQ{60=#;_u;HKN7<4o7Yrcr4q+|opYLt-bv^9DzYz)_Ik`(8A& zO)gAOG_}=mABIM!Ag;w$n%r7Rm+ihZmqbKe3Bk21)j|!iJhe}oaF6VS1D5U^_8%{D z+Man&-Z|C@N=g20JaEsl)EYEg#R_`Q;wpc5l`mX>TGph58AE<@U;bwQ+TYt!T)>o^ zesV@~c%>&_V7KUI`4{|lvbl=5qyNC9w!Ub#TpKbye6QIx%hSm0cO`SLpDs1BYoXDI zjin6*csul^=o@i{=Sc3An!kpz6{xXd#JPWCXMGrx!XKgSVY;bw8mJS$aUC#1-2E)pCH+~wHwilXkb2^zan=l1B4);P4#`G?;1*(=eh&6db)G^Ye1 zRz#d5-F#S6AbG&=h`XG2f3&WUc+lYDpL~Z)bLz4PG+#l9#sIo$8SA)5+oo@O=d@2D zN2L4l8f9nK+8*wNrL^u7L-;Q+<24j4M%_C4#6JSTuWS z;V7<~?2a!|6;N6%%=j#~T|enn2vYaho1^aDS$%k>9OllO`OyA^9QilI_>BW55bvj%` zCOLjHyOwWNgWy~Q{mJx0s+ywfGD-$t(~oLpt$Tu%{@KIb#tR zAkG55U;v;{$e%?l-M24GZD z;WboE>%@=*?it`8;ugXAj!F?q>~^I7!ub0kKbnI&NN^`98Q)SJ*lEvg#o-fkeXl8&!-KgSpW#Ep8p_GeI;}ayQJ* zU=*Bd?5o=D4xtNCK8f-Q65<#%iBJxBPhyU9bs-9894ET>U6iSlzSE#A%fNnL`@Y^i z2B9!)!w~$<5r!YRwY*%TmJ2tESDC$q{tx}Y#uam}>OW(X{|(22aBp*$ShU+QmQda7 zBsdwKm8(qc%;3K`PCPar>ThvZaXV@K6s5EELW1QtA2G=HbNVm&l!8m(m(w0vgXg&4 z%-3oc1Y+>5Z*WYo8QPWkr%K~o-@V6B_Gu6vUU~Vj_0b+&>Nr7u4AKkzBQ7E#p5?^B zj5FJ9w#_&8n6{e_hEb-(yFzJUvs}Q#0LStQ@h|Q_GnpA8o z`H7A*4455We)$@4%Xy$xJy&A$|0XD~-3W)B-+li-`m%SaE&2je}YLFdgW*7xV%$cvrJ4 z@DenRDCcYT4OFvg|96XdW*ZL}Y-K^MhZTyTqb{^H)sIl=@a&4Lo(wNpy<8U%P zCDzsCKs+9#D}Gmki&>vSC4nlqH?;m+v4D~c1QRT9V_@Ad?!bx7f#A3jUICmNI=~0s zhIy_B*#Emgc?>98E`Xb$V>d@;+JevWH}gj|$c7($LhcuuDdUPrBlXX93^nu-^bKRx=Tw(=jnYMTw0emk#BZ`#^qEt0&ZmKn{Ih1Nc@jcWaQO^ z{J)3CoWgB?Vwd&`FoK}YkBIYkQWHDur-m2+JYjVFamN-t$xM+$gbTAkuYpPbX{be+2>${@ecdpL zcm}2}ZPcJPQwocDFiuEd{@h7G-5J4b*1r012HJO=zF+&E0ob@(q+Q(r^*K2he}p-5 z!5Sh>yypnSvF&&E0)-Sf3jv{j#C$Yy5G&*WE5s#*_@#cRIzEk7OH_!Inqtd=Kb<0ZrjZ>LHE%Qwyka}l#|W#yRR0s+zTJHmH+<+(Cw`TW`I z%E4;?$t4dK{obd`KgAva&UdfK=prZ>8;*QNwqrOJL@7nd9p~y9&{yZ*=e15BvsJjQ z-x3aCIvV#@koQE$JFX26*vrxaGLItIddC*9Lh>1<`6f@%s~3}A)&1;$NSU=TaU_SZ zx^b;jWWIviK~UmI0UTQ20paIfX{5B4AqxkaG|PWFqP*=OAQ;Usf<p)E~R_u&rrtSRf@2;PtOBLQ>iI+_~1f8%v+>;9zc6(^lBYwOp+a>4Tm~ zFP2%7jsNH=I84VxXtqz|M7oQ7URIsqAY3c#F?Ip%o!cIuq#ju;y=but@gj?ziCIw9 z5Md_fUYt_bXiP_Me_JeTKIk6?eX``%C0ha+0G-BfRTpa(`0(shL% zE~{A-;s+fU)LR!>^3%8q)TiOw;@i<*%xGtyJ?yc#}!3v z88*M&aXx>iC5*ML@6bpM(72*eJX@)w8&^c^#O`MVwKeKAEv7_oz-5E4WGh!<6T08> zfQhq~?c*x2unt>{w-=Es3E=TBcc)98Hh4FTW~i2(kA9db<8h^D-g*J&$PzyFW|VW* zV{L}je%eRR;_P3yANwSuZrJXsC)k8Ek;CWAMY&Fh#=gvqo|@H^_{DT>In*WDvFosc z|Gq4T)fz1=3X)w-!LdKTNvZaz@~ezoV>xBbqj%A>$CPS14&l!}BR-LGYc4ETt*kY~KvW%)|o-M$c> z8?D6w)VlG@E}0r|!`f7AK@&7z<6_Mn(Zg0)S;IWpKPcn(kW1fHZnU183)77==29Q! zes(z6bCMLG!&^Q$1R7zhF!z4~YLIcu%#Js9aH4SmGfqF$%hnNYb4JUH+q(B4O|w%z z`i{hwjhl5`6Q_tw*W2Q4GRtMn%ny1G@|~4&X4Ct$+MF1G#kc=T^}vYnYjB9pm4?U- zUqZLH`wRW{(HN;Jn~^|;G%PtK6tPeybjpjrrRm*sd^4N{U#Is7!sqr7|FN@5pRq61 ztbZ*4C)hq3dqq<6Ia@LMEIW!gT_6V7#?RS%&2T!SxAWPQOzi?RW*UCa)gM(W;vC^I z!C{O(Y(t3WuF8o~}de1n1uUR}uS;7IE{U1xYRnMQ}!$|R+qWk!6!P-Qg z&n|AhR5Li{)~QeT4T-n+c{4l~g6JRa*K>hfuy+gOl%8o09-Wsqv%U4Er?BW;1~NCw zj38$N9pzm)6ezRMyZi3DAFqb8;}?^goEZzF*V`-IO#`XbM+gmAeyk(s%eL$%dzQ@3 z1t(VZ{*H;^d!!yDq6_`Q({6~iy~gK$Kp*doRf-DvvU=jD7%s2p{!o%h*Jp*fe`>iO zhCL5FJAurz^-b3uysR7Nv-siP%?W1QlV=A@+G6G88a*1cq#|J;kb_p8I=pi`BaDV9 zp>jApw^y~1YdMr=x#=o%e3+X0E2X)BI_`Edmc6a9(24!f%Rseq$C%ib^F@_$4vaB_cIpSaMo4_@@;bFWxSnwy<-4&UFYsma)S+9bzz6+CX8SSEj233-T?`)zgKzZCs6Hof^$HGH2XI{l$z5) z2Hq_jQ%jPCYvq$=gzR(Sf7y?<7pP^WMi}oimjl%TK_3%D|NP=BfzT&Uct+__@7tx8 ztKQxVP!aj10^IMRjhG{`nV9*lY5@0qxitsW0)t-aiD`~BvIUx7QU}=gO%{vZH5cu8 zqSI|u^(Wm_oK`GsN-_&&5NAgfQ~^BtWowRZrqLU@Ndu+qh@hPt`Pc=x_ESxu;bg}e zd;xf8dO7^J9FAHYe9&xKCIfar64dBsdotg$37!E0*v}1-Uc2c4oJ=K7y82&>uxX3A zS$&4l&-t#GX_3f5-w!4-uGokoADXtbcn{kcX2;b7VuaMU*(Bl+e(mB(^F2Rbo$R4F z=kd@!f<=WnXgnt+oKYQN8u!%*-%qRn?bRGCR#3qxY(eixadCF-G-%2(o3}Q9KNRSK zJ}$K!Z0RG{2}qzM=rS3;ZF%i-)hP?zTV}@>m`&%`IJSXaDx>$55o~g;w7mK~#tSo<*^w$G%=p6tcm z`y}**9w@}Q#S>!4lts_zP0x*eI4R5hX*-=7q|DM>iL*wO0Kt`SA|is6yo?bfF=cg< zLBMvt^zj@zMV;96=Drn(|nMaP4AxOd=Vd2n3L=j8`6q{V#&ssJnuV}xgFMw7w;hcn7ig4!Cg33L8 z8cOopsUE>Nv0+u@qk{Y0AFmtClo|l3rG`B!+uC|~th#0P5kUx03I)k2=x#q!Eh5arQ4uKi5-lK@k0*zVeAczs zYddJjtHHVe-o^H5v%|wR5a?4AU2g4vno~uuik2FM-+#s-_RqT{(y__-rg0s>y*HDe zSf}2w^8ehCZ}NP)Ob@}II}IHO5Ypkh#D5(5)DH?kEN+bWybM;5(lMAW%O^z&{bp*L<7;X0{$Fv zxL`sEgW1QqJuYRWcPZv{supr2OoRI304QYvLn=263hgq~V>Qta1^|V`8URHxgx1E_t zrddpq)mrt=4D#0!KS5N5^qstVb)1Rj*u4GetuK#=82<5jji2RR2^1-by54^zaD~4; z0}7s|#NsFIY?XlTXky_f7it5|X7L0YntDD={PycbO1=HZx)1ig>Gf2b#0xQv3*Tv} zAMC{)bD-f>Cl@MEv+N`V*LXL4*$(Zc*~h@5dy;=*u`ZK5Bf3(CtH(yzS1D5w{Ua_s z#uU41)*<1WT}e=}?1}O|W8`UcOOP2Nn$B*!-1cMF_bqL3!W7-!(6S(P2Z_EvJX2)! zu47{jqc;7aoHU4e)J^8+)k@>7tdq8-zSmI8l#UZ%3kWL^S+!N--lSR0Y>1#axfo9Y zEz6Dkw+ndhJ}tzxZx>M5nF|hM%PUW)Li~9f+{T6zjM=vpN~o+jhKld1z{xr*1oqL+ zbjyQROH)sDs_j15BPrb@Z@vl|nCJmKRM#h4&~jr5TKSphx3F0+(g`&ToPoS2HD`yY zsDp7eaVj+=t@aX?0c}0$Ubu~VrkbXM&fq*2uhb%ROTl&A+&$&!&Ic<`sua|iBNQdZX2!QoC zoE$ON8Xf?T?b40?Oyt>kt&w7$l(9iyOp43WkY}T-iiK)wx)YeHZU){<^;b-R&W#0} z(XNdfZbcB!ybI1_u)pp4d*k*(cV0&R&T%FEjavI2xl}+ETY#QV4psSuWt4Pj?aegk zjueM*TIu7T^`3lAMIFDukQn<+TE7bHTII=@9ez&US^q5YaV@H`6s)C1inw@UBne#$(9*syXZH69#25ed`Sdrp zSK^;gge7wy(ym>sJzGM=@>FM{iBb{nJiCoYDYHZP2DMfNC+CZ8jy&w_GAJ%zdrM{- zHQZb&GVw+IjT4t-*q>^B2}daSUnXgGjcro%SBgZ#2w%VUp}{`JO>*7fHuaUu?uX{C zUb@Bodli0XJ&aO}sw;gJ(WzRtRkgHdgRzxcj?A^LGCBD4(Y=RqZq(--Sg|@-jOxt) z9|2)q+SnZ3y$Qq*zif#ZB9TBU6LXi)G1#Y7u)n4M7F3$|hHoJLDGzML>(@Wq)NMil z@~sfi=;qk&mjE5)fKk2pGUv^(;4-|_05FE$#a`+8=1-Fb@B~X3aVevzQ{h? zT7`~&>Usax3T1>QBl4Cq<3oJa^;WI+B%5XZm4%?8c^P~4r^T=nHXKaSEOhr)gAv@I zLu}bN5Vqq#QvIt6$YoK=X~xInTZ;9>xJJ(Sl>*DxY1*b2Qmuz(`=K#^*rV9HmJFc} z9&|@YcrSEW8%#TpwjIXRau!0MrABiB;ekeyPHCfkBbg~)in7LF4JZPxgmGuo%|pPu3w-}NVC`UQgh)`N zCKxhy3Vr8}omS7IA{*>cT2M1#ZQB9ySTHPntdHWh^+5#}cdT3XYSbSG�p=8Z|#A zjL>gzIw};6=@M;VaMTC|r;zxOhi;bjm#?-R(*$dQlD}~-5Yu>Jn#kx9+pCwk?}L|I z@rkyR1**k6sM{OeP95lqfhIK>WC+_E7E+&2`|-@Xp0f4C^8#jKpKYCXYsKq5H~Yt6 zEv30T-cK`lF|9Y)Oi*B3vwXF7dXbj`9P@?GKlO)Rb>VxZi_Sm0x7}ExC2PcIc3r!q zc0wfJBl#98JYc20QH=0slwJh!t`tky+Wu#jCi}R2I`uz@kGK1HR~Yo=bUz575|u$y4-=sH1wcL^UGZLG0@~D9gmBJm=7fmd-(Y<0@{9N&&n3{p(Ui&B@CK*p ze@F-~4paS*6b@C9bzHH7g*a!{uQ@%jRNRj$mkd^y6$AG{DKJ^FQbxbW<1+~c3_HCor|sB0%` z`LQ9%Ch6u^OA5;iFlRndE5tv-#usi@V||*#lv{PrsMTQCQktM56R+LASrgp~pMq-U z!+6kY>9d501MN(EV-L20oJ_to{&xO$;{z$N3~0wLfy50pJY%+9vrfN9qL4oM4Md`SfqVt7SV>XBz*1w?D_nU>8 zar=*D6AX?F|D5dquIwjoZNXD781^NgGM~dDhs#-$SYhL9#J1%l0a7B*?UATz4UL2c zYIV73vE}Sg{@K5+H#c=p8d0IZ&>T{7Q)8}Ar9IxKianpS*#nHR3-B}_5??wbdVUXKxap%EVJ34pJ zL+V0{c;wf8&AhhkcW2Jcg?4^dsv6(stFL%+kJV-WLpf4Jq^`>LlpWmoV^9N~n$kZ@ zm^iVo%dY`Ues$g%pBLP%Lc8RCCx369l7G<5$idHg70UE$Z zFmcr1@=1O%Bz)vx7R-Bl@+yix8`6Y+R~=V&*L{OhN}iubJ?gbZ20^@v0wo8?z6`6Y zfroG1w2Ova-POVM%9x(r?QppuW>SI|_9N2c!!iJsE7rBZ?h()@;fOb`Fj6z!SygON9It5VaWMhDhg35avGH! z#^$UjDhaUzN;z$F9ADRg4ebnor`H}6AY<9`I( z_iwEur;XI%SF6n=YK)Bh=&+1*D>_^$PVWFS3cfeie$I)(A0^HQCb>^sxU9Z2JKRRN z@lUlf6)doG3)adji#5${y56mkndTc@Pp`-a{)n?m;QCWp!sN2z^J z4yh!QklUSl^Yh4>`lJcb`UgMj=Qwm`dML{GPc@W&=cI&hk?EB&iMqgNpF=+^96hTr z$0Xyhguh!0r}m^^Bxv>puQjuD<&8VY4>~{58nt5oHmY_#D|==?nZ9q=V0$c(EWo8u z_9d4x$2L##)Ssj<6V9n-2%O3CE~u!$EqY7vpHUOSW#~+eGFt8F)*w-z&GjSXFAWSwWAk(|+4&i2M?~#T1 zyW=!Ux#~&oWw!1rpjwiL0)@5#f;6d6;rVG45KyXel()O}P$y1OUteda48=~ohFE!1 zh8lvBg3?H}1mgO%m_saP`?$gsjzeDc@7P2;%B46W zBTEw|xHTbyxGgNDgh&-U^ zK%2^|pDfAi(!}g5+nrjz|I3o*Sj+`JX6IGRZ-varXR;K^^m{{sN7~lvqtdV}+uG?v6uUZxbY~O4SfJxOk&^e-th{g1DTWXp6qnO8xDP2v=Id9&XsOy_l)r z;oU-~Oqv~AN?#w_^23d~!W3gR&jbbpG?dwfZ4@fHHTVXdc?XvhY_#h6 z@5AaE+oWq!dCbZ?z4LUi5uCo7t$qun+DaB9P{qi*v!$FvU;H4$t{NF*5bx@KrBfRFyNK>k*-<299_s2f8ge189rc%UbLz1W zR6O*PON0yXcDR6%cq1iUM)yw|Kd;4t+t1?P(8{!jD%(6m`N=585O{ArVQ);CJ>lrD z!btIx=G-7f13~%9KKHb7`*W8L>=Stu9^?55(tR7ag8maF*?v`2ynC1Y{oJE6N-4pG zQ^?z5UYfzDN2}Pn%fgZ#jep+t+0Jo318%gGr#7$T1N@RcM@{IoDz+$FVIK>A?*NAz zhFbM9lD#D={Hi{r+@WpL5WBiMQ~)`z^R>EmH%5^R^2+^{6A%nnU>#OTi9Z%N6{XKO zcSZ*JdjQzifPNyF5X;SA@0PyNo0uJY#Y;AT`U7)&+_!m8f+BA ziUA|Xr|ayy$Jp;S1~+E$;r1}EyGpxF16rOH)o-{8dKO`EgRxfgZKXjHU7`9ux4C6r zHn;w?pJ(93Wn}!kVrrBGHgAa>>_`?5Q|}IR+o>cPO9KYu@rOl(7-hoCleg=3?mzIy zwb(oXzq-@O*@kn3ccr<~3r{Z5*57V&CyV=m5=8H`8>mJk5)-XKCHi*}i;;Ywl6KqWrDg^HLv0 zcU!o&X`2IyTbaqiHl*VYo)?CYYMl>lbt0oB3DguvVprb3me7<`DM*CZeaC1f(kMy^Zb;1jc~tazX4R*5 zEzl33zS{sOAZBAV>2JS5pC!LQe^hJc6+W|E$v^Z*AI^yd{5Mc!-67&h`;)cvjEl(3 zp!l}K7O^=B0;P?vT$bB|Kgn;g#l?(gFb4v5oS298JlPynBODxn4shILpU{lmS8q(j zkC!>Wj=|2^cQh)!-hB_1J2(_rWFpZ#JUTi!-;|q13R1lgyr3daJxGLvHdW_H(a}tM2VV@B;zEEVnlbdY(Szq}g zofsI9Pj~_2V#Ikh*f39@nO&akrtqAJ?{D3q3--VH%73&u{-#xLLp1!ypp=itiFy{9 zt=o@wQsp`x!;b@CjgXSZ54-5o8`W1@b@0RgL}sqeZeOu)jb?vNbb&sX)|!{j?-C90 z!kukx_0NL6wjz4J{n13+Vu$aDH_4vXca8yOl(N&hmNAp#(|mAuVkRfGm*+A2=3z6> zzTVK)(th4E=Kv&zL?e%y4S+hCMq6g*d`nY*KimJ^e?&;);i=Z04Bu>R)&D6pTNZVg zYLlypF8fKLw5gfNn;!t0!~5D)4Ch!^St!a7L9#7{)Xp-LTVx;G){H$n)BwblnxQ3a z_@Kp1s>|~z<>^4|-(~EAgS?@?!Bsmc@e6edqSR9M?A8~m)7FBv0-dbs_)%WG`%ajl zFjEU(yc+!Zq5ZL+l?NQ*%jhs>Wr!p$oPNeI4#L+!(WJJLw-+1;Xcx{mQ~87ZR%lb{ zi(|gx;Z=VHKv9ML>NYhRdv4(T&oJd9f;ZK3OmB%>WKJ0e);;~N;LCY+dDm-f+Tu82 zY2VCbc|hVX6FTX*r-rUm4F!6G&?LU*qtONgs8 zaceQjgD=wmTmz@DXx--;;-ABOgU2(1aoK|X_!2Bc7sCtJ>=^6K26U>K7!+Z z)>ZqoZ`M-x5%n_?0BxpDT5_|EDPW#Xu~guaYtBnC;N?yB(i$y&hpwSZOc3JD``(<2 zxcAUR(Olu2t1uIU;bTz^Zl#xBWkkWa^2H7*2_90w3^7NTy+)-Ax2a{Rs=-$i+J{UI zn|=J~jCvvO;y(pM&8*28yf%#{`yW_)zpP;q@ugW_XVoEw-8HbD#24Hb!5OpNDlIOt z%KN9z)-aMQu_}ns<=-m$518}{QBy{+yjZx34mm3_{-slllrlWC56wYh*Vzt(z+0EK zdKLRp{N#@9R`dkx!`ouM%QrOZ0h#>&fYU%}I&kKP_260mOJOAP=5lenUJ*%+)evr` zFM0fi?JNZ4UxVexxLw*VUi~z`?>S9>@)h@H#z*XUF=x~*hg)+b(3ozx$mE2Xi6#A@ zEj0?<>F>Pe$5$H+T0yOT<5(z99YQDk2tzQ#K z**$Ya_5)jKck*rtm20n&m;1(peG_e$ZF#&M_YH71Bo|z}ue_(r$?i5l26ep}vtu)L z+y{D(t!0FTH)VCA^wO0Zi|$+~DFgjs0J!QW{~Hbxh`lPB3jR$byZldMA5gCdo@UkG zJX3j#NbirM@iBZtz_NW*S;BU9Z~OHE(iBljV%5$l=6~`*$zJ)&z~~5qUqf&FU7Ifc z7XDYzN9aAUro|4+)kI4-ytp}<7>Lh_27SAsJ4EwS_$C%U;i&H-Er7n+M6KsRaQHkSqEgm`C1PU0tLUBV2?8Bqon~!}Gp- zL))R!Nuza|LRb=nygcoEP$PdZf#~n(IF4&Mdf;|pja4@A+Q|?FbHgi{Q*UQ|>p+$u zvl#%%oPr5Y!OXQ}Wr2N5`ElE|&rm?r=F97lajWv4=!#M9s zqMw;hEwKh`dD%@tiAH&TB6Kl6*bapCZS22t=xbpX*2{DTj-e=N{!884?oUStd(kMz zOF4+)x7)F+D)1$0c>|g>@oTYDMzHY_kxr~zRlW=Iwtbyyvz5adGO@EfR23=B79hWWpl z4_t?SLwx0Oj>lJ^k+gPIvX`;a{`8==qS^^Fv;0(cOg)k)*`3Indw3&?H^^R6l*@z< zZoKMUqkDa{Y8x5|uWA5m3%b98HVYofT%7TUqC{Bj3(T*97>$Bv-17maCKRgcalPyA z2oFc2(gY{f^>0LQ*3a$WlMvbb^H;n}qW znAMFR&wf6|qBz~&&(MlN<|`7Xy+w(hV+-1oFOzh(I6cM(%k+C-oFS!@Bj_)WC_MrU zekvcsQjso?{-9*hTVH5v_hkO6e(|mQ4yZBC!M*ZdCq)!~;_%GIE24AKdM6U*R*v87N?v4v* z%5<2`2z|lYM#T#r<77$Cxx(c`OX zSut_K$(ToC3yQ8_vjFaZ|Aj}hShm;HiDV3isPOInBbit!0M)kBGeRXJoTWYX-{bY| zxNr#+#H}Ru90C>ij~yexs|OA{^PLzX!48q)>I!+Ta&Rerht%Ba8Ik-6ay0EcXB}LJ zC5f~+dFj-Yhdlth^VfUQFON~%6zh|MPHz$~t^+~Z)b<0P6*XbGo(*N4kFVOnJ1Z7t z;w4V1lKB;C<>Sq>F@NR1?ddP){v110&Mm|TiyYtwrv-n17jPg)Pi^jx^`D$-g)QVzs02kdv0#Ne-Us% z@X~h}VmW(+4%MEHa+wYO=KX$E*sAf9z<2didrWmk5_EO{D4K6Ac%tNfqoc-ofM zYTTv$|06jxJZSNA^*#?j`w#jSas(%w*&`vX;WRBcl?`o(Nl#Wq$mdv**fqTR=2j$7 zk}ggOBDzSVw;N+{P+5H<-nPXMk^w$X8d|ku%|!+*w!fD`Mh(_Apz= ziV{u@+!Pxkry3eDlBv zat~GX4)o#y^hdkGKH$?EQ?bZW*a z61zE^_o0|TD~Ys%{s$JH9~MhGzf$mn5#(h8^Qim;uD0ajIf$4I+h3k;ph)!)4Ge>!nk*5&UZ3fM}DA`!R%wL%C;~ zpnCK$ke{g?k3F?kqH{MGd-t{bW%63j!wZ_C^a&0)53+<>s-^I57Y=MBPFJma-ZeW@ zPWXgy4!$O9&pr#Y)M!^IYjquv5ihZaG(Hy@XNogs{o;cykk?q`t*I$}!C6Wp$>aDD=+;p(vvpjj{g7B^ zTX}4TO|e||V+*r)9cv6NpuK!aVsHr1fr6F#>3N^FeQ@AZjsw!+iCu^kHDPA!!a#?& z66bn|MFrgWjAbcu7W7!7b@fmCapt4V8CYR&z+XcWy?<)F%DRAu$~uqYEKbjkXxWXiTeb z(Ci0d>}#I0O3kfHMnB36RfdmPBxpPmeg0=Mt<7-Vu!HKoOVJQdl!(ooH{I_rz`eJj zzr|Ah8bHIAf^7)eV3f9fllQutt!SvbDKQEwr{i1SvHHV#Jj9Dx)=|-Of?jneBiWedw(s zFL6@${k09p9S=%P>t7*%(&a|Eer1VQbPthk1%nNu$7(|v!5xGI`L3kqPbI9c0bWu2%L79~$}Bm)cdqY{}qCwc-kQCldMjYABYy+*IqrIaE=jwyt6jolm2fq zPFu^{7Cf@cXXfMqus-yt;Xa<*&t4(~q`d^Uew|yobN?8JQ5h?}pECGYo18s7&UgkV z#IE&2;e(N#Mia$eY81$A-ST;>c7dsk_#-3iW2B>hLLyPkWl=^=A5LFo)TZH>S9O?3w z|2NI~o*1-?_UBwo^T~Nk-l2W==n_)Eh2>Pd=W5nLi&)g}K4f>|^ z=s$5NVtQ!kj7*TjkS4O)Ypog;9#FV%6)lZ!# znKp5Z-Vhe%uf3~cO(2MLqmHI9N1P0E_2X3KQF=S_cDe;1+Dia+HkVC;N%3Pg2fJ&r zsJAjP_%zPpor?yX$utt# z8{+&%k22LZIZQ}b*e0QI;j+8`$nP~=xGV1y-*U*lx`85p+ejR|6X47w3rOXyucGz) z6)(mp&)U!R=Y`+rc$|NWi>3kVMnb;9&Sr^S`11GpA$WiD$jfzwes zv6-))GGpv>11P@xvtAq{aQP<3si3i3B|JG`Jp6ay)y3Nf?}kTUk)6i$68y7^U8iOg#4&n~*Zhq3#= z5<3-;;_OJOXoe<_1%Tys2ajm2D5tXbE!PuO0~(R9(ZrX1Yjc8(Ha<+_5+vTc1P9q@g+&yI)Q|6VHw&j%tjhyM@D4_5Y2#Xp7B)NBK%*Yw%9dy)Z=!il zoN4oeSy*`jtqxy-K(Ej&%{uqd>Oq@^nx(v8zycHxq=E1T#?{gw$mNaQ23uq&C(vB~ z-^O&S?m7fEPW51LKguRCKgawXBuT{1eqk!9T}w}M{q#DQ=>J2WsiNq6Q=M>~z+K+F!uWI=cVbv2XDqUdI*pl6Sz9m({_+xEcZ{_LRdvA%QM zp2_Rc)|53BB!$x>-M+8D37Y@?k3tzLceV&HeP&7_E`{?gSJH6^FNa_CSm?8_h>hx? zyyfJN6i+iR+bo^sh?~&47Zc(Gu?vAJf?>U9CC1RPB~NG8s3}4{c)vA?N6%BO_#S{bPnU?hc`BGuVvb^1C0;TsC|kwi=H0@1m8IgNPLWAlNVqb; za4jZHZ)a6@mS(E%(vNVS%Vs%`YR*09)z+qL@Lct;z*_RE1h_jpf52&O!2%K-JP5n5 z9{#_FNu!7J^2JtJ%o8-jyDW0t1vQ}$6jT&hHU^d;`JVphNxe;r!^3DghIu#kW)`0z z9>rCp!1W)A!`OXYS&YP{WR#nG-XRL7j90bkeifu%44e5)TmSQ?rBWXr4eR*t`S2pH z+SPsrGMf^wthoQ^=Q4evbF$!Wuvw>l#ks2^n-OrUsiqSWo+LUtZQ@OerFd}K<4eys zlIBa0$TvahBb&7=1mBX@uF!&1*Jqe@H*V1J^JvST4Htt^!*;R9xKCn(xXj@ng=8Gro0W zCf&J%W@qQb_VPOMYB9Uyz-NsTQK%^3EHq;4o6^x!A;T%7qQKR7vS{c#pao z%J*s8wldEZF?d7NCfQ_ToY%n}sAvsUw%<1|LbhR+_>I55$mV@Kz6dd1BxqK&nwHsj zsR!BLtQGY+w#3hkSv-*>&O2^)0U$-NED$h*F`8~>YNCi5mEP(32fd_~X*_N1QG(RP zzh6M@O^a$U$T(4wcQ?S`zCohE17=4WuN>C_%HmMNw7K6JkU<(b%3p2#>lW!2;}spO z-wuj)MosO@1SKmg$Khf}^J?ncz(nTY`#6a|jIjS=I}%0BJb$U-0d!j3`{cE`;?;SS zn@~&J>*|XVs~~sI6yTcB7SOtd?=p?{t}=pe^yOJ(>o`t~mCkJs%}5`h#&8=Q!n0Fk zwfRk;-EHsApDKK+KxZ}p*ARNgupDRZsp*DbtqAPJW{9R>_OB@YSY5QEtgFGvW|Rk( z7SZB8M z?GIbghcQ5=5C4S0V|Z;@GtLs8MhO=+tK~`Z^5|&fq5rGKgw4Okb9U7_4qJUnTyLnO zic$rFYezY5Y7u^UhC2iS*-( zjd%{i<0H27c3sPDDUmomd{bx=cmp6@w##iJ>|mpJ+AQfP?ss9`k|9^ zb99ghZXA-Y#s%*&Au$sZ2KWW8yWTwfs^O<@TfB1Cc0N<*lg>UqAQ?*hEMQ!-^X)a> zU$2VMom&}i~%9p!I3mDG;BxF*PA;wN9hGgDEl+1U^NAJcP*PBmi@;SS$e=!qWZZAES~ ziNVdNjn*r`b%)=~u^T8mKzvARCRJMaiWq()cz(+K>zS7*O!mPEvLXEMsTcbElZ{G9 zPE2KHrx?a<1YUaaKo8Zfa#OB7T#K(enqI}5-EG9zdPM(up?(-^e4N99mnc1bJKaMq zu==-fF!tP!_tAK4Kz#rKmHN%nM__(#QaFh3ye+v__mt0ti>{AovsP$^%IgK4%!TsppBkdN;t0+05@fM!U&!Zg&oNj6@Mc1HIUp2MH8=aR#JGi4T zt+yDjAK0Udb)uT08IAkf)itUqcXI{$@64^VU$7LNtGEwt?xdjIw<(7(hN!j072O(` zs|IFdgtfR8-FORj7|AA#jnA#Pyr?Odykagi7z$d`TcAyy-nYGHdF$w)vngs=Ek7mg z4>1H&pHKD}Y;jo=_$hs1*HP4ct;0c1c{gyLid`wxVE1ZZ{1ZJ+a(hFdw&@*U0_p5BDv5LhU_RL5K3E?-gU5EB8e% z#FlCG>fiQ!OLwM(UM4o+(Gr!9V=w2~&i{I;X<296}k9=A(uU{mxTI=wpp zw+0!lkyq*b;~TVxBL_!kLT4$2=-j;$Yd5YAaQ6sz2mV@QMnv)c+naR&{xtz8S=@k$ zJ`}7|u)SfQu2b{)a5?P(kI`BFTK5|_r|<_ljB|(0h3(rPimkI6Yc`+$#${`w4&wW> znve%P{s8V~B_Wk^H{j

    7m8{;;4UF@c}FP}f)tX89CQ90I8>`dKhF2Gmy|kc>+KZk();4`1>ZaIvXY z0`UyqxuXO<(Y~m|0<-J+VDP(82GoHr2-`=3_ri4{CH zlCe0l$S{!@jCq*d|DG>&J=hxAUi^Wo)CrY2x*}p1Ji9jUQ63I%!8ygPscPLsHrpJ4 zSyj0LwWi7@{iw@~TcwR!6V%~|Zu(DMApEVGlfbA@jyA073}@b{e&@Ct!Ue@YN0{|- zW^F($*|e<)js^6mMoaxByS^$l*>i@79ToQxY)!t!;V8W*HN?*??QnwmzNZm+|Jj(1 z9ax}Rw1w~EHLQ{=(HxBo{bJGV5x@}rTkE13G51HaH|Fzw&tpG~D2K0+J#zxr72kO` zXbrz9zini|pyJ1E^`w|q>q`29(^=H7V|A?_tE0(wre>_^W>PP~LoJ6?jmOUAiX#J3 zyl}DxUN|K|g6`y|l|F=a@1!;NvZ4hvWccBLJK?lBEe2EEXonLMsEP<+^~GdMYOYJZo_J6&tgCOfzBlq;c&_Ws!x zCJGY7`YN+E#9*ZUZpa(#E#48?=h)@Vj#1Bz_84OW{D(G*OHIC~t-Sjp*!WB1e?Bh6 z^Tj}tpuO+46WAlTQ24hJJy{D(3>kq!se5y~wt#12$s1Bay{*E>h(YSruZo)s@69|m zv&7l0KP*%a>%b>+>1RABzu}U6zMJAV%`+pV~o+Q&z2~SO+ zX^bs$yjG)FI#5BjL-kKSfRd}X;fgAfJN$N7(NRYlcEFv>VYS(IwIp8m1s|jqmO0Tb ze5ZwpSMAq`Fy@fi4QdC>2v9n!iT#2waSYMV3w%(u$K64At@eZ8Rg7^#hzz632LV4zBPxPUz@ z{@*w!4=-oH7N4E!pcON)QzDxHXb?s?E}t%@wV<#|p#uAq%Tl=AF> zFS?mKA`^bXyX*jW;wg3J?^w?_tI#6y44*uD>!+KGU>tw7w^s3*h# z+;p@Yn5;g4q!>?dNM+(KUTetfvX7%D!#xr=XZO#U#Vuu)_^6~I1Doy_ zSdmjL{=2hs_0cZ#PR!)>XVYvT69TL=*95Y z5S5`l1v{mEdf$vKi6F4Hwbi|et$3p7(0Y@!;mYF)q~?O$WcKdhncIi9KhF;tIqJ9g zg#bqlXU+DbX7g>3c(n|;xb0j+nm%8WRftGdzn20%J&#aV)Ejkq_?kw z{Hw8?0dg5RGzc8c^4ev9!T^Od9LS=G+Kk#~!JVwxmR*<_mq`lT_WV>%H9&jecfUd)Xg< zY)CNhP~mRhd+-2S%KR-K(|8!^U+U#{N5>hIpF@gg)xmep7Wz?1k9dfao!@bK@qfP< zK5~y&U)MYI+MXq*#fisknhm6cQSFVH4k#I$y#q|N^yXSTTMcx^N{cDrRAOLSZg>$Tab)Q5-lIr3hv)c|8n|LFX;Y;*2ll! z1HH@xT|-e(KOfO}nAYEJ{yV_|OURIfh8L%>%PxbrEHIEcf23So^*=$*YLb`<=SHBQ~yWGCgkw%6mc3Al5|7J%n4!3D`$5q#c^06b;`g0gbJZghn}^!?c2dG`hOTkF}^o^NAIcVFQ^j)`VtI*8|WKpMn0 zeO}Z+{>?)SUx;>m19|>V*6wQ9qF62JTzO;5m>|5{Y~!+U&n_!SGots|;nUY38?CSV zMj72ZEWS2=Yklm~py2&!x9{)xH)ViWn7tv!eD$OAbn`usro1i?K2vO$A+Z3VEw2jUiZ z-Wt~y$h|w93EQ1jQq8wq*lWplbyFNY_LM_)n@X30;4YSfY+6gQ16egL;Ar-R?O>Nr4L5}7CDA)O8=E;pLSiAAfd4unIrw}=?#ZFCcR(~Pt_DElVm@XdW^5?aRv%A!r^Rg83Xk;1HY9gK zu0hGxFOsco@2|92x=-gEd$~h%Un9FFnw0fXFt^lt32<{vQcr1IUlRKEM+~1YJ*Rcx zB4HR0Yi|C2f0@aj+DliE!wnnXZ@lqGN%Y@qLVlD5Z9i`9S(?3Z8HluGDLtl=PhL5t zeurecm}Vsqt#oM)U#!-KzYJDs&EUX1MLVA3 z+5b8A(l~pF4jgFbz)b9a9SDuazeGs~7RnBfV+$#{r7j}g0I36P26osM!Z2T0NK*)I z;_&{z3Bgj2<3wlQHhHBUbF%WZ4nw8+OJ`Q)+K(X*9mR@joZ> zlO509!CP@+N&S`5z@TC~<;rZc4OYkeR$_n5-Szkb*hhK%Dh;#Fv+VLTuZ;K9Jy79tQyV(pQC({$lH6BYAxT`25=h*08VB^T;9rht!ShT^o$cYREoq+870wft) zr`G(FngWIjdUP(B7La?XbL`$(?KE<)kb>9VbxYjA1yc%YynrX7CRX;r1>)pg(1dU^h@em#Pb-a1df5U02b@C9`Di<*VWy~ed;f5%%btT6@kdD zDxEA!4J-Qa;loBv1+M#yj8XjVc`xgITZ1$GU)vN__5XR|I!E&qquxiPz&qLEj^9kv zT65I$Wq@!^$hIG=PwD|`9iF&OfEv*R(Ye{#k~6M=f|mF`RYt5Pno z^$072g(fPAzY2c8=aS^C1n!$=f_}+WN}xZ1E^VC9wjYj<9+8zcCe^=bh)n!K($s7!w;<;Ws% z2tBrH;w?!*EOvM%z$Pp8IUkhHq^$wl4KS>|$Pq7}az=1qr&oxYQ=(B~oJMl^BIlwty2 zmyYO%2^JXdsIuI|i()<;PJ3+?2u2mL1X%?4)`IlJ)HnW1-u*hNwQ5=E4jam< zEdAbLS^biVbbN8p-nCKPZSLpQP3BAvB{co)qvJNle9awRO(5(uSWdKn;$&96HOdL1 z`R5Wr7B!8=0iIXs0wD|j38v`lWjM>(YFEi29|BWEhmSoAIIh0DRS7}=ZYgT}F)=A? zv-siF^{5Xhw4GRqIJ0&BTknm}l5}ZikstI;kt(Yjs(-jgHtbeuU4u0qjk-mS+cjX= z<+ol)urqzGC3_PDe;$z2_iem!j$OMdjTX_GOGQnyXtuKd*dcLtcQLCrU`Qj-U_ zqAXh8X%o)e%5(E!Z!*a`UkEWT8afm<*0%iNssl114vl#uGH`$XQQ9zjjIF)Q<+QrV za(?HSx+#b<+$sdy+l|itsX$PZqQel4tZgbM`tRm4bR$rTsJZ*yj$}75c%k6yg?S}S zdzg46pgQ!;jxAlSyycwm8$vVY-FwW{x#SJw4v(1AU*9=0%qYjV)u;c-jaj|D^3=fp zGL9(V{p!`6bXx_x(zE2c@2W(G8x`O9b2#D?5DNu92j`! zx0jaV^jc}W#%m3v#@2|=7`ShJ`SQ+55TwvZ7+4IMv7zEYtc+tPGrkU}-qB6Ta70SA z=uAJkPhk`l-++|D7D@p+A?ADVo7=lw>_yUER9_>BfUw~`ppHDqodmq>UpT`~-orW< zqpq;r1FSDZc<_VVUMlaENW)J5`MH{>H6Jz^v^w6dkF?TqB2Qm~_3zG@K&_kA8m9zL zbU=crsiUjSBRjs6&}u$L@aGb}G^0FR!B!|OpMq9X!03y%Mx`4FV2^b&YwZdcwXxBt zmrhqVG+tPD_#ZiLQWhCd8{@O(J-?oHhWJF`ZjX?$`a zm6^IGGH>7ERB;W%q^f^a&)U&3$NUa;c4ei4K2&z5Qe(4AdYu23D_fGrR;&MXd;Dp8 zk8Xe}TAOH2rl!7?SsOf2DNKNRo&&K(bgLh%kU4@&rzF5(9GD!HAdwE_+p~ql)#Jh= zMKG9xP7_B@H2IW{3$er!$>AL60kjvFusfUb>Qv=cCDA;oVS!zcMRs0b-`ejwmb*~L zf$@L{j+o`nO_-b-bgGN-rfy74E;#xXSiG_o*zn7DCbCTLH zr-mu?FMHy(cQi0+tjUw}bXp74E#D2;GOhssc*O}ErD zY(@iPg3;F@IkT057^$vTP47I-PvF3Lk$#>L5dcz7GR7EK2Yb$%x{Is zG!lq=Km03n##)j*7Jv_llVa<4I9rqMhj3$18?DBvPdgy7aN%b8m_84Mb7L*~1**a9 znjMJ#2RGx^7-IYcy}MwJEZi%wrpoL)ToTa9((%tq-#|DS+^Or}TUG)6okF6cJ$@&E zhhY7xeE*2rVXT-p6IuiuB8di`lMZfu;Jn6fr30rw@ad4=0VDjr7+mi_6`B4R%H^by z@z>(%ym7Fi$ov2jxs-L%ZGrKGLY@kSf=9rm&WsDxKs?t+>!w7ERmWnLX(#PqFM!wV zUK%nDTJI>k!N!9ZsdFVzA%iojRgpT22zMw-go*)RsRMoWO9Nqym^4Zhq16R}0*c?pZu45n zA+_CxSJ^mx7#w8{R_9CtaDHTv8LzFJ5MdFY^*)z^04~6aVcgM8pvDV#XWJ*rtnFZn z&>fiHfYXX+!u{ykRDxzh4;43d|9XS`&`vow<}*NCc&ht15cJE=JTilS#u*(kgJ#46 z1k;x54!rfwE?Ht??pyKzZx1&14xCV}im(DgNSu8|Yv)IWZ@@({$!Ffhi*+O$gPCxb z4qMe99m%SaU0?TuiR!?p=iTV-5HK?zAWQjFk=oIA3tK(E$3P$@tg_Vyl$@qmAyXt$ z+28QqX1olwrfta@AxY)LX5p8gzI|?OR9DE4v7z@S4}Gk6a-1!#42p5@$conv)I|6< zPDzazNLKb%b9%tsm!edKhQQTIole&>iYVf?VaN02t=km!BZ_-s^|yQCS+?K|J~uXD zL@ic#*mAz_;*i`2Zp1{;gdqx!Lt*I84J9)Pgbb0qUdrjj1_(=fCjeJP_+AHt7h^c@ zv>CXOwx_B8Mkexsw;5850WID2J=$odIQX)iWE&>28bwwX@ z+%|K3b3P(}*1U>^Rn{qTM9JC%O1_nQH-WQu; zoH|-v$W_~=1ooMBu5)lPO)k9rNr|Aij;cGN88wcAS*j14v#|BlYnIbgFyPFm&G6+T zw+r6_yP3|=m2zUo(rMt$eHNAj2j)%e&gJD*qH2XQ2;Ph7sGXt4C*1X@} zQD=Jnn#{_k)wj>;{Uea}IF|0tz;c4JdbKjem4I?eu7^iYIG2(~<}YeG|nq&#Dlfav)8; z=jH(v+Y{ChC11#Sl)F24>^5aQ02ujPW}!PtK$#ekS=JhdqH6T1SZ(isu} zhRM((2Pju#vuLa(E&2#GK2K`MU)ZZE@j}A|-G{ zy8B*h{q#;Hr)twL>()D3c^ul3J(iJvch9{$bXweH!UIp_KWpiCgaNUCYLB%Tm!36rKRSa$wjeAC88`^jx#Dx=tS_nA zYB%N5iNQ7X<$;YF9ZhyaDsN6Oy~^=@o^j_(^ZFMKW6rQKyMSU&(fInym~rl{JB;cMRRUj* z#uUCp5l9&PA#F_CbJvd?Ai$6^#qE9-sKu0Z!R-2*N^`jwe$3&|+GzjBtNTrFyt#3F z&CbZg@Aq?w*#dcf-V&A3mitMf#bc0B`FD=rwZzQ*$ism8+bkXVCuY?HR#ZL2*D40_ z`&8tw+kVYA%+L!L2{$7hYOxM)*FAu`t3Q2;$h6&jqReQk(ljIV0e|H~4C040!~IU( z{_jUa=4*NFrX&wOt?LGFOe}NOlLG7%Psi$;AGBIk9DO3E-|VV_l^Lf~o_qeO=bBfE z7n^ps38a4+PLmM8(C3zfBpZV+ZuD4t- zNi6&hU2Y%2vF+!elIGWuIEK|2%)I+>DRX`W$(aC5qtw=VYPYe_FS$JG^bVV*6)1!I zSH-tdN4L2|rMRdRdU@Ce9gAZT*8p)?&K3tRZME6&s-d6+Up^NOJP;eBqu@&=~m=n&Bj^@VZFimEM&#yZOkp9-MZU1u-~g1L2V($IC%*97)84Kg2hHgfTCZ3Vq*x@ zzYLEa+M|PyLFMJdDaQ|r*Q|8LG*bDS4^~_BD-bs_!)|% zMUb6x(T<)eZk=xb3X#|UJNFO4S+k6r6^dIb#?kr{3Emhz$pkLQGtPz}d;tk|oonW^cDX=J z_1z6NFK9flg*26+bgo47NSnhy{Bh*&qMSOq4s$`iNqCWOw&=T}MzG7k4A1Ke;()J}?vP_>?Su_1tKaDyzz00HI zD)dd^;JwBqZB=jFr#~WDf`ZuoTgx|-r9DMP!xcVDaAs1u&Xa74v+GR7g%x9mq8Au< z+6Th$Xu*}ZD?yC;Yan8c3TmH8OA7|jn^Wv~btswMD>t1$$cXGtRd5`?l z95_ko!tfsnek(>fr@vl4N+1=lGvBLsbm6ZP9Xif2`Rm|zeMvtz^Z7I~H%faVk1;zo}O=pFxq{g%n7;%0X*8Nek((ZUvup^@mn53mt}w z8xn(6UiIlaZ|ll#B!g7!bH;PQ|KOC-Xn#+uPk>S2Buc#k`c)eYrOT}>e+BI9O^81` zNXF{I{#s&$`EJpSu(FQdAy02rF_?o}5d(^*%@aSYlr#+E4=ZIt8b4vs06Q^84g)rY zBY;Q*_C;|CmrlRphFN=gELp!9GDx7MRldtl?E3Pa_Tf1!SD+T{IalMtKM#+x$(eVV zO6dT}b5IPwxHxE%y+-nyzw4ZsGO~K}X>FwGb{b#qv6h#(=V9l+D~RZAD2t$%tQCJ- zoOa3EvvkG4x!;9&a%%0404>ZCG(wdeud_L ztmR&7Sd@+q>g{q_F%wT;Ja=E5kKcIPqv5wmvQ(sL@5i>o*KeeT@BV3?q3kc9m#*TM zCOkEe^gY0IzCfFyE%4WKa(DmHnUhBwOd6@$*Dk-jG`EwVLle))=;nM1A=Gj?u0XDG zWYyb94;SG~%m<{cesqO<{ifE*LMq$kb)t^h^c%B*KYpBrDIw+WJKqc#vEPsU)bFC><(kH~!dnO;G5RX>nvm~@=1k=%IIP4QaV&-Z zr0u5MTK>02OLCGs>8H*;VYlO!Lw+lCI%erQ#AYLt|LG&}YtBy1ZZy7Y{tr^|>!;6= zio+?9Wx*bWhgxz^X&+XG#El@h?Ea^p#C~gMZ)uj^%Sto&PWpMBfH0Ln+0OpkTJ-3v zEUu@W!)jPyR^}1?gi}y!4**$6Fy=be2~%$&e%f@h^x;#hdl;@8N5mB~$YPy}4iBfR zP^NoU@jV`d!@nm4E)KmvAUAD-blo_%q7ua>%ZTv&fnE};p;XLO_n+$*6)A6B9 zQ`IU{iOPIpllJ>aODc+SzGmn=W9|>ftEwi{yh#>{jl+ftXn~~1u}WGM7!GUqcb7zH z?#z`a3o}Hyp~~ce$i*C{ZIj^bE}*zRn1AJtE1LtMDIK3?(ZqtCI9wzCZ*G*|cU zB|W2y{RU~xbR`*i*Vl5HPAoxV27)uAJROI*nX z1}gsdJGrGut|rh?nxwjNXCd}y z+v*P;fs$tbH%@2eX3KQHy|Y`+MXjGL_+9i&ME*)=-M-)Z#;p9k^i~Bffdsm@9wzB> zn6)$dbh%>3^V>&q{^cmvD%dta#II;XZA0$xxk23cOhorN|MYPaz2%#WS*~&BJ+2XnTC^Fc-nl;oI7taxMGk#>Q!f_juY z6V1-6tz3h;uR-(H0^lxdo1j&Izp;Tui0h@o`qg9A4KE2gBbx~5vHiC&NAc8m)!DD^ zeL1!qQHU!R?n>pF8?Imy-?29gc*@Em{KgMzUYfL)c5Tz5B`Wea%TP{NCvA%sy8^M+ zL2ZE!XOKh8i<=Q^yRhVyKkp^Q|BWX3&i`vRmivdr*!_)v=_K!}hTOVfdVR+Wbe_+B zA!qjrfMz8M{O8VERUny4usz&6D;P{~ulYVzE5_yd$XmD9fXa#c+M12=h9YJ$vBE`d ze$L!*ifnfEhLpMPXN;*pLp$Ulh4M#o#c#+`edCL3aCAbXz{g#RIYvwtZH@+vBlY<+ z#Jng zO4#2D8XsMTw?z)u6w0<9yv89xOi!n+4|ej$Z&8P9{5f`WfV+~Ir{d9vMr1=c*V{(ENi{Iyl%srpp?jQfFA8Z2N4b2CD0^c5HSU@+h$o79<&`6g~Gi z!DGmgT{-K>VtXusPE=WJUd^gD@deSxuFgIAqax0UdPKqfpccye_~w3o&|wzvY34<` zI{^#3iqWKf8+;Su186V9Lh4nxO3pot7p6pu(^LC%`CBSN;s8|aU9nXYq7?_o@4ey1 z&oPy;=WEbJ@2$FvWsGZvBCl61Cl3iNsKwa^?hyQlbqYD)t zOD;f8Gq8p^Rt5^0bJ!ws-W6U*^FL(hnZauAW@AbK|(hnp@`bp95 zs6m?Hzd?*`MV$Dwi`g&I6zDBFQ>gW`00&9VCh!M)V00JI^_=?GU8_Ps?Du!AX{QR? zBlcYFvG%@mKPFRkW*xce8gupN3b2W~f@2l1=@%dHnf_7zF6iN5E8&4!7G$eq4oyox z=sbrl0Z%xDFs^|r=MUCnJ42we9>3JkYd*fU3G*0h3b#(7#=|F&R%KnwK+VVX2Sj}C z{hdgZt+MR3>#W!+M_{5IgQORwb1K6u5}b))b|cPSH5XN9V8r^e9mS1u94)m?nWJ7dgubPIelPdM(r1Ov&+v#Z z&BX)o`cMCMi7FJQ$octTG0lYqiSoh>(l9q9XqY&Yy!vG>7+pQ=H{!Oab2QtL@WXSAcVGVS8Wsn1LN9AIQ)rR;&sHc?IZ$X7espE^8{T_A{cf9|@;I zps>qe)Z=P4l+YaFwX-5cdHtZ0?loY@f?5y&Gyk6^&35EgkuE^b@qMXq?vIrm%&Gzt zfQEtQ_SXy$H%(=ON5H-&=Tx}!Lm)!qy)uUeU71uWF|`0(M;Zbgx4<6gFr3;EqVss` zvYKMIwVE}S5rrMnta8UhVQj}9qH6*xW=c~s;PG?)*sYpS;ttV$EpR~sbC3g+cf7MTC`~a3Igt&OjJ4CC!HZ~gzT5{OFtrLw4<^}7b|8iA<$(YySuV`krjQUVDo8U04$jfQ9hZT0 z#UEr=AW-H4NmO)RIkVHIb~EfEc;zAnV;a)zQ4i-|kE zREi-=lzgK@zvWZBSuJPi_3rnFjPHvl>Uc{vUhwYfK23@}7bUk9pW!PWCdyN1`fpI% zr^(9NLplW|B-6ERe93{lbe(5*lv~K<_!e(f#p%^anhulhp_Mq;rRwv1D7nw^+M8!} z%ClEn%u+_)8aHeJ1oW4>>W)_|#VE^+F#K>mI(C9vLs%BZ<-HcFC;|adf-yKY^TG>#C`xewiIy4QXu{G&pxQq4k`{ z-|j-m@qFIHqoc7SQ)_)j9WN?W$lGuDJ-lI)6b zfI7= z4dNgzy=*fGv3%$=^Lo0;Qb)E7rtkCNe2oZo6^meGL9cB=Z(u%fF{h>Z5-5y>9;%}X zp8*a|W55zUO?6~&5{K_|525P#6 zdH$K#3Q3!p=z}`OB&XmB;KfAGi!kzLqBrIged~z|g~H7NY8c%R{I)-Z3#J}vT$;EF z#7T}(Xp`DI;|Qa0j$^@yq*JwE3D<4Ru#tc9tzZ;3_kbH^0;G9c39Ro{D7-PqH%MTU z{ddFlzt#hNcAps|Z*?~0<&f`oo@~^G&RP=o{dLfbLLrl(_h0Q(SX1NLf3_dDO$RtF z`2EDgPj=m7PL|ASm8{S9*?n^45RD`n(^rmOb*(%}V{S$IbkSFE6ruTHt>`x?Zr;Qv zx=G6YA_HA(_G;|fk^g-8j1ezsEjJdYecpE)&z1*knnUQ2tBW;0eHjY87iT`Y=$a^n z%u4PSQ_^VYMa+sMVDVV*q0cS>+7r7HrE`ug8dn1G#oVW_y*9MDsj3g z8UdqhhAaPV!P+V};Hrq8fLTe-U1-45Hx(vZ?esT6NiKKxv%XR2L+=x3O%qv zPNxFb0;;e5hYV2Kz)41XGnWeqEoVFz8KI12(AOosI|nM|GKmY>RIme$r{eK27;Q=l zRw4uicrWRRe`}~G3@biTSIIzRo&f7E9k^e{5bulsSK#13J`~}%8|1F7HprKqCfeCQ zkQhlIarK|vv*`!lw=>ZijctH8s&J(FRDe&3Nms!J^zjO|}o0 zUAx-yeOpgL`m}9!&u8&;FrgTaccaqXUTmw22#U1ExH(nt)uyvX4`zv1^Y1f~_X2Yi z7WuN{UjX*;vC4x*dv#UM97(d1xABx4D|E6hpS?5_{Ebq%|GS{?Es^9R54Rz&KS5}B z*mb!pBY3i3!xWDn;dpI3UF^&Um;c`<=nf&Het$QNSm;;9P4O+Q1h3AtM)664&$;V| zM;om2vw-A`GI6HWKUjnTo9^EX@X3!&be`T!^eSd{jyb9|&BPEHi z5luDBIDip2;({ff2;Att)}KFSI&N+N#tvMB?M&gv6zV3yoO`2c@!tuTgs8TU&{pn! zh#?I|V8WK3T8V*G%%ln?o2jeq{6r6BTc9)>?5(fP!ziVtrsQQWN{>MetjUR3t>Z1& zS-7)*ffPpgQ^Uy#5%aS;ymj9t`0q}$@FN6B&d)1(V6Ut^(ZD5SftMEZW7W*2v< zCzVq}+$`TVHtS@P7&7JFi4HTzy$#YGHdb%hNa3#2-CCL2X`|>gx>xZKSRr{A;wu?M z=jl&SdmZwsnM5(ot(bPV#7AodP10J~t|yIaGho~5*Oq~|fm0V7F5TbZ@E+2qS?;mbncF7SHk<{G(ti^-KLz!vT2I*Kr}<2QV-Ps2qlL4`}>EzIO+$q@o!4zC`gU&NB3L zRLi7M_T$>N&VbWuHfs9m4&@6Er8nQJhWC6 zkylne;=RbotZuCY{b}IeQ92W;V5gp!0yj%%{eO30;)%dRK=FORmu&lkCJzk!wgRvi zow1Y;vKk;31G)#4)l#3BPgFX6sdAS@{9q84TNpidlQ&yS2PKEvzJ7#OOh&P4#IIE7i|h4|1C>I^Rk*MVgb^dJV!PO=b*%$Noii74VV2tc+EZ!AMsuL#v?0Utzc?A( zGhEok>BY_+#~;Z!b(=xR%T9&1x^ zTKuy7h1wsPem17@W)qT9CoX)HJ#pBjTRm?=EcSNf`#G^g^ofsdA6&G;uO+0nhOYV= z-`C#Jb%up|;0cEWQ!V#+s9$%*j`Z1&vIND!?;Zu+U_sja9C@2Q%g{q_rY>E=NR75wK_yAk5lQL97X`Z9EJ=b4dvgs3(jMO6e3@#56;# zYL8C}@V78nyi<~L=;MD?;*ey*c4;1*bRiRbBNHZ$Cpor(_d#q9#67kT^7eqRl#zC| zF!c#7>G3X}s?64qw3d^pZS^`*Z~gwg3yx62AFf#Ul{u$qsyZQwANDegznd^3GaJus zMy2mWwcx@DkDYzwaer{blg|2AdPfw?b5kEO1uX3L!S&)U@{kH5YXoevU>GX8S(!;c zcO8iOaJq#z{^pCC6~trMVCy5r_cDIRt+#@09od0iq>Iuq!sy)&c9;>|R zBuujy{i4k%{_=ekr=1?_AK|@SYpiWJ{eFaesV-fbR-#vD>9Z-jmgsy08j+?3JNYbD zB=+)V@P)U-_-#p1X2Qsa%MAQl8lD8BDgm3tZ8U?^SbMNJ{LO(jz|mw?V$FFbzR%6 ztnREae?asiENj}Idl>NejQW;iFy?pG#HhXF=6ffIj}PBh%$RoTq^C2!YTOLODwI~g zo#{M*4PS0*=I>|Mj^97<49Y&a+IQnP&{?CtwHn&!T=8{q8t-l{i8mSekFB;ib~5Qq z>Au>%F$(Eq|Bqh0k0vH;Loy$U8hgBPw0Q1##{BbRy^`yvG)gwP%Q0QnPBxXM{_+^= zDIsl=@&TS4@`&hrsOjFRC&D>cm+2^`3MRrgCx3l9%$~g8%>xBVOdS1UJ2HJoWc~7J z>V$q`tkEUZ>)}*t!A|(@F8N5<4TTt^MM1eB_@c{6qd%2sVtU zt*(i=al?Z3bTLaYZCUiusP|&kkoM;4M7-t4y3nW8y^rK>;j?0~60d1D#0Ih^Ma+nH znU;rLW_Bs1m<9v^PpoTxR_TUFVK8m2z0^|;Ub6VZOy#hZ4cc2*GdQbt#Z|ccZW>jx z?R6ZNp5OX+;P{6oEtNHPzi9K!n;%D5B<{yg{|*%&>n4}!-)UX>nkuHp1b&UeAR|G% zb1?db1Y_DcG}E;AYk@42j0t#xR5`tXg9Uk3eaq-9W7OMEzr5x%$~g+e()b8e`beyA zB@;$uhD?vWh2vjMGe=(Pju3!dHhGfvTkDXSnCe#H}e@%WZ^qNfCD zIc$iQrX74LZ>g5|_QHYNRiVW}7inV;m_*fhy4A&V>k8Mx&i|VUkC3Z9?U?d4=15Wk z@7O&`|M^UT#L{=~!H0mLrVPL1A9pTBc{bbhy3S7Yac@YPzpgeIpJXC0CjYRNx2Zec zo*8eVmgLYWQ0^KTvdNTrO~iuo$r<{)@4UGu#og}&*wW9}cansMCLdKlDag-uoG`U| z3tRa9b*==(2lhycv?p)#E|l3&mg>f+e1h@sT$c!!LtR|wt$wXWKCZZUG!pvE>a(o14ru=sEY$2&(Eek$-KZwSgFTqVj@sv5FTK^ z`K8lAJc^=#-H8#stpKF|VeoMQUf3{YElr68ui^)OlCs6ia~8a{6apDEg2F-{BIPW> zz4;)D#Hh2j`!*T%OU|uP2~<|kw1AF~5XwH(f zud?_GSKeT(E|SuV2dIe0jxE7FFOF9S9aV@Rpk?GDi>ZH^)>H~Ld;e)=30Y-EvzdN^ zi#?3z-Lj`J)86|zO|5T=pU1J&j~D$Xsdi3l5;ki2(ii$#JpTPo8$gpEE*?#Hlob18 z)B*STK!&2TWN#f(END6J9(v})jYCM0OK&zgWf8nk*H~if=V#}bF#5;falAF2dE-nW z0Z{Al^t28&idF<*yV{X45uMkD5Q_dNS^>^e(*OI%MW9MR9j!3@6YcZ3l?Ll2V-iDv zRGksP-MpyrS!{1XCJaDb>w}G%px;brokR)OlQ! zyZ3D9h@DICQWl-w(Hcr;d{csrJ?y!LwbmZXWyWnt{KU45-xevXJv%ZPs4qZ$(cExE zOl)5ysFLP9cC2}MR0Mjrz7f_46B9dVM@IxW-E_NV4I6u8;*t7n5ifdFWa(Y=UGi88 z9)FWVyd;vB@zcCehxqqxK1z+=;Ha=jgbrDCL|U?67I+;H`~|t_bKMD`wPu?=ZAE-_S={^wI2(jK&zi5M=1+ut1F1>(<=T;`~*#yqx_)& z7z$3o>8oy+sC6I>=%e7gSA&}NcAWw_UeIzJ)Y&ni6xtSZ0t&-1K|=;Q%VocL(hC+I zUt1@o3qXmj1AE$|FJlViPOLxFwiieAha8KU;n1~a8Owiwr-Rc*!31XS2O$$9@m(Q) z>yYct#=Zj{WrNcR_+nt=okY{|o$$VOdT~kZn2bzhiFCD$Vt;q3*;y*rn2*nxfsaqh zfYD~ey(o*6P9z<5d=G|On)-!Nr!561Pa#jg@=hHqbP&uk4T* z@4d#|U8~BHyb7R`*=5V*n1_jRA1)qb`R%=vZE@xp8Z$B_L#BCzzd9G(ntSj?3i?o^ zCp&!hEjL7K)MRGoyvc+umR_>{*YDUDGUD4zXP`?bby}(yo$-T|xg?6FJ`5@HmW}Vn zH>uDf9VKbaylxQjqK;Pb-_@(YstU|{Q8?S6 zLX$>I0o?{D+%!4@zAXaQv-p*r{eeOf^rF3?GwM2j(NeVIU$MYhh=JcZ0BJJ;R7$s~{CWd|%Lgy{(Uh6N( zE0QkUF^@tU@4GBuAcJb|My$&T9^8p^2o&nZcYi!i$)&hY9=jhC@L}&s5wBI_J830? z-Ixq02x?$&+e8Jn-X#~k`F9w#c9eDs>eJ7gqGKGNGyg1=%Q10M*vl=xG5wOZO{u#G zftRIov6;%^%R0{tUsb0ZLVgexq0G2X44G!$%M$EG8uZm#NL*5%LO6)kA};wxKWw`icDW;vxZb$;1PEJQGiY8N-N( z@y1j(eGy7*{IXZn{xy3*TaQ7Rrf-JRHWh)y>_3wim%Z6~|Gq{QNK`Eg+u?C;EY5Xl zVv)EHfUoltRS^g{>312h0hb?yFbsq*n$h?6a^bdE2&c|mS|HcDzUj;r;OC;9__-F zUPm5#h$ck{c?D13@<)50t|?a_5criK*AkIehqy-4EPvw*k%8VotHo=MVpY+JrhxTK z2M~ux-jJNGe#zA4&FM0)#hV>Q&?ogk&0%E83r1P5f_Mx=l~od`o{2~J_kk*78f;zw z#37r0+)S8h->uoC^)d% zx<0LH?#n5?DX+r<7J>Cuas#QqksU|Tgv*Q=kCRPVi?kI8uOe0$K zKfX#4%ubj@7psu32i5mGdF}NduhAkvzu3ECv;vApZ@s7q9f3Sc%vngqAxQboI9C+J z`%>togI#iMVLL~cdAg?v0}?8oq;dfP$aN76!41^ zM+=G77x4H*5Ui2L_cP#>t!6ldxsd^m6lKjvK$KVi`I7{5B=t1idy^X?o>Ac;F}>)H z4qmV>#X$V3nYBa_fV;_X#zH8 zLb&8{Yn{=|zC)kHZE9FR2E?s217Mi?6&@7{1N`s3SRY&#|8^^4BHYwg>{UndoVW8q^ zLxI8`5Ym4IAc5b70Izig8n2Ad>T3zWTSYG)8GM=pyX)Q8jqVvHfNDHRjmY=koSNF8Oi~WHc82#ko`0`>Azr`Z#qC6Jr$SSe>XI(tM;_&edL?|b0S`Ke zC$0cRCQ$oB1CJ^h!Gd$>oN7lHBf5EmYR|2ta3%jTa$bH+0r40fKQ*)3#DqNXw8Pp( z4Kn^JT)D5hORoPI5l`kCsEc6Rfb!p{aLN^m98mmNoC4}|vP@`XEHg&w4I5|cLRatz z$^|8`5%DED9VpkSeq5L}93OA0&D>DL6VRa36{rUw0Y)T=?*a+{O$$d$GghF{93pM( zAsm$W0Epwu6ScuB$Kr5X<$F7Ba%QrXr><uy zJ+F!#7nT}-67TWY)w9ToFW%}h1q<4i0uFsM#kL|iN($0 zW}#Du%xMyg-y!#n@LQUi?4SXxsl-CfdWnk12a#?rE($6o63Z##pqfIMaIJ`X>>ZP1 zsDrfV=_c{JBQ5);j>a$0V8qQRC|K%D9H-#|g|fm$>V+vtUE{>F9Zrww7-QUmo1NGuui(A&U2mgz0KUec8BA!9WilBIB|`+4KhFd;E9 zDZm07o7F(Hgjocl%Q;OdfE0$XoHVvl>>ta+q{OQ0%^kNl}vOb|8 z27!2TMqW1U61A0kUn3tVvVLd<@yDd816Sf^Fn=3S#CR3rA?eT{tIou1` zW1iTppIEA>F}NA9d82*&j)QQ)O;1mWdr7-4eHBtKd{=znNM$Zzo6m*&{q}4RZwZ6; z#=c;p`W*?8;pg_#Wc(PGdkx0>bnl*B9iDg zl9@)7HHrr4TWb(+pT$J}D*WAHY$!h#ZH%6WzzGfcc6n2XwNOtH52KKdD&RhU-Va?e z4@zY4xq=ho7g`#T&OT!zaON^doJ=?C6PSbz6BA_uIF}uvuvfP2K^-bqz52OUeCJ4) z&go=-9?|g*Vey`*6Uulu63<~zxK(P)sLF_+F<<` zYE<_1S7Cd!6Y<(y_QezL-zW&4R^OKbfzRj^_MEQ%d@4tk-R~84XmDHSjCHuqw&n_b z%UQ-$Wfd)XBS~!pvp5nrIB(B!Q$06OQ_cZ37~V6ZP@k9l+@BXgfgz;m15kbqt-j>R zdA~RzpMwV#oFfGKVm*13Sn>0fK&AIKd+|%55(b70ako|*DKnLr^&mBfxc4z9I{?B$ zYB~j==TJ}KzpMz~)GBx)kht_%vI-)gopnJsXrSi>#ov7~lkIeCE?EtB`wH(B5XTK_ zh0-||ne==QN`e=!Es^SueT;!yWo^Ck@itxqvLM;@g)>U_R zxa4Sl`L+9o^sdMvjUCCG0ib^~7I`i8~ zZ6_L<_E-JGNufps;vacN`A9m2?XNx`=k6{(trDxN{iVJmrWChkxt6 z!*Io@$lRCP{rsV?A@>5mMD|t5u+YX89u$u$mrZfZV~-_UBQoXS;)+%jD$b+n2qV<2 zzu_LQ2MIRjxQESu9AtIsv+3Sz>tyLP7lz9;KZ?}Sq)ebvB>W6m2Sq`5H0p=xO%FBD zYOotl0Se5ouez?(0BF_sFx+Va|7RT)-Fy^V6C4r2d14z}^ZoDlWc85`sNgso*RCO#cBSYD7|!a^5& z+!S`Sckfo{UqRXuDKl9v?lp35}t?zd7qKfbP6-}eNltn4l^K&18?hhYB@cRRbHOFGMF8~-D}hkiQ+c>7c^Po ztSQ4uYv=6T^6LLrPz9+;&GjNH5b(<|QJ{SwC2;tYtrL0d0SoJrVR(7;%Peqts7{1wCwrNGj0*c4H0I8QpL^BC-(Z*%RJo5jRwVmoniV9bH= zg3{bf^UM1@$4}8iquC^AC=GeIs@>SO&Gne$eVF&zEvLw>@{$_xu$fw^LG8wYIV*#i zRaJ8I2E83p9zt0=pP|H58SR2GPLUd6&w5!ugUQ;7Bg>|DonEUDyep=G^#*V!TyQ(f+`#Mv(<4!nCB-p+*Cr3lWk*f;1tAzfIlzXO<5elL}_BLovy;bNr*U>G!o(4MHSLd zpfj<-R!US3x{I)?D!?S{z!iv307C++wr2exh+nzzwE=z>KB`!O;En zgZ-W9)G=W2p9+*kV6uL>hGIv~e7iBwxY)V#?Jhu>lwqK}&$45@;UAb;h1|I}mkR28)T2R?{3qnm z(8`gG((FZV7Jk86yum_*y57jcbN#mI^T`calNGUa%CPj}bAY>+fBKCg5TzPT`c7~n z)NZ*Blu!!qwqm=!mS*5dt_S~gWYv-dPS&WQ^$Fo4r*-a+iz?#6z2tD+uJiToPFHQj zo8TX5XAy~)v7~(H8+`0^d@St1z^&>Zyn7al z>5FglyFZ}R7;l4#`k;HO&+QQsZDF05Xg7WRhlcAMN2>8Z=%0Cd3TtzL&8&C7Nx=Wv z#u;r516aeF{ zla)qq;QGK7`qHhvFNZO&6U$cO#;aR|&3Q^s3;D4%Q3KU7yLo~xK#f9IrkaI)&Ron9 zXe<*;3r~!Dk@{H86{_AK>RLdS$NxB&bY4P1&(z3**4^CB1e1V|9%OHoDd{Z`!vjwR`>i7P)-NEOsTm2HcI zkIfUW-CwmW$Ay@ict84?^HlwEH_eB-e1)n-^oe-n#-HT3$E5V5rB=9Gxp=y+x0W6G z{+f$;<0V|F@%uc%cL&0TxcgRrL30j`d-6p05^{{*Jtw>AZcf(y{Q&n79M^IpsV2b8 zJHnX81&K?tiaaKdByKeYrGkyfo+$o}Yyw}Q67)P4Bd$PW_AYqtySMcYrPCXY*==oV zr7LJ(`PFQqz6QyRbvH%z=M4%qIV72!mg9@7P(GKXOsS?dE}XyRovZfQR`~p8>bxaq zEy?U_qsPANv7k}amQCFyAJ4_ju*!o3Erhx!8`hkUn1@fTka;M{{QE18KT2}0b-lFp z1bvzDQ%hECi1-qAC!)M8%9s@Y;`LF%@#DuYB)f~{F#^g?%=Z7dlSs23i7%<;P351s z$n#prjOUHpU6%aUR@7_PWSQ|K-F>Z-RxsUliH`n=GH)Q|Xafmzel+CvGJbm)@vsK_ zs;z$|77?~BojDZyGF``TFu6 zzaqJe450NM^$0v@UGQGd)b#zQ_@RQSWG>s1_QsH%U2~n#rw0d1POKe4BvM0* zS|l|rh4=rna>QL9>@xYr7M##|$<_&_bh-2+hJx3!?)E&mGFtS4IOhoucbd653N>7+ zux`<_(17^SzCp>D&fL!Nn zq7VSz2r%9<&ii^uP`@~ue2shivR<}d;oX(*ZbGjzHdm&N(CMHPz$|-u>qhmpj@hwQ zA)b+O+h2(Lt&tDqjN0={9FOrUx?DjPL1wOq$sUaqZT_IG9047qKOzKD8TyV|yj?8=AcvG?XrL zjfwtKb}tQZ=RFJkLxZh8z8Ch1cs=ay zr=D2XC)y7j5)k88D*dH_xcm7AyWYgdD%w0-xSS)LQ}0R8{M5KEAKsj2^Y&2Z7#aD9T5pqxQ;N|y>k?yxmT*w8;$L|_Waj$N4V~i_-sc-*VVM? zRX5SbTwWDqgX_&a8;Mh@(O$LLe=4C1g@oA8X%mZiw{k=BraJr`&LrL2rGhwP1M$7q zr5K!BCG}j+Pbl(aQQE65G0py`s_*BmqoM@%3V&=LrKokxJQXoLw6=%Ww{ml}B=J{} z(v8PP)+brxIDbfW6EbgRy~UsRa|x{Z(am4ssx17}ijFL=C*-)Y!%OKQY{_4|76DMS z3dan?;1ArZdWe6E406`3KW$x2GD$Awwd1=kjVWL4JKujz+ukN=iO*p7Q30Mh4KwCd z?OU~v<<~}EY^LJW)ZB`@CO<4iM3wD*)!UQj?{SrJ zwacaUyZMuM#T;t&3%>7ZFhAcTEZq1)U{7X-`hxkIZdSWNuEDR${N-!=AV0q~3YZv3 zB}Uk3MXwJS`(DRfrzE@`%D&km*8PpNcEdQPr0C;FOzq> z`MVAiQkM3(+P0mIwaJ$q4j|ND6_Lj6E+j68KD#-XC-mIzG`%zb&utB>*RkGP7xMnR zEz-2UuHQm0c)gOD-g0K$t<_H!{Qc-w*&3>KKkVr5lTM2xnW#$z^vZ7RgRq>q-90ae zX9uGDxhr?0NS%6atf9P_^-#NsCamg-kY|j0>Xz_!n>7){PejRV56gqE_Hx zok?kxg{+{rP__~Im!jzIZ)0cBLYV}-$HgK~^K7k#k^$Z$NSUJo7>wUKCUN(YObRpc zHF<1G8T4wKs$eYdKG3veq2LO`MU2)MeJ3^bH=CefG2wp`je=T+FHNf@jGmJuTfWrO zkG8&udNN)l@~uyL?)jAj<8|@ITR+d4n^B^>6NfNwvTyJDw&!@Vfmems^9$RL3qOV0 z_gpuX@DRt3;DTM;K!Tck%P&Gr`X*a(IKY*xN)&Ul#^3=>HZT}dDhhHOFxV`gVy0tys~=X(54%Gs8@SF<5T1DhcYdfhG?^p$MwH4x1gisH zFAF4g>5QkIs;E&L9W#4UyKcxnv|V=MmcQT_-*ciNq4BStK`>_C4yA^jEI!SEcTE5H zBYgbq4cx1HN4wW#uB9_FV6ORVS5Cd1y0D(=Qln}0e%>qZJPoFxAp*4`tdC^bG^|fP zB$+i72T1Jt3^5UC;k_(!3OO6>n67J?$7opHD{K#cZ-}P3N&VRS^h!L(tNZ;0I_(#> zxlUx17?@qoS>xueRzuG5r7az4<2~f0G4QwJn(9CSpb?MYDGu9~9~ZaCt*kflPiAp_^jJZ}-!o_@N5N9?Cm|JwGpr&5F>o zHcDnC4ql8pD(GtoQLw(dArrTlD6&1DEvp9q1D=+D(%6`eqa&O<}M+_Ip|DyhARd8bW+7KRoZHZwG{QxfzZFXnQ5C9J=Rk{_gF%F z^VFsG?3=^g{PHlo%Phz9dq>zulgz@=hNUC-&R?td3Jm%0W_0}i{0y<9rAm?x_m8u_ zsyCcP{Ob}pUURFj^wH8=;iG!`om56o;k)U~>a^&>#Q28^IqMy3_jHxd_*#k;%^doB z!S)|4IK22t$b}T0{@^$B3NMZn^36&LOF1=^)a384yzVjMLdInr(bwjkH`(~D+Z?%V3rZD))M?`belNrS4{cS zEyQ<@6pF~Y>9@vuZRI%b%A?nRml=rGoebrCuj$)9k*snwA)u~3V4HSQC;mh-X>ar8 z=OrP1eZwp;75|$nl<_+AS8sm)?PY{<*SIU>&_H}#NX|b)!;6@uAAII6O-pFCG(`B? z3u5swR$|A_|Kt1nzs?cokT~JK?|biapZh%0InUCK9TeLXch%0`>~x?n zkwD5DI*w7DeRMVV`N2_Ezut~O;HcN4{87Mn&kbjSC+#9^z8S>P1whXWgITM(!{!&p z;93MU`L36H;j_gkO52KwSsdP*p{y&8%Jkd{{#vns7J~@_*#9uPrYBZPnzP7UmTG{< zx$V5b9qqP+0C_f-#AL?RIDn= zdYG=ChQf+8SyDlPjjgQhzHMWEP03Sb?*%#?bZ3ye7+jNvOhGD=HA)f|=xGV`gn@aB zc|a%(8%^829dKEHT)P#>nt+4B>R_moTVZTTxHkB_jSyC2{s-TE;;5|gZg1*Q*gtST zlc`MEEo;7ZbHW8;vkI5M6a1UCE`%k^d&O|_?Ql=; zL)FdvCn{2@Q+Ewjrk%FrQtKN}ESBm}sa_gz4Mlb)$|$x${+ETB*az{5l@_0WlVT6v zO36PxQa@&{e=GOiJ?lPQ#=YU(AR#z^N&Y2{u5J&R6wC}3;83V(n^@B2M zru@*KR#%Q(!13Uz+iJ-~?Cj}}B@;vjPNF!t5ZlCQ_Iw7&+jlNl#d zXWPS-6^BXQC4@@Huvuk?I2i2*nAIn05>{7m*PP9LIpF~grKVP8>3o|hn(OSsMNSz6!1rzZJ~!N4SZ?Dcc% ztG?rfvRl;)e`)Axk<0bQM+rD8L7~w*&ho1iVQlYF`j2_DD-3Hx1{g|@uvNkg~A0RpG@3E zQ=7e7cHL_dDz**NFApeMEA)y{W1adVZuRNnKk8pt3O)&53>?>+XFsl#N!RXdP+;6C zN7}Cx-D}yUA&z`32W%x|?%bp9$SqkkHuP6~xqFauE(e`0&ifyq6R-iU7hNHFr~y2k zSq-@T077j01v#*pu|XU?agTg(=PD#J*DnIB-GG|}H?W=|Y{tCvP$Uvdlo!Jq0apPC zZcic=2G?3TBUr9yu5jL~v*-|F#XniVbDBFYRY2DkgC||8vwoC0e7}KBjfYrVx0S9} zuV~D9Nz$?|0rczows4+WYy^Joo6jd?a>i&2I@ z!2Bh6fwo-)EJ%G%cLct=OUz6%coRpgTAQL(|bED&)$d5%cV=o zyS!d^eElP5mFN(2Bqg_4UZyPo45*uMGz;XhTpr~18ids7<2_0fY?1vEcy9?oW#PS7 za+d;65(RL%&rW5(3H8_#F2n#$({3-f%<_GIaz$lOYx2$aH^J7m(g_p0_}QQwt;jG- zYNE_OUFGZ+dC576O_=dxbxW$h_oPf}b|6N+k9P}j)gV>yOM$yzRShbq_T_)^%patz zdJf+0eU(UJs|5dLwfcTwow@zh4oiO7cI#6AbJbJ=W)jbZf30K}bm#l+pNrjJh(eCj zr;OM#k|!iN`h6N7!BNs`X9|NxZpte@oedmNLV2O2zjsHuIpF%FF^8X)+a|LX+h9)@+I`%`J?tBqCa1x zU2;=R-^ovuvqilIbZzw^wp%3wI8=>cK?sR)!|it42AlP@I64QAW>#_U9arY@=bu96 z%Sb?E3*^+Qn#lumn#nqVw`58vq`{^Yj1)JnXMbUd!aqQ)Hd4Fun;Wi+WxS>}5}9{f z%s7uLF?4O+Op)I@Dhs5C#-A_eWU`YMTGrzk*Z?F6xQMQ!wyh1U!_Nscc>&?LU2D>K zq6l1o&y6bh(D2q#se+aG?&l90$?cehtJAhOiJ$JJnux00-j=lJ_1<^2ZeRw5gLQ_MAAl3o z;%1$*D40?i>k6(?XY%Z&_~;qQdn^uQ>ley)E+kJXpEZ9tRtzGdP8ADwTg3nNj#@Q{ zuKArha&OBwOSSG3Xr;@QChx=9=UZnsO*7fA{v_5mA^gH}=lWmL@9iLEsL~dB!Ihc{ zP%8!-$ww*0^(RmD_^QaKf~t(P)Wo%rvi=xyzkDGibDj;TG>SuY()v?Y4w`IHiy^(i zNq49wP-yWT*@^j5dlGH5)&1w5hZ$R57^hjt0!?xsLzV;Y&ff!A;s>UO^6p@k7wO`! zUN5!%ND~Ub(=XE~Gg-7z1o;jg_DY<1re~>Ho+-ccUSh!PxK=T{AW1UU+fX2CJ>g-& z(B5jB*lW5@Z4}P9whmC@w_ml&pGKGlEAA`Ac!$V$V1;FKJ~9Y~B}hPQ9ta$5Hj%I? zk->a3)&_JKtl7if(f0)`nv=0qG&=8Gn(}@M{#SuxLRd(7ygc2rTnuB*ZHBPiv=iyU z4cAHtf1`V`$Cj;IfytV`6YoLcI>Lc9yZa4@x&KzzPpu#{ z<(E4f=WEJ1szu0*dm=Ny2fhBOwxx^GBSIo>#R`BXfx#xkQ*y3(GAwI76?SQTe@<69 z9twhoEn3~|0+9u{Zz$mL_;C1M^_BGa$WvNsWR644EONEiciHn^C*|W6H^H^!Z#ShC~QCf?$W9@so>EW6QOC?Ak?Z|E+CTr>)sg&yf{$I z0c$NVDb7EBCzfj5*QLifMAvI9WY}&~{d;&lAlI}|_Tr+Q&b zgfnD;6Ptu*K59wDJ~r)*N3QWmI=x_D$Pec9UA{q_`K0jjLzuaUbTbrgS+Qeb9y>J6 zl79BkOdq%TEq~wlkdfHBn9#=K2j#N(hI_}=z2duur#K3m2EoB?WtYZ+fJ&~H>qfk& z7>Z9y?N$l7hD$v6P}Ca*gqF|{W0sS3G4b2kD z?6)O_B`IRJM^FEnRhwYxa{e5HtIc9U1?}sh)n+*hW!WB3y0~tJvU z^D9dy2#q-ry&3OWZ~8;mwSHXxLoD~etJHPZ8^Ts4N@A}(NPv%Tm>|!K)s%nTuUr)x z71M5jBT;8cKJJz-t=agkWvm8g({tI~vnY>^rwP)N}beWfp zCQxT)aU$b4cf-VqbW^X&D`bCs+=Q*wBKubIJt&%#>!W;XpP%sI`DSIjb{`VHo6>mS z?Ox}pOdw>yZefbW&&l=jel52@F7Ha;*~z+f^nbu>iC%aj^Jg zP_l2kMPk~OaRTSo-I)7Bi8^-c7b={jOj5jW-c2P41o*3r^P=C=lFP&aeC_MOGbnl# z^27l_hXMxG{&yaq*ih#lAC8SZ8?;S+7)oXR<;nH*4^Ywr*G88Vl-8kIjXJzioYdN% z_&R3e(9ixY$J&_x>}Lo_xE-_ADx~7NDIMG4&@2XFc39HqVOUX4r|P+DTRgOi#aG9( zM|*F(tnEal(L8wMzt@#}#k8|Kz@{$t%jGNn3L81K@B)QA`y_o7ZP%XIdgo%zua{D_=1V637_XviSPBwz!0@{WfB5IgLs>;j&&jpQQd{ zk#t?LcAuQUdrN|G0=oSLmR)Kk4LiE@Zl+z9v(Ey8wh=;c8#2BQCsd04H+S2~_Wqpr z1z-5vNX(kZNfZ852I+(Q6ji~xsg1#tZ87DI661}B(?FWM9(sU2`Xjz%Zz4H2&b84J zSa~2&nd{~I1Dxj(q^KEXC!8@l>cTSQrd~E=$+`w(qkaprR8*u)Gk<;|!qm`%+&)S$ z__aCv-mQR-iH)%e+RO&Wh$nwD?XOeQU)${p)IV?%>Z|~jUgaq~qWn{k?oYF zWMj>=SmXT7(P7^V9W508xZ4d-stZ0Jz8K{VTrmnp{%AGVYQS_E2;#(%UDlqyt-JX@ z{dX$$+B9GP$fPHb0^ekz{SmaPpBehMJoKQ{e5|i z;xdskQpTQ;S^~-Cz0mX0{4AE+O6uIieL;Man^R^tjzaO#ZI5@l-W9zVh7f(01hy_v z^JEDXJ_-EYDebprq~1sut;xNkT&><$30V>x95DM=e&f`lwH`fNg?l(2k{AgMa|jB_ znfTpGAHlYek`@TI_{5awaDW|uG$D8T zq`$wG-XOJs^?B!dian!}>dBJkEXw5HyMB=oj`*UWs;~yE4>vA*R*)K+A-?+X| zboHiPRHAC8?PwOle*VqTeOJ2F;|=a%Urngz8)otT?QaJF35Hz3mV~qrCG{Xx@3$26 z&}tE(0Y5Z@w)Fn+N96{BvmY0mx0|kTGq@trl?%ij$@mdg+X0dS%T$lj@Fb=XbdjE~ z{^zS!`gRS3(cj9ihhv2eMntpsajv1RBWGTLeh|10RdTqsd+K@kyYI#X^dL4WH{Jcc zqk~-2rN-EOCV>5qNjv>fAzsbbcDpmKR^TU<7AZ(mg1l3R7gmjcCxG*)R0B(gpbp*G zfKHG{cnU1{wK&3l6pB9uM)`o8whVcSoiNN}YT~r2+e_){bI<^yl zxn=;ij~j`LqE@(+d$34MQk-sgrs2i*R%B^SF7Kpb$`$@@rgwy9!ilm>~Tfce(Mtd*7p1{Hl3y<;44RmiK}hxJhG6N zzlpYqW&6*sGPV4Vv{ziT6*EsAFK#v(3c=l<5Q|_i$c;_E$+E70D$d89uZk_^PpfHo zX__p`2Ppq(=NFne4Tb$InEI|BWaaSFy6dM%+OI#WnvRb?*2xqoUD;Z+*?kxaS>+v( z*+yBiK8SW{Tz};JBj>IubFWW`V=a;kCDC3Q)-2{BL!c9yYR4#9DLCMsv6oIPV?Ih5 zme{Z{5wuM_yX3p%)H3Aarv2mdqi1h%K z`{r3l10@z)*ydEtII$^!e0{;q z67eXRpWPgMV?L8R=kJeE&qqbpnpjhX8B4ue+A2I3_J(G3QL0MDIxo$H;szMEDHwD+ z+4JhP=CZaidZp<&-_I4|-`>6@4X4mj`IfrEPDn+xKsEz5e&ewBp`tnW=dd%+FN1c@ ztt-Uk#G4JA(-nP(_)Jdhd9cFj?wu*|2-~6LK<}rk*hW~R&0m#RmbiKB1AaCkMMG7+ z9XS=ko*tLKQz6>=a9za&l3)CNrJBZa5eq5y!>(aE?4leK*s07HZm7T(Th_p(>Y2u` zsxt0@&3kK(LQlU8s)jPk)QiYJ`J5@ZE^nT=6SL>1{JVClj>znNUz&+D(~FWGJ_G#{ zF>0O_5Lcp`Eghc{b3yjiytESXJzoM>K$@G>bnlW+JeSw{9v7Qi!P8Gwt>H(ZN60(% zJ+vJLu#!oX?QW~fA{vQ5zgslf)!3?&;IVy$MY?~CW9~}QrtkfLv9C6X+YV1!88&MQ z%@Q#0S@#O>v&X)H9KYgB*}5f-EHZnPEbI7=b$J!>iJg}(#j+jctp4Y<>hsjLbbix; zFUQSBd?YJG%(oVw_p#qn7rhu0PHcT%dA6wu`A%eoyR=IFvsp8#UHI|Kr#M-M*7Grr z`|c0aBSo`TCiQ$-n;OTMk9pV3UUNxkrmpG;!QX)y$alF&@_fiNL<&a)R58I{hPc7J z)BFbR@5x(f;t&C2CHL<KhPl-+nLmBrVs^!@akesDH9TPENA z$Rd@Rpr}(<8!dL*FZlkWn84M>8X3QK^UBCtPnDYDR?P?zmqL+g}wStv~TPh#el$dIMR8=o{KcQY8)uz= zWC;{iOECG+_BrZ%!$FqS=9u3p!54~Pt3v544tC;z}4{a zi)S|gLkBn-U-S}{l;C2YCyBq4{0dP0O$r2nJbbk%w=P$PTq)~ItMsBzm ze;1Vj_f7Fo1fXYvJJ$IEWCGppz)|luV{xdiqbAH`UdlW>iws80k@}0O-$tGA$%E9t zMuBevO$-z;?4#ti3t^#9dca**WpFAJxHH%RxQSS2gu=2K^LnW}4#~sAKM7@JAag|m zJ%j4(!x2i)9q0~5yNo%>D(Tz1FTimghSC6u7f{tug&%@+JQ{cwv&!EU;Jj1*WM*_% zuX{;+9iP3cZvW~&Rl!svsm}Wbr$@PyTQ5}V;O2^t;btIZa5!YHo)5Ss%trFQcR2+K zcO^UFlVJeoTsIj8JEyE(pqpf^yraRw^va&($CK?$@1qIE4oP4Rx2i&HDAPf1eJyZu z^Ypv-%H!2Ui;{4a74FX6HjaWtrf-WOLOlUlyy@zpJ3+=ESudwDnRz_}QV<;4Rjr0EA3T@07%H3z0$OSL@c+LwrI-eq0{ zT2$Bb#mW5}AZ?LI<$_oIIw%7&{Elsf#iW3L&mAX4w z^0es#KyEE&oKFQL^>jsaH?7U6_NND#b_=S3U6n7C^WY|7nXE^3+yGNLWj&Na68O$u z=d_D1@H}%lbtqlxjmMa%8l~^m=@LJsNLl|Zu5a}6ZC`kQG{pie5Y8Pdr?xSdzAf&_ zz{!;Wtw@xjIT%g8S*N!;0U3L;upu#3NIzsssM$<4qmAEYWHD%i+ z?A~NSi0M8*sJ1ga2OHReNp14TBm~Xgidwg`%pE}o&9MoPkBU~~I)A^wdz%i@Qrp(d zrlY#wMA;%djmrR$>SUsb!{9P-k_iD{ktK0%ACs4Fd3r6=O<-I zUmQ%U<`+=K;6g01?)O9SHr}-%B84U%%F>uw(NY!y=$PcL?Fn8@<8hmVvjzW`kGE{N zew(S98j9UBRc$`GGfMY@l{mu?uuObzdAP=ua)l zrKb3EAm;UPz3V2AxeF_U5y;up)qPE>dij-5nc|7zP(s^r-N&j74!|zR$Vnx4E_UJA z;PeeG09=%U=RZ{J(zHLlH!94PdT=AzVC8HV&f*~wL)_$EsyQti%SM(? zYEEU2XakFCIXJaUWW4es;JYQ$Oa-o%X+&aE$II?TG}!Z_Zjpy&QZ8NiTLo5SQ{TKD zc-rPbhV!eGznC3-x_?(5QzBks_@hy50D2RIApm zq<%H>n9I=0qfTjr@aO5amE5=8ZMh-|UAK-U6vqhmPP$oNf1acav*v>k$(^~KDtHKX zWD|Snkd#pa4XZR~P-haDj{M2pc2l@wJ71Wh4nHOYV@CIpPEG8~eG}=locD0oPDyK~ zj_@uIJKaLR3T=LS5O4CXnx`?}=cr7T^XPX~gm-3C(LQbGp>YoetZ(TH$lA5cUtBuk z@k$>^j_RVs5mEg*5%{GTG+M+bK0aLdl+_v0|c4uCdYgz~FJQDSh{P$!@t zG}lDEcGs?h+J)%La^EbnNKn;3rL$6kuATIv@;wvgBny>NemtnLN|RJLwOa~*p=scH zh4-J9&YLSgY`F62zB1PH+n@gVzr!*g05z@)6gRFwM+e*Ya+q~Q?(>Ph5rePR$Ju;1 zGs{LZJ-3KixX)v_vx<^dO7lq1v+^VAeG%ng$YfC*Xa*G=ml`W$rJ7o zSl{xugZ}uN(wDGf-7yNO3Asz%x25woo9w3$WL)#VG6yOYH+|De0>NdF9^Wp`Axm&G zY=NIHPW0DVXXPP0WYSpHwl>Gi;s*fFdmDUiE&f~d@~Sf4G;}G%uE;WLXXfJbgMLtp zDv0YsJ0aie1NJ2A)}GpJYp3i^mgv`xVNBxRXm}us3ADh)U;SSV#&;~o8a;mZENgbR zpuwzKi2l_F<)ddK% zIUrvV^Fd}^O+I&@cx2OUmXk03Fhk>D$qJ% zYPXJbTb~a-S@j1s5OO)dhP!v3nq@$L5x0Cif9P4 z*4#n_wJi8$ld+IJ1%%-K#`#&_c$y^DO->Ixjp5??Q92SHanDL5qh%iVPGLtQ0;FZQ zj{<(p$enfTT9_Nw7d4%JsxOxXaa*db26pNa3AkpeB7yneOCF7Zq&JWrpf~Nd(Z>7h z>Lh?wk&Xp|9fC1S&mUSAl6AEGQ@MA+^|8MsK(B8*-7+@(3kwPfGu;iCRlLcp4uycJ z&zn`p?PYa%8K0iw`~!0$RJb36J}QY)joKG3DWy>=?xJdM=@U=J|9cYBR9wAV%ti?{ z!d{~Bh`zr4$nGdIY%kE5XfrDpAF!EiC(?SWt| zK>Yy34S~INGBa?OmJ`{Ub*;)5W&DSrCA45UxT9H3yBg(!{JnL0IjCXEWY3I!S?#9! zw+7WLdfIBw3!@_w4WqVH^*H*;^RxG^Epyz+U*qO48rOuiYlcO&$*`b9g5eTyOuIng zY8Kz_1RlOtt-Uvre3lx4=zf;=Y+jpZ!L)soE?UVz^`8$BbL!jvwP_7(>X-||LKhp? zqK-+6cCC)wm)|pHYXp9b9po026_PVSwqI*Ce#J8L8b>8jCeM?fU5P^hsMblg-i+2= zwmMzRI0ML7ngl?9!}Ey3b<;+wPeZoT)SBFaU8J@LOgTw7+M{&}u1+H9;iV(KP$i7d zW68z2`pyPY%Hpf7BHgNJ%QFw$k8Jz)*Pe#QF9sy1^o+X_h@AxK2YjJIIg2g`fQraB z`;T8JPV6Rq*Ns~&Wd$H3|Fg76(9}7GUeXE^}UCwFN9}*&TG}B^Vi!nt*(X? zQ&`kfuvdeMO5$tv#<5sJ>G1TmpccdR<9FrQ)L;EN94h(MJ;Z)Va<=AIFYD9D+sphY ztI}P}Ph(g1KfI&fTZBt|b3JiUJUzf*J*JKjr1pGz&sumxUOmWNb8eaSA}3n@LZR7E z`H9?jk&T9?Z_{8XmYLWQ!4;_|R=zbKYW8M!+-N*As|XztVO)g|}Ul zsei?{?>?1Cjr&)>%N|hzWv$}@*?KH?BydyIF0nLy7S)Q9oJAg}T6Vi_yY*mj zcD_>eFL2%cj^^Es-cy4H^`a@R;_q=Tr0y9V{Ml#?)F1MXZTLBWs)TE~5aYvM$)FzK zy~Sc?DIYGrl0bysd6qE3vGa!L1=tLYEMkmX0EsU@&P^^-zF{) zYjqW&oceIhb|UVUb|;^@w*Ei#teaaQCtj<+5ixo6F%Ex0U5UoJXh7&$l^Hd@W}FA* zIa9?B*2GYei^T65d%8s0C6+b30CYWpcLtOYGU(p@DPEw^Sw7aQSBFATOAY1gR|;wQ z)bH(auSu=-h?T0c)&I`Yeb2zA?tg)|-^^$82Q!fU(JgH#3#sYhr7DFp;)h=2W)(yf zSl34X9M|ltX3r&X6U5R9aP~FKLKF-hOjG`ooH$iTYaoLTQAqCiVr5Q<$T4lyamc2 z-d(nEdSX#3DU9QtasO6rP8Y)8P^HKlBra|;#L2Hpf0X4^jKV~RyCvf?p{@Jop)V#@c95#@R+z-DNan7Mj*NGC`^@(?Lg7m>A!dn+$G#_LzKY8=u2){(H;8$vb- z>Wa+DSJ2jU&pbzBOYG}(bIRnQCZb&*vL%e$j=aq5Mu#8CKjO?ARNBKwPuJ2Qb52=I zmg#k!N6{W6tftTLTW2s!^tKQim%Yp0`B~ArEg|RR!S#&I^}1ws0FMO`HEyi1Kp` z)(Z#gdo~kKphzBv>E4+?gt@1w^8$qYL(h4Ad)f^eVeAdmSfKBG_*?o;wy`%)G{YFw z1(>mG)r2UjgY<4mMTnL<5AvPvrPEPoKDrs4L2PS*19S`XJh$L>`Ogb5Y(mGKP z*NxpcbG}9G>B=lHrZ!UOch_7^dnY~Eq8WUw_)X@8;pj6aLDwRYha0lmKXh*Wdq9-; zoz58dbmvL(IA(?qlIKFmK&JR3$)c#fqHoo>6KpL4Hv)yJaQJskFO%K|$^)RO<^Z%qgtZ$O;M#x3lx@$`ndVFV8JP1rv3z-- zz!*$PtoXu{JkPl=p;v6sXf+Bb%YC7N)y5gb3~w7<*3*A8(9c=|*C7!9jjq6(*9Fot zLtt~%4;~ZTeo)cwfZtxa-&5LJB z-})&<6j}Q7?d!s)EB(i__?nOuV|7zPH&4)DRjSLDrPa``YLhh##Wth(d^G{6gF42TSsGq=EgNj4{2Ug`D~h&WU!mccW2b! zwgrj$Ri>j|9U2CZ*MBOymY#9cGYgpV4PbxF#~61u`jyq= zfUd4;==}G5GAOb&6p*s>A~GcF!u%8J_3df&6#v|}M6PLT#K}zlSO#-`NT3F24y44u zg5C(o55%Ed$rNL_uptibz>#76*Nlu$Kj=C+<^)#GTP!9GI;Xfj#zO2Q@r-jr~miR4{ztB%eyXLXtYp zfQe7-H%GG>Lvw#@_EN-G+m-=94D)s+<(MGuaJs7-?7w^3P`Rd$T1dyj+yhAbvy1jkH{c zlb5dGVgPLEcI8XHMyu+dpW5&-<>hyNJX3yHa6e)ylxbF@bU%RvUb5b#^?856VDDt8 zcqauu8#Z{$Mx#KwdtG#BrT~4|>(2VQw{NwXno#j00ohA|`wS=1Idd&J7a2W${Bqo2 zMx`pY$T?r==kmF}PnY~rH%%y*>T$W+@qGUj_ztAj?E>mS_J5F;!mVZAA+PvV|Jn8(Ogk3c7{@~ z$1%}{Y>Jcevn~V$(TX)>s%HCMMzp2!dCTGP8?OKYpUT+%K)#(zC*1A?wFfH(J$963 z1zE@t!^5sF--pn95G2C{q#p)Lj4>Rzm*QFIIv@PpEItoG+lNj#Z>**voL`UH)}h-V zGxF!xFyXqHH-t9kV360&)lc)`h(uIwY~2IaLdq6)}bSX_d=X%q=oM7 zA63{EQ@v-?7|^bjuHSm{OgXN(3YO&}0aULezQ$r;MP)O(sWRP`W|?KxfA5%VmB_>y zd81Pbv_v*HC4YTfv@@byA#8scIrpPdUhpD+>oQg3ieywp8uObMAp?&}y=JRCsE7?y&0^15o3qqOb`_~R zCC5?v)AHJyd8&eZ_hf00^y4>Hdk4~(!8%E!#VxH^-V9-~Z#N>t*m%leFYJInWBJ71 z{+K1Q{;d5CfjuplI)kod_T(+n{Wkz|ROq$hI`@}d_Pv6C94x3~T_UFm?%g-RpOw2u zssmF4NaQeC;wWPbD6G%z5|4Dh5I8u!Nks+3@chMBP-JA08PTNaJ~1e~Ji&Xp`nSk7 zO!coLb0F#uFPRKLg`4|Cle_{<9s`O%!Qzp|F1H`$!>vQx)Tv26A6iV1omDcKdQ72= zVj_W4USqH`x@Br^9^+ckaLgOQp_4)y=4o5T0HO4-azy}6BpMEH3EMLtEKAxAWr`KI z4%%F1Z3hka@LAQDwo~5~d>6?)3%McgiG_C(IEKFziQ{S&{IK^&G#uJ8#y7-sSCHxh z>R&Bm?5kzX2OMMw%Og*z;vKgP`x13PA zi@$HZ(!<==!p{okSYIl=+``q-lT-;4obb!H#m!-1{>4mjXi;;r82IOXvz*80E#=$q zLwQTsz%T+sqCft`oe>N9$brMt*pl|=>F2kNRyjud%3nlz5FTJtPjHfqU61S||9);7 zF!Hgb8sugEWv$ zhJBlcWNx=OGJo638XiN1dvfCq^C)H8SC%C^U#miwp=Bj;8%#C^Y!@qalz}8oDvOf; zF!LJn@aJG1^obCdlK-hcAMSoe*-r(#MWgy@27d4b=|Aq71imx;w7g-JaKsf^hwpOy zd}^$mpD+jxFNj1e25ghVA1OJw#B^C068B z5<+d(Ar>+WM0jF65N0ccSQQo7W`A7#hld)~x}93y+gg&E;D`FYo!?M$8`PyDW-ABkaXaib4n{4rHN91i2{V^#dTxw5 z#FxRkc>ER@zb{I@M3^?%eU(p-4}d>tZ4>{?cWIrL5_HqW)TZMsRs|C#B`rb zi&aYmpRBafwG-Vb{cN_ebTDg`ngA1JE6aYV>o55u?{}#)#T~yj(;B!)Ri@s{TBh>B zyZtM5?jiM0uCT6CgbIYlVtg<7_XF1U>Mj!`zMr)_D-(8p^sCreU!T#C^%XU4rD#}` zU~DJj$iQdi^85a(5Dkq*(?NUVcT&er?8$Az=7RgyOPv!ps!jHfX^5UcUM)i`1F zzfh$w0ep9K33>kI0~&X&LRibfCRvy%<;6LX%`*c;MSM?`B~c!gHC1~i8*;ubEZpYJ z8}Qi!&i3SxfRL3-E-X7026VKmPp-0JQuXIKu2XL`nZC=Eijx0&bc zL|YdS5z#7LbX^zeR=_}WfAP=LpehQ(<$3vZi`Cr)@?8Pi7{Bt1`qgUVGT@Uc zH_(AM=G+ga{X%wIr+`hAb{5I!e6-)hxv^AJqcouP#hlP$NC%H>Wp+&Xl-}Q_jUS}c z*{WfP2-Z5tzJJNSoOt%6zk#6_{CNq1v~B%hPgZ3xfH})(si$ve8LzFzd%=#;?dITj zNjOtjXt*d1;e*dxmQa|&T6NGoAx9>oiEc%D`mEp4^&3vJwobF+-Em4tUpAW#P7=Cd^+>wxPK|9HDoS+qt!AB zk1y9|u34T<>bKwDpJr>QdK!pEMsB0?owouOBF&l%duf`d5UvR(kX{$FQDKhqGa0&- z`)!lyI$ty@fMUq6thpY8?Ij%G(*qcWjeFaMIGWXTbdpB}gAmZf^eL8oWPko@Ki~&} zH#m(9q?7kYO^|yC^(fW<&fk}L+4qPQ3s}L$-Ij-yQTm`zqki0PFKvC-u>qqAZC(VN z^WDp^3A%)xwwR!xl=4P-OLcA%kb)B1-iW@-Md0dOpob(W=rLTU$LJyUZE%pl>KiuW z@JLaMo`=biMoE`lzo^ z7&6=?9tBG|5r~y1ZiKB@ub~lpfD%?<`^1e-aJcAq+z6~B1T+Hbrv*02@ly4zYuZOW z)LoGLgF7)ifodLiQk^v^{AeHva~eeCNU9TaW-NZi5WdKjRAkoejy$BMruwsWaRoes73q*0NX+Zld{`;3Su zGoa?EnTnt|e8g4~hV1T2E|mU|P8dgMN&X92mSSaAEEiK54Axt-ZK%l~7_QgMXW6#~d%!=3FA1q&pjKSKmi; zPBwY^iYyMZcfG`Uf; zQIiMD{cYz3FKEBWCpRD}(Z!7QzoGft-#{J*#9?w>w+an~$6m3~=Xrvq;EA)Z)%Oz6 z{GAPq3+}A<_P0NLx^?A~5d=};ek#I2((zu5Z!Wq+XeixZBUd&g&}#?x7OvGUbgner+DWi`{W-+t zDps4#?P}AUXrL{~hA6^>IFPwnH|eqPggf5xHSx-#7ouC#0B>Tn)D=%p6G_0|$M|Ve zss}_cIA0Rt^oxPpy;*a9imRkDiIll}!`zeXBDsl|_1gKn)YIds(Q6EzTJvjKMqBPb z>lEV(0JjB3t;)NZkj?GdzxJo=yyTy~e?BUEq?vjZKb@VpqShGTxs?-OC++#Q38}Z> zaEP}3i)gU|(!Bx``bTPrad;dN+ubQW?%r<4w;Ab@xsAc~+8^4(z>$Aw*{RHy>I-J9 zf57Ro-#C7n@egW1c0yWt%b@UEDE+#&P?hn|AEIio0=d!p1PKR5R=+}716m%vFAb=l ztk1h4$Vi|^9b-GPESTJqhaU}Y@Fuc$eoL5-alGAuK7N~#o%=NoqV(z~=-|qTNwGV>OstABR>LIh-*Q6VN~4hf;XW-uOE; zyU#n@+l*==1I04>Odt`kEkzYV^^OlMXw%IM_#){-A+#h{;T4*4fgF<|y;)ixg5GGn zTCy@EwYFy^9?XzG@V8x>+?dF$lpirNJGrAoXW^RZ5&F1-`kpJVU*%puA&6Wt&fMix zD7O8r|EJ;>li1Jfu5NX>;N#ofpn*!vu0B{k$`aRlXhTW&0ce8*2RlG;@ba{-ylz|U zY#X-#$Wx2{Qvn5em(_4jqZTw)jo1P3ih}uBGSHMaa|-gu;ebK&mK{t|a!*Z4W#T}! zT|r@R)nf;%1aRYP8D_$dkF`4AopkulKXx$1C1>8Sd&ZQ}wj3ka{HsJ-bHrE7D6ZC& zN#Pk6+1)n399HC4OWYQ-I#n_QBK8sx{k@<81Re>ai+J&KfvqQq3A{4(=VDa2lJJ@6 zNwtzPvXBooylu!0_+PcK{d)^Q4uDRbkE+e9r!<9cc+f1S~h75@9R_7d>?^E$Be8iAy@=#H~&xN-w1)>fyk@lFDZ&YWNAyf zcaU#TShGh2Iuzae%dO^h3=nt?QJ#^)ZXm{+bZxuua)C?d<}M9KXM6hn`OJQFkoW>g zCxTU^l?R8}LAX7epl_$Rv|1A0tK&TryFz%+B$eZzfZ_i<4(>;}Tnr=L*H?LwT<~)R z4tY(4;?D{u!1+#KW|?E z+tYS;|II9RJ02IP4{N9Nco^mqjD7`c{i_8LNq5Un^EyOX}TEsO9!@Xbr{N zy7p{j3Bo_@Y#rh7h1$LhZ*8b=y#eqm|Ih#~_CNp<=m)?YrS>N@^4BqhjKf@f1;4#^ zJ^CNN=2{fQcTc%9<9hf2SaXJEM?a zG^`qae;{qtM2{*HK&3r-R(rejFuF+cZ<8bf;}xCDuO^XhZD{3RE95T2+kibgG!fpZ_pVnxDYSeM&Kb6 zJ_fsrA+FkoBL794Et^~|;gI0}eKz=-lP3r8G1H`szz#Q@5_ z>?+849AJWq?!EasKSk=!$J@bQynh1zt)n%cQfM4_Uf(gBEc-K)s*QesV$e?7?N#dD zmMSsAYs9$oz$-uaw1_4h1`-I|+^{rn>XS}+s$!lJ-@5rM*y~@s49=w7j&rWSAOSPi z@HtpkAdZ0WHyW?dlzPcA(WVjYLFAm0NvlP_zOv)3Grl!obS{yu6&sTvdFPx#*TT|7 zbBp)G!v)^Q5r1yy6>7yB3>W;KgHv}9Xif7-ZT6vtlyUAtMFMl7Y=U6L7t~xA0-JMJ zX772aRevFwT$SLDh!jgH9`4{Lp7{rWozUHIY8 z3i~80v+%nWYWjo^L-GGd)0eUKpTm6(=WDrGN~HVTzxN@){9IT$lj zqGYX9b8jlG>JrmFIA$iYq)94C;>=hha*q8Bvz-4k_xt;wSFdKqoH;Y+`8@0Uc|OlW zwFGEQ&Kx`t;xFbpoHJM3d4=h*i|S#&I-boKI&I1Gx2+=t;4oX}@fcr^%y^ALcxc_j zL-+4-j(XN498v5B{KIp?e620Lqtg#f{fpk9XhnW+&lqpW>s>OD`ZaS3!c@%WQ{4+- zbF!6TVZOLATwD>7HQ7Nr5C}8tDr|*sj-S|*LovAZ!R#r8;bIe|OgwUmeXe=-(Tou; zaotcF@@Dg4zLLxjCQtYLe%j{rrnyQ{p;poi)4BWnTW-6cKEiLK2cG&;Gg>!rDhihl zJZ2<*I7+Z9P#8P7d@ptB-oTxafWKC4y?9EKAcYl0Mov@LUK`EhwqegV=%gGx8OXix zQLt*_@V37e*&JH8CEbAYP=hAWC&`F_?z zNkdO>lcdLK=^fo&^Hn?VkmNCrc~Cj*b9lP@9%yt>>`(ntgqo-0Iv4!CI9a9Wq2LYs zZ10)4Qe}T3$EE^MUS&=A{rBIJbV7ti9ySrf+)?p_UJW(3nFbFGi8!cFsTp1z$K#5w zhwe5K*QxpK>z}|qb7G4R`-l9N^S-Hb)R#DRPtsakEDIg}b<#+)bhA~EjonLM=a}b< zb~Rc4yBP}97N5B`wI#Fas@jW-8XX&rO|KX4#66F%STONat(M6<#jl$jXjY`VA|lv! zWg~?>V`qMz>u8*PR!#S!7sI_M=EK@t*~(p6>_%fotYmN5v(xka^OlE=$42$vQa{Y? zlRPYF&VFL(XsEkAl3zg57`$|}` zuaMPxh+j-^ zKR@Z2AvD^pH!i~|?pGfCuLwIi@+}_4Bn5tee_&+nxMr4!L{OeN476p6#El0dMTt|1 zQ1ZXsz5{RWW@=B-@q;E5$Vo2Jt|NV`(&LGS)=-=ZnN<*>nuQMgk9?vn-o}z>y^IUX z3xD4+n$q(3DlO+F4@jNDp_8~}p7hDv38j-YX{kOaw$#QB&v@U__4e+s$`$54l@aIX z6z;8ElhfWX@7D+4Bnw^aPp9CCD$~e0FpWn6P%D+Vs~ami76>j2!ioWWMv$JENSr`t`2cZkAqy3w+c# z1)pyIyqrSM9?99EKIQiBcQsD${LIf88*H?Fta01qH0MoVx#^~&2${Wxbv>n4sJ6Xy zvX58jnb*2i00JN>ofFooo9gv&GJ15%Yx%*MUkhiy9@AH!tS@GI`SMO*T;)leUwceht9(s+fPaQdRa=;gRT+y*>{(?dmd&@N1ulK+GcBrXrriDd) z-U?Cbf2Ob^v{S^BLJ+MwP)TDR8m+JrG%(3a(fgffc~Fd*^{Q~iS;rhJsIP)yt2O`b zyE5tYlZC~hBuw`S>0b=}SyU5saT)rq8vV-;03CpINS4_^(%E0>kC)snPa`b8r>>!b5~6!BT{{{EbG+O;vrk^>76m!d_$gSIv)xg#_yf!T&#~oo-WgmyI&Td<5KF(ho3+)rODa_ zgM~|%#~~KYjFiz)bI)g&S|aPQi*K zzPULU?kC0;McgP~AE*(LdFzI3_Fbiw#Jo-FHyWXK;`K*^5(0m4;8@9my0k|=Y7IA7 zm)9yO{h5BDC1whiVFx7gWDPiL?DE3KGOd}HqW*FDEpVc?`eX}@ z5ttMCW|dm}NK`gk=yorIY!<8S&|NUcpnh44FekyJr0~o;iRG2tW%DLSFGlkZEQ-8z zRXE!c|8uhX#fT{rBH{&p4y=glW@QcgZ>T%G!$wAOnPG{gc7|EwoQ??rMuuLG3&~v* zZyWA2`0dye-Q#ofM4Wm#d(#xn*Ov2owhMUs?|7Vk9(wmx&CT+uzRxFZTRZ96bCkQc zfOuJ}@i`R7NB(uut&YFsKf>6aZ3}?hcD}`$CPqkZ&-Ltvat-GM6qBL+&X9dO-mM`9 z+X>xNjT;I)b;tDTtqXrSCj>5fHrLJLfWh!_=Y+@)8y0L0vwo^`H6ne6b|}FUniTkZ zQ2J28s9c$M?bPul4?o<>9*;{d3Uo3Kb8}cUkm#H|+r@?IqS|~^-KWK@URF|ixXv=? z!GCvj>E2oRI{#BchVx--B3!vwR>kt4aW%WEIf8w&>KFZ<)hyF0b+b6;ZeApd~v zm!F+TeJ0g)+KM%MT(dQZ8kJvv9dmnD%VKqofHWdGueM)$a$2Syb6xW~lp$i%VGVxC zr?DE%PF437JRFhjR&P=_)jI8Hhi+Yi?X8wSt@@_*wk9v1zf z(X2AduTxwno!!?x!!4dp<4cZB_~&=i?`uo4qH_CcwC$76T)chzpw=f{ubrnz!=k~j zDOYNGT0A3u$~V1X$3;$DWyPM{GI!0Pkm&8C@_P>Jn~xezG#Zj_NfC94PqbqrJWMHl8FpnxS1l3}mwPH^s+LUna*%5>7;o%T<)E)cu%UxJD*RL4a_*}< za)@ivrkT4eZ{~apPaD(CyrjJ7WM0xD-lLriaexfNo^jV2#xHT4cX5Fo+U!!kI;2R= zRioTF%k#L)#1}XroZX@Cm;)-%iUE%Es>5pg`xNwV+x)C+Yh_60=o6#c{gX@85^LpN z1?~UfJ04)=>0n0!pEZWSY@9dEcQ&d9g&L@8c%j0iP2jLeE!JtH-)?7`&)(fzEmGL^` zV9ZeD<{27JYWG~<;`+Kil1p}*RS98C2%HIX{4=P6LzWPaj_3E_P z6sx}%Zr{}uTC?hgIz7ltoTsiEXKi9n_rb*PcK1&^ZK}cJ`y97AJJW?3OikIB{wcn; zo?SOI|3&o<17uR-=}k$3@qBYFrya{R_dTzvFL)X1sC!PcuB*5W%24%q`I34s>LKmy z?ak^oIuk^i_woK)8kA?0QrMp}>1bUD6(;pnV?95#@-fA08oN!ZM;>ikSP}TB@$=7Q z@$ulqC7LC?h}J2tFLPftoy3*>M(U;ewpip<9FiAjl|4JVV}3l2U*;>OY5tWG{|xKF zi6OsvlYVPo7Ut#tSrF#__q=7qUd(&Jy-9iHiVJg`d}GnUHb4D|PF_|WSZLp4BlVJ# zMfG7wf1H+cVst?sDsKBzcxbv+V>wsMA1$s)XcLaNJH0+=;C{)hH!tXmTfas8lARbP zx`t=T;#_nMz^@V$jO3~VH>Hm}A&%tSk^%etK&DQ&e4RKur>@>2S#fTOHvePrIa!FJ z>CiOPrX*1VW;e-C5KFFW?qM{6p{%l>3kA&Jt2GyrRlPqn8DKGmLY@DdC3yRrubSzY z-zDmiM6$vCA+$e*Z^f{#DU&WFa+RhyXFGj6nSH;882$23ZVU;?HCB1t#d zasR(932i)vPylSJvdj?jDlzFo6`F^%$K7>Jv>{cu!CL*^14^xKwWM9c2iw29wMVSi zEx6LK&?@0ez?b2xW${JLK0F$yn&o*}-Bs5yRTGWxe!Q{$S{?hJWBVS09F#|*qV%Tq zWoj=B=`k;9i9K1~zXz)QtrK6|Q7?Datp_e{nXb8d@VI@!lh6YG z&VQ4)XSa$GmS6kd{MH+4hk|S|c0&W2J;FUCqlN-;&#MIJtu1hF`orint68m+I+>H!?2r$z2#AVUnz83D8 z2H~AAx$hfh%(G?rOGTXU&dtqOwCG3CUQg?3MWpD4U*UJ=iQM=J+t-fwRrGF;IoCL2 zhpYkT#tuzyzEJz^uXZbR%1s01>TNT2Se@9CH$<`j#x;66r|FP}uj&o8y%3}ZkQtjC@V69&2)9$$kNM5~U+j_DmU5vM% zTgCe9%X<~LHh0-n0~DtR7r!9iZyUNfQTk6f!J@HtLM9%t!P~ej`qLR0SFFNrAi(4{ z#<`A9y`4ic0I#{_P_prMPM;X>e7Yy5z1b&Fcxc{Hw(LS_*5Sd1y^5kMXR4odEGa4M z7$N_fJrH2vHMWjp9yfoAT7j{qk9CQ69An!C*T%L@TYZbv3^d{d(aAsF`5t>;P6WSQ z*)2%6#chgfHfiR+wId^YsvHCcKJO2@wlz#XTVVBqIbgXK>w9b~IJ7LHQ&EyXck1dP zpKH%6;yx#oc3v9EUr}xDHg>{i&x$n?+qcQSCxBreHD7Dv=!GZ zup2xtCT(K*qMoqjGclep_xZ19l_dUB}d`4r| zSx0wwen4aX)hl;s-e_{4XXk4#sUY~T3oQ#5^FOo0ZmzC(x7qsZzFMTYn&;g8n)7$8 zzsr51re^)qDeE_-LE$@eP}c0?M#7xN=CRKRuTYu*c`0`=8 z=tB{oV90}TGDgwt9Ve_QrYC>4wiCAGKpYF5MO!lO%TwA(7K~QsA;VFtF~tibF6C@8 zA}m)on@_TL+?n(91#FiQDBBY`CL9t$lr8|y`zFp)ZG?^eNXso5MvNK=erBWlX)GL`4y|M+JG?4Dj=b{&QX9K1@kF4a^3Docj3Qn`Ds;`ROc(F@>`b zN!1cR}W~$b#7{l%^987;>g0 z`WZ!chRIi9)8uA{D9)czouptH`7+jLYG=7%_lI^XgpU@!KODne-+Yil#lv`Xz_SyH zLsg-;KZC1{NosG^Ah2TxL7)j{w!K~KRy(Xuerxd?+J7aNME`oeM5GNfayxNS#7VBr z4%)1ohbxp0L7s2Q*Gc+)I3|_e1oHI{{dv0Ajls|hEVV-Y`ouCha5X9%XyV)=8LX`= zmut9k;|4#1W6MrldNqz*7L1MJ3S0;OIv|e~3N_-nF|2o_e7?JYz)dq^j!;k67g=8$ zCB6iWs!Ea3Vn$0LC{V;f8$l%Pzk|_-11c(!&(aM9Q~c9m$CVNV$!?w9gtnomB*%b{ z?-r2yFinV>m2H9!4*2)>`-sEZdS`;$`)V@5FBf!K2_lhOQ#8+<-y_+i@d8qzd6Z$mSDy-B5 z87(dkAQ=t#2wMY2)AmWNNCs#s?xMNe!-G)10i7t*m^Tw*ALL}-Wvvi@p1k3dOw)4n*idH`sbjR9 zcH>Qn@E>j%x023OWo8{8qK)Bo{O}RpaH-8G=s>9%pWqJ|yH65+^Tg?)_}GKRt7Rss zC?OzRke`Xm+sCin>cV3JsQo(IetbipT{Bs*0q?3p7#zt{PG57FHHuX=uuZloKq45z z%EPM^Aj%F`Gg7lv?r_lUh$I+T83od%T{7#L7;5TdN(RUlg-V-jEkM{b?&ACbDFIt!d+ww}+>5*qNTW-biF@p2Cl%~-J znh=ri-Aa7@9WheFFTG=@m9P!lKyKD0^T9-i1DruWaGwVgRh7vyX-lX zbUX4Zk*$d^5)Ijq2CM`ighXNy>1KKus$BMSax;p>VrZR1{&B+qG1)vO{ASfWhWFWL zDfL0{s|;b_nS{NNE3EVzYc3ol@lUqzri1fN>ANxT-ZI4gaBcquI#b-ovhS+mG5FL9 zl3QlG*2KodHibQQ{ZQsG$!_p`U(S5lgE}(RQ`$c`Nt|zaxi#; z*V6+xq@x7VhRg70-2*}0t`7%t{h3OwY6Pi>K5y^(E^Kz<725;%4WCLKy+G5TvY9rv zJ5{k*j+QJ5|c1p*wd;=KIQ}Iv`=hE36vD>UUu>~5*tqy zMzpJ(FG;(NLoHmPSxR=Bfh08Pl7!zvCR`P?SI%-Il^ed;1e!0g>9ZmR%S2J2&>6Vn z1ER3;D)tZ~@MT}|<+ZAF%T@pmC`o`UghC^T5MekbGX-lvh)f)si#RsKo;iBx&vk?C zawaB{@FjzRJRzu6N$VsD(tN3Rz?lYXtCz+o5h4QW!I|K$2yH#tJ1>GwE~ydAuIee@ z`UtyyWiUpJJeMm?G~Ob0HS%jjiKJ4Wl+@SEN6G#3>WwRrs(HE@(H6N&m=#)ZQ;Jl5 z8DQpwa7zP&)SS(iFyqd+iwPh`KTpzb&7m<+5k^(+Mfl(n6CqZ0PtpKXg63$EHz67; zDCa4zb0$+j%murzaYs>-AkaS{1#lY2P?aEDSg{_k~ zGz?eH+ZOj1H(DRYaCe_I9vWi%`NtHH^9KEim`B0m@zyw%*KVXj~};=r%x*CZ@Z+-*glES`iD)W5AiqZGRG4qqS;M+)eT7e_iqJLE+7xR ze6k0LVUegJK8s@lIR;6yA%vA;nefqK)LKkNJ{$jjr$J0?BDq&iiKFJolV!45C^%yd zh}@f~n*}!vEQ9qPbK-zo!LKFSRlzSNnif0i!C}BR)pD*Gy}D;{4l)S9XUG8_f)K3T z8(@OEk}j3z!@YN;!aKlciVXPPW!&(qJ@dapu~a@zo@%2#K*lEea3zs7lC}wR=ZwbY z@q;a9mt@B$tf-4CzT-Ju>Hvv+xuHL3Rfh0w8q5SNU9eSBB9#U?Ib<>jN|AQee|&jc zm(HT9?u^H_7c_wSamy2|k5SYV7E%V=$cNFF;%Ccrdx@=H#4ab!q zH{m}Q>LZ+?O(TiqoHVl0XRXC?J> zWV?V2C6N;O!3EzybwzffY4tvp{t^b1OIQg#NMo4_qi3gzf%r|gj1aB|r?LYnvS{Md zZeOA?ByBX5uQV-E;*=5AOpVzIEhG$iLqlfUipzna-9qIeLacaqIBxrRyi=a<;k~nH z8JtqBUX1w2spxGVVVBMg#id?N)Hw;e)+&72wA;U4e8<&VrfmbO*?!91>HgzqL^)D*Jx!J`~leS zqw^>kA;Xk5_;N44Dz6}hkE0Ej&5%f{z;7X+JSuq*CAZiF{u4*|Eg5MRNdJZ@)1&%k zI8WgFPUBs`qV%S!n5ACFo@kg|?8x`I^Jmx}`L<{7K6>WXaxPu^#p908yL+)V6J#-Jcua1IZDrDhKmBlT+HdlUuECgb*%5)UOsKRByh&-6P6S>Z9Gl0Cf69#ko@8$^ z04Ru$DNKD4oE)D8IR}U>S_WT19fb+lqUmT!(SY&5YFqXW zmt$GHwzxu)MW-$dk~|hYvy-}TOR8(QZ2aEP+YObOP4yni+ZTiIS_?XC-)x>f8)1Ch zKBI!OHa6Z#TTpAJv9?AabYmVYghdy2GkVQDTx@p{`6C-v74~P%yj0PC_0@76{Q}K-Vo-Y!fJ16%IAVv70)I5QvRn08_cHUtV=UD8|mZk$QfV1 z0h#;(rS?RW*k1aVZZhL@YtqH(r>@WN;V7xi?I$W2fo;xb zKU8jl=czYW+wX7Xy6i4>TJ1pRJI5Qt?aT!sKu#jRAd7~=fqg143M|vRAe~7lI23Dv zxeOJLl$|kJS2aKfp0{`SxCq03!ikE>(2el?Sm)V3N|OWn0`2Vp{_i5f;60_oa({(H ztn}bG@ZlxNHWh&?iVQ;Hln{yW#pg!-wjVywZUbMB6C$ujmFq#8DmxgRwUz!@t>mUk zGNm=BO2yaq36#r2;Y4~9Dr~nI1Ph2>GYac>VSJO#3TB`%CpqF{3I_%Vj8$u1sm)P1 zfA{^%hb7TVdbhJ(D4jRh&R8-RldHyeGsj|vIyD7sdk67u>-j%%<%7~m)%lYS=Trv% z`J?A|*Ta?VVzSU&kM_x}(2Uj0?Q~-tTr&?7^!W9%(7@-l8_w_{(-+2x7G-yqRfUBu{OxRW>OSHQ;am9rw}$ zGhqB(oA;5_d|3pq>-!p=m87r+F7`@>qB2!7lRzS6)~EsiTLgB;2|tQzNj@qj1~LWmlRgg2@2LDx zA00u9bj6gGSS%|FC))oKr8o0&(In$sqW``O;lY=Ec3@YkjCdpwHgJ8t2>Qm54*&IU zmBGTZRk>U7*Z|fn{AR3pn<{%z6AA%3V0WTRCWjCgMNnt55TvQ_SNAc#V^FHvneM|T1`K36T?P{mk=z5 z5KK%ma52w|2aXAx42<6PCyR&;8^{7213`q9p)yfAiFMMIWgG^a0JdqHB$Y4jN`o>U zuvaw?5FP;R(gh-M1zWuF7k@}16E1`a8)2nWNaF5iMDAB)?>{avW*jM^F$w8hu|nDt z>-$1Bj!0SxIjBIqwKw&~>aFwrolb}#8hJE#aQIsZX86-!!zA78C@r=7Pe1tkRUU}3 z>)$Xp*ll$dCGRw+Sjsnxj59hZS@4y~YC#(7e9|WxChUo^sllnue{!^ud;L8g(uh{KKdvi8@nE^v(_JzM#Hy>q7pWsxxWJfd!-%YZGB?AI;92hU)S{Nv1ga$=-5hTM$XCO2^CUvi(uU2MO3G{qp?Sw z)uNH(0n5&2RB}^<8fT3$#pg9vH&<%g$U;vGeviMh5S&&%R#VvDl9~z?f3{6%oE}}bxNiCKOh zrsci~>X3WmYsE{Om!DSOdjD19LX?mzb>kiKI{9rDVbdTUN*4RscvSsmr7*oM0)GMs z!Y(^o0V+y$2w~fRY5^gi6NOWW|A7MFZ1t-UQkBQaF5~bJ@F7v+WN*8yL<%y4Pj6l@ zf-5#aR5q||6E?p9{4A2$tmtd@re+l}L0Q1RS;$tsR&dY6BaH`aZe^ynWukpxrkj}| zSnVWO5_{WL_aaF5NJ4R3k^v$s$_SM|$wnf(%Gc8w#d7^Xp>V}Ir4?w$Rvn9;toB|K zviuIcGc8OvAx-`3gIS!aKiC*4EL}%dp)J?flVd*d%I@Zn_2uUhk44!z96#m|FDgAk?CCgDLYGacr(xW>f4Zcdd5268CsN zY+(1j9zRb_TO`UGC+=EDICGH5Y)IEZ`CQlr^^!AAMl7H|axz@L;4g10W*d*D+ll(H z%P#rCvZ)Gfu>bGB{s&9P42nCuL@3{(-KKNYk1qyH`;Z?41PiO3E$s;%Y(F`6@ESD> z3UVOU0!!Y;bKoJmW#X#NxPwJaXfnxTD3?bUfCzzCqY65j;A`YTu!Rf*6)N2T^6wB{ zWXF+p%=(9Dl0+y(5?OhX0#h;sBPDlj8N|DC;4Q9(zl854q{ldL@Atbdpt3%kmh#~yWqgHi@N=V=h;XLD_~Zf z@rtkap524iHK+XS!-?l>m43isuUsd#@_7fHVdc=eKjCO*8NW*G`q#!AwkjhdTNrYfToHu=RY$;soJ9A9A(JhDIpptSOzP#|2=mnFQtC1wv>!dQuRF2*(4KGZ% z;n=VR-~1p;@dA*X{?>2L`J_NvQ|M|; zZRecxV|9*6yL@u`b!Kaff4$q*{`B>4>888PR^LhfW0%0)QeV3)S+M)sSVV4J{2Zsv z>FM~H)coj)+$#q9KdM54Tdf2di?syWrO8@@sho%aXXAtKO=kYUJ$7B$eA(Z|vV+~L z)V;&2XuA})N}uzvUDy(w>Qie|pIxT)$^KzfOnEf?)>iGe> zSNp0T9wS@sZuChF@1i}OYT4F&>v(Y1+wZ9-qCTw5xnEyJX`V4ZZ`EzUsbcg$G0`18 z6(4`BmGmU4eCqg-znL1tz@3gCe!GPu1l}?t~IFY9X1~-&{BW*!}s+9{SyZ( zUCjR2l3FYZJG+uSu3dC_o7{9NBG*s2&HdvTf6BcsN1J{6)YycLmtrO8441RPe-`ql zc&uD?CF}BBX6lK%yFJ_eB5f<4<+Y}&+kNJ-JE`p{C)_aiUxhku8fSjTiY>>~&rFx8 zB9+9eG*p#8bHD(8L&iYefqj9oz5e?l3#-yoV(I|b0dOyfLLl=xDl4L@Ab73fq4GE$ zWZjy_*v33Lfke?~f%gmH$^Iy?GRV<4(|l3Veg-VfC|4EY3ul?pnhQsN!a}}Xj@A+< zZ(@|T9xRsRhZRvxuWre*_l)fHB@v|#kBJkq{`JT<2^0m6kKm7}k2$gpVV^vtUl#{u z|J`L={oXx(+9w0)D^FICk@UklxshUgkEe#ydV1bg{C{rh9T-TG_MI!#w~JKphsf8{oHOfGwX zw$0_q47JyCQJ&+JDklB2sJHEVx9Q5dQpb7%&rOR9EBvs;xoqJ zoAD*BTKQo2g#br3-3*mMRJZVd252Ba5N`w!J1KQ@08)ZWHb^3<(z4<}FEKt8N?j)& zUvvk;*T3Zt`rMf!HPRN~We5Biifd1_N4!o(RsM`xak2PYTA&~L$B&;+ZTv@d z%G?9;a<5L&N!f1_^Js40{za{RVJS@ocDWnt1hlNzZ;n7;X07qiTW;*6FTub;gQ z?u-anyJt$-+60ZJsM_agVOcg8s7jADqFFVqoa9Gk>OQ}@tZGAxKK%ONWTSZcSn_NA z=;VCck)l zJund*xD_*SlBp*V?h9%iBeb7?UI@$2=?Qxzc|L5kLt7iVW9jh+x1g{gk2&=nGmVcVy2nLYI zk~?idvk^Wil!~ZK1C=3@JlYXMg+eM6=t^m3fg+alyG67U(uFVi;Dj`^7tonFZxAD7 zsIsaHI1N)mg$IGK@UGTLKu)OZov|J%sKX_xC}7F8L@2>j;l3!*F$?Cmq1paW48ZD? z!B`^?d9?LL z4mF$jb)dxWjwI&Cog0zdMH&`-X%~CrjidghdR75{weNPt2F!WJocl8`>#kk6dgl{; zwR;ns!(Y&KW;J^=-#xM)TjwP|p|V#j3BSefw@9O^yB8ZiyX{gR z{rb1Y1#g~yu+GrT`TOdIP{l3nR240hTV|-V9wzR)Ygl$-mk$HQnzQ2Ab9I)as~mge zq~!QTi)RV%iMogVx8e1FhoP`_D^N7n2OR$_RWL`OW3HMuiZ+2&>^92OlZ=Ey1*H|c zG)SWV!yc#7#blh%pxd5x7(O=C4yQASZZm|@g;O6lfa(!Rq#{uEm}D>&`vm5&xrnX8 z>68E4FZBg5%C6q+?QVlHLt1FnTqt zp{er3c0@d?&}ko-JdZZxN2OwFd-f%hbaudM(zX4D?+k+@@qdP{{P#vUZN>~sg;H@) zt2{pbDF4`!eYe%jbNFqumpbZc=DrL#`PYxN-Px_iUzOrTXP@=_!|X(-k14d)!VDN+ zY+r!-_|x>c^bh|`FwGMdPnov9^E>OpJhcyvKJjN08mp-RfxqPD4dJoMb>gh^o6Tp; zv8V#`g_WFD=M=);7b4sr`y?@6;Ko#;x5aknzMXCt(J8VwVB?N?Z2_GqxO#9trRl>E z5V1??5U2hs0HU8nI^ij-gag83o6iVPh&yGy<{L}1J{K`L;IAw7#e<|^_t+3dI;2V3 zLZQet9UE$w2`d2Lkk1Gu7@TW;vH=b%$_PUky-B6s0QVsBxuBqBgV1xOvNVdo#*3Ub z@c2!GW9+1ot6^7kFB2h3tqVxhxQ3#M-?WH6^834g1&$kim^?rslw*2XBO81+>CK{R zxbbN13R8brOE;yq&A3B{>jvcxcdvS{=u0%moVz1NM!Rk)%`N)fJ)#Q>bN-$BrserD z>0_65Hu-_k|7H!nf1CHq@Je>a@Q85c#F!8E+PVB=cABS+yLW8X&fD8is%GM<;kBz| zpJ9D;?IrcCcq(hx)<4wm^KG_tnyxX@IL!EPx^j5>B=tP#JByVU`pTX;bA(wC<=oWP z_B^P++f-ZwSia*Mnx3-hd8yMJnIxTIra4o!9PL)oR*S@dHY7JCDcZD-?1b3Xie}Ag z%AWHn_f_5Tg{t*P{-v5j6judl@c$iz8+*2h6S28Z6$;idC}^*%k`PeFlm-XACya;0 z-eAWVWIjQSql9#x*w#%x9Zn|4)D!h(p$H!s{hRy{*({7~myN00fdWd+rxHS|#RQ)a zX?uzT$bqbFnIImBeT=$Bgsf8}>9EF>klbYOp^S!*rbB){Tf|pt4=D+v9H7E-8Y`IJ z@XU^KBQI-Jb5K@-?VUVc_k8g;vza8XJI7n$P^xvF!RwLSm33$Yk|)F7eaxwNwuW9h z|K;eqcMnFm!wu=o(y1@oZ?GQcv)`UEz2P6-V)A6YSButfJqfnOvKd{&c~2Z@GXk5V zGCqBK1HV~y4129pPk~42ne{W?6Dg5oSty+piU)8KqYtL+cUGMPci!DPrp^HxL8fUK zp|!D8g{>-;@MAJtk^J!I%B-vLOGVP=HEVQIfaC(jmE1|-5nj*T4ack5IPs_XlI4`JLr6{VdB8Njg8ndc>v zBSSuSwk9;kW-H0EFlvO`lHe|>8b9K4I8vFL@u9DxNTy8AP(*k2Z^upr{55{}-XiOy z?N{f95-H(R%?f$3OT90q-f@9nFGD5xrLwFNA0PxSy4 zoccfR1Yk=<4 zgfngdm1TSa70U*el46n}EC+{%N;v%Cr`=a-784t!5CQ*C;(f~9K!rHxkwhvClZ#V4pyK4ivG-o?uW~cntW?pq?JVPvd^+fN?+yo5{TaxD! zZzuRCPA_0o;ajJ{#DuP4FT);?FrxZX;A+X`M_v7!5NX%Qr`qo@?$b5wQ#5SX(BiU( zCdz+Pb4nh;Lld;LF6xVAnEg^+?;lH#;9*nk&p7LZ9oX7;wC!K7w)0cseZ@rik$hc8 z3l*`%)*cqB>P}Fr4|o2qt1anPkPrNNYaUY9r;dO3Y?86x#RGqW#4+xrqd+et0)Q>3 zBn0OdZjAPPRAwz~-GoQy12Y5TN})F?{BO+%rld4v;D)iEJRrJos9=*y_xR#6;lQN; zP~36e#6W{e0w}$R5OJOS6qY7A6-t3y0hq6wAs>Shod*~=)Bv}!%xvri#FC^egq?}X z{Yg~VP;x&&j!9ZlDvqoVPwn(g3{~dpbj#V^JPVxc3 zDOsjsEXnS413-^d1+!FqFJ#cD_&kUvP=Vsf1J%8u5Kuc_Q@OmBvwWq_@ENiE$t}oS zDz_5-iNiNVq|G|I@ljPI@dKbGFh-DrvlAjfLTL(keSAhREt310$q63gEL$fZxZQ zf=C;G(4ue7+?WwSCPBe2=sVy|xLnRpK9o+rAR8`ggKh(_R;a>Qxlru_L&qsSzJ%>G z8#DsD9WKmZ3_2Ymo3;_`a&W{dTW-W|J4Msef;GjIriM_NCPQC6y#e|xm^PgurUXQr zmKz)DB?Qt85yCDtIklzeh+xDuY_Tp5DzAec8^4X{i`smT@@|Nw@l7`s3r$9~z-Z9~ zXY9MgxN@8xm-1F1C~zVf+eqv0ov?jC>5+hfXNW-kg>pd82aF#&p!)!aY7rnev&w9y z8w02U5jSW&@H%!P0+2{aKa{y3e5Fnbs6K?fV>`kSr+LN3!-vHXkN($`03TzE^fY_` zk>aoj_{3%(rpgCx0NxcW*Wkg9BOhjr>5X*o_{ZZTP(pWzY^YSPX%pDGMgNC1!{Xrh zPoL5iSQC@th$>IGpc4PfIt3&uD5!!A03v~)AwGk~P(bEIhFXTZMdvr3R#ThR?vyo` zjfyh4m%eZ~_4h}@N5UY^QtYKK>0)G?@0Rg@3WaxZGL!o8iB9##j!+})U^YvbXF@el zIBdKhI=q$JdFgj@@Os@#OSCU83p*Jid3S$g%Y~Nn2(RZKlcz@5uz;`Es?Yx^Ah=pS z5fpRG;n{S0=k;6i=V5fwC!Uav5kEO7H|l*Q$J<@R>%=;TqGqv#^%+>TaG~v>{%86# zS*zH?okR)S|0duJ(BoYR4{#mc!cVy&wX*_ZW6HLaDt(jQ5$5T*wJvrW%#~W znHsZv_^s@*P`+Ixp*Q@%$183@zH>-BO1SUbk!(6Uq7J2PlgTkG#gF*^tZSi|trXA&>Ts=ZIuAVeJ9h8}WsI-=NV*(2_D z32dvyD@GO3%^7lG_UDgW#uP zPp5Kk?>lE)`LaiHOcHP1Bgu8B-c=pT>vlPH=ZK{WldI%d&ibPv(^GtPod4xAb>ZvF zRx3~zKVdcaB3iulhm_dvFA^4whF|h;)Y{aP^_W;CXxbM{r-tuxE>jUwjp{YK_@ zb{qL(H^RN`1-dvuIUjy`G4JiaMj$J_8va>8Zchm@cFc`&weyCtV)UaVQeW-tZtBXV>6UP7Ll9`-Wy z{w{V;M#X`b5g&87F22)wMvFpH%0_-2T7B8U$aH!A(Da8RZmV8KRsJi=@i?~pvXe_h z>)&}>)lNj^i?s;k^~5ySoE_(mkfTjslc~Iqjb9`GpxPqJBIt$Yog{Yy`zXC>y;~&N zHZG`c*mt=D`x(^9&kPXK%!B{s+)n~`GB2#toAj3Jd9pJUJ6ZW(A5rzipJq4fC1v(~ z)Y6$F$va^Ds&cad_rUVN32o-Pd3H@Ra=O2#toL4;RK8zuvGV6!TR!2xDBz}s?`M~r z5BvQY#RdQDES;)Vp4)v~kUE#($d`Wnl6ODRp+^}Qzf_jH!XtY5qV;;$o+kghE7YI( zl>OP&jcK~RmPk3w4`C$WGJ@HGQa)TCZY<(|K@fNO=&OcnZ{I7^_>%pLeZDH79u};R z`1|tz`ER)nkM#{3!WL=7?3ZCsN0k*@pd?*p_dBl(c58j$Bcz&Glg~W6H!wMIz%!{i zU==^*MBr1Xr?AWj{PI`M%B8wy<4wW!T0h)N^@3zR8XMiKyCW6xPWZT;)XadmC(Jhn z882+mUhYr1xlO2Cwnu7?cjJ_XX9P~2PxOakSb~J<5q4-D_YSQ``+Sh1DYia?FUfdU znMs0ORQT+-?zNty)N4Wsj&iHGm^PcafJXj$=H)kxIcEsX34{LBX4`b4jF+N^A9og1L|5#)am(kSwVAx$yqUWvv z8{;w3b7}FF4GQKsF9(f->+GPVhh6*DnUgA?~43TlQ&xp^s4|mCx zZ1;gbED`oci=mYSJCe@Mti3vH6M~Te+lax?PLB9$Z)ObDe;xr>yTq&Z+4IE$PuA9> z45Z{12m?#d>pi-j{63&K;!}LdH*Xhf+1k}xT|*FOfNTarGXpNfq^miFFTXW6B~ zIVIsQeY%??rHdEk=GLFub!69)oJnzrkYYWZ?UAtHcgC*O*_m<4?hmadN8F=qR z>Whxt1Dmrl6zb#0m19fC9F`h3v&VA?ri8qidg9)XiUZ#_=uaGq3_G_1Y7yo*$fnFt z2sndfDUaqZ7gM>b6pL0V@`yOS%fxm(QTR^B4i%3UMTAmWh#b8(t$e%&Q-ebq))= zyW6FU3|F37N1Qb1RV#E{@%piDn%764P_@DGw~4O4e8-bZwn7~&a?fpM;<*Wz4BGY7 z{~t+L0?+jS|IvIym-{Gk-&dEA%2Dp*$Y-=+rF2k;w4BSLlrv{i5h;DvEHXDsg;1o- zD%s?Sh#@m($N%m3_vlfshwS}%zpm%=^?JT$bUz-}e5)ezh|j$H=C;f5&Jp(P8b48K z=h7(>e(&6pf~gE`b6E*pn*B*^?KXIi07>dg3u4N z&%GE>PgqXUbRpGTmGv+RcLM(0XZ1L;uHpQu?ZR*AGhz%>ca+ z=&{gHjJv4SkXIma>VYNx+w=Z2pt60(wQh3;t)|*n?h_5}c)aVsfAQG0Lw5th*efQ| zY;041$=1biUq>8vcxtTjDWVP}o*7#M_->HOs;4O_1)&*m8`oxDaNF;&z$UZ!D+#aM zKmmn`#w4!YAI!+04eJ_W#N8EEcYKXDUwIt$nCX`a)BaubU$1zli6Fv)yO5rG_A7ua2zC18$smx!7uoQ51D}m4eRwi!R9MSh(=`(n%fu zCjsA9ZicmnaWWda>Fh8f&#`54Y8SgPLbV>Wd5PVUC)pgs8KIlPe?`)4oaCqxYO>x) zVU~r6+kZAC+I_>bUo89*cU|N01@o>s%nhnqLdpM$;z{vC(!b^|1*wZmeH089r&F+> z6eVlz&rhXMRKBJL9V}AHG%#%M{To;+EPNX>UU}&*Dd7ZK?uO~UdxBq zTMGK$T*>SHge1)!|jInF-SHs?@wJhSZPAN&<}P+@3n&)|!j#_~xoTQGm+qj~f- z##9r4pEQ`COe;u-t)p5wbxmu0!MFW|5pc8bnC>Nuw8 z^PYjC2-pJDTJZROOI!Brc-eKeLMZ?3v zU@SGx&g6zzysgyt!4qvx&*SNaM9*tITH9`D+3s7`1M}mbeaD=_uMNN^9_)LV8{tAo zk=Pdca!09z;m1HdDW8*$;k^i%^dy>K=3d5Lk#kq06kib1tn(Eq#E|XJdufeg)*~qz zexSea6QhCS@5#u+VDPSepgwNu4`p&F&q&MS?9esJASF)V?{fSPH|P)e=y3e@B7u1k z(n-HHpV>s_H250?^&AZ=v2Pk$hZOL}NJgo-OU2};0LxW{3>*Rlmpu%Tmi5VO|Hax} z75er5Tel%|!I~V&KklNApgk)wqS?RF;~=6<@_iaZ^CLx2;1q64^OF+KM~55<|A6;; z5|{UtA#`aH9Cv*jD2XsPL!UV*k;b0!gPn2g|12 zm59qHqykL0)sm0z%fWV7lJ9?4Suol9-D(YQbFUTP^kNfi z#&ibvt^j`-bb9<~ZprCm5}w0B!!svB`C6H=B!o{qA!6y#!4@>jk-r{k!I>2Ou=6Z; z$olonU9sZ`=3D3f*d2zi<;TA#+_{A2_A=$&|DF_UX4vFLR5m6r%jhj1G(76aC%1ZS z*)ilZ;@skv+ImcB`dCIk$?LvF+@`IoQ0I=nW%R^o(o5;_Iean zi#)ksT+wRYi0;2Ra`)C+mPn_lT@|(cvg%G|SG9B7ZqT#xb@YQwOqBfO?||J|)o}rr z;+UzqN{dZanvvP@McfArnNN9hjQOL|VOOzBQjV%rfe%;O{So+Ea*j>=Iyh>@i>a_j zkDFrGQAODLf5C@6%_zIFQeVrD-KC)c!=QbnGQC4?1c^8bkyIaLx)>M#*3P0^esTGu z_Ftl~iY>zR1TOQDht??joM3>Lbo}m>rxrQPUj~|2g{ujQ?VETXpCpTf^)m#0yaGSf za0w%k1N|C7Z}g48Z}sl8f#d0sHq4s=u;iiP@Nto_rRd!wiX&nND8~3iiPnkUz1<)s zSPtXz+w=r zo(;>nFv8yyIT-_D4ffv{zk)d}a1jt_1RCD3b^Nio_FY_bQc*3p@t;pbj3&TR(X2~o zIi|Ncu>D86jt6?OqyxT|xp4Ma&mVVzVR)f8V#|3;o#m3PKZIsKirq3;v@oihnCDN&=&<7KmkB@;ub|*cu$Fym8gnIWufLh zM6U$qDRX_bTBh`;UO5;R|DD<@v|ZNx-dW*Z5tZ1s8)9amc5Nq)+6_8fLj*GTaAHBR_p&AKTm0#nU5x)2AMJ zUMqRLZa{gSkrf8UeE^5~AF$wWGMQty&Sh*HDP_m^jb8!Av1qH;G89)2K9y?S z-#LF|Zi+dQ3fiGG0H~_@9AsP^%1A-MFq@ zR$2Y!TxlG8j?A0E0bqQ1_#d4MoSp{AA;B*n58l;lndGT(CGRx#w{fMSW6Zd+Kdx6Y zV;e2_;^xI=nIrjsz!ZcSImT*5FW)_`C_VmU z!F!R@=1ml-jgXN{;xn8QGq+p*+p;^wglDO5nPk*{lM&>5w%OPF{AYsEXv!s~*2XvL>D&&WppjdAS+q%Q{p zfB@oEDbiIWDNPHTa=(3Z%ePb6lS6`>!MjfLZ>UaXvStMfrScxH+|c>^q(M_rnui=t zjUicee5I20*eqC#rw-m}8HMzr+((o&WPkjZH@gX{ZX9)3zG2qM>4WolIxl;ES?5TAq zm&}?q_TUV9A^c!}VH{__YctFEq=1O4V$j-EcU@UU^dn&ny(Ze8puuc|Z12AIUoV?aR z8#dEXwap$3V1n1j8y;S|vMQ&-^Bj&mmA?ku#rj2zZQ@r@<1tI#gkP3|mQ}Sn(D49N zMdp~}c*F9GtU=O>eiOsG90&pfiI_!RPv+wF2UQ$(WR5Y4E1A!Bq~Wb;tZk&gjRwLMsD$yH7cC_?&4#r`&qvP2rGmffSz&&As!v*xO3y`=mrDJeyJO4C7e=y@d zgM;FW>koehv6t60^QruCpokH#o*^WpnqgaH8*icg2NY9yRh|9K)X=BKA*&>~N2iW8 z%_d?A(IzvkZSrG1wG1i+k=N2{<2*UbseQ}}PSDgj_Be%=LW+(!n_?0j>D)Cw_q7Wf zwo0T4_&n#wnE0!$6OXZ68tu7&xz9_m z2ANz6Y?hAR-K0Io8p(ibtr6zLb+)NlhUMem656$t_2KDiL-_G z^(m@-@HOG0E|LFx3H_t1G0J(V;CaAt(qb2hMbcVZbD@{icDJCy^3Y@ivLLKY#V4#y zdnU{o)gZhLy1Yh65DSS4^Ke8H;_*AD>De_80^Ha5#q~LctY;iaN9<%1HML2g<7|$b z&aV|{FBf}76Q5BWe&?C2RW@uYBoW6MLr4~qgfR1dnZTk@`!1t+5*N*oIm`z09O_;6 zFfE%D{wRUn;xm2$9Yu%XRPDm39ywy3*QJn1s5*1SBT@((JvzJfufvr+L72C%-awuOkXKK8IEAMJAwaDLL zeF$~4X2s__gH3t785V2xY$KzQ-rD@#Jb*~NpnydE_!3qgM$MQ-Q_%_VK(juwW2#D# zrqo4vs$aEx{1fZw*wyUU}kW6|>h? ze+69BW#n9ZVWm~Lt|g z(#yZj&Bqeq^Pf9-Xa%ubJbUIyZXPjsn5sSMiI&Q6e5rJ;WM;5nN{vseH!<*OWojf*I)K8eVrDYX@W*>)m?1m zW)JhTOq!+f)hbZdjk`#qH}wr8;L z$0#_jv{*)N=n!TT_Me!$JV!nlY5U;cyl z_lc=vLQr?w5C?GpUi&9a=G4*m95nn_NIf6m=E;3fwjkjp5j7KPvxD4_hDqbZ84*>QI8=MB4&Z1%JF6`q!9 z_S-?w+FoY0ih7dC(PW*W3HaP4S1^tp%eh zlFaSi4=o1aLo_D08ad;HW0>l;z$*6JjnLqgh zf>7{NuKbzqMk*3cqv7#T2qAi;2%=uL`&PB{I@jB-!$ko$Ps>HEDH1hELanyi!~7>9 z%O*#b-$~f>*?j(@$6R*bBE(Ub=SivSb)MXpWS#pVWOZvY=1*8^<~GkqsaK2TpXBF^ z$=+X~ne?z6adxK5HCQW|6?HKyCTAym{QG`$tDg#P?4C{UzqAatpqnMf-=o7)OPt}M zzCm3zO^x!pk$f@=eio1z6#{{oJYsxC+YSdV?ewL6Y94|~PQsK74T8&xOHTL)ll@ig z{E9Yr4>5SWs!%0vjuNFR_`uKfil5)UwR;iMJjUo9diH%^4c|=Ca{OUs`bZX;0AVj0 z^B@(_T7SpEV2{!H-h?FUku0#0)?)QSjilvIwLkqbcP=WuCiNF5%z(>VF^3VLe<8Ir z!}@r%#p_xfbBFV+5hvWs15k6#xn$Eav+ElARfk&CAef4DCnQK1kfEXXZSsULb-TP6 z_>U=ED-b;jQ{$o5#GQcj)PadBTExpMdhj42xC>#1$z(f(x_S2LG)Iox+$Aq0A2IS> zv*t4R?LA`x@5og3ot9}HS_#?M3Uy^dEmt9If1M-lYRo>L&v&g-Pb(Zev*Hoi0^&9h zCz%>ss=>;?Po`coM_4}r1vjS8hc@G2IFi=a!t;2r8zZVSYScQsR=AZ`+S)`FAh7;S z>Uc~%$)_cOw9-tPWULoh;^ydtx7Nlob-y2Vr?cMZQpxB9%fSGN;My6N+M;<+ z$TN!i>g5gRscn8Ue@KEhFk3N$I0S! z7iT_QO>3NYM-A4lAy6QrI17V>ao|P<0XZWY16PtENA8VGc&$q`3N`)gV}IU!c`$*9 zY^>!y*7f)VIkk~5gF=}-C zZ68j6*9azZD9%`o@=y82JO7rq&aOtrUlyuHyvZ#BwaaI3w8inr*mFl7qzX`Cj+Vg- zCd^(6?fxBxU?zcwrAuXeSyM@*ukj4_DZh)A)TDna=@=X=q9E8U%(5H&bVr=e8ekGx z4!Em_)^8AK^rE{*8!idNBi6zO>)k&&>dd&7x&=G%(pp$W!^>VhBey`iFevXnh=H&+ zJ$(C!P^$B=>Y|7-x>l)8;a1umLDywI1OEVqiBRy+o5ek%uZ}3VUkVkwrrq+`CT9!l z?A7BIs`df97WXw@P}LVnh5$NN3Ab9rWgS8F3v6n-VKPa1bkuh^BeyqGC?BA{*_WGc2`;!%PJmLd#-lbGWg;$%u89q##I7q*&Nb zQW)%<0OAy(qjja4exfP*5@KL51oXINrV0CPP?WG$ti#cT(?x}zo@mwXe8%oCVZL#; zHKT(p-%-)ldJM?`wXLD7mc)A3`pcF`E8)3w ztA}u~B>%plDi+9#@C-rm|NLGI4Hxx&?-^cCP`*Tk^dN^wXuBv6Mq2@?oV8*A&Ibw! zGt&2mqArI(;2mHuaAh;D!i!wduotR?iFpE#18@Ez--aFzU$quww;3~HS4OT}vN8Iz zg`y;So)`?vlT16eRy(u>Md^N6oLulM_vudW$cxcO;BQhPWV8In=!>G83E*O0g3dp- zP#(9??yI??3G}rWlJqH6-MZ;%7}47mpN~oxQ2T_^lRi7rH+@JpZ)}a&A~ASx-@PY~ zKI?+nt|ixb@r5>p97>r6#!sd#MN^(!iUl$O!}K)KfGqI~nt+y4KI?SSyK?Ua9QBsB znAwwy?mmKVeK&Fj*+(wjf_Qv(7<(EN?`AyD&H*XRRV=Ep|1P?;d6+P)nD<Su7s`@oWLGG=j7wTV{uMS^UvV74bpiJ&K0VXML z-3=Muk4b!2DyrBxIsg67{^InB9I3(OleVYx?9Hah(3p_=j_qZN1TksacAw?3s>A7H z_tIC4#>Cg!P`3Zk-im1qTI6&ou83}z*KBR1uyscb`099dgO+8l8wacJzbWyN`#JMt zH*Gam=(df&-+!A$|H>-d6!m0$Hkg>#4VRulV6V2Hvq|*4qTwKlG=6J#(MozusnbGg zaKbyVoH!wAs&(*u3uAvxZm6)(hG}*ln<27K3L9f#@v)HshJzVb%~e6+n0)BErdR=8 zpr_e7hyxlBxO*6kG^xKNH8zYYGQ_+Jm@LL<;P{noBwPQ}QOmn`|-QlOTNXM`33w-4}>j9F#^NjG)zmj;JCco{Q;tReorakq4u zIGr+Vp*!skIX7paA()7sKMArE$onogP9G+QK>yCSo`O&`2*LpU{m}BKYh42VH`?|W zuYZ}c&UzoWP-e`UsJ)%AW)E%oASB6}?H(4a-6Xx{M%Gu^RKkrF_4SP_Bhj+@z(K6P zIVz3_p|^MBxTKXi9l@Ou-&VNyOkw(vvQy)xu{$43fl@~*OpSrT&Vt=%g!S5qZ-W}G5xk%PpBLAwBKTllz3?9;a+m0OtUvV$w+F&Y{OZe;ET$BYA)7^!`wPnYO$Ob ztxK_zM!3{_s%?*z! zB(neRS&k`zU-x`Poj5gDQ!1aqAutGCgn$1$_0lq4dO zQs3(Rq8IUqZR4z8%VO_;hS40v0uE@1Z}W-SXZNqLq$JI`&E}wT&YpLY%3f>FOYUiY zJBDbBgD-ZrvPWdH?tAEeYF8wE`X#27$2_X}{gmhivC+^GNH9V9#d)w~ypT4!@pm9+ zx99cq48SRVE8J;zoYqdv^p?fdNIBxreA0?~j{-pKs55Q>{&PcIcYDwM+ibMtS=(OsM6ee>D5*_diwEZ96^XJD3w^O;@?^b_7eN?<9d z9a(>tPi`L5S-=25AJ`hGJsEkuOxu7U%4HL{FD1*%}TZxZl-8wLfm!Xf+Mj-`?~< z&9%CFFM?LhmOjj8$agj=*2$Hh!12y+ra~y<`;gn2`{NWUAKllRP|zcQZsduyF93#4 z;a6x0@Vn9|I5K5=jS2FVzxfk=5RM#^052}N9hkGRNAXrnbO;b~&c+E+AVdh2*wkU? zW|RFkC^(UJaJmL5Lz0^=8zWoy8Gr*+F*jCRg+GiP~O~?SttRK{mRyY;#ruiC_D+ z67eXO#%Djv(6?3cS(Noi-Q-w1BS`iWPKp7DXab2e8ZNVTUatR}{eJ&j4~5z%R4DYA zSX|g8w9;h_A?Xoe(K3<5V3^=NswQL^pkXX>Hv~mX6Z14x6gyA1QWTP#f09p7ST}=C zOUjqxQ*}uvTxq!WDwDJ;O&4BteQ^;QD{-jUaJyIh{b7X{<`v>%#lu1eJxY9k9m&Zw zdc4#3ys~PTXR}*V{nkV^AzK|5skuh7yr}&XYjwlbFUzaB{gDqnWo{$FnvW#g__z2` zO$(-kZ9PXjO-*iW&%-D->JRU`pj}T~^?kb0u~f4Ds5CVsb{;xga?}<*oSZH6XJj<( zZ|g>(&3uUiJHL0ywfEx6noG74)%&{BBzm>q{bmAUO=USTV14GJMOnFNM0?lmG8?RN zXVQ%GeBoyEVnHL%Q*WJ{X;E233QpYy8aubFN78ir$csdN+t#^Z(3kNQf&GFzCP@QQ z3ju734-wRwC*yzGm`uZP>&LK_g`~vGBobLoTiAHR1(RZ);lk>{hnv3>L>sBlg~!u3 z^SZII58UcX_Bie-g_9BW2@N$ZXrB(w8ZILZ&kvaR~c(D~2n^rpPpb)!H6-X)?YF23+1NHZgTZ zlA%#M@7KO2m6uVKDSL9F#{IS)l>B$jrsk>7M3(R8jS`6T&u{z{^Y-Xh9^35v3cvK# zsk}Wj!#iQ2eH=@$+`!rw9yZ2l|DEKn(A}XqVUMM_Y_Kr8prt`|xs~U#!NnJp^>F!- z5M(e+qM9^1%ZYBg2#}{~!Yt){*_pXRU90LI&RDE#wSr`#86$pNInwY{_M9LhdlR71 zo2F_8q(Y0zGV9lA9{MDH6i7`vszhXqIn6Bvlbpzo@wPkJW%hAWbdFiTddtZ~XGAXr z^)Zca_r!D&ua6@Qa@M@D!SMBYc~1YlPPPk!>~>l-Z%PfK6B?RRU~nH<)wvQ>=xO9{qHw{uYF;1(W$Kp zSL9UrY=Qjv>G@HK8wkf~iZ)IlIu%$8Ev>fhoVHM#DjmPP@olQ0@YXEf_^zR8YIw{a zZ}gXnFhyH_nEN=7Uz4}irq=9_zSpJ=wBBmfcnhHi?#H3iOIi;VpafPxull;WOjDV# zKe)V(SN__@gAoq_VU57kq_<#DQ+;#ERO5TLjzCJEiuo=l5FG+7z@V6ajF~Qe<=8|I z?J8Q^<%zC1DoF*6tmt{jXOIk)#f*fefFy&Qs3ogjQc8fJ+Wj86aPK8Uyp-p?eM4b_ z$&?NH4riqh$xR>~-6RThQioUGh*Yn~!UhHJi=UQn_Zn}Z?C7%^UW-r?QTK{jc=HP@ zz!2TTHe?+(R#JWRp7vUP-1nLaU2Ag>-8OzOYcW%C$}Vy?-5w7lKkVD-yfz@Pc#eWiC|OPtJO zHg<|xEB3rM<5%70ral2Nh%A`u<4~qZL@PuNW4$8Ej5cy({FIu@W43K!I);&PRHgxM zT3{TI@`HlVrpD7HF4jTBsL=kzP%^6b7TCRI?GwxH62yDeLpHhz&-XuP3RVT-t|zSq zezv@NEFAx2=QW1^VFFVm<={q}r;@Y)%Y|KzSe&xOr10U;CAJ1w(aH_q*1gFP1;04h zn)!9HRFkD^a~ocGaw6_voTRq#|)wJfT4zPYf;S8yt|sh?pa$&64hZB5dwPv%OiFmU%kJ0HLRTU5Bx(N}PNdA^v*4!CGUzz4Xl}N(9x5E681FP7{Jr5gb!u1`)=LuUR8l0v>csOn(btN zz3`|8B4ENRQS5B6X+wBA1GEhk0J59ip(odygzXXaiKplF<>MKbBF))|ufoK)h;$dGZ7?W=n$DwMT}4e2$-q0wKZg3#FD+FfJB^V)W)!x+_X`mp8vjn7B? zuWRw|bRN*9r5Sz{1AyVbd)MDo?k}r)N0PBuNt2*#^R#$VaVpDY;P=q;=QFGn5C0yl za*5HvVIBGo;I94|SpUYvssX9erAmL2dP(+LotiV=jn2&mOVtIE;^vKJ0TAw$vx}y_8XU0UCC!+9}B1~0Y)N8SPt17)2j!i3XBnla-V;^RH8XMv0$az3z(7?>cFEJs==QYVP$uu~xtq$cW)E$R3}!NaE>6QCCd z%*&Hpk<+{6XFyl4#LLY#_O$Pmd7f+lc{rByc24@cF%BXzo+jEFLYR!m{aQ!oJUGPN zc?>+iZb&B4y!iY(1F`*Okh_0~d#jwsMpWfY+~Y98Ui%NJzZ2Cc5bGEpbltV6f8*np zR(yoi<|xVk1bkg_%kpc(FS6oxiIZWNq?$vElM06*;J5?!Jn=*HK{2fq)(Et5dZ<%I zJL+?0HT5ajM2E%4{5j8FgaAPpy#>FcuW8k(Ca_i96bsG(l>(fHFuAIWA?JYD+Ez5!cy7Hx}8$K;fhL((wOYr1v`|H#`P z34bXP?fDXV3_zCG%Mn=rB4L^kD0{o%SMFgVl{;j05O`pdbAzJU_hczY@%6fF@7I?P7v zuq|~X{vx~d;c{ILT>Hx4+bet2kgt~hn=euev5-IfruCr0jc&wYw@Zde4Fg(sOeyNiBU)2hf)1*)khgTy> z|BHc%9HX;-_p3YlP%tt2j6sWc$QFaYtbR>{7yNdi?o_H%DSnlWaxi14yNf?ovn_CARmpSUoL&nqQw!o zq9r2UNv8zB!0_k6pSSSAgY=;YJn7+x$g&`nvPwNoLjo9 z#|mMpY8U>IJpi<`ha^UhJdK|heCNC8 zz4FIid(7-S2#bMDbB})6K4}{%C4>@aIz~U>=i>r|wm<5X%je#C*O?ib(W5WY+`O)& zq#~HAfz$DaBBUjUpguCRprr(eye;6O_~`6~+@elQcf*Tk4B%%oz_TdOrHWo=qFe@E zu(dZ6l}91#RXrNQu5P;@CMcwViR-s?@3o+kfJk4XawHC#>5#hfu9L#ZqX#XVOBA+w%==OCG3P9b>iGJ{P#|)%bQ=gWxC{LTy0vchMS#5@;pC2 zy(53@UAbXC*z9{uKU*$$pV`SI_}OCN**3^aGm2DHrz3U;s?!laSWh1_BlVT1*jzW zJ_fk+;N}q_^ngADJ{1BX!E(-1#D|`G603Z_(0Ivw~xS&x)+m5Qtj>qN)*E@letHw zq-IT3KU~lTED^Emv66x*sx8=X3~J1{jLT(^7GG(Src9 z{Blj6E}x8wDxOAEf`Q6u_ zt;wFw&hZ=f%tL`sc+X_p{-6e5)Ig1gXTV<|h~(Q%g}eh`xGaE`_7c2sDELR^u_*hl z>=!$9AYi1h3jvsjyCL*JV-E~}oCNlBZ&h0fMTI<#;GCD^9l**6ke@qo?X(*Nl}39; zA*1uKY8Bm0a)q@Jcxl)>zm643_nN?D>g74v?g2*~aJaWt%zrt@z|(L8gsRg?`FWSv zg&(%mMAhEqsA6M>X@2(&h%w+{ef*{Xp zTzznJ!5?S^Vq`4U%O}NzNR6w|rUtkAj)F@S z3^z#GS*q*6m6v>3w#QghaLO51qrd;m;!m<~NAxr!Y3aD`dd#y!@_$%;l|gRCrQq9C8#O+F_6d7ntbh0qoxK_S%c z?So3VCeOS0a<)tG&-?l?3j%OGAA~{RtpZsJ$e-E&3wjmnzu6D6H&OV{bx`_-s% zkL5(a1s_6owC7{TYxG~_6~sM}I?kNnv~3Lw7#hr2NgGJ>Cj6Ai&i>PtP5Y*vW~y?( zzS?E%p7`1q93`KZ!KZgk+dww73Ufq@XU=vZg(plL3R$RsO5#+g_ zcO|+|#2Qz01aMT-X1ZR><8Q$oBnF2zAjjV(6M?Z1p$2VHGZ6cWJ`y5MABTam7&W*k z;?y&EJqDI7yXzUjsTGX_KY2>aTs?aT3NWA|8Ofk*gGa$5Ne&Xqjd^`?DD(j?^bvQH zP#w4gwQ}Km&o{Uq zAi!E_yVU?T&*S$CG;$(QNGh{sXnUFO85w=<%%BYL45W*zN1?`n=O8%%Mfif!ZdIv; z$htEJZ-&VC$|5-<0*A~yxu(Q_X*|`?wmZB)b9T_>Uecr?%{O{X|)&cH--{G zP7FSP$T8CvZA@p7a?_gS@@=_ysT5j#tN6NF0P6)A(Zme;S0VOIKy@rLB&8 zuCOxU7u7!PPdJ0XOd4cckcB(jjH4hO*9c#&={pU|vNLBJpFjJVjQ4k5jYprw-{`@| z!0lA1Sur_Lwh*pmynO&%p?@F?9cmZu162~t{aZVLhXrR04>U>2PQx$|^%>ri^8v#J zGr{dt(A^Ler~kjN1MgES_a>P+R6O*Dv^Y`}+cfpH2XCxfwi@MVXmSZ<)*>Xpik& z(Um4llfDM?3AkHbgqdG9_Rvh05~T9>{^(JLU?uI)l2_u!%HHBFn`>Os%`)Cu%#6Mc zaL+;H0XsiOhSLHGO{=>fOkXP032u0uow)5HCPxJgUSC&ckzvuc!=>E&N6d8LuOywr zp>QZ+nsBcqFT^!O$K*OIM`^r^czr-Trs&|b1gSZmvWqHO>$@|on<#i^<19Lm`-~P*SZQ-0GfV zt~G+UFhaKd+TthD7-{RSHjTf^&3d>NG7tq`0!*Gw1F`URRH1I=G3^JN zDtojy!7QSbZ*_j-xMyKWV`bwXlVe&g)Tl0pNxFNE385>lSSR>pQkZMtOd7zQ(E&D?4^3 z#$K_quSPPIXDmFHg537Q@bib7n1zEU(%(I?6lh~)o=cYxXn*?kJWOzf$me^Y031g4 z3g-KAQdke*!aL9h^|ZmVyyM4C7FEQ$BuK&2E#8F-d5~ue2+L>j<8;D@EW`CAgWl_K zn}(tuE9lA~hBNdF47m3{lJ@+qtaKn9t^ekje+WW0Y!{0H-o0V>1LC<`Pp@@{f)arItg5XkKZ9F=~fgQA2>#YxzvM$2~=8+PDyX;%}{ zc#qw~c(-0@E?#DYK6d#U7V0PCPtRFDzS1jwCSrG~rpnv&^&W=*)>%DMa-p8&_QPjz zj=t$eqgWJXyZ1klLRX`#!yXxy@2h@ARD~Y$vfg1hoaqzBNJE*lJ1LCr#hgKUcbI%5 z2lmL9GCraT3?+}NyWUGput98INV(Z+dtBYgVK-!O*Xsyq13~ixiAYn)_`uhH_daI} zn&38%{|=$U>UHVUd~$`@R;~PB$E?2zR!Cl_is5MzDCeKeh!vivjA^mDLDG+G5Veas zGtPGrg<_`RFvEmnnpCsuN90u_O;GeinRhvKeSLVJ7~D91!NHe9(g=)J+HXYCKJe95 z#~MO?Ax6aLbhMq1Ynuh5PvN?+;|8sw()0zEo8nQr+5c|8`i{<#Y`K26~(u?(_ zq>V4ZBG-25>;(vt|M}kL$R0eX7!oWJ-rkEsRn#|B!(ZTqK4THrCV(y}y-{+bH4pz8 zn&rK!Rlf5zzU2@ZtarcX%I+gA58>Rx`_(qZ+}U{|W_2&>nHl5!(JkLzQtT|=r60MR z8m@&wKJ$D}sX9wKBm4bZyLW;yv?mplw%Tm+1TJPU7uG)fluVMZdHD1~#bg2IEWaPw zeD&giBUQ4NYZpTXr0$@;t=Sn$wx_X=NsCbf4dWFQwyPT4r;F|+rANh`b9))!7q_=C zl_BUKKIMDk`?)=&H!dJ*@}{O0SlED83UuelWG`0N)Ge;1MSrB}*>wB)fSUa{=4 z+Y;Tv;>D-ejw?^7k|=*xFbMsQf!M1&E33=E(l;bO6r+D?Hxu;zA&GFMzTkjDM!uZL z8})2mf%4YWx3B#DFZQftX>Y1}Q^w{o`R$z#yuY=q<_4JlwNo=I?C4ggzI0mKq|nh| zd0+nBv@%G1L2K<)i5duRB_xwf5Sdak8HQ?lL?pr1QN9D8$!^7%PONpDIgFh`+DARp z17V&-^!$02;9Fp1A(Lo5Dh3m@6o@C*>{K^ZJq4nhWT%qn!EQR%TJfv9JRz;BuTKM^J>tU;vZ$65t%(>Ru==*Mu8@S<<+ow-U5G5dS>W!5{Z)>@<(u-s?xq1r^ApS%=8#0i z2G!PrHY;4fPoDD{Ay?|>%InSzMHgcg!U?{&DNVl|`-WWhw7&ft8N!QPp73xn2C<+F zEKzu2gS;%0E|GE{Go2B2BUSmni2=!%FedUOUz@~baJzRUo9s6p-r;#yb!&#nd&%3{ zdA7USA!3~5#ypQ!i)d&^=0uyy@NBADwR7ux2?!qKVRr1ypm1*z) zBk9`XnS9^BYWg^Ia7-u3SrnZ_lj|^CPV#K)!NUBQk5eXD zw1mln_opJ6-J^Sqq96oczfzU+{OLPhYb$`yLx`Yq(~k;hZ7eXI3TD~r*#P7o$OI}+ zmM=hguA^b*s!fvHCVTbS1g)EbuKZAJfvVeD;V$PsVQZM~OVd7wP9s?!y61iSXP}X) zf+kEg#l`%Q2u95GuwPbN9QEfQ~L{H`C@cZ?vA;%svdRvQR8>2%oMM?BRuH z7CH_P*DYOZ4%4B5!)#oK9w494LW`{Tf2b_rEuE+r_5Z!@cw20D)3Ub)bNswV!ZTaS z>NV?aJq{UvyR+&})?mz!CWF7)BYCSc@ro6BB%*b1=0m9GBMPD`AHX5+_^^eMbt z-D-Uy40ArhEVC<4?Z08}ntk>VOt-)vaM+)gW&IYe_EPUDXhP?rYP+FRxyy&y_R)!}vFSDXIYAJEaH5jyAeP%l>hmQKF6I%J}8HX?y1>62aP&|aN>R6&sl8x#Ubi)|% zAbr$O*?u+9uu1gk>||8@^UUU%mh4Bu zo5ms2TXPhejy3+`e=^L@#oOC!D~q4NG~-pgEo7y)D~mMtpW~jZ)vk-1m7`QI^CWGP zn=gB<*Eu@+?B)j;pe!(-BRp)C-9?l0iCFaKR19yuo@`5%#_GqA?5yIL&ooE!NzPVx zh?6$yQNrq7>tFn<i!ZWeXs8SB;oV~UES|_)&b4b#d-J96spyyLX4pUC znGH;xa`X#vA?%5ybcKYB6T(N6zmc=zn4x*+w>wq)*)yi%Era&>eW5`U?^HU_QI`jl zTb{|4i0z6X%VP>;DlsNXny+yN%;RcM`e-}>u<oykS^&+7k33a@c#T5a0Vdq$I!L*^NHs=>Htm z)(9UdfAz@$K5!=A-bVTR$vc&%6C2LCwfFM_C9f)yA_Ik%N=5oh0!1sN;rHxRzEQ_O ziL_Z3?Q~nCQR}y2j&r8YI;W8Q9G`V2GoPo05Dha`F6gqZP0hsb8=3Kw^sjqISsAUy z8T)ntFAtH&bSX!Ab8RAsQ_rR~{Osm{Ht`_0;!DLGdWXQNKiN6BHYT&!(>M}+lR9!+ z-_WbauB^eS+FA7q@3rcp*z^{}_s3p_^&{CbmDLA#8$U8+1LuZ6y)_9EFH1EUe5(OB`= zqBoa1R5LsLi+AIz-5;)}_!<5{%$~<(#Efo}w9n4VcG(&JOUJw#p>EX%GZ1loYDMD) z&_FWWAf+mjafpE{vnl%1pV*R7PH z;}St?vqV3-;A|F^&jQGGdg3lPO3oxN8{D9>sTX>=D30`0s{Hl-hhc}iJ7e>+^F#Tp zgE2R+eV%75(++NMl$@ylm(4K*mwEvE~hvX=(`QyWNV_!KCe zME&uacp^!(J-bByG;BPUhS$Pxm7)L?nuR<;mf=;*+_<&;j?=_ zT500%9z*B)ssPKo%Pm!Pw#9R$Wp``Sek{mU-uai35> ziX2G!@t98)H|rDYZ5EG*B@O**Af?f#=6eJtCRCW>tU~IW10x;{e?CFZ)wx2i|Nq%J9}f$t1Fdi5OmogqDha4hDC%ou8#zuIInlu`|Z}$A-W4X z`~pdK*SJ~Oo-C+35!j>8JpfspiyfI0(*{(<7c?2!R0F3@Ryl(l&B$BSkw zSMr`8>9lefGryp0{OWei=yNLix0l^{#jD?&NPp(u9dD(FIp^>zEfw>Rfp2dyltPwl zx#c$$NNrE{2DyWihK|v!e9@S>>80be+3G4ll`XTXYa3DnWbF1O8W)r^na0Wgj+yOF zD{|vnc?MY+#y3p0*ZT!`Jq@N?6%SbS*Bl?ue{m3&2aB85C$Ul_ZxplPFeRXHG;R5F z2V0Nbx@s5%-KHrj&W^sfc2NMUQuv*f<|stJ(TKXNr|}3m|0HMK>$=6xxI;rC8q#X&%|?xy}IoErLJ|HI+)4vl#1^tn=7t zdMHWz7Y@|_=%&8!bqO(!mm^hOc(E;{?IYT#+PVgi@h*?{ZE8DuWrUkK@4x-JD~>-( z+)P%E`CYMm=%gF1E&6F8loTn0Jb`Md__;Y=M=ks7MwMNg`$x_y6-z9qz}SFu9_TRD zOr8sXZEeq*wn;9ktK3)D*wgaAPfw%WLz$f&6tQuBX-de6qX!9vs|VvP+H~?y9J}-* zWMf85@E-~rT}{;$oy)szbpqoznnn4+zVP3TsXYs{M|NU590S+=SDbQC9P8$F8?}1@nh~08NW=}UzfZ(oGNDD$+1?BYE8sM{htQz0Tn2Y3D%XLT@B;zQ|k0F-@;j)rc0Gi752%(HbVKNc)_`l4c zh{*IFVb{IY+L3*;_M|{py{@qBvHO z^4)7S@$;=`U#TSoWrwW;cY7Ga$MM|q6(HMJz7~FU6TCd8(uXp)!(~`+NOds0*ZN|` zFXxqm`W$)s1@DY=uT7h`|5d2$`=fQ79_Ls>u@3n;j55qcxi3AvL%juNj~7N?Y`n6w zj1-ottNKI(ukvV@_-t*@yh}VMG-;EPdlw6Fb@yzw=;NP z%vwG6+4qvgi|S2amSbw|gDCO!HQ_Q1(_=+q`#fub=t@fo<>3-JFzqOQXfm{G=#PZ5 zFF+ymrt&j~_D?BzSMlbPIr*!V3ts`-qjpLZ166h6&Y3M$RpYSVj22j&s`r7y-v2DepmASF|p zIm$KU@N{yQ%9npj71g2g!pqIdf9GXGgwycBWy^z=pG zF2ncNo*1tr4@p?Kl^rNIIr8~4g{()rXxjUHy;)IfYfhqVYoz%YA?vbrs@a*N2bryo zh2MSuAuro$u)HM)J0wt{YC7(O+DXsY+DE32o~iVnw`lX__GVnmtcu1Vl-Y)xB}wZR z&(~h|o-T8KOgz}!rzN6Pao#rW3f-(gm{nT zxMd!(Ek7r+7@G}SUR?S^%5-%W8*c_8FmWQX5=Dx_@KBgOMG)kNZ-~Omjn_mKxE9w` za(y3(=+R~O*0&M)iRq^r!W9}Zc_v3XFPHn(?^b)mWZlsu;O{$6Ihr;I-xTM1j=Nc? z{fPh<1}to+JQzPVePKjKQ0HR0hN9JfCm1ss z8u08Nj{lHymrMGQJvZ|QY=3{yEcOjPBGWP?skPWPMxyzj->gTk_J8V`8#xiFJ`sYR z@R<$zIlAEPTJ6;T`h^)0Q5xF88&t5?g~f8i-u>6XgpU%dY*30f;zEmU*HO}{KKhQh zkI|l}esfRs3>+}_II>Lqw%~+dUXuU$R%7Em=+;Bbk+RrskL7J~fz_y*a@MFn5Dno0 z(-k_AoQk^M^hxm7N9t3qU(1kiAF1)v;SRvlBKG0NaTva+Bp>=T1#0q~1F;hsdfWg; zS=|f@f}3ZfZ@R+3*)MtFBFp_%YH#lvzG!}rVnCe6$X$*WlUJj7a$G%e=mw|<$eF7H z)Rt-~Gg0>38m`#%YOe`Q?+stn7yFwfx^BENNUg4l_Y|V}5VDD2KO5D3}ET~ht$H-EuMZ$%aoMtzyqNpYgLt~ubL-!D5_r*i0DUA1^3ZH97|LQL=E8FXhc zSCHA-5i;VJZJCN2-EBi`i#0P%ar%iOykyqq!Wy8__rR;4`otI76W6W=p&wESG6SM7 zkzDN4BT-Vm@b}W>oygfh6e$3l(`SiNebsN1VMN;OKORvu>dAD1-e5h9a zQaUX;HLU<50B3_mKStR0QQ_jjiQ{WfiD;gh9ZR(d!gCu3jaoqKt5c?+qHc!~*<`umZI&C; zT){TBix>R0&HR2s(>_55WjG^Oe@7U>I8QxXYWdJ%svI7xJpep_z@U~IgDP;)ZWqzp zv5D!`Q4q(UVrbpuyVeRGekZ$U4cmGBq$KuJOM+`&gE9(d@gjT^6!+iZVuwjb-GEOQ zwYAr}X>i_R*FbEr>$YuLmSSc5yHe3mY9K9Qth1F#2$ zractOVW5X&n`j-H_B6;>j>|a|2tRh-5seUhgWSRX65G_AgA?@R;U5 zJ+<~e8i|w{UD0~B@U;Ls;1cb4O{G?i2+gGE0uP1I{AtUjYv_yr@qmOyPTbFA)L!a<6U%1oOF14yKY35tz$SyE^QsNZ!}BtTihAe4=&w`Db2^*bl6( zUy3JRZH(kX0ey;Tw_>D`H>*&~6y~kAJYslgD_*&ork$6*8=rKXpBLzh$Bk~aL>0hN(HXgLio@tN<1|Z9d0gs; zYT2PLFbA|XflLhnSurVjz+o+$kuUbvkk}jYaxyZdu%n`4V5*?;!W&;ggunjmK?|sV zW;(qzGiF^J^?SdFFO_K<3Z3O)Gap$Wd0(a(vtquTA`f5ZMdyeB@s*oZ-4EyhZNI{E zML2dqB>}@AxA2+tfjd;tlEi6)K{JvCwfe~emOMgyJP7svWYMXn_;#tBuLr&u1MJc; z>1r=fbHnhgW>@;8IRX(5imfcb5W&>iI}DifR!vCwD$9$It_)kvw$XRM}?Xnuy+F!4{>MdQ&a^#B1L(!ZWau6slI@imm9EWm%KxR@$0}?ns5p^;hZ9?3X07r?4ib9KYVTcZx&Mt(5SF9d<;pE9E z3<&gss-gqoan1fkFTAOfJ7C(S)hadWaWE{6SY+%%XlQ-0z=D78CkYUz3OS6ZXmkP0 zfonT+v>(&L>O>KZ`?J>N*ELc6=s!PNqVY-?T3)J93VhC`^uM+`d@26W3u#k-rZYWs znZ7!jHU( zJ+ZuSS<(YwY4gWM)}dT$)r8NZ%3f`7{e)w?#r&R`H1lCLE)!y^StumXLQ>w$5S!3! zSsT!NZRAYuAI!wQgS}sZ>8v1h=hPYcHn)o4=j{l5hk@WG0udM1js@jEZRrp zmneAd!;Tt!287}8tiVM)_mOiU0sjZxJFe4!fOc zT?i4fbZU9wbAEZn7dXK^@aKrFg_yF<1oMs zhfEhwhouv{z|?Lqg9fH30Gkx9?%ovkRA`HQFz4)rxc2}@0hz;`aU^xmFh=-gLjOsi zlmT6Zm)(oLAI@H^-Na>@tg{b)-Kh?R(GoqjgF6gqni)>7rdcaQ&`1Uc-kuC7(J_j% zdvRI(?CBj??|iov5T<8Ci{Df7*cFYR4m85V9a{!>Py=jRxc|Ay+^xiKcrzA5g|I=~ z4;|ouBfip3Gjl9N+Ar~If*`UQ+7da2$d>|b4NM*se|X&iZf;!G*PA+S*M8u8Q6J<6>cpt07#i`%VgE48yl_9TB(L&l5ajzWzrPp=%}j2~M@X7B z!AMxnI1mVdVQ{RX*e-Y`5Qih;8o|U6h%S6}CJY3}L7GC~QJgqDO2Eg`nOtf@5^RS> zLvC}Pl($t-X{Vfh(`=S4(0S0YB6QHAXLnB z+fdtzcL4#Wy2nbl3+U?zY@gz0 z_J;S*Y_^2xqsHkljYw}r8{}5mBfja96(|1GP_3D!!Bnvi6efZk1V2^)+RN5u;q6NY zHK@(pn%vys_tRQ5{4-F0%<;n|q;hj8E{o4Fv!@UGaR4nFIU~-5VHT7Ahk)BP{EmU4 z57dASl#awt{67T{taOV5?th?*2-0rJ!_1UWy8N&4o8cyLuuB;qehN_A_=$ah>X3LX z)Es$m&pC?sOQwB>4g`}lP7z>EW9Q&bTPlVRKbPqcaq$#CB)n%CSUIq_d55aOn`6Rt zAJ$br?!F9hzfSJlX*l;c3AZKL+P4TZc3jp49mcPyr`zn&)d`VAvmc-XihC%6z)(cr z@xeuX$ICxM^w*pygsjGA&_hlHWu1(mA|zL8C@A1w+0%{*3}!X70C(FOMo9P{H=gE! zTn3Gy=Q!D6!AqLB9`>dB8S$?jkTA;4yeEf(X^9y(n!qNe`E%j`7wQyYvHWe=7lbYhLissu0cZs)z2X=6xG7T&~qYUJ$%#db&bIuh+m-dS@s$&cg)_$*kgFK+O(@ zzfJ^#R~X)|2F?+Z=#8((f<=0zdH`gd2UxEz>_W(?$OZzELygdZfAFc3PBi;aW*XqP zG2B;9^TB9)pREi`4PRfydCn2&$Ak=eUAA z)1Xi+ng_2Xwux;XJ0lQszqb7~-nQZ9=0A5mw01Ta z3CFZEAZP}R)nL(Ct!=44Vzw-d@on6^Y?tHD9xw&Um<02`8V4>|F$_*0$1FoTC}rAl z%~47*NPnQ2D=3ut{BxStFx5wTcqg7Q_mt4I@rA@qihNeWEa|81@Ne^6Q!PBe*28E0Q(oyutVS8=KO6jQbG$JT zLSH+#0@sC+W`c~z?QiQ`km^d$6H5dzpS1!D(iNDV`&)Nd1j`EA68EBRkvgRnt^NRf5t6_Nk@yXbOEXg)+EOzYov zi+1=VrMu0hP$8}WxugC%j6ppdV+L${cuJR@bJGwIM8HhG|6nzDSW9oLTDE*mU%D5m zRmZ5)bTXN;_#@y!!6^qv%l?p+#Z73=+u*8*_z{wO@d&t0z?>_Sd}=7zbKNqAei>3gc)!b*NKL&6H91wkDHi` zKWPWJLrOIu(THz)m1h7_3V0j{K|n0jh2v+Y<^ys}HBG!8!87T?pg>R#_h(zdBHyn_ z_=FDzM;H#91Kbj?r>YdmAM@#Sxf>~U%;e4Tu%a0j+aLT7c->lZ_JU@2?h{6nQWmV zJNi<6rI010r})N^KWxwkKQpoKb8rA{=l4!jhiC>6%R?PR+jxbhqs5E#6jkBTxtm4s7LI&wuAvP3-BIx zmT05iY)bpM>A*o>kl|ih|G#C1rOR3y{!P-ZFm4d0b*WEy$RkWI<)1ad9=qZ^a@&ib zYjwiRV&K8w$BIEZk4|xGSpwj_huYLany(;Y&@haOB)&?7oFpC^Rs9Sk-mALF3n6;R zNMN@S#u%{39CC^Gs**H$qoD$LSRw%#Fd%&cf+r@kshUsPz{XJUVBVzK6}2<>h6m+EJ9pIXLF=RwAql6`yzXx>c4?jbM>Z-sk2zYTS(rfDfzYT!R z$!1;ux)Mj5)u5cCub$p*#bHvN&GZaGRP?64NsiwrUZk0&YOGI>Ll4U{+DCV;bS^vV zpr~37JsV2RtL?%>wHksj#i2Tg17e0S^cQ}Np3hS|!HI&T>QHD-I4+}_u#bohW350S ze-ZQ!WGUuQxH@Fd9b8#xP+5neKfDhjFu*jn#Bn~-Ao_=0b6*r9*;IbHR@o$<&&;)i zf%s=6gfE+7zy&`0#gvB_K;isqs&v8^qQ_ILLD<@d;o_pK zv-Q3U3>*#+91Op(mtRo*ia+K<6?h)JQxB>5_S`z7zRVYLySKU;4>DY}`h> z<1Z!OUWefSAB8Caj1YZ;Ws8p=yv%wMwhrW+7`o~|F%!#*0m2AR-mj~$wC2FDu=ZHT zHtmJuEz{Rcy3UT$s@+g0AUJYW@(SloDJL{-oKjt1xS-bl5#WL^d3GWMY~m7{HH{e2 z`<8}>;i!&Tw{v-zo)27*V+LI#z$0l&b-^|p|uwxFx!f2<5^>ejp_GeE^o~-)&w+zd*ix z2$Te$VAiUTr?1~{T(|6hJIA*15OnC$=)a_>NyUv^%-;sGzvE%F^6TkvyP4AY|ND_W z5$a3qvmzpw?Ve7ES{Zn=qDCw5QLENNM>u-OC||PiI^~M-x&QwVY53A7K`L6tG3(pi zUU|EoR46G)gRk5dta$u9mjJX1SN*bb;R%KTMaRGZf~Mv~A2l*=dcCtxVkf=w@X%m@ z?Pd@W_3{OM-a<=Mf`EGRiNW%uo#yDXZ?CA8N7TFPi%`77RVyRHEJPbk_KZBghe-I?*S)@L*jLx#J#7+2n>#Untw0T@-zu7|Fe+ly| zx;wQ+Fkf|9PT#eYZ1)M(A(x4-Iw?-At{w6*a!0b3j`D0&t?TW~-%~fUGz(~>G2R78jK}~CbtGQ= z>|try#+M~fG=k(x*RK2-7JLn*q~*47mf_ih*b5V&^$;PAyH+% zMR5HB;i)(om}df^8ldp>2LsGJ0wiRcqt8SRWHmfzsTR=C$UY@S8v>vYpJh2v@En0i zU4M&Y?gauYNz;w;R1?fQaT+ymvpvP(y&GHjRwFtqvUprRd5n6beX{X@@x(*+ z;s27?s_f#*BXCMKSNwE}wEa^E`nv={sm^=Uwq@HonPug(|Js&ZZTWU2&TRtQ`VRY+ zCvsW8?Xsj|)!keFEF=j|>ks{qke{z~mK;n|^nY&ba3(Kt?zs55PUD`m22o`tkO^|m)+zkLq08h!s z3cuoZ*@KIpme?YIxD{qF=z?j$j7&%nN*#D!xpF1Un>c{@a>|tC-KGY7CU^AMhX+oT zz~uO*Lt|rPOvq)Nnf1x@MQndGI5Tro>{w#RiLaAegiH~q3FNE}z(|yeyR`R+^dm}C z3pW@M`Y$$3s}UXpk3Yf~i#~6C@_9Qe3ot-`;>}!fgQ2tCj%k2h0LJ2RnTcyfXqa{! znBq9|?)|&!&(ibG(x#AUagK8Ti|mO&g=N*s zD}$?-qSpIVy%X6LOin8v>qILE+s0=G*8-aD%Se@l>Yj@gk5g8ES#tOHWuD#-$j`)N z|AH6SN2F5vJokA^HcD|0w~Y^O$UkDFcmDHowT=2OJ#-cb(R){EY=2 zC5hjJGVdect_3J0CNJSTlI2~iFWSSRcjV0j+XDLipj}wz8>^6^!{_DoUwoL zCPDVL^4Y6KVL)YwpC8AiKfa?(@${sd6Q@uN8v6EONbuxQq6ou*1MT>l1R7qMQD`Va zLU|x@eh4r;DpY6=DOX_qmDJB4R#)r(>2v=HZpDwO{>RMQ@==1 z_Vl(|JrmH;#G(ft9BNxS_>kEL+-a?sXB<{bJpJ40#+o0;S(vVFo!r0sPsT!$C5Y}H zqVAu|xo-SwvHQRE{d)SZmme5#uUUCx^jl{g7duqW3i~Yj=ev05V^85O=rI1OUk5qwW#)$)zu5W^^mNexXF6$b7`=N%^@+#AJ)Up< zs#(kJpAqvwgP73?J4fgtP%ZTV1hYO^mSZEiGAu(v4=T#qfF=$4#sb8li%AoS%{U3< z)?mmN+%^mx7*^#oAb%yQu@8;rq*Drh!6RNY`Py=baG(YxgS>$%P!~d2rK%T(7DkbD8-vUX3~~&3)e8DcJzd;V{W{7-MnK}ge$c>=C|!PnQxU6WM*p%uN_RNsGhty zX=oU>#wyx4zj&QDZw2Z`hH%-6gvAL~dlnbwQppP=2bbwu;via(`aSKg0M_}$Wwq4o z2j%9RTFK1SOWPh!JWEJdqOb|D!=hu&6HgrEYrRi239P-2_Gqt4X9n&hj2VLiY=LKp zEpsh_nY&LD?sf?33(ZL=_N$-9a0gdE07(d|1po!(*PLJh+@9Q}RNej%+O`1#9!2;@ zptuMSa`}@3P}Z@UCbSKtxZ(p@#4A`4X-(tw%LJi${De?A#2}{H}{g`FdKBxDTt4q>b?@R_&O!WR+LbzEbtRWB1r)<(_kUFtC zs={(~+L$c*mvY=L9v0Yl&tG}*4@=JhJiyHpD=_?9MUzA>Bw=+POcQ_JHOS|@Fx9jc z{oP@~!~j%7vx*8i6v)=m2qBj%A+)PfHR2>4>f!}|Uz?6$JyIiJl}2GA42^6!SN##l z$<}G$$P8YSf>-CyqA?RAZ#F>pJ?WKU=L_4{F~HIw#V={0sjH$SnQ z|Mu=C^@OZul}9EYtgie+9ZJ=2u6dbf)k6XB8RM^H}Cy7i$@w%SEELQ z^rCeS9~yWx@XX?*z7Z$za`CaNnvH!U%X3HsOm2Y8J16S1jF*FC}#Jj`$z!tgn ztLX2Ly6#My-PhCe)7Dq)@Cn^~#F{nsC@bLmNMsfdjB0 zmN0DOa6$kcnEOs$@*H&9Rr{bA-UJXSqs1M%0kH;c;V4LK#i3HA$?$fsSU_+A9d{6> zuVJLap7P&x$;|JM^bf{144`@Ta6+5@j; zGI6_aEo?J;N1kLku)}u!1Cip|+6572X-dkb?XHRsX6Z96Kh6j|z2hEZL_6sMaZ1j;m56|H!E+29=87aM0541_6q3a{q|qaZC&&aJ^5pOn&$O$r|y=|#dmCJ(rZXa2GmH!3M zp;BPQ1R=z&7h%CGeWaEH9@H>QNy+Ga7FtNYt&Ojfkf>vNP#mJT0cdZ>-VaFt(-TK& z3S&S88p@p@ksFQFsX9jnw6G7>w2(@`A5sFK=Xu%i6f3oviUI0xakMao0~y-zhfpML z`3Y?J2xPV~h9>t|a=I)pE;RPFu$8MK)b<9@jin_iO%0o>o z0rQNh8VN=8GU4w2S0z_Y#A0~p_IOicXLXc^=~_$B+IVoxXIMwA``5YG*H+%Tni0Ob zq@w50)W`0ZMk;5H?DJtCT2Pm+LOiY8|Jx?*N9qpSR#Zn2 zz5i(cOjCri-N!`f3BKZ*zp<}9mNz<#D}74!>a}i2(HzMZ?n4Iw3c@GKAXh6J=C5D@xZ_wIpCklD73`?yg{8ou!%N{>@| zFB-0AB1#8vQW3P}dfYG&NqX-+y^+a$b$8&8+b@OONjZ+2@FgmTsUs3PD(@puC&bv} zJblQXI7f=sunr)~p$`2jWcx0$f80JaJ|q z+$$#p4ZNS`I;yx-0PM~smRSXLI5`D$Me6A2*>nsYQF@VUedKE7ZlfNZYa$FcQ!u}a zt;Gjf(}@-PF10=Fgqf^&0!@~(f9Bn|)FZ_BrOJaR{>OJ#3@mXyE9HIf6LwAwWCs3h zsabKOh1HmhqRhrQ)2)4muBIO?dR9Nf7oYj0Y?6xsArgV2=p`bI67b5rz+>G37yL0*A{HU!0)0s) z>pKBY#pS>apA@QrH)Z93cxyqW%KFe9>eg`6wMbNG+N6>%5L;BkqJ%%%WI*$iI4DtH z5VUe21|PwFs;V3z}SBWvJM6z0cN9B&xq1djQE?aUE8 zl~i2K0PR)qR-tetaXucNidWcz&8<`;M!-x7U`T=53Nt6KNoITD8R5c|IiVofwn0Mi z6UYQX*MPaX_*4-#dBPKrrYMy zthcr2T1`-x%-1F^gw3v*ORY-PK!R0g?O9S{`CZ^M@2~Mbw%mX9xs+Yao4hY8Q8xhZ z4)eA)R@BW40&bXTlJW?)heKkY;FQ7C-#X5&fn12gvb0dBQE7>tLvRzGDN!v4ojCkl zatp=s_VYP#VPHt))DRQSMbJNVwE1?aqJ2AXLuM^}P*u_rbztfk$XC#S5(Ciru)5a* zEV?2M5Oi=9OgjS#b79*G>g*Q)!$Frzum z*WRy&FJqcGX9RukO*oQ{o?Vu?gayo(d8J<7R*@pnq&4uVw&C1F2knae?mTV{jEl}n z=LF49XEofC%PEJq-Tr`$l|@i%S;xv)>-Er(ne}^iBzT-ysRkaPwSt2Y-s*e?sEc+5 z69t$L!A#@`DU8V)5)~TQV5WTVFayKwcA`JcD+Ggq!Th3Eh7fuiL>MDOu;>7p-DU(* z$;kzrF4{8%JTlK>&Du%?y46Nal_CA=kA!^D->ZdfB;}QO)@96`!q+Bz` z@cPdYfxz1DyFKO%cOb0}*qMV!$;#0lmcSj=%^q^lD<^5-VJb|+fmHyUM_5Fa&v*Jh z1A2J@RL-&hmbD?=0=o4Uv{T|k>QNz=2RJ5-YL*(1hCyRVpf?4^2&&>HuwW=u*oh=k zT*5>HfGz@9ze2vkuk=M5>cP>H*mT&LQ!!_aTVV$1fdfn?;KTB7>c2q%_i?MfsPrno zHkurIlD@}#31E!6f@9%+Bev8ltc$WI9+)}%#@606Vq3bN9FlbNw(A>CA%=h1=78sF zMw{Vwfas2(xyEa=YJizD5uC(KYD}K&CT;=^e=De=^8Wf%W%~emhWSu9L}zaT@4sHW z9)>Gjjunw@)IrN_8W=}H;++g6j?VT6+qiB9R5&mfN*w9f0+x#gs86Fr&`-vYGb%SF z6hVcxc5a9LKnn_FsqfhVAfZR&lI=kzh8&5$w+=T~exV?U7vZGF2rsfS<3ah3=CSB7 zOy?}Dwzdw#uaoJc{TL7in$m^nW_m;nhatALhB2HPfvbt>p;8zyL@6Br(*$UO`a@SL zX6)(hjoP7k4z~&XEr90&IUEE!ekUm&fTGIw3ZsVduocEg)G)=53wlAAY8bvBf=ytr z6zpfhl55sfaP9c^G~s&LnCcqx+VQZGL}CY!?6ukEQTUML-840SCH(c9J`@L3GsR{w z5cFhHIW$13t7xXm^Z=wq!3DhJ04dO z`|1U%V7#T>P3|=DZsi@z18x_TZyp?Sy7o_aLlcnoZD?KIEk|{~=Vwh^(`JQ|Z!UOg z`RHsDp>4L;+AI#iQ=`~c1>nDD0dO@G3-TB69N@@-tp?|I9zLk{Ac^;O&qchBr%n)Wi5AP>nzSwt7u-LsOWNNL+A(BDwsd_Patz*k*9ByD{L#D z+_Sb!-vEGom;+)MpqYT*Fv4V!41fjs!Q3Mk$k*)mY_qK|1jc}R0w@B0eCL0>U$|>2 zPz`*z&JE60>O+-AHsS&fz^FT5z_{DGIQfq(<}{S&$A5F4^np(c3#bj*1XNO=GoUi? z5Y_F5aOLI`kZc`92VAKSVVimBNEk!xo(`IMT<~RgLYTUtIg$=S+ROmo2!Y6ee_vUX z!!7&r^A&MFlQ97}XoUWO>Odg3e43h2@;q;uKVWGA7B-zoXALf)LI)Z`Cd$L$7fjBh z77ZTd3ycuYh&L>n{{Xf_2tqa%ZwoH2y6*xAAqCqu3xa$TyX>x@bmkj=$r68aO*47| zCwWK@|7)qlkX#)Xh3yHK55Ce=DP)|N%AZdQP*2eE!>R7GHOlev=f|yIn=IVi@9qzx zA?!A$Z9w!*5Uz>(H?LHd9pWIudTp-($o>D6Nx{av zy!H_&NZ|BB@N;0JhW135f9;8!qyO+($#{700Vj}pauRwzhk>MP@c(G~7I>!r@BfHG zH}^|7x7;R_Qo1UVOYXck#w?=Crcf=VSR@G{T0}*pc+V_q$Ze5JZe0ys+|{S1+-kYa z_CM?U`@bJOdSJKrUYGNFo^zh(c~(EGad&tn=Ul%>o8rs*wTfdGGuuTEK~k_!S5j`V z5QnO?ElR(nI*@>H(#8FzB-VC;LLp@1!z{TqK>`7!!m$i2xLGASYRi$#5B!- z{ems)zpV({kwIcd%D`LJVEYeO;Tb1~4wvJL8O%Cgu$}1Vca{hfffR+&L|c?a&#Jax zv%kl$PFO!BSnvgRpAc2!7SY0{jL&-2$X|$%knq0P?al1ZGDoZf7rk~W-pV+g_1o;G zCua317p;k0X12{9XgR^{n&khwhJ`n%B;Ps@Z zAL5>nS45CtmwYm^bqnP_S|AL`w}#AfCCn@aXe$IVXtX<^%&kiK2+Vm6@L^AhdZg~y zgJsMZa3I4jV*EX9K@0bSfUqh0RLd7Q&c^+fqZ7_W_bBCK&)bA&_8=hH_V42B=)zf1 z&NkJ~2({5ZVa=>+J#(^SdJKYAoLXZOP<>GuZ1mSRnmH zSHc~`3RzxoL`KN-{(Q;FxRODI4O@z9Q-Vh#x4^3brlnyaM1?TekdCUY3v&wumb;5J z)|dsaMS^p@ITVjbO{*Qp8*!TxMv$oX?<*H2qmO*zl)6OE0C8Ib1Z*OTmTk8Ac>%SnNca@k9oYB{+`jr(rEocu~_RFt(^tPqZgfh-Q zSHmkRUN>Z-War8dr8RDKJ{bf`M{DMYZU{y8afvX;3wbKKnS&hilFPT^S%^3J&%rhj zIcJ?*n29eF)Y%}wrLsckXC=aBnhSjti4|niCFo43iI$ zjU93IRXR0w&MI~YZkJ>26NGT+0)mzo=y0nuKEW^o>(+q=otU9y6lK^6OzCKH@$ZAQ9;k+A-BiTwokbf21Jaqq$C{ zm3%$$3X{0GTo%HY2P8S~>joZ)`!TR%5PfC21+t|%WPF}&t@@zaE>+8g#FPu^M-;U> zvrPC>Bhe4+;m`o;*i67CMYwUmA!v2T(8nv!J{)-^=NmRHkZQ(&cHF>Ik^SPI8G2v^ z>)smByvGS2sYPF8yP#Ltwvbc5)uV?U7jVhlS2Q#s-OifKx3OQ*cSJUCMWj?>W%)oF zS{5vvwMm%ceOA*j%+Y`PO5X0srrfp?&n{Q{ttC=)+1#yh2UG)9A52}D)yP7iVZ@x| z2Cr`@y}UqQxw0iIQfAb<1UPxvX&H+9{zCVlry~pAgu%5w7iDRY4?nfsQuxY&NlYwi zfTchFVr{+$&w z9Y6hVt{Bem+TPq$c#dY{ck4oAVlq!+L}bpcN{k{59FT1fm(hcf;0L!kWJC1kXm<03 zooRXnmHcDwta}#^VNRiM{?xC2jI0cYeLqQqDnYnWW)rr62E6nB`gH*R!=sUuF!uJ|?y)7`1~xf`}1{_PkVoH^QQK=9~|K(HqNedAE2eOZMTbr9+}Rf?8% zwM5%9bb%89-?Y2}lg5EJA@#?Mo`MbK>PF$f&lG$&;`!eq|2GULYMqg&_CtCU{e+!r zlwx?R6#00cj9Gj7?#T#&c!T7kjqC7mW>lY<0g4735ePE*GSgykMoWsBbKgj|=u+R} zZ3m^BA-%X-i72KoQwh&vHYu&XR+Uk2ClRmdU>_`;+FMy%#Z<%C5tkfJ(cgkxi|DF( zR53VZc?X^>x+Y)7$lYf@y2nFz^jB=$10Mo?*UVd9;HRydpLl~cNPeAjMJJix3@wz^ z<3rXJ8!9Dle-llcMuH`jY=%M~m8>lBBpbaG^;b^o`0P6f93k)n?aRRQ+m8a&F*Jh$ zAGGpa2!aRVX!)Q_D+n*)z7xnOBN7ryEIo*&0fDl!e+Vd(z$1fAA1Rym8r-UNScEnT z?%Ek3(?`;BdV1dI-R+4N!$SfIN^d_Y>%A4=>d`j@JcJTjjL@~Z5(S>wpn`Y>tB-e8d@+Akdrv8r` z4DWq@;Iv@LF9(q^;zCYwwd6r5A+`> zgUBbLsMB@qYGG@6#m^$ZxWr`9@lIkZc~(9|YkEDhXIi@v#A_$na5B8hdBJ-Msv&4_ zsY+9% zAK|doMV6Kw&E=wH^F}9`uN-kVP>W9a)qomu@&OUS(R}_t2ob|UjYrWPO^vzxPwzn5 zeS?P2vmUty+{2Zi-os8itU~{8KIoKIP#WalLY@iZKVi?SGdC?!Kj4wK8bfs@@VD7~ z>E+be*V9Ch42xDPiZwP}dA<0_;nFch)qi72M|{mAEa3+KT|in-QJCGV;y>I{Z7~=m zPWXJYTS(>En1Au_@IO6r<{>Xt#t^|1!|i*#iNcQUl^fR~CHBN_h~*Slvd(uPlx=)l}kBLprHCVEU znU16t+r_v0o+b`sD!YP_Z*S_>J9>?8Ft9^Ta*nk6(x-bm0PI$F5-uEpp@EdGJqJH6 z%^|UTb@xJR2Bv~!CtYC_J1d@L&jKWw-j@?cg9UtohC^~{SyB7s0hV|~nkA?Nk?+{7 zX=0cr>izW6Zu{9U9Pwp!Glz=&G|4t4tqx~YEw}buwcnJLk?NeLOXWUaY{?vtJ9FqW zV|u_gIuj(u-~xdq$tC4C`~MCrj=0AEvokCLd6-?MO?o@Cqvf%T8~%1T?qy^t#H>esWhxmufUy)HoCHe?%oYn7lb%D1`;$3m zz|{dJy3oiYd)5#PE^;AQw8=p$7A(a$%2R?3w;&Bb4eE5Dgn_C?gCJ7cPQHqg9TzpO znK=a8@wSvL9Se)odBS@e8Sw@JPnl7Z65DFcc7eL}`kFA`EVY*N+n7c@a;xVGT{X`x>7`WE&v(Ml4Lb=zr1!&ixW%57L%OdEFaVF!zg059X8~M}ptDhc4CohB zHMP3>!pkOQH||$$Bs{6sTJyQe%oOq?xJ^ z?!^U3S^bl+#bM}dhL5~({&S@P{f)PhJ6Kca3I?8WoFC-12a|1VS-kxdTi7si<%d-8 zQ{;TvR_*3BopqS*fhXZ<#ppv4FSr?kn}sFRmp*J#>a5l-mhTWcUR+~`zmuGleGJBRW(Yb`k@$)b_N51J z3?%^)?w&XVrU+lH(~=G~B@pv`g)gpdK!(fOYOSZa$!%f6fke0BwAK3y%eE7b+|_aO zZB$4IZ9FGWaj0QSr3PymE)2ce*XtCt!TCzQU?O$La~Hk8{deS3mQSoS*KsnlWpwrG zRK~V@FDtE88GQ07Dll;wE^l2n3Cre&#CViSBM5PEb zw&5H|vks9_=0y6oQQurMwEN3Jmfjs=3@J~^9(;pHUDhY>FN8C$IAUh-f&^Ha`FMbh zY$L>RK|GSaa^8J(;szEB4RHAoBP`?3P6+Yk3Pp+vFg8*wX7I)$7DQ+(YlY$6srb=v z(p>ZzBogpW@0sCgNc~TDc_Cg{qv1(`nV{I#Xl);eYpse9cLRTK;J(0x2VVxK7o4*CwJ_JE=zN`1K;{!;>}V56Iu$C$B*rY4v)u zRJdbEePz>SiA^m}O%*B5!YeB8<07mRK5u_n$2;tP`LCFzjHF7DsP8vT>@vwZ<@jrB z-zREM)LgKdIM_=-$9*xiK`tz8T0*DyyJp`(TO(PN-uR!tpXtdFPp!4!eZgZzfYCY%g?``wkskg=<`p2l9=xZ)n-L&P4Ysd7T9SA+9piv5tc2Vm)3>&f z1eFVlUq1n^r#|3iazWgpZf}cabztzABW}VSWtOT;HD*Gyyvh4t zPvm$k`EO!;!pTT<{}OA-rq2`fn$2yqwdcCXmMuT5EfN~KXgu+`Xv`wm^-tb^#6uXmqY$xend3y{Ttz%mXdJ2< zLLkxenV~%ZY3WSYOtAdu5%)Aa-|=`CG*k#C4`M!ZgC`t4I)6g`c^!HPrIoU?5GeD0 zmP+rcb(61L;1MHpuA$SYkOzvW%y;XZC5~F*=dz>FBED<_IA(?ex4@lXM9k@xga&?0 zv`TN159Wtzdmb^{nGvBXyHUx$M`4p`Qm|LeQPk**wM>a=@RqHqzxIvY*8EkyPpiS2 zI`?{J6vI{FGm07x=q#hHn_3Y+RK8a*y>uYu-i2My`}XqdUI?`YA23JWB;dZW%A^k8 z0>y~)H}$`TxHlAcUonTuqfUZLP$m7mq)d%|u97jg-%uBA<28(Eq1|Z+v9h>YL)RI2 z^D~wx3yg3jjHC!;hR`UC@Y}3;sX!8hH)o0=qb0@t#HBNvDF6=fzFH_mB=yiy$g$3{ znDr2%|AiCDsxZBBt|2w&H9Mw9p+7QfzB3lze;dH-4IG{~qh+!^9~p35Ds#8lbj{-~ zwY#Sk=bJaBN|g8I&nmo(RY*Y7M&=s#OWo2v@+!(<&(Cq6DGmbKY$n|u18=X3hsx0L zpTEoA#1>)SS0pBHnqHth^ubtGslG*PWz=Lf%BcmQha-fml{oO%o14 z4J5|zeq;oaSnLXShy*iOcpMKHeylFm47ZDg?_evToxR274>{NoKWYHh8%k*`TWl#S8VU;Bqg8Q4!kB>?QS3uZT?50TlU z!a9V~q*6A`m%~0E<;=Md7&vF%Kw?Yr{3yhcPzL5t;%r1(xOL98KLN6s5-rIngB5vK z5*sQ~j>H{O9m3LZ5|>ZPL~9>d7;3ejF_?@xJ>au{&}MS{(4CM#>%8a5>{G#bnZq$d zGe3|y4Snc;ooj{6XzPw8Dy>_+g&Xo`8L6u8I+-hEjNVileRympCX9{4L4mIwk(rBJ zd{uG)-!ezkFWFg?JyU~a;0Eo%i7DIJB^VY(E!oN7ay-wNR>M+gDqGEnP{`~k!^5NH z$V_HuH)t}50ubJ9Ikd9~AvlKY(^Jg}o+7J0ZXla&hx$;uj+GuVivPID+RGzrXOz zs*8Qo?C@r~{fd?1TV+?-UQTrH3*_a# z>#ws23;RCoLE5w6a*b)wg_c`KT#`i`zf%1rPVs;FJTgNfmP2JctbGr{#YE201uVE^ zF{de+jC`hRuj5w)i$pXa$T8m>Fe8w0U=L%AS-|=vmVDwA&6V0rrd@)78Av8w)5Wo& zhuP7&!s<652m;Tp9oBtaN=e;*!hf_zLFEua9JC#Jga74`;??b`#Vr`mEExOIft%W7 z*W1q^7Rio>@nxq^@@WyLWd~A!Uz|gCWlMkBvmh0jW_i4>DKOsmnf)fEcdq&a-}o0J zRV>0T(&FA+P7rf>hMK3%4_`MTD%)kTqeGPJn>NehZ3}PS@|O@-h9#MXFvOz%@SLN* zFO#x2Y`Y|5xZ$>R`&~4lIQr5f4TA)@eR{5=b&99K)+KK@L_BdYfPRI4j<4j2k0=m& zd%s|9-}Nou=YIJ9bWQI%9zJ z4k4X=7s{Et<&m&a91lVb0)*{m0z{4lVs5t(-8G|CvrAAg{@sc%d0NG0Y4FnHasIw( z5?={Zb5df)-(0NmElVAe64du4gjV$HhCckfMmuN{u^m?IHB8h*K1MCKE*8Ee<@mZ0 z%b!kci8O0Ynw#)CnCJ77WAR7o_d$n4)#4EuvW?wkPoxIUU_V@TS)@Oib1S~)RN{|` zFpv1``JEE3i9*khFSrZ+`x2AGfIAY=+qW_*(@-69a#vWhK6?=jWY7x zjU6Ct+-I3iJ!fmR>WLKcwQ1{Crkh%+(C$hm^v&b zeD}~!@N1dOe;fdvFlrzUG_H7&*Ku>+b08xHsr{tA8YfJJHA6%7>h34*H!L1Fppj9z zi~h%8-Oo6A>E7>kuI-*?_A}RS=;tr?O*OxYYYI1Nln+Y0rO|TW`R=O*2My9!Cf??` z2cQ!xE=&fc3~H^CY^*<`sBQA*GgD*0V@uc&HOM#W5Y2n5cs!v<=jB72vfuN)-#y;c zRXVo`q{K6&%BI$eD@PswFC3SU8yVFpH`zP=2@gtso}co4#KWV^6MFmpgu;7%Vi)L3 zo$8~@&PUeS5k7=P{usZJu*}>hw0Qmc+FccY;*;LS_`QEy3rZ5`=T6XLnoopqHOlbF z9)mbcVRZ(54{qp8cyTST%Mt!Tmd7ol@faXMDNj-R=v>`O4i5MT%n3YT9*$6I6l%b! zI>9qW42t)kj19mO7>V9FmNcu80IV5|sI@x%`}n9_GgVRA1aEE;9e?rR!rC*Xi?X^x z+frk+mnt_#WpvhVz3DC0j(KL&RDh6=$lKnWHT%26Ze^2xSO?gvOZHcG={M#X8Xivh z{5)k$K0$V`W(M^!N25UecJzbSW4{tA-hUgh!CiK1IGnjKcI&2?v9X-=^Sq`V>K^H% zl2*BQGsdUGcMT}K7|^`+XPBKSJ?>pL%`Vue8PN|NGS)RciF3tEQDs(M^8l@xj7W1Vri8xkX5@pqTNSmvVETrT4RTN(tZVb_W(1HErm8`Y&Rz;GoUho8VR?C0fgMA+ zl`RGqb&jk*w9~8^ONXDgbN8G+&Ob^=TkdQFDG$2)k>_j%i(Sxq+YCXpBP;s{Af+0Z zkbCWtkimauGc9op4|}3?*PrT;@DPGCzE{=ot^V(^F<=XX=jSDl9$Yml68ietxp`gv zPtODYS#+_TAN@IO8$>w&7MEjB2E!9~yeRfIy`eeXltepFyxB_a*zq{Y-q6uynti~E_~`!SLoRK@={@bY6Fq7cd0Jfd z7)a?!Up%gnk2|b%Uc0Kz_BP0^#9nkt#O6%MuLujvt7r^s+Vy>G^TE{y9$RAjgo5qw zDZYz+GCifYzP&cNRcH0yoK)xWFkx=Z4zdbe&3wb z%Kk483Ejt;Gor(xGA~JBh< z^OfPVei#Op9YZ%GZ@Ux;vAWU8a1MFK-iZzYV0?#BU2KrPDvw!jgwHqzxFo?^4~PeACD zOT77%R5TZYU|(XAq7|kD)D3bpa^5~%h)vaVjVBizHcQ>skM7bt)wW^iEvhpW7yY5D znxHxr+lblK+8FH*Ay@M~m*rnc=fv1|+pP6B?rGS+a`U?kExGNVU#z<$c7pJGzWiV` zJw<=3oJv^Um6;9Ny{@?r&S~h(aJzZ)LxYNDoML@7F`uGxcvn)w2{3AS8cg@_yUr(k$We6P<)=)&>0IA|0+efBU@ zU(2lHx6RT6pQAAhPrm%f!l!rW`8M`_nV!0KO{`X$_szOtXhTWSff|=?mxHnMFF1p>&o^Y?4N@IONR~qA16&0{o^TV#=@~6quc` z>xwje)Oe>0#GIHNdmDv7M|5q>?7}f!Z_z--1i{a#aRRu$bc8v)MHI+H=Ts24Q0mNr z<5zNFHBW_rl=lEQ|a_bhqg}Obx{b4rhXK^Xsa!}x*_#Bq< z#jsN0SB4;%MbFi+2A}k&76n2*U7%|K{vWW5^32@)nO+DZVp$pwWe9*Q%$mWpa9OU7 z=lDli9Q-!y8LMI5{hgprstLn_7S~uR(Fn*HY7$FtI|CaSo(v&^3idz(+Fhahum;$y z;T<@r;7dl|%&`ZO=4ce<0w6tq&^^|=V%HP4_nT-N&B3tWSZ-^CY zKFYh~uN2N%22LgC2ah3mmn~Zg!TqUdh%JCU_Emr)wPBgcVhETnBqnqx6qZ0hV~T_O z8EDs%hGBuIryAPQ+eI>VK(gzVvVdib%sAtVpc05xSPQX0C5RPWbOyO82DWy{5I;Hv zP24MCDxrFpJsPn9R$&j0%2~H?yfMPHH&S|sAZhCTUtmivh~F^eJ`4NL<1jGTffmcQ zQK#8nQwO%_Rf&YJuQe@$&mYjA5AcsR7;UuZ(W!!(r{say@w_WP7;Dw*jK{%VgyhCt z`FQc^zhf7sV+wBYa9#X#*Hg=)?`_}>H-cAIf4OAM_k@8^!JpS=kO)|4y!3wI+fSbg5$O-QrL4{@z zAE^Y&%!W!P+7Duv@)VCSj$5&Pp5!I?F_h;K_SGYlFV~G*aE-2w0~^XmlPoZKN)tA{ zuFW7v2@Fc~T{HYFBd;*v6R|gYipl^As=39XgQBJ5leDlADid&1wZ#RsMU(6hz zx)}`hM`+bO>(wm1Ul$H1LzeXy6X8b&fmJ6aV}Sfb8Iu8b>JU;sxI5#iid!3Odb9}4 zlFL=^e;uahq+yd&MnIL#(*~BxV`9Dhu^oROHl`kPd5qw@4ZU`OE*J^G3EHL76HiCT z5Cno%g!0dwsw`&tJ^&zsCNM~7nFY{-+3O&y%p%H5??iWfJDi2*1kdFYJ;Hop8{|Bm zup=BF1~>u)T6EV493hy^e0lQOuwSD6&~J1c9#}OH&w5wKmm^hfqDn$bvOl5yH;vX_ zqUBjrByiz3S6Yw{%6yG>90fBVfT5VirR87PEl=^D&55Ol@d+dj?yDNU9Gp-lyMzXv zs{yGne2-b0F>r-T28Xh(EB z`q%3G86MUAD>VePes{75GAkL!$1|mP|3Vyjwf1?|V6S?#p;Qq_)$f{p?fVH1QuAaR z^}6*e8>+h|;IvgD=~Wwb&N{w)aQH5+=!6{~NF5{MH{lRiFYXK@90EoKoMFruz4qbw zESGEr+B^+33B}=Y++{o)F(SLEK*y(tgT=LVx~oX~WFrWpdSqQzQHNa@ozrbN;RPdw=k`62 z5y>?(B3n?t!fh7A`<<908Hl-m;M>AFOY$^8J0yKeQBJ`e3`-&VxH5_L8m-ROzXwUy zsr^{=e(Ep%?4U)9cGvFDs*6ndXP?kqb2>)Ec>8zJMLYzNQ6>)Bi+Xv33>{jySwu_) zom}lSQ#K1e|pTMGhIi;qMQQdE<)05+_r=`?N4u8fiO{=6&f@ z;>xJQjW8W51?7)AghKsKJZ=f9=1%?mV>uH3y}2%5M0!veA~&zP5v{EooW~C`pdn^^ z4qPf`1+fP_2MX*H5=6sVkZrSYbu)#4c+}>K$hyW3ZnFxFG@yzU-r5qS-o3PaZ1wyj zM+VRMv&Gr>#Sa|r9kp(3XiMZyr&g@D1wjdE`a&g9vQQ(L|X&KelhD)Ee~;QFt|Vu!Xb|L%Dn^*TS+)|J~{58`G>;|}M-@38fFVFz!CX^4_m4+vWnj&^fE_h+* z)zbDK1uxa3*X~<*A}pB3&YwB~j}JGx`I>xzyDA8GoGPL0y8HP%1|si;OF30r&Cm!WQri zFIT;7nMJxv{Id%f0PIR^1EE1Bf0PC!)_JM!^mS=Gpz@|p1VE1*XY!j z|F$w4m)E>19f(bTwvei~9_#iwN2*%fbj5zh;16MMa7mPxi=%RM)Aq6^uO8}oB_A>! zeS_%rH0jK4=J%7QS;wk5)2(^c;?MlnDZbg|1m}BU zbF+e;6E9X!x#aY;ZXHb4i129Drx%3R0WHZbr}j)*?&bQ}kGaQBkNnMAvdArJO^U+7 zvp*Mpj=`Qwcu@=EtvKQVjJK!}Px zhQ%Lsl4Uh5VwSuQ!}azp6?>(sexmO)Ini>lgZ=ES@C;#!nc3K>1@-xe^9Njv8R5*e zJABf@V%Ry4oC~1Zh@*NbQD4WyhX6s1P9KoediQ3~t|Ce0wK19-apQo_qY{|9ECWlL1PB-an59_yyXTjSvB-Y{BfggSZ7j)80wy)eQ@}9v}5C z!8)eV;I+WV7coZr6SLYhF?6R(y(V{dU;nRl3%h!tgimUrF+ImD4nP!;vN@j=O&TI zd%LTe%iDOxitX@-=uzYe{eA!=Y@vG)k%(NE_Gez;Y&vvmN^6?b)scJr+SZy+L_dPe z>WUBLUD*?562Z;7PSk0lvuV-+Z(4tCwN=v1Dc1QwOBJ;WXNuq+%VK_OUX-SQW@4

    hFaTs^Qa|92fZdHO0WHdK$x zdUPu5`CGkj`|U?jkQ5v?TTf(S3em+SsuAdGoI7 z#X)M4W{3*u4iAf{Lyd;kB*k?%je*qGr}9)>Plwb5;*N@nJAiSw2YSehIAo8kId*9n zdgn)@;;%AT#7>$fx~t$O5-?m*IHkc!+UCHei|Q;g6HN8G5bLjQupGS*s2czm^Rurf ze5Ha4?}iwZVQ(^#pU*Y@3cKpKVWeVF-fkrDz!_M&*g2_@Q9}fAVb_|L!U|OJl56x* znR%6*$^(<1s>Fzp#Gt2)OM|w5#UkE>x2?s&hM3ZaL!;WXONw6|Ucy;%`)EH@>mYJd zxkGyi-w;3z_lw(a&7tTJP~_VQ;ppgi{mt;gYA(w1Vv8_^^(aCUX}KajUA1uYD)@qI zU3>IRd;Wy(nk(0ou;C2cuxZ}1Z8S)7(oGsd5^Yfq_7q#vtgr;Panvxl`49(`U6&82 z3Nz#!t3LewQONg7U?*f?d=PK5+G z7XM)!w0uxx^19f+wx zj0+BqgPkwcg%3WSxiQbf$fc(r*wtik)#;+@Lv7FfCsaO+id8CzZItw@alBK4MGiG` z&R;h%PQP$z>8ARW^fdq=o;+3O(pjqu3Rjhh`@8oqD7TpAwMBmG4G z?R!kwAUFoK1_=oX8CEO8X0G|(ADGd%CWtr|i0`&S@55FyN(eSsVF<*KO6hgSe(HO9 zG3??|)YsUg>8h2&V*9po2jt4qG{NQYv1L&>FR1Kbu0hJ`j&JO&g8p@AiRt_OmZA|$ z;Ia@Ki+vB}p4zg+9YUd`$C5}fu?qH6~D`4o8spg{! zroMW2@=SwhsmJOwv*4^~$+(wBgKCPKs>|B5Qsv`%k0MCTj)KD%rFiOUtuu-5tVNIkAveYn;ojo}?}@ zp##<-`@p*~*P2hSxOyg~AbP0CP5Gh@Dt8dyt(e2ge5=Xf1JwSp!4@T9g9A~mf8qg6A_opT#0@AyG&k1^)m%EJww?wH515Dl zTWq;p2QM12$>Rd{UnT~@^}K$cmcY_ZS0>pyFmvu*U|5eA9i@Y>X3Zn!Jgn{w>`V{P zLAKw8&w<@hSY5a?y)^+KvpvV-9tDWrVCZ!1;gsz>9b)j~|6l{y5(RFl$#{+k?toc$ zjSPgj5M5Z-^g~57A)yuS6Tv;{lHMIL`Qg_a;p6QEZgdoQ4gJxr(Eb5s)L3SUs#VbU zX>0!XQmjW05z`-?2`^5AV@%X=73iQYrcVQh3L|Au-i3w<7j>riI)poVB zy71*mK(lNCT(+qcrDLF1NPl8yAJEQI;pN%jgXr;)n-n(+RBn2yxa#R*VOAK$MG^lP zp8)bi#}epBy8$SLH%0FeuNs=EK%ip-)D8VrWB|?t!u(GK_?$?KR~roANdTu2Z2OjI zn{@Qouj#ZOU#2BD|KBw9U5DEfJuc$Lz^a176s__LT6E=kC3$jZEpPOcv-3Z=ivsRu zc~C3djE&Jpb?5w{!ri3AT=N~P;Mk%gU9t`kPs=1fPX3c+dAc z@Waei)tHV6YOyFuKeDq$XgozX#2DAc0>t;Vm71k(JI$5>I0r-RRn1_l!Tq_K17~f7 zKvfIa#I|!k6Tq$2N^#z68pbh1RNO4pEaWvt-ZYT_G$GGeGj5Nf+dF+e?nD#lJ8#2;{v3= z_U_#kX>^F05Ec|vc+Nz|{HV&y>ux5=)57W3w&!B3uJ2f8DtG?uPwK?=gOL*z(wCGR zelPg*5G-F`1A2}WKb`|yzX|#{R2Qnk#@_}UR2s|&8p~T|0ZUUCQ`O!|kKBSJxz49C zkfQ(!Xd?Ay41Yu=H~$^7a0mjZL4GCU29RQfiS5hVytg757;>N> z4vQbsg)=TFycJx;OL-$LG=Qo8?S_|?XtgEJpCUU2Y@yyG=N0;k4G+1SZ0mO{>;C&W zsCK|=#f%}WmxAgHHtX^|NqO;T#4f(3TI#JA$||Vjc#p^gS`W=J$aJ!VM$lG85(_`C zII!g}=hBNDxf?+5#zU~$k$0PpTvn2irXct!>(z~Ek3$3QSYn!nNuOS5EYe%l+?+N& zHzD;zs^LJqypBttdY^d=@rd-c(AQ=MejE&m(kY`Bd1=R|Z~m{lJ4GpuX?3@n#rS;Z z;BJ2l!gP($Hf~jR-1nra?v?sxpW2?EtrFf@9+`Q`pBZRh1;J9$C2C&ZAGLgqqznv! z=nqgmbz^zKuj>JOn|Dwq%{9i6bvyiN&YI~7wD;!MB^E}a=44)>ENHFR)NsStlaL}E z7R6pAkg(_(?^k^^KI0PT!aM=C>hxNq5M}v%O&|>z{9EoGqnus-#B^)8me69QpX6 z`HOd!MIm#SWZ$4|lbq(4wY9`6{pSyEY;sll+wpBOAbqO9Hidg_6&nN)O(qjvatrF3 z{H$*uS^M9kJB_lrlg749Xsr>a{ZwR=W?R}I?X6yy$_u->8-c>uAFD_1P286)x>eYw zE=&r1bKP%;g>WTX=pTz2B{r?VcLUoEH*5wpf7nAw9I_h)HYP-rIAC^X+Ix?ZVK9-I zRyg!(M3z-ul-LpdAxr5F#utDhY-jl0H5}9r;6(^D9e_?$6!??pS9h4e?Z_ykV~!1T zp%RBKYF6d$U&K7F4P`{4Iw@nck^}wP)I^IJ7_VVzpbCJ3ru|Fv#oO{LgbKp68+7XY z`Q@AB_T5_5zzMq|+hkGYPN5;5KNY%o2hApAU)%;C9_muzOiti=Lo*|UD+M;H*`3aY24SNl_h`B@Mk6?^7L zq@uz-il{PnUcMo*XiW3>f0HY3VRF(21BJqBrTrV_yXXw>@B839K=3cD57cc>LSOfE z89mi|YpaPosu|a)gKR^g2)b}0Ak@(i-m)9wh^(2m>ehb&CJy;aAOIqf9fnN_z#DQg zr6%7s0k@;{$&B|!umXzFn$0)QU4>_-_mX*-pi2%~Uej`Oaxm>#8Oe@{g_hXlb zukGfmCdDNp^MDnqsQ{mgLxwJDG@1y(f+fJuOTrKCrzgJpZ~0*KM-d|Ruyzok3PP~v z-H6Wl4!sYMv~7GpV4!#v)2qKUG6IEH5)ZOe(B8$eA>s~^!AZu>lj}~7x6S7$YWQIJ zCY;M!Z~xn*ys=cL z^}!OC51suNznyp|r=9b9xj5m6&g!^#N+KC)?zk!W^6Ktt)v*4R+D%d^?@XRl9HVrN zeSS{9lfgJ@^f^Ukyk;O|k@aO+i5F-5x|>y+sg7VxW8)ujsrMFXsK-ZKvenrDXBl(F zs{}uV!LPp1pxGwna^fg5{n+Z*(ymWq#5zr-a}A}j4m|n6TcL@=f=j`-&1AJ#IZ<0l z-DSJ*916k!9y5o+C<(GPoD3UYYE#+{O915`=D7uYhJggJ6H^xauZO*WvkXr}QF~N$ z!H(R1Gyjg;6y=P(iQ!~sLenN920SE`{>1>E4RNa8z~m;9(^Hw!r!S5&u zLi}fun=N}y_0qJs(47PmtTRZhSzb_?cszHCZt%=Lt%x6Jm#_t)sUQXQbKnOcD%eh^ zG*e?bY;-WyB$Pg8s@qUR!vMDvK-f*n2|H_CCeTL+(iYij+p}9}Xe+ZBiNLZ{*h>x< zXzF4Z$Ql1B*?^BwKZ8@yXRKP&u(Ss0aO7{T^>v2B<+CxctAvCmmcoYxy zmfHVSw2KNa+|EJvyfvYS1Kq(#Ps@tja~^_D4}mpihOUOw0Q91auz~GROgb(#Y$&^XxUf!mLG_;>MtW8S(HL?6Uz#M+yuou$Xt z<>)DGzfxWve(AZ?A^slz=4DG?^;OWX`L3;gzeVGyyvf!^V(Z96g!rOE*J`3kXGi)O z!>6Pg`ldFJ(GgkZ1ATZ{D$&GyJt`=mF#;ti9E4ltK81dpeOqSYAg2ZzIFM6elE=MH z$^WJhhd?tgQsM;Z)9evRh-?5deaM1iF;#L(w7)<_rwL%N$9;s{*gXts53b%f2r$7)*p6}A>l?;bc-06W2aGwahX==4Bbil(hfo@&qU z<=>t=~|$xQq@;85DV`WZY*^J&*su1)~)Myi#|fCURbnF zJ}!y(VCx~*m{>J+RoiRwgBt@~F3$g`_neaOnwnbJaW#}WmlJi*hD~7X{M%6Ven9r} z$JRUH{+~{~jNdvxGfw@po=UVk%zfsccYS%rGeJ&rRh|;V?T*)jBLe^8myJk$ICe`!wWa*$g^?vg?&5#@f1gfgqStX#TK zNu`zBw699;DJflu6rY(zEro5V5V}!Ain*4y7_!E$|JTm%@!xrz$6;ri&)%Q+`*nT3 zo=>+SXNowo`n%lC1G+zM9Q061Pi$VKsq#^Ov!mtCdogk^R~-1INla{%lUz_y3wz{r zs&KPX5Fg(X#EK2CmvtPF&oo;6*KI=KfdkN^8-~^EP|V zuHl=@A?I*J{WtV*1Juab6umEu4O9tM`s@gehsxpnf^>;bs1 zGHyOVPQ9p+Dt6N_kYga3Ahlx?Dq8XbReSVAQddG)%ffYpITOfIVn>~>!w!@p8!_EP zT&F2_{5pQhD|8?=bxYg2JR<|L|K=P!Ba=4eyPm41te5_&MVOX+oj)UrTG26AwN-D1 zc$$^++&-vYU-CUlRZ={tc40w!XL6s>)x#+3+_ScqKbaX|H1ziDXh2KjbJhSkwGV=Dmz1$!K-Jph`2 z_@lXCuH6h!;cYyd{p`*A>eGkY?pr|`{;r~)9AjdR`3#9(f~*q;MS^zZ85kXe^j}A* z0=%7{<4T~XL~ELO1Fw%UCMoWaZ{gg#xAn}OvNryhyTc~AqRRW#G&E4`f@csr`<_l9 z14VOG{OM#&^4z+AUfu?##tGsCP``?CEA&i04Gku!E7oZ zimu}-irm;H=X-o0k8fyF(cyY(AF`@E4}tXi?s)7*l+}Uf@aj+YmsdHL9DJc#F|2I% zWvoS$=O-t8O-}B>GkDfT?W=>1qzRA@||H|pdt!|)}J2s!1{Krd8 zZ&lF3+=^ITQtGD%($~||HHP=x%T1RkmXz!r_#CRO9pe4Q-Tt-H*)!g@62+zbGjRi| zcN7$&ZG}hn&abkwF9mp?M``Ex)4gP*uevxs%n@unn-0dR7OAgvbWAg&!s?64%s|t5 ztqg4|^8w(LnFZZYYH5+3nP(g*o5*}HyzWpul(C>dvl&iMHB}Qdf!(p)q$&7~3CQKS zci^4R??4lD_RFG@EU2?&nn5FI#tlv{sUMe|PZ2QKQUgYXaW^SgV5$%I{i>P0fW`An zx~@MR_lVzekacWS+sQi$A}Kw*QIWCMf{Is8??zASXc!v=ZfaHCe7VIyqq64B#d8ra zH|m*ea{Hfof#%KBDpyj!&GWLt?4|_^FeUP8izCfZFHZb%^SAGEUB*w{dqC~2Nj;6b zGF>t5jfTEt$1CDJA&n`dsjR_#S+-AM@QbqZrU9JRuHOPh9U<}asrc%wOZf)rV(&tJKy)`A1m0e>HiQmV&!(E+ihqAyOyzXZ+JsH8)p71w@Ih2s6acUW-}DH z;7-UgRZPBB>5rLu4glND9suVIs3>Pa+zGRpU~yo>Bg|7Gzag*sHVCzf5GMZzyiwLm zIlOj`Eqi|yaNF_Vh2Z_8;RC6ab~A#HLjacp7=)Xsa$|I5Y}2N|(3oKEZB)UYNC3VA zbeIgs!99qIMSoH2MxNGwt;LH znPJmAZx0!CNnLjV$xbX?u<+k+GpQ;eYI3d(Kb>;*7In!f7^xr(Cnej|%MJa=f`|W# zndy7fQkS0XynI&WLhe1FPpkC~%<?*Tnq)Uzc&TqlZ`r(fS7xroo1dw)i~sBxqYD~F zowSzr68vhy2mEdt)=bc)E-I`hMcv?$ebZtUmcD-4O^!|x~_ zr|zkZS&-~|vJBX@ur^f98R%?4s9C-HTVgIe!9&lzm(}CY_?yq$dtiTq)6XKyVj-wA zk5Fa*p}evN5=>1vAIw7t#AXkrEBC~mFMw7Sg!tIX#`o|V@SZZ5Ry1{Op(rw9T>%rG zL%T~fjj=T&O$p>=T$Ck%~N2Y%2$*`mxP+|ZPI*E>B9qzh^N<9dsA6fcBvOqbd;QRPnN zRrBdti{sB3PL5w0iSX&7IA!haA0J`Y2|yXa4XSuH-#J|?JrGWlLLqHBa{Q5|>TDSO z${NO4@a!_Wy3-}3UW~i18&|{(%)5(@VOvqbGB6=4Mgy8W5Uc?tWdJILl^HRk+7zCR zzpV)%R+6H7Hz`v_ApnNLcR8#Y1qzu2N7i>4k|FKGWh=g#NwzDXMMc6oy| zB|DSQq=sX(18KexNVkcTNb)Rx$}?e{+iUub9F&de@mxIRjvgNw-=iifU9iE3uCO1(Bj`C#@XfL)~yfbY$9U@pJ3SaRiR#)#XZwZ$Ym*U z7vZ2m_y8+wMU_>X_C&&zAFN0;sqvOakmddw=ore9VpvEh9s=E6w^yYD+#bLim$O09 z4<0d74+bK$%-X@eJhT3-s0S8;>000@>v6x$LL}$Jj#?RggeHYneCEOuNoK0kUFS6i&^t58;UVQ_>R!?a4`Qx4>R3hlM;y z(q%n58$!R>12lX}IuL5WYGl?`$PkqfwtH;=d2ybU)uyK3AU=vbJFF`6ABub%%NTL*2VikgVv%889~5 zAe2#&OB6}iN*m9VCTqA#YM25qbXx1RB@vk*$A@YsJ$6TPXBE78z{&3ANzaj7G@f6m z0V5TtsP-4dFi{2fY3IYrvU;9JSv09}pN zO9f96bC-;Rc3FA#!kV3iTQ_38yvu&!vw0P6YnWO7W z_s(5c@aBuVlog&N|B_IGk95sn@Gt64CI^PqN((hiV2S^pIfNm0f^*Ug5+$SStMehb z0Y3?C5)!UO7Ii=fCo96i1Ln*;FjyJ77&8q!5VA>xq2Q}n07{xNgR@5fOWge;uAsXO z?p_T6+@@^U1%CMN9tBy*P!{UoL+gRReJ{{V$UYKyUuBgjXvkOY5apFe3gGsGijg;j zim(9~Z{(8ULI`;(J=oV;s__r_!J|?x8*GS(1qPbe*O@URXVG;AKe1A}jEO#Twx~w} zoLC5{Rj^KZ^4a6y!q4bRO?Fl$0aE**$MTL&`Rv(f`V%oxHOKN=8KVkJJdDLfM0X*> z@%;hFoN)sc#xvtl!TqZ!UW}On+=RyN2B)kKLdmKIRzf@>EaBm83U9xt)b|kMv<5Yp zNc5ekex9PZK8#NHFNlVo>MIC$yV0i(!Iup}ioo)s_evDrA+(s!N=xAT0!|Yl&$&W@ zpdFEYb)*_#RJXg;Ps%w^o`0J*NqDlCl`}5*oGSox3bzLY>0L<>izAhWGK`9BRcNw+ zBnDXA0m|>kjHW_%WkuaJ5RFCNb1bV>pSQmequoTq9J4yQ6#~#f5?E@PaenY>J|G_G z8X32VP8K;ZTZz3kFINQlQYbXLb0RH%ClAOW3egnLlij+Nf23k5XwS!kq6tLte(WOq zxjj(#grpZzRVt%bA3-nz)HXU$HH^vF8W=?VodZ&$U3bnHNmR1)!Ww_K9YGb`UVU|h zr7`X&(5IWfeJxSsCJxN;kB3SBD!7x+fQLI5q%ZZj< z0DB2|!DC>jdWO8;!3RM!f|dd}8U8`a+Mf{o{m9XXlxT*qmf8AUe!#%B@sH;=9Y(_) zEe6g`Fxey%-2P)Fr6eYLk3^Xab4*kB_aw)~!{>+|dqvSC1yU52%sF#dWD1v8nLtL@ zhKlvPJ^KoUKcg%K##0SfbEWa|T&B1jCNbAbvZO$7l-pVXf>zH03>r2~au@ITCIeB5Da2Mq$3TMDDcpP4XQC%(TeyzDY1Vk zKZ)L8X6ojhRo27sYbKVZmEMyJAzSR<3`rjBW5#oXZVFrR2Rqj6#g3&IMi(TfF;b?) zJy}9=zDN*PfA*WCuQ%odigLBGc6De~;!;jbZ#m$G{qk|9X*twyj3J6jT-C(u*+khH z9P%7~WnwJ-v*Qtj6E;}E0Zw}oV+77J?8~?Ua6P5N6V*uR-LqbvU{aB5jsS*mnOxMbMheAeyIaEu*Qj<|riP?851SsxT-9lL82)?QD1sHr@0sxD^&) zP}x?bb||!1Xw1rBEY&1tkR;uT8W7hU5=KJ0mmF{dj|DLUTuBVVgcQRa>N zJ{^?Mco5Vv-qy)Z9oZ z4{HZYOy%AO^9RA)&@qLtz=h_A)J^#-qAlAMIiYCWm{N2pL{1=3<@Ah%aA$}aa9u}x zUcpUC@iK*G4Tu<;2UV`Ck*$}PcUKw1sT83F3A$C?5=LR}R7w`-sqis8V6^EWOKwP= z^7*v0Q2BUU*J3F#QM89GDaKW*i;mW>E8rCtBJ8NsRaC-V5~np|+DK#wy%*}t`=e+X zVGhDmVAp3^AeM8lD(bsWK%$9Q{?@PReU$Lt@5xj)q@wY%bO{y+7V)nIsrnei_$Fp= zY5x)8qp^k8*wljHM463~)y%+qqpNNLYuc!3HsrClrYho??A_c&70HjuIr)_?R{X}^#;TckF(=Lx7UW9^T_vsZG+{=gOD(moaD6u zcw(41pCKQ#<}hp^_;#8A?_pb_alP*$jKTwB7kdE24LrT!Y z$9#GZ9|={Bmccu3wiYWrS6KC#ERH1%m&js?%d49E+cn*f@<;40mK>J7qi zF9F#%Kdh9eS>^xLB`p*S?&;c&;5XXI(U6l7u8{x%>T^NsRuLUpt_q7Er+6`Ya@0fFK6dh z*Fv<6{m~3|C6nU5k_qP#Rt0d<(MUcdaWWg04A~3tT7Y%Shx#cQlJuBq9y|{n3qaDd z;g(b32l#VjbkhIKAP1_f@v%0s4D(tCXa!3&#&M-4Owd>RjmeI40=R@svLK5Y50oFE z{iD_7e0wM*+&o#@SmW1D&+ZpW=TAr}O#ZZ)RA9iEyaBS~nga%8X?-1gfHM)9Pe#IO zO8l3H_Z%sV(jOn zGB=debM1kM$2AY0@on#9xCnlmfU;1AQq?aakjf#H?5(Q(xP#5F+aJvX7bXHIF`4D_6uo7|d7 ziQKXjN@5QQS7yV$6;v+_L!~-pwo0=4<}7e23hf9zYAOKzzW7!mD(xwfT@79b9uGsA zR{K^fkV8|#yC3|uj!#~~epGzz{bogi;%OHWxx{@m26w}g#~HZSSU|9xa!+8_QYlSK zyZB@@hbe}p$DPyPBnr*;zv5~R@7HNQ*Wa+IdiMAK&g`XpdMF5LQT8&htJ+0ZdSf0_ zO=|fPyk?ohITb}WW!E>VtD@+NS>R&jb(?%KXf!k6(W*DqI&Y;3x%lYZJ;8uTXS7gm+~ zMS0#H4y99CV^I$9lc-K~J*c;z)2EMf`^xAgrC*=;&f+I<$%7f)<6OkRo}wOzFZW3L z?R9P}(N(3j-2K=Y@3osM9*sAwnlN~G)Uab z-j7I!E{M6}?8xoH75A=M?C|QZ6RYbA>Ns2`BdFTVBmFP--TMjYwMwHpo3&!>?gB0D zZxybEV;_S<@ZV_?LqK~bK>^(y*N5sUwnXeF3~+sccy&F!lB)Z#=!b5uSVPF@`sC!GzHu+y z=kFhmyX*aZL^Dbx^MEfQ=wWlb7g zSlc-()ZoL7b!U-Dv9?Y!Vmow4xnPUmv%yX+1;SK^k3 z9(vGzhj*uh`f}9m@zLj>jK8m~UH!6R=fWUNVDs>=%nqHI;x`2`tgp@vYxAQ5!#2$| z0V|JP6_C1Be4NuaXv_4=(sPT$buK(PhVG`nI<`+y*Z5x^<)_|N?Yk{O`YqyB53UUl zuxt&Dqrdg0`a5| z&s8%^mN@>A3Po!CmhYvbrXl?%F=SC^@OSH8;iTr(RPk(H~sWNyy)BU389 z=k#Kid;YNwjrOFZ82wFI!7OF3!$t;gPjFd-+Ye+e7fxT;W9IX}!PKeLNVNs`2d&PX zJN0Au<#y_=Jf!-N$qD6_)HBOsSJSOK2s0ujSGfhJHyr*NPdjxbb5clATa6WA(o;xp zo3yBC%8Bl^AZ}_$DnusD7nJsYxGm<+UGiT`_bOJ_H!6Ed;SCXog|v2aV=D@4v_ez~ByPH=X-kt$j@n=oj@(Sh_CODoOR?^Dct|GRM`wQVtLNmC-8X`M(*XVMW#y@Zp`yQ9Z1d7 z9?V*yfK>ie3R;;~uze3@R;46ROmtS-?bq4=Ad{Gn2VAJai8W2m)-kYAs&+RN@o#2kih5AoHfz-hl)9* zeuBk$YlzwZv{ zKkB7u!7q~!yl&c|f;>8YUAg<|fyy0QUFJ9XUF*l(?~OcGa=YncmeIzgx#`nxx2J;s zG5+g!EX^W&Rlq|-{N9v9ch6WWW?l6^uq^f(V`H-Z0?)S!b)QyPPH!|-F1Z)Gzd`DN zTQBXqluo_>A#6-Mn6~88X8kSqO}fYEw$51#w`V`QI^UssoSFD4=T5qoukeNauGZSs z(g_J8$R1@N&zx;dF!UKN6{! zPW4d9GEy3)6iA#DMa%QvC=YO*Ms!Y&CeZKk7rO-_W^JKxpV8zT%KrLQ<=yV&7&pH{ROv8JQxol7 zcBMSvO|h;{o%{3Q;NQ8|a+;0i&bjpT#h!NbP)PP!KDXlj8Dl%f)8nd=3)cNKmfrg; zSJ&c5Pwc|$PTp2e;cu@h(en23sytT){`4$)ll*~Zc9zScbmuHz`SSLz_(!K|F0e^YIrswnvFy{i-L@4t-|{WRuc zZ)f3RL62x~Pz4ASz`) zjpGGO%cusk;5h6!!hLSoN7mL3)Adu)MASXCLkz3VTx>~E^qS-+c9xil@?1PqoFm3J zWaQYE%l9ixJZhaLo%?xHIKPo_232u#os@J*q9h&7meGZijZ!7Wi(zUeK;-! zG*{j0_5p=e&rJO1^@6Ok@*bqkwK0M>{@K6j6x4g;7QlDU;>xKSpIDG)cw?MKc%X0T$_LzuEVF~2o99t z@hRE59`bksp7tNXxy97&A#Lm37(rZ4m%D?;usN=#dga@Uq(!cno_+e(VPkB1vteFg zld~B@D){#uYfjY68W3*1u3%N_F{Ko{^3L_sWdZB&t6?~pO?{Yt=NxiY3zp&RWymJa zkP>WbyGfXtv^G%4nYSZP;y_OHDc!`6xpVZM32(-Ac$jxhO5Bi7lJM3Oh*|0E2P{iq zI26iQ1E+Qd*4t0Yz95(5nF8N%@RA=*M~u^GvH$7%dP6}Q>SdZc98|qDyZDJZA?h-q zTCQA?w{Oxp)(cEvJ7aF4m6T zR%4cRo^I_?DT>&*>(BSOD&?b_8X3H!G>%bmQAgN=ktI4~(rc?;u%P@Pjuo(eD|3VA z;l{+5p?PI=;Is^uRQ7y&H1!53vlDEG?-({3Y%FcxnCC@NjN5j~awTrl^TTb|BZ_vl zv~Q&!-t+U?*VtZ{$>|D%-B%Nx|KXr6V!x49>W`_!1ih&_aG}S%EXq~;&!-#Bc|-Tw z3s+@6vD;`&JzCn2`xUH}p)y90)7v3fw;pGG&fw2kaWE4LT%2L)eHb#8cGxNX8ImKe zgk(G)RNT#vaBnDXxr=PUG-*tXa{G!Dcd&2nxmnLU9RZUtF3Cjuh}vi z!M^NUJ$shFXW3*{rUE+6ZAe0}1n$FYB9U|&J24Tv$62c!5q1QL)PPpA@7|2urkL8D zbB0uJCc9@a2dUfH03v<&c8i(7N2xP^qi`ZtQ1%~P?W2Hpt+zZjzrsL`iWeUi<7Q1H zNb&4p@e-&^i|VfspLNb(10u>uvJ6vgG#7k-cAf4r}wjq(TrNuz52ynl5uk8mdN*9paCSX%sS z%^s$*%9^Lw%FEZ*?uc&(HeagXJwwJjixto5BNCA+kOH!ACADDN#)C1p(bt%n6FBC4 zJrA0jWR4H-k&kpM%;@g?WT@OQ>r`h0EUu`V^cjKXx*bb-=iB?=i|C3_gGNX9pH8V% zorS&0i)EJMgig6_)G*(p$!$I5d2{-O(iEs1Mc3QUQpW%5!Ni94kUt(*8J+sN&Qb@x zYL2BpEG;eKhhe+@a2W5RI-JZgVu(61Tqfx?_8|>}6D!6xEhp`)W(G@{4}SIFPWbXi zyBVkg8mSyEl?bCWgPCZ?6;cn;HwMiCjcN{?DlinAyPdz%P}anrwpH`#c*ciHWbG)_Mm@U zCQZ4oMGJYcP5+|dX86M9r6zu!X(Qu^Y!3PhJ&O-(+bGKa{$@aME0KH}Ra1e=(7~K7~A%(ut=)Z6mrPh?0z9vw#mM9yeCq1LZARrFCO1)N@Jb zayA9Du+JGWPl)O%>6zxyBp%H-)RO28z3plWh9?irzIe7Gf{Y};tDF$anN9u#;%D)k zLAS-#BxC3dZJxUe1MU97K4>xxE+BYnKN%BU^$a>M*yx{wmnuVPTRa4!f*$s_c{J43 znyh0&lPOFZlN1kfdGSXJXEuBTK6(=RSA4M02g7E+$#6e3Jy7RHNLpvA6upRW;+9l^ z7pqKOv?jz862Dbr32<#yIMR9JwxTv11`V*0x>C-I9cU6Lt(M0Um~`~f9oVN#`g?>T zMI|sF249q5V&n@+0!ueIuN@U`Auo0jy?wZJ*TRXsqQm+Lc2%9HxQvpej zQ^IoKj~hTy6zXbFx}M!{Pk(@!m#poe81u0NLNv=emvu@`6-3REs9CqeQ+uGa2JO8R zr5JM1J#wM6hegbGXU4!qG0hx#poX02eT+nGe zDQ7Tg&dc^<-M_%}f|z1_PJDRq8aiS`^vM_b)&qT%_-ma~P$LfJdC4Ny?foQb^h9tE zce3%$msx2_>?D@N&n1bd2|R+ix}-_d5?s+G9%Jy@PVSsR1Ak_Vrx*t;$sj?LY-dl3 z`{MIgZj;=~P3GEh>2G2pGlf>hPt$p~pI$UFZtJ`t`3AvKgQKy1e03BX#{J zsx^t#iCA(Be~^NPp^aUX?`ko7!e>KBjjGYett2(9c@;V7I6iDEUC9h!JWzTqL)eW+ zaq{X28ErLZ%0@^YJx#`#*d`6?(L|TeW+G3A9``@z?IsJQ-I;%nN3)VKq3eaDSu-%U zT?}A)7yIi!{CkyEE;H>%xPy1xxrv=QDiN;YAxD18Z1pDh;pXReRI0zpWi7d`nv6r1 z0P`-$l|+q(G~E9vV0d^@(51qu5Kj!k{hgk)t5iWhLOmw*xqmw?2EIWqUkL`Tp{qRt z5rK}}WrM8r<+D+ld5a{u$0F2@KdI^Xm=!#tXuKl}U3H2ytj6j!AOqc@Qy(-48TZL+ ziH>W^FoY}^-Ri6EpwRI9m(27KVv2DfU`?YMJ+5E-|*OYBLxnKM&`9d`D-~RQ(hCLCf^vj7a z)w~qOytglOP0eX_IXD$r6|Oh)$F%=x2Pfe(D%|YZ`{zQ@Mla)pEu@!rdLbpdWi|Z$ z%Eu2VClE6#UaYYwX9q0n-lQEftubPxb*RNg{nUru7H4m3(ju&aM;?Fh8J;ax9v|75 zjegX8XrSbJdp(8yMMd4LFLc=62F(ql%o!Fo;AbJ86e;)5;(7luwZoYbmDJ%Ai?`sX z+#5Pu&)!#3&ar*_at`@U7z>dUA)pESslXy*q2?MD#AA$ zCJ2r`>pME-?w>T#|!euPaL}=#%VT>=Esg2jySF)bU)L5~}UY9?EGSw4API;M-JTl%c)-xok zgtJM%PsE#N-R#Xavkb#6I%z(&e&HF=kw`9Hx_-EAiTdxepBtaL+5h`pWhKU22j()V zfEM_vVEX4^5aIaqH%?mpc1cYCz3yncy~}S1{^*%r5Johv&S8Dlo%K$XuN!cAFaD6S zh4`MFZshR8eTdxU}JIk*gsJl5DVv`NOZBTv;F1wX$O^}&uXOiNTLZ%C!TgA zxjprUDQR`=KECFO!@q9`f$ubCKBjUCgR#B6_9_rgMCn4lm6ceB#FV`|VpSaZ%By#=|$KhEjhK z_ul*d{nn=(&uJ~^swZCh9X0CNnGGF6u@gsis@T%JW1=AU(9*_i%EU;z^>A~(n*U~H zuYtJg&AVHCs$!n?_MAU>EA=v-#gaV8KWv?}8`bgDJkB<#du5bktG!e>JQeVT5V(%v z@@?jG`6$VEPkb7u;2%=8P;@Kh1)38N=0&8;$Agr{U&^e4kj87@7|ojUrLhuhMlz3V0V(x~y0Q5Eem$||p z2A0w$%ta$hU2e1T{+TV;qOzRLTk^GzQ!=lIMXW4bTBcd3EWNa8RM%34l$FkZapEBXxCwd4^9P-C#Zn=AK%g{J9HBmJ&06 zh1W?3bD-N;l2$wNONzQZAYD6{m%ArMqO?uSXRvb|O^q5FSW{@)n3$E~JaXYI>Db*f zaqrv|cUm;xao@vD42>B1r-DJ>y5oEEdsQ=!<8&D$3 zYL*tHKc;SJzEdg;!+gPiY8!zRj${H_ygZ z`hMi*&~|cRt57<3=_H}17B{Q^h&ym2R;WQ028pi41_uiP*#%wT-2a5zxB^ByWzM3X zpD634MhVBHua(`QxAs{c$jS^4o;8wQ2GmW|Sqf6@LRMYsTl)6) zszz-lGLS?%a3I1Y!d}>|EXklhzA{*Q=#iiTR!9^KC2lwWhR0iL=i%EPuP^ ztE2Rs{~2#a4t|%~Rd5*S(<66SbMqw!N8;m8i-&t_Hmsbzyd_K^@k6=dKmuuIy{IG~ z5;4}4Cyh1b(=ZSHbuJB52>xqC-%xna-#OsC)3as4&{;_+ z%t~G5w@KMia=1@tt(i5oOS^w;YRWc9I;zj~j0oAXvDkK0t4ZOa7G>;G?_-yT#as!O zD?mD)tBow1k<%-%tzTypHmyJLdbysJj}td*Jmm^rTxCA5aO!6Dx8su3b&cPu53}p9 z3P-uW&KQJa*AA#F{n)wXHZfJ-Rk=s5ZOUiJCg23I?&`Unxt=Xb;_XeYO~1b7thAY* zVw}Im`OzW4)yQk0|MNj|Vab?p0trKgr1UWzhr#@e-) z{e6%6H%X;f>*2sky|qxganwT|EmSmIx?0UDJ~aFv{Op^U)|&h1BQG)hD*4~?BTCC* z+sJz1^%ae07av&H`^n(pq{ovImh#Yzb*h6A)tQn1p6CH(UKx0n33wpgrrj`MLus|= z%MgYDAcp9x_|;|fW*J=qaHXAQFHrzqAnHhQlR^fQfpSHkWrxS(2HED{ zONvpwAc!@X&u4vC4dc&i0C#ifAE``bPk(?rXEZaWBb2xApX4X&@MYDn-^#zLbLKAS zHQ*;>p{=oEC5|>eQrJxcEfFQl2bK0v+|*h>n9ohvh_@uR)koiwCJtmTR5+fwpyTM; zc?n;F`FYpT6V(mtq*B5oP+P=1FwOw&;tl-i{LqW<4 zKR;>BUo`{3#>23}^lM5BAlMF zhrD`!hrs@(8~jJ;*5@PcO3^4g!Cy)({0kkg{NsIlZa`{)~=*5w+;xbLh@nj-xt z1*`$F#kyBy!%bq{m3p3&g@;o6)^)s1<`RORESCPI4vy8N%>F*sA$~J>t@+bV2c-_! zZ)CK#L`eaDcuHX3o^o*~lLD<7sOw~dBOltRIHJyg)CZDD9D{=cEvnm3CxtX_^%?ym z-1a3$!;<*_Z7*vpLcqrm!TJG-_#?V3C-j4yok_)t3rkfX!q;t5zC!RkCEBOG`G%r} zSbov(l80P;Vgo%}K1sQs<(cffl=eBn_Gc8zL?<%9WO~oVZvVn8@!Tudc3)oVTeEDn zNkVwdzN!Ek|9QL0LP`VW*ouF#-Szei)T@QcKWQT9axAS`8x(Cm9icohrL@plxg0Be zXR4l!R3FF0#h(sQUS@m$PQwe@VQ#G)Tb~V17j^C zzn|wU7w%Fo;`ehCwglR>rjn}b30wk$-@I9AB6}gb*kZU>a`W z)S)F8OqmF~@;ZZJr!9bDq4^hyru^pffV(G^G@s8=LPghwM$NZ1%jJ3aqH|K!vQ(BZ z_fELT9aB!voG~*wdah8Q>JbLJo1geg`;cDZh)q(gciH3atr7!;o!F_Je0g^uw?N2A z3#9ZvP3a%%DtX777Q5jSOHyPs&Yd3-;dx&lYyzh z{g0ZVbntp2fKuJtiS?sZMU|FdoJ0T>k0rXLH&A47#Xb=Z4zq_9twMsB6fj|)EdpwiHDVlI)$ z1}lCfFg&w7bc=@&wHCmbdGVMpYADS9QNKDi^Fee^*X`QBh5h*X^E*amqaNzN&aam# zE5a`6mRLPKd-2KLTj7BX=M?BlZf=e=euC{hif9$pT9(_Cuj%Zxe4Fb_tGyAA{}}9w zxnWtnyx?l>zXwI~HfNitw^&>q^+tXG+lOOy?&}p@D?w7yLM1*|39|XBDpIl z>z>|Tx4!p3)_D`P<=!onuns)nb851~UFQ$CJdgV+kbi?_rfjKbUSU+=(z`Id|I3a? zf2F%uzVv)lMUnpfd2zb87{;g8AiWR#_v(q`gJaPfV%kKzN!|>P2leCil(+Mnr|#5% zQhFMv_MtTWDJ^IoEh_2=?jbr{gM%=b@$&-%wVlS|<<9B%^XHXYKd#bmf8!)}DL$vL zG_^1h0)!Q@iT$f8#;3+xZoaa}W<0v$CVvlWx%J8FY|C)|??Yif8c~tTxAC-Mn-)< zHYf1pVc;0T7s&?YW+qfLK+RltjHnZo+dQ=5leFZ2DX&20B$zec_lO^=i54ct{PLnh z%iNpJgJb;nhQymODU|h+65tDE;>(_%_lTY|P=5H)Nk2{H?%oM0X~ghVdugFsmRj=a z>_6fAr;B?pn)rOtNGZt#{p<0PbiGLour#bx&T}7EUPrkQ($~|sbZg}0kxLsa9I;Kx ze>su~^`*O?%daf8xmcvcxcJFH5sRK^8{eH(@zr%dVfd%6b5+c>-qy+*4RMm|rpB|X z2r8dKI{do%(4an=0=3wl_Txb`HshMZH({GK6gR7-Zpi5G{AX0Q1c1HfvAPpbPs*V% zIA|!bi<{!Pc-GYZ#Q9`a!ufi}IMfUc>7Wh^)5cTE3QuD)UuDp3l%Ai~8tgB$Om&SB z9X=cSQZM-=9)+PTC_Zvp6t4J)g(%weJyS{Rik;>9p`3mCYm@6F3Vwg$y;fU1_*6&s zPfOWqboLHn9X401tVq}ymC4OI$vTq}r_~*2q2BgettZ72TUTLRO$tsqkl&4S-YQ~t zCTK=gZvI$-aM~oh$mOhG5keT65|C5st}&&-;`@G+MAON}DX&fam4)La8Qjm+F2wcy z-0bjIIgWSZ8t9fvb_Ltzv;#Nsq!}Z3RvUHZDMgZfhjiruN>M<>S?E>Tym)E#Jxo)3lF4K z3k78ktHWQ@iY1CViy7tIIkdB34fEqjuefu%;Y7UGUO{OM(+o{s$uAmT>ycq|#wKGr z``4Yw42j2On`gP)FYNEn_g?&o%H7Ej_pq9wMy-!L8}KErA<4%;AHSOH`2R?{4yYv5 zw_jeo*Ku#xz0z`Pn%XieD|e1^CXkp?+JqFX2y;M@mbo%bEv+170|Ht|ia>T9sST|V z(*V;f0~Iw9MS<__|9KAQDCfA~9nbUp7SR=RRuP~7ZGrUd7N9Z9U7Th$HDs)lwZ`yT z4?&C`hqQ5IU-A0M%;YTb1g0+sY1GjKH40ATuK*OAeJ(zZ`Fx2;ik-}ESa0x|&Fl%j zO&~c(2?HzM9#@&WX9yRCv$~jw`#WdoJHsd_fl#ni&8}?-a3cLT=af%M&cG;9j zvkDq>8?`a$FgG~|Ol%evXDYa0QMLK(ROjfBEO>-UNC4lI}_l-F-XNt$={8F&q{eTMWsf(-srC}}DX@$-Z9 zEb^Yl9`Y>G_EsnVWPi>q&ncaCTu_H4iDQRq$kd0k0t)nPzpbe1EUJT3LPIEEC0sdc zC!I5PRRwo*K~DKG1xo=!97l+gH)6vxXmC3ju9GiDR5oxRIi$faU~zO9kD@m7qnmgYQ2C?6k9ZYK5i5f#DL;u@ z8OO;SF2@ZDuZ>?pu3f_3lxnCaMxgf=b2( z)s0R~eBBM-;-fOi=|@ED7U2B{G=to7P3KrxWdpM5eYeclNORl0&-j~U2+$~@-FVl| z718O*$>j9j)4YkS1^1Y3Y~C}og_mMycm=Tm7}eg9h%O%;k%wHSlc;#hun z6}WzO%cSsMT!jy=f+^|CEAk?;CNBd%0|?D5fBv8{1U!+&%eZsJdR-JL+^H^8QfB;y z#IZLZ$$_jnpVTJQ`nqw&8`00V?^Q~J>h1GC-a0&SO_qRfFRn-jtYwkXmlV-Y%U^Ij zHGgO)(e3N9y2d{0>20|Zy)Am7ixP%30MoJ>ilNJfs_SCdLT?ZoDMO|c1p}msIf|+W z2uLebic)+0c_VT%O)-(>u=tChQhmR@TBYKB$y0`~jae4FRd`Z*Q2 z1&z$F6-+8aPp3-M87zKx?nr;G6Khh&wZ%PzTKtS$wdYlnfz3lUR|Q*y_#?h#zQRJw zHRR+hwebNqNqEpnL`M>cVI=P8qf=(14$u!M?$>QxY^3|?<}wxH#UcguI5;X>oemxG>e5O)#S87i%Mk8oqNlhpiXWYotMU`=YY@1!i_B(3_ zOg#pg1B21XCb5Xci}XBDir{o}xT0GCY32UEA_d3)%|W z@rtA|=(d}Ye9KFz4T)c5GT8;GPmTb+M??FO=|sa4oP$+JlTX$ zS6cp|oox5)U9;b()Ya6iRs;Ygm z+r^wSs6D29eze^YxJcSs0;0e#^vggWoibW($>pf={pVJu*R|$tjoOwN=@Yt^cr(@7 zY{oIC-SNZy$h`CH^*YCowZ;ES99W#)MX|N3pBdm_8W-F4HeJ+)HD$073@D zTHs+>^Hi3>7jlN`0B1PebAD}G27hukbxA)L2R`nYpGfNetoXQ1)`x?Fn%ZpNE(@)jYe6EeGqa)ItVwfz-J|2Sd;9BRa~)P*jDLi#-}gpgp30gY2xn`j1X*E|(*1HC zDh!WsgM-Bz^&%2Wb;yCK%U6MlovrOp68A8C|PI24W_~r-5ayp3)n(Q!vYMu34 zk;=PhbTE8A++Kp~(@qbYe2(h`%A?b_R35l_TY+q0d6J6^_p0CO*owy3GrUm3yaSUd z$h^a`bmWuyLjQSNtJE(q3gN|uy1%K0ER&Ih4`h|5)a&sg0;V6{spOCC8DdO8)tewFc;hG6Vf6B((PlZ=%j? zjNPsuI1-eya#DOH-*#iCr%8~-ctC!O-FBH%(W`L3>v6CCxlK?h-pm`{dvg81Bbo7L zhpY8-k`iuJ-_nqt(a5G!7SObM)OgkIIQT)W&)E2+-S_1p4!EP?9t5$i&ZG=~au=;dhB^)heoLK$0L1Zbk`B)6>*~H+cfp5y zvuop~7c{e4&Ukytc5v7y25*ej{;Bd%jmJMT>G;zY7*SPOzqpda{JHgS-TA(#@AJ)-{q4TNPp)4qylnf|p!x2LRjv`KZB5o>W2@;6uM=ule6()O zal_$~xL}Zx@YkRGq1*JnX8$Ko4$hn#_jhYqcb_G!vjN;0^4rn6lzq#mHl3^S<>%X4 zs%sQ_udpDge$uDo;QuX!nvcg8WP+6bDeB4d+njlc{pF2$t|6N!0Ih?mR{P_>9 zBwCGcR2P>qv64h{f6l2QQ9IXizEPkVTsnS_oP$ASj)JOdp$CoSTC@seV%<(M;`Ft>u1M`Eh zzm9%>!d`pw*Y&rqUV2}DoO-#*(xyezLe~DX!KH=!C7@)rb6ozZ*n@v*n*8)wZ2J+l zPiWOfOzk3(=tCu#=v^XcjbzCA-ScCAd;{*UKD~HC#>+a$>u6*1)U!~^^$!LiyVe)k zJ=Yo044HppnNb2Y^R0F^3V&kzwR-BAP*KoztmEHBZByaTL5ppB4&GONb>gi4oMUcl z@T0os zW*s-Gj<%>S58jRpG+s1#&HkIKiY9IL!=H%R{A&C{q1O_#BKAa#4Z45$_><4>D`S=! zKGFp#f=8#!fRB})?Ml%$v(=LRKRW)cg?sij|Mj9~mxq3r=2yeNf9YbxuWYRt#8P>C zNx-JW!drL4?!;}vXus%xMN?$GK|js3f2~1SlyQ`2;DvUo$5oX`mDX()B*6|PtaX`p zA$=2-G3IR=n8*ydF%*%m5Qjn)8PMmf*>GK9h^h*=@XrSV(X(2%q=&;T8N|szpBl`P zygp{lI( z1Tk`MGxms^u4?1(&Ep!$RjY3W3YkC740l@i&8;O~c-}s$Z5g(UM2;S7K`cW}MPO;T zfW?4UJ^lw%u?^j8)lNZrvG!JY4@qym6)iM}sVK za5RUh06qY(aKa3wrYvi6{?YP^jOo=d-+t`YEB6P*$!?Iz1Gvx;Ev>UB7s1{t;s7F# zdFHjFuTpCUH!RAP4yIig1-lhXQXEatUFCjrW?X6at5xHqo$y?Ok8k4*LmeM%YqQUb zfZgStO87l=Rhx*t6uS+Y%sp5X@I09*2Ed$dw2x!RJ-g`^-T~NR3zDx$z}SIY zW8%fgS|fkO zUh15poE$$aFEOKqOMV@HD<|VMp2`NN{JaDlz{Q=4V}c`JLxLl;*i8c8P7aYT#P#x* z03!m}JUG9v5~j`E34nP!T{Cq7=7*ZMe;*6!YX7DL-km(O9{w=GRUpZ-n6Zoz{Lj76 z+_fz`_M<`~Z+m*tpksZJddg4x$hGJ4*^ZY(%_9V=6f?T9&c?H|EPiwZINW5R? zDu!U#y^y@m)_&Oh#QtLe$L^)JI--Al&n0*jyWu3G7tQ~XZaDr^rF=W5av(h{$m7Cf;eBIdi4f^Ju7VG&#qehE-lh&^eL zK=h#_yrh_`v7?Ym9$64BSBQ~^Mb*@L-q)R#V7l!Podu$(FlY$kqlC+Xjna#XHNyquEaja!Y8~mc00aA}IQ!AkohrRgM26Mf2s&BNFWC#w72gVnE@dr!p13Q;pg z&+kIDTY|SkvHyCsPu1hUlC^tgQ(N^6B6jH+np|usOE zv{KqyuC*K37nSzDADDjETpSGKckHQsF&vWUsn@I(U8H>xjBnG4A{vP?bjf2-nuI08 zhBvSWJ_x^a@o(d{&E0}SJH^O6zMM44!c1z?m>4gf3Jp}|3J+u_!MGwJIM`0@Mty8jrT0CZIpqgNQv^*etVyQ+ zocB$je6sTABzK1B39jM*P|*PLt|(`xU3PcHg?P=j9g9rGrQCRl8;&`PY7SRk; zsg64r@~`}L;tK7W@!z-%I-A`Rc*)6garpIi&plbs`-pos*}7i3Pj7K;#@|%W>G?)D zVC((-()541w%f!u!9Kkg3Zis)mTD@Z8TB)M)tdW{cUhr_mf^Mj1$>6-|8jIMJby2d zi6_#2Ozi`NmTK68h{!nk+7#La6(^!aTD7)~(?p`NO8yCwP zCGoyGiD6zmj@uc~_5vhq$p~;3mZEjz7o|j>Sk`n3)zhMKkEf%Ri3B&>;^TH&Sfj!F z?{%m-PTu<^-oS7?*(3X%r{=LQ!*(4TswV#Zsb%)d4JROJ`o}K{3e2ZRwr1+Bh!c+M z3Vz7i19Mjk4)=I(YUB=OTaoTYKE7pqHylZ%K`xSL)`bCp=lZAc;ghI8TsMRt+O60p z2S{0gR&GSVLStKrPQ4=X%leD>JyrKr=}_ZR&QQV0tBV;2vhAA~_nHYql}#iH2c)Zj zyUyrTx(gOY(}miYZm7z7buvLtV%7a>%hHcQcAbYqOEz#eN@f$Hs@yx_9sqaQk}r^D zA~bR;sp-V^@Wy2jyq4>vWT)1c8#F38~LwAxIga+XdvlfS77|?8QXR3&AEpG8>s#Y?NXUVhyP#&D*J!vFN z-)M3XF4s|O(0n7o;d(nhLh398Q}14Ve9&O{=SGZdXse!<4YUoqO|JEkLekp&y2Y@E zcRGJxNPfkbV}HYToEg+4?*YT8&OFhqUQ$qA=xcwtAVr@5-8gdj?~onS+uFNBnrfcm zwLoD^K1sj;Du0UwAY&V%s?ZfDQC&-=SwNE@#y}bUelRfFpYj6}WjgXZ*Ci|a;pQe< zeG8l^>~Kx4A14qW9r(zPRlmEFsB0_bz+(*)4_iE*Z#$x@+wA6W%JfoJ zz?L_MpG>EHx$^x$6R>`oo^M{kT|MQmgBY9&(vQoUx1-*B&lswDkjRI!xg5>!a`E(z z=w4~OeOBLd+BB34tjXNb@hCJhn@-r=x3H0rP(+(&@ll|FBWFQFG#L1FX}ZhMIqjXR zw(I;>BroOoRsYmgK^$d zJyi{+7HLXuNhz}rkiry@l~XkV*f{JaV-Rp0T2DW%=^l&!2HYBDFxfZKM_>u^>eNwc z^HV5+ScqPAA9Fl*5(z70y?%WOdHs1QsJ!UohW3DNRszSP%T>LdWy2OE; z*Wx`@$?rz44GIl-elglZqS}63IJ?I5U!s2B{qPnG7-bGfxZ^m!E2sA8@7tRWxLIc^ zFl}&XHDzJw9*N#mX%Rc9Zra@UqH~ZRJF0H5*SCrBS_`DOBAS6rZc(>7bh=A{j;g6h zg1T}Hp)o96=^8XGBAoPH>;jlHL{mn?0-b@1gWoBDz_ZA$+(llQv%*DkO{Y?JyP;*X zj5XS91v!@rd6lx)9<^q1#N2D;u)!kI$&^rLp+u&HS<6@-hWn?6ua^aUg&T-GEZ#1X z8NL?jSkgwgd%9kKD4~6ETE^DMcEK+hUM4;C60v2FG77!3F1^{Lpgpm=Z*hXv7^hmpvWl-_K<-hdjIS%oC>CL)ElKe+)_8C9r zeQ~XI0kRpOm@*z{qbb`^jTrWWdKZ;jw(Hr*TdxLl;q!QN238)eUYKVPE3ad!XfI33Sp`qSUlT62%PS5O4DxXaSzzc z9e5mYZX-(prJqU;QMBmXZ{pODxNf~~oMw{(KJ@nANHe-eaPr6^`u4Gx^wCfRgFkQW zN&@$}=9!8PC)Cf6$YH1EC!|S|DxG!cnrC?k~c)UO^zoEiAhixi_WF4MhUABK=ZZ7?i5xeB*v5I z@r#gj3J0={!fHQ-2YFc4*Njeeqn-g88+s}R#!h}H5|%eq^w>}-bJ}zYj9aelq(GAx z`yS0dCFAct^lAkJfH~-%CL%D>WQ-MJ-;NbfkOq%}v!4d!3eaMJ%RoC*o1{ zz5OQN(LyJi;BL+upEWRgGKOzMbU}|>)~=?^OfZ(pFfG9O^J{Q9MMQDP?xLI#*O}|~ zk+>OD4rmBPlu2!DG^v5t<0t~Sy&mJj{e#H7#-KzL9U0zBmKc?>C@Q(0 z&|k!sv^YR9r0H#a^q*uH?}asKqB$QXh0(w|gk!kOKF(&c!+`=asJohIXP>$zX^{56 z^JS}CpR?oO-Sx1Vivtr-ZaCLDG%Q!pOD0KCU_D4(P`ob02RY+~6_qkcq58I*A#)Mc zZcl;2vdns{liVqQ(s{K#C@t-dV}$9CevdqIlhkScV&PRv*L^?TDS>;<=Oh zq7PIOQJuuif`_@1@6%Ft$DwGLh;V2eOmN-GnkoztkOaKX=z9$vsE0{Tw9imB*#4ph z>fYlHGh}$lKso{7O<BEUEVD>mi~WmF*Ie&eExjbKe=?r~$qTb5LhGU0Ui zXV!PUIA~^0AIm2R3ysAmq3bC(?Vxe!x?paWag!_{DbrFT7`iBGcDRq=^`ht#YJA{Q zC8%j?>ZAOazo;Q4pS6(#H`{3slK5vVG6AFxbpw|=`a63HB6+T262y>Up^N)-tQkxS zc9g8$-A%zKh5ir;R45_&u&Pzj;sBSliJ{Q&9@}4M9zC)nNu!(Tn6+3q1slyi5D1N} zCWgkve$nNfSyUh3qLW}zY9VBlV4tIiPCk3&?L3gr9rCI4uFfMb$QKNkN+wlR-73{r z=iBg)1l58YKt5GF0P<#soMQz60nw)Q#~o zBT5ZsI2f<9I-4>8+fxD_Os2TPBTo*BDHSn}S&F>VG+%u0_|BOTrsN%vFEuSr?xf8} z%~565)|11GCEcr_0zjUjjx%uJa~XjSh(X6OuYog|&eC}&%|^M7e1YrKa}UTXg6OQ1 zJcWrk01sRrv*O8flvxcC9TVY4K|DowLp$#qN-JiNL{GM~Ttk-8CsGl8nGT#GvZ;(z z$^u;`UqBE@hwd#-0z^-NnP2<#l0BQ24mx^%ukbPiE-3QK(b&(yF}tfkJ7tW>k_4h1 z-jBEK;*st!B#1@0m*ch3f_$lH+NeA)3pp$gp(CrHJEai!-I$hD9|l;l6Nu(eD5^q= z{D_T!+LYB^ zDq*{i=AXv1I31dX3nyG&zyIN}wle`CwdyOH*_BzlUwL23oTFa`#X%oyJkWv|kwA=!vO^V0XjZ=|tCu*krIh`)wZX z}-0r+6Sa=eliW3qh?|^ML;b`oWV^ z#a2!vlHE+x#+jj95;<{G>avl&BiZZ*N#8lSs;%eJ+lr-(EsRV!0k z{R=BQSiT2U&xnblr#IEht2nY*mK$#yD~TAN35HvM98{H|i1#^B-#xtjXn?TxwWR5e zq3KFm&kx~H9_cyEs`%zd$ImN1+;QYH)|X!XUW|&+Y_1)n+d30Y&_0zrX4Ec&m09+Cvt@iSNLB#k0VjA6>pZw> zh|`mQg{35F!h6Ri(;hQzk7)eb+v)JZq|mVShBjc%@{JQyzScf*^|%SLV#y>L4dI-6 zJ+rR60y1ofqAy$YGu5fbQ1&T&;&$YenHY@&)T333yYa={y-Ib4x z`;WM`yIkAw`ZM40=bx4AslzS0<eDBi^$iD^!n507t(tGJ z)%$6s@~eJT3&C~xXIG$|^(Nkp2ru_`Bt*Fsy!F4%(4T4B(wyr0!_nK2=(DnZSM!U# zhmZ16)w2`oAD0miK7m0#cs=K|ZUFaVjX zKbz&8-3j2n%XW>w(ul@dL44ySteessb{GVvBfQ3QDC_}e%=FG(zY@kibEFtLhOt2< z{C2gW0&GCY&a>{gMG^bkc*V!~*vW8eL;y?J?N5mCMf1fMi{)E}XA<$W+?2Ym_3v|+ zcDaxB`Dadg7$z0j_cI8|5#}#m2CbPKD;>r9xORoB9|oq+)=lTDu;7>TKX>1Q5@Cq|m*cf_dUw)=IXo~2BS1ko= zWfs~;w|yHw7`2Dfk)e9?;QZ85&U%#~{Qmt`ogmobPCMaJsKbmyM7stnM#d5R>=;Xg z7sqfEvD0Q;EO>*YY>o6#Mnf_g*+jwrE-;Tb7gHVw2eZgoCp$eZ3racf;lJ?V-OL5c zl1Z7=w_2~GzwzgOKkL*eee3O$J9=s3v6mm;@cniPBUN?3*nEs5%+FZ&PF$xQZrqy z@>@A`8QS@o)R>W-)9P7q>4E+HyZ-n6jhchTx578CEjgOFc`!}uMU>{h#?*q<%UvL~ zfkJNjmbqo&5xO&dkLBLI^1`j4+f?{cztMHS{; z#b`K;2HETfRYde|70R>!9_}hQ6n3RA)n3R*w`|9R_SWM^dj)MtH z@sE&9_L6RL(-My}bWzfL^Q5zaJaV|tgdkE$@~vEk)}rR$9JAWMJ6Bp)(-p;OsHiD3 zPhqZtxctN^Ws7O*wl%pYdE>O#^BN4w9}J^*;+4V)Lx_nQK~HFT1jRP`#UX5WPR?1ke!Vije;U)JewhD#-~qX^n$ut z^|Zb`r@&=@coA#0NMvi#-MNm$^Gj=fA4FwfWF5Z+|LQ8(;iONX&^vW;UvG_AR=EGL zOg2Q*l*=t917w4Tbbx%`aPtJ zaNdQC2{OnuF&LJDpZS0p_4;Z)BPD&;{-qZNUx+pX$S>ET=o*S8a zV9M_~dEeY7o0Z){p3!K>`bTwVzppJWMSHIEUiVM)K0iA2SX^TKLlbA|Tk=F2$|URcD;|AAt+tjj@6G||l6 zhjPiW8N{agDiOJ1!9X7x{Taa+DxuS{^R{&e#@8MHWC}+bH_$&K(XuMzSh2{#RoGg= zq&iIPJIa#aL`zJztmWT4;ZNX4WTB8+lbt}D7&5rv-KrtWGW(+_@n!Ykd}6VhhIiQ3!%*1MEzD)vIwO(G2>{lM za4x%G3(l?y`=N^QmV) zrp#`iYEyPa_O`r4IMLy$`%3bIKK!#H`kDFHO=qUccIo{ktMV9}FMWK8mbTiARqyp) zQE1sjdfoJhl%M~gsi^&&;V3!nN2W{6b`~_PM1J4-i+&$H&NS{f?>X*39zB{cc6mo@ zArwKI3WmnQL2imLL`d8w8j#pl-)lW_@j~XVv)*8CRth28Nuxs?OQAL)aW9Qu9ffc7A`z+N? z>t8%FDsoNLx|~M)V5zoexoG(a(Ppc2!-HivU+U|)y{gkQtUxr*-pH+NVG%x?SV2-O z4qPf-ZGC;%-1Ni)tH{%LMt1&zBUyMX<75HxQ< zk55LBy87z9OX_7`bYETCn@|C4&41ri=35CbKelYiqo5wDHE~z+T8SG`kCt?=P=M;k zoy6$3E)@+*AyOU`6F$9x$IaS+29UAnoYwhvw|h=$QAUn?Q&IMEZ7HM)B< zI4x#+Ku>V{leuM2=Vk&yB9YNf82;&o>lETLPpvY4*07{`ZM~F2Ts2U$kwDa`VmAHJ zY-FGd@krkUT{?FSJqXWIL`=H!yNRfd#%gHvs{O?$iUzE-{#xd;{PCtOW%=2Tg|QOO@bcRTE?VTi+s5dF0J@)les>qA@51e9@o{EHBdAH^7TOq&3 zbk(hyLaz2HMS87fG)MH$F@zht$7k~-@Y;)XE?H6hsVg_+!U4oKMqJvDs}X`t58nJs zA3taX8-}hoUcHp#I;mng<=g&!-|S9f(z9i4TOo($HEk`=Xlo9v_-%BbPJ=+ES1Z(= z!C4C&VYe5SW@m;fw#I^^w!qOhQPTnN|B}T`93wzen)dx*C$x$ur(~o z_qyY1Y+tkK+JlzK3)>3q{yJq6)NRmp+@MC?RZmNXSM@jDtJvaG{6wg*5 zo~qJlv9;mQnp~)@NyuC~Q^!F%A%@&#j9XJ#+a#?5Hi5TmZS`&6x;Dj#oK@abdPs(9oeeN%iF0v&Rl6 z9^B|Lnr^N-P$Fx}4k)OZ-ghxzX#emidu#i})e)VBw@iBq&9MKG+fSw25k~%Tqh-uw zG2F&-m*-ht-P^S+x@D+1ids0Y*1YU)ExyflOKIN|L~3?{eEy~met4ti+=uZ~RjdC# zfZ*KbI4Gu1X7BvI%X!SUKtWuW$BmtKr;_7m(s`qz8{6#34y7gWszX-?9;w{w!Gy3G z1rvKzgP@V7ZpZ~U-1S2ljZ@ccMHD~!mkw0d7o(!~)akTy!kQ|WU_e?gn}@V7ma9Y$>+J-uNJLuPoMvUfSSEN z${Q-M3#$xo*|Lia9h72PL8Jv@5laIuVZ!nz8I_n$4GY36z+yqkNJp7#*@9GzYhYvz6Z zJ&Rj&C(UTtX`=488N!p&@(;tEI-~t%YRITa{QR2_ySC)m+U$q2jSoRWiyBmz5sRPE zvDsQ>N^;*_XVq_lJWz7foPXU7rC^~*?+ml-LTV(AAT3!so zqIj$=;6dUik(*}!Y}pwg^oIfpmjNi;M9l~H9(H?>;aC~QoEi0GP5J6@WBHIs=>r=u zbq{IcW^p-opg18-P(u;b4YBFF8IKmZu`@|dNRVhv+mRJVK2uW)2Qe2_P>{4`rJ_nX z2_t^!sJ3K{J%j;;p>;lYL%xjn^2zw$L3`mLgVevUC-xstZB3}we^3&?xLq&X5L-Dd z2V{Tq#X1{VkD+A|2r#gBKUlD(q#A%AA816~JkDy4t?n)KF8Eqq=;?hdq6;e83;!S? z9N7~n*ax5i1b9Y}M~SD5RyHj;z~rB9hkTm^@jDr>#V+Xz1je5%$gZtrUnToLN{+)9 z`c{!+PdYhgS#KVDVbEJ;tWn|7)}?C434U2tFniM!AXf+orShR8VWyWI?<@a-tYG~GDJ)g`C+ zK|w1%i^OYSk*w}2#X3K3)@U{zLE|IBH*agct%270&i1#~iWAcabfRE}y875#2coVE ztpKbJD7$y%gem)KtaL=drB7z0G?h~fgC449M@;^s5!C2 zj|D>(PjJkp?-5=@O3P4ckm#rxA=FRLF8)^XBMJ-utT6%KYX+6bo#AyEgEJgD3Xkr4 zqS5*~XEr4Std2O=H61ZH2BrcK1C7BHI2q#r353${K<`eO51~`Cejj7Az;?_KiyCz$ z-C8)fLyvn3!;>?b=yHbW!(jpU?B9#GUt^Q&VN`A-J}x#u&iJtS%^&qM7L3cS?YACJJUDlb>~>1%y7u z59N^;`LS-Tzefx3O7g6CFm$jR=qkun-&kD6FnXMW-G2172D$0*wNzljMB}pkk0@d* zz&eSg#9qt)iBK4FAX!ijBz-r=MVHJa10)!f-;LT(DNN>$o)LeFgr`%yt{L?WP?BiS zq{F&S^fbplCMexQQG(a;K~DQg6xuZ%QNtoO?o7NGlV(%35uA=t3={(*Q$T1d3ve2A zMI1b3#yKso!3J`>I`I}6y%UU;24OOFE+~{3yy5f*jb0!WiyXPV**Y=P2!r4S$MR#l z0rz7Y*AyI4fCzNu_XEnft^G7H4mR-mGNcRvtWocY=yB{6D3pf)EiC=hX8@?uqbaVR z*ez%12=ZCWE4xSoOL|elfh7aLzWSYv23QFw4*8lPG!B6Pz>`*jl#3>(JKFWp$#Ay^ z4!^%3X2rlb>BO%4BS4Kx&!5=uFuYAy~S^*2uiBL?3CuRq4T^(R3jeaa6yIPKoopvdbcbK&K{ zo(~-N0CcmZB+UUN1+R$);zuBN0jD8NZMz|eO%hCu5?x?|p^HeaH7zA}0K6720LxpX zNv4uOA}AH~P<_IDVD~BxpQ>^TO`#!ieOf6^YH7*(g6y zLT2=CsHO3*ssto@>B6D+W%D*5{g(xI<$c}$UJQI0?MuDfxb4qQdnx1{hu+@UCZ=&- zdC~0R_f)qS3TgF(>QTXQuaZe+2=ASy8&g573}^D^s$=Aw0Zuspa~6R;p{^UQxw-{5 z`QySOlQUFo3Cyco#ks^Rlpd7j=18UDv;u?iaYNcI8 zX;~%1Kev)_m*OlIP9GiRE}YOq!xsUU=Nj%>;NdSdKsK>wR16ri6G&;+>1f)5GmB?K{dm?URp66f#~G8kBjtr9q)Esqq30%O-zVkkV@|Je>L$;OvATUl zO|%jye%2po6y=b?tDe^(`0%j<9+kd#Ffoq%vuB7lppS6w*8OyLJ77o-~T4CvE?e@~YnLyES>d-)7KWm~}!GK3CqJnp!->S48&mjl0#hL7dU#7Tj+wrM~a&@+M;>c_~~pf5qtg zrhXpdBC2T1r5zc>_5Ws>XSKiq$q(1t(r%^RKKdL!+M9a4GdMgk`r@E@ZJFEJ__Pe2 z#_1IS4_-BF+|KXQbfRVYO34W~x#L|$9d6h3%PCGYVYU=X@uIQT-3}GUBg6CsU9xzM zNm=qk3_fWUGy8%Zdt!ypPmOa458VN-$~l-NBsJ z>e?J@+S&GWNem&K{1aW7R+W4Z^w~Yx1tY@66yR!~=(u zQB;OiJP#!C;j_L*TJqI^vo+6_=)B>Lc=~+PAe3_27sg`5Wzz4@$hx0~u>fk{H)~bx z6~1mD@arTZxt>)bF#A&v$s$IwmUAGB_DMd$WV|SH*b9ri`;8Ee<$VU(xou znn$}Em8&DbDCbaIzgmkH@jQS~sps&^)e4=fR~zO5MHrty7M%UB)Z=>41)rU3BmVYc zPiZ;MdWU&pQ#{h>JqP~@y)?3@U9rD&?6Tu4^MU;67-#eL5=BAB+5QhvnHz%A4Xy~6 zHtbB?zrx`UUyw1@TW!{U<*WEr8Ks^F<&x9m?~=2Y&TDc&I`CQ;H}sz*Oeslvb_0X= zGoOoMlKoNLkE-pP8IPVL3>HTIyYRHy%O2{s%Vbet^E=&GS#yP1-_~*IPZy8Zmu&X8 zEO9z+svMZSN9P=bf@L4-iB@4Oo!gD-8Y{|#xVLw(teyilx1VNOXBR!MIb1RMr8;cL zK-a4Obwm`eMPhEjHX_Te2RLodv7lZ&DnFU_)S#)tm>TlK_O@<$$KZ4}ksZsrVfsEL zfP|YXd$0ef0Sj_XJAsgY23+Nz21rQwH!3HcHp<1{!pda{&XPlrSZ1i4aWd>_DgEb? zvb8pRIE=cx8nI!Oa=<`2b|pX2`*y>s;fVtW&z}bYd2TMf+o&tK(m9QcCg?u5p)0Lh zeG(f})J~p@YjMDSnbLZ!Zs6#Q<9FYpl9pfZ(|ftbq~sserb>;!T9&O3IN8{{3JFOt zVlUm{Leu*=dEdKu0+|Pt_6o{{XPJdBE|$|3SwFc7<9MeZ624qkJ%5uq-=w1)XR>~7 zAnNUu$n|DsYQqH1I_=-2qb4#b#3pV|JJ<2jZD3Ipj@{GbT~Ky;r1@~b<{4Elb5{2h zlANIFGPCqhZ!2pmfU>vR8w6HT7RIwSNkFP$+}`-oh#+8|P44uNL}J%A^|6}x+|w){ zqlbgMSibXHm|UF|he@U<=gaCp2(u?8XC~LouTwrOfdaA_G|m*pF39IZ6SB2MxmTot zySQm4&MiM-*Aq-%{#2g`^fhQUj8FwQF5mUi!8%*K1v0+P(opMkP6EARmGh2o5v+n1 z3*nqjz5|8}+foki(9?JuNjM$0iQEa@RY^FubU0I|lKdSBfg+@+z2Bzs`-KjvX4w-M z9Am*%Hlu*;LNj;sO(j|rZhzNMh-Ph&99io7eKrR4y7ydY@6lTIo3g(A(f`$Xu{cJZF@(y4rhSs#a zwB`$m-&*8^$6qTj9HHd1&*9e0IP|0cW9eJqnf|~3(G*f4t8{aZnoB8Bau=eAGBTG^ zJ{2J-K)3&@DdWCBCMMpXd2*LaH75OKUoe~8vXS%>d9Bz z!s(<}tlh4dT=Q6tvG`Tlcnq`O#t=vU>*v3JjTUwBKFQE$0iN~ukCGceT&>q0d^Hy2 zwSZ0a4X_utx@&RsdHphp8e?nN_HUQ;?QqbA{y~2$n?1(4xDKnWZL}vqPvYKWXG1uN z%{D%G@oDM@r@gHTO7eC4V-RYu_Lc?9yPfR`db$R=Nag82SGdirt@CZ$zfb85F-m;S zRoQ#?1&ALi+A|)-_&9>u3MnO43U}-SZ~Fz*Y+nU&Qif-x5FltVJ{}rfAdWx2e5`!Y zvto6YP{8$^wPzDR>_w^tk^C;LfUas?*CKAgZ(Cl?=eyEmh`u9dLHClBQgZ(0xzuxz z#q9f6^=ygu-NNh-(9USRpnB@}CJ-RHxqNfpD<#~y8KE99Pak}t>N!<#X!fqGl%{f` z>!sPpd6Ki!5=X=rq=wEV#GS8oqcehcY#I<3=#Io5lQkag%vrX&x0}wzDK z$b2vs=DlfwSJ^~&s481}$By~-k+`c!ZStK1E=@$#PJ2s3foIMhm&1qkT=LwuCai$U z6e*|YKk-O31Xj`)g5imvXD>8%DB}!kbv)btgh9Ug3i zkT6?gRt|6R-`2rfU5I^sxEk@@5jfjvK*cE*Nz;J(DE7hUP zhFDp8_lAVvofr4tm0L1sv4N5vOGItmskpDhprEix&NoZ{fR*o+h|U9TG%nfM^6Sz{ zXcH>Db@Qp@n_dMvk4k*EkDPYxU)BJbb;@N-gXAxmVdw)hb*= zDwZ@S0Hl%R+>ajT0LniB8F?_OrmOHJZ3FJsd?FI4kfG7g0KFQc!W@^@Y!Ef`d>0rS}jzd;b~O% zC}=!O?$Tc6!M}%NW|lfE9g;OOFUCDPU=yQkt6)gH7`H1LG+E88EBQ@Mn6^EhzBJVM zFQ?L&$-!~CmbQ1IEncFT(eby6LHzr^W(uS$Z-p@4yBDGt@)U}T3NeCHfz#Gni~ksc zlN5J$#hV$KA8e9G#|hd*&$xZi)9w>98qXK+dNRimPn#Prp6XpwK|v@}ZKHIxnqr90j}rC6aOm!2N+DapD)`KHpbh*Enw zB8AudX#I#l+3s4XSa}!5!XLQ#avYm|6b}n*?Q6IpHYpB#sWh1*h^88 z==CB+Go*`pD9e}lwuI*1E^6ZODQx0Di_GlSTxs_X`<@Xt)>T#%vzH-}7XKxX7!-&9 zCMB=0`B$Z<=j^kREoT+~xZd7z-JtKRl+$h7u+b2FgxVqMHL#^{@B!-Y+KU&h3Z5t} zTgr{$4ua82N2KLGmGwiv#ihjqWuwgsT6EI#ZftX`JSgjTD=vKK$wvA*9^p{<{^~s! zsZ1U(I~_0880f|wOsfG9(K@O$Sl8^H2Qsf9+Z@h+;vKfxfogaQQ!RXth_s=*XL^41 z_05x(G~uv%{+Rs>re2+WDO>%nsraYWWZLoYqv%Yf^c~w{Wh+m;i{dF;ZLWz>IN6!L z4>Yj^Hn}DyczpTqO-C|%dWU$eRfUld*Sya5{KaB?kVD;b!dbNl?Yx?V?$|in*834$ zr<^qFH2^0B>T8WJCbwi4e9UToyk&cZTLHP%6x zcrfi_KUY*e;LCwACqB;4gdERR5mX)x(tbv6-Gz8h_J?jN`rX&run09qiMNE6Y1ebU z@T`za>-lyh!BB~HiYRH1ztOk8hCN!Tu?bh$PIw1pUG_8UMMpe2} zvyoYxhEq2R+9yN0DVBQ*1KSsFQ9wHJ<148`$L=@-a$NM$l8N<~c7x^9B?yx~@)v1N zLGce4Z}*D8#xFVh-Y^A|Et~O{lGggLfRl6ej9*W=0dY(GRAT>)&W3F)x3hR|XT;~J zedf2pw8efaW4_lO&A$|XsID&HX%$=qwAUrv~OAql& zy=NSUuUy{AkGg-tj6?-Fudj<>gd=Z$Z=HqB=Bv6CkYS@}dJ`#)$l?k&9+>gR)EbPh zsR$$$$p@YQX=6{&-d9iql&t6E*M6ZM1=Eh6g~!1%Z&&-yZ%tc&6nv$O2ceC8&+V(| z?n15i>I(4rp^h!GOzUqtfHd3w_8P3l}16=&dFxWJr%ZYkusnA=x&t#&YlIuDf6do+yK0NMJ7P65O6-& z@~!54+EepZK|g-5rb4uk@^In%T5cOy8HEL7@8~v#J^*h(%#~N8qyUZSViKd{BjyreY~*J&HM`zf_wnf=qeX7@h_uQU#tRy9LYz)U}b!wc5SY-N4?IeAO;D%Afg@vsX6< z_q|KM1zrGBq|EaPo|PXZ{yZsdRQX-G*e@LBD}bu@XQ}vt%HJ!w{KUPWkKWr|v}7ii z54ghTNR!&y-Yd-~_~$I;Z~2(_gQVk(;4|rOL0>~RS|r$@jn229(cFFJ^5ICiCm`Dz zUP$sJ3R2aINh_5#rFMs1`eqFS+E=^6|DgmY4ABdcbhp48?RU~Snx4+KSM|}XS9Zcl z&YNpi4}(e?G;ak=p8!jpZ0tr9Td;rSyTTWVDa%`XVjSC~Q3ay?B%)OH&wb{9JA_5{d*JA z%`B+{D`+U6z1=~=I}7wihz3i71u0j-tLT9~q;qap3vU~ybI(y-F9md!pJ?|9E^J>7 z@+P`1AP!}PbdlQ&-9h!r0X_xZL8IH{vX%d@-$>?jBvNEfaUG-n*T zV)yYD0^8BY`WO{xAl0ODp$h2wDGZbs^*DLO1F*(INVc74Uj_IX6|vw`0ZgLro%o5u ztxS+hFPJ<_$WID#3lv3SOS+@zjJgst}u2@w*C)unVk}o1jKU zRi5N!@uoZ%!Q?Z|z^hk$XD5A#Z2=rHF^v?<=XWFT*+lCWQuj!GEEdYi!%PUb6l?p0 zUk%M@Y^JcisEG_V7fb`2K8Is-;qJi_JyV7kMCZpyQb4OeLn*P&3#Ko5!Psd_Der;R zDK14rqI8>h+wLVzNwE~2P2BcTuO0V-5qGkUzl;!)lo{y-#I1+?xsF~IDv0bt2piwQ z_JSuzCEX)4LBBhg@1~yYF^3LXjc^z13{<~zP2e;|Ts8hB*aNnAsgARD#e--X@3d-$ zUvii5wk4c;w^diWmDf8p62-OJTE7q23(X!99VGf>uv3 zdH?avXRP&;zo&|Ax2u~ejV-^To+S|*DBcq)+&xcOi?6j%#MY`3o_o{z>A}Ke#_S&+-+!C~ zQ|O>+LuS@$M_uO-ojGEJ2UB@qzA6?+XW|g&Kfv)!7+#3}N*}OZ-_MCDJ=vMn!Mpmm z|A&SB8Pt@@!9)o#Y6hAfG(o%&2&688tPxnffL)3@13`emv;2)wR%%pFACv&ZU?_1pS5A${*w&D+6RX)Wbe*B1Kx&!Rp;%DJlbqrU>+ z+o1y3d#miA1eum~kkEbS>WSH~pS$@%4_>d)*u4flHb34m|Fcr*I^RhaPhF*s%oIp$ z>e-xp&Fj2$_(<`o%uTTul-9iNOPC+_ly^L}_}kxO)6!ZQXUZyas96l6z1lnePRN~_ z+m>9haz%H1>usz+Ml_fROf{H&6n)SnzWL^r*$l-ktb40~l_9&`u2w`C9;gE}{X0U7 z(c^*~E*)$R@Iv}ToAsKFX-?U}rC$}LAHlT;I?pKHb&1R;UDgJI7pas8S>i@ zga1iavUxSSs@LSM@p8;p&9$Dp>^)X2SSj|3c#wXvyvSW<(2BeG8oN0D)04XXyyg75 zwa-VGB~uil(-qdX6Hz`dee^=_uv)bWeP!}BbE2}DPWV^P39^IA0g85&cV>TMJ%vvH zPzMU{*|acugoNws3~%o;k<}I>k?~6l7=qwHvvoj-#TuGtqr~gm(8?-r?()@wyGQdc z3dn))da1r~mX>PwAb7Z{1PXn;z2ld{Gdd{iOjf?{&US<790eX#C%dYc1L1bwSU+9m zaC)Bt{i@$O%|@18GmRZ-;mXENu~B3|jojKQSMZ_PUU+=+EDL7pB4C(GMBteG>i6bE zgb9^Trm1(Bu#W4Eb?9;H&fV5w5Hegjk%crOG)lwGuD%>|KE>WQ##5-PxI;@ZYyT^Y4?8vdWk39Xk4a}4ALdQ4!iYI6?%k0h`ex6kczLjL z?;~^OoiKy(l>Ea&&BmgB*T-?^B#kHZ*^QU~{0xaWdw!kGoEJ~`wDSc^-|esJ+sZ6y1D<6(anD=7-aLNXB#({C zMS6W+4;c9GNYN%Q1t=mBjgdrPVYueNxgcL6s{zTu`;H)&4?&|18#!#df3L-*mxW<` z>b_Z+y^QxF67*@$xhz`Nu|nD`1+u( zE^f$4q~k!1=rkEJGco~Z#2noP-jLFKnL7$v;~*I5JLa^99S|t?!f13RAS6s3(FZ~e zUL`ZMomIzl?&ofEghfjNxJhxF;?JWb9<@Y#sMFts#zrVc=o|zWg<;OpK2S(wbKh-> zzGwpen{d&pSWamyMiTr-B)Qya2dTTJOggh6v;|xl0tXX~5Sahq*2icS{@FUjU%Ja? zQmd*BC`X;*@^yBiLcP%J*^%DdWcS8wjq}BuEPU=+NEx5pDve~qo%K8YN`w96EpR^| z8CeKIzA6c3b8y?uMzEH)nbaFyCU7m5I#XnC^Gt1dnz;OjZx)_&KLpGDGc>)bSc2s) zhh?jwW*>^HQFYX)tnO;-Qy3X-`KjYGaRlK$d+V?hwAuVJ13c$*jw#!5S!1*j!p)z% z73@niI`OwM_Xr-)s=FFA+$`V1H#M3v@B;S2byFOR)!KQ!oXvp;W^33kMs?gJ8n6b{ zGxTc0uJAF)%mkiQ{142c2gd;hpmn5_VZeb=N7x)BAPY?ijPYSn=nVcb#9fciD}mpg z6y?E$I5d~SZBD(a_?mAu5~pJlA^UV|v`Xn2aM+wTOHs$6@$S3tck>pk^-He50n!IC zcq`H63@#9hT~hbtrk$GAw;YJg29!e=irG#huAD}zifBupx9W zCKLVz4h({7x2^LBW&@@OcV+FK0)|%dq7jzDg{XH+w?~^!`s~t1F~CVs1Qzbqf&qZw zCWQWhz&t+A_GWmvbL~%_{_N*AnfoT}RZe8WI6-1h$)NR`#_daAw!Dl3!`)tS9I1ED zBf(%-DAG>>Rpgv_D?}_V>i${3T$5*kVs94ewNsreJ#wpx(DUafy|f*jfM_g{=zr_a zs@ylp`uTN!X2lLO#0;T^cYjv=J?sSppbsc!ahLSi?dXHs6R)jkcOkeMnY)rdnru!K z>Ub_5_|*Qa`m*ok({X>}9vj89YG2L3TiDs+-A5lGtIbZzbM%b#NPwA$;jfl&1$*^V z^=Z{Zv;3+T)G{inB<#EDZ_4L1t_N_~IM~szl%>1kJD6BTM(LZm5iXrEOrEvciswMo zW+3d1RkyFM;;A!4JlKaJGOQf9>NBNxhLfhqj)Vvy?6GeP#2H_N5niaR^FPsLP`804 zTEHA}QdGNy&P%8LMSO;(Pm0^FMd;3ah@X@n(csCwE>OMkXYNDXrmW_2$qB}l(`P{4 zY5+Z4KHEcT_Ny^AS8+ul;rM1Sn<>pbSm+Q5^u#(YFVBh|J+MWdXve}P} zgu(1F-#u%U&TBRp0!rpugdT`sNxQA6&!z1uZuv8Iax(5qPs&#HvV_K88lMg0(2bqj zIV%DSOT-ZYQ|`XG7EOQ8g=5u^8g}(h(F%e7)TiBF7D6wAxWO!APIC>h~C0EhlPAF8*lscko z0zTdkQ#{*iMqI*_M`6~=(Z8Z1b)#MuI73d({Q}d}xYlN_Aetx|bqIV}{(89sCq#wC zX*sdIa>OQ%A}ulfpRMYQ@uu2PrT4pXDs`ul&P-ky&>DTmrLL^rzSrL=uRXktN?Y;U zxlps&eSnTGUk)sf(L+IGY&8y?t#8;Kygj=J2es<#*JU{GaYkugR%;7S`Clye8;W-{LK1dtun%D_)0bb=dM8V8q-yHx}Oh z=j}Po*>^o@VSxkX$s=4{21hunz+T;;aFkQWg$j~lAwt{HDQ|G>UtwyC&oEGez~V$l z6)RH4I$+@PO}~S%#Gh4tbY_zx(lG`&|5$i*Wqxf`iXqu@i-fdvqz@-P((1ulPotn(#9uk&jPWQj%JaI3-{XTn61vKsY4lW}w8!+V{iA3T zG7n4#(187XZDUUsrnva#ys79QcyHf4$ZXE=Y%@62{-XeHj#QX)TI? zY{3D-Rk_OB#P<2A3He69g)ufhTd^~-j&s^y_BB-=vHqd>M|EgwhQE|SApBZ z#{=C4K}!iRg*oR<7nozKXT88#1#`hfYpAvnFeaNziP$Puqz*xZp$%XI8ayGtxfvof zioN9le=AZe>ch#CE8UZSwGh#-xGk-5yO&;sKiaOHq@B{Ri?LLjiM%H3L6I(drk|8Q z9F(YeyDa`>WLQ#3SzA)>Ov1G=W0UTL5BbPd`PhsW?Tue;(zLty&1uRq7l-{D?^mW_5SqFV&Pni@BNwdSB5FV;bZzK*}^5}&5{$G)XI7=&| zdOK?w?}lbAdnvRDZ~P-`^}$ro^-ic(x3xv{^5$Q1@X?x*JK(yhP8oH$Zgxp+0Wn%V zyCN&G`Ks@WPe$NC%zUwR-@oTA@n9OAdGqCTv1H-yh4GF>VPn;vJkyud<&|PagT-DX z$$Pa;X3`zHeOUABba@nU?hua>FwdA<4cB}*Y|yB#*Hq2g(ngBwI*ss2ASm2h^UC)u zJKBBjPW$5XD1f>3gVWq8fA3Ar?01HcS@AQN=NobJHi`$Q=xr3nA~!on{ldY^hi8t; zASC_DFtF1XcZkyVQ2fVvnX~p1>CrDw|1PLX`EEAuaZ=*x-A!(9&N=DqH9OV;E&f&< z8;IStJz3@Z^i>Vn*T*`uRkFI1WD-;!ty?q+Zz0XRG}AvZbNd{0u_@Oaucs65 z_kOQDj6aSz!Xo=Mp`gOBfC1p5YeZI;;C(Zy_s)i>&puids_22B2rnpoOvO?=?Rl$Q zLJACAaX}!R(@<~de`Bnc46#*xze*k@9>Ybf2n5ofqDtbK*joOpT94hS?{Zv98l(<1 zf$noNt#6!C8DpuP7c_l)URx!g<}J`7&ZlTW?c9=lbrd7EER9@jc|cz79Ei}^+#41t z_`%X{kzKXh>2<|^gOkI=A2C3X^vKDr2#n4_sqD)lNlq zE-)-Ij$4Q~SS-PFZj4Q5m{F0`mLM*eirgG5RKkkR2^vj8Vkva~Ykeq^I;;tDGNpUO z$y>pAz(7QUlrEcFxU@7?;0|Gz_DF+ij(4b*9s$wq5Nwj_N9ww$`sH+A_Xo zL!ip3=%fA9=UP$+1K%qpji0@s^1Tnlu$KUK#Zx6c=H;>rT5g#TKPCFFxZ8w zt#|l856;a~;e(H>bM=Dh6ZAeFnH}fs^>}@8(7#vo7j}dYTI4gMy0gn?f2Ys(kw?aa zdY&*Cbw#bSI1crv8#4Gd>R9&NY@kpIcw`iz5}nTA(SI({L~a4d7kJ1Yxbp)ZDw5d6 zCw?`#IYnCi(%eSvhYqgCVc}B|ZMs=(9&?mIi_Y~~1QwU4G9~hS+xBtTvw|<>(IznS z*Iu}8v>RK;T6lA>^Ue`O3^Gx}dds4e_$`oB6I$;YS0|UNn}6R2ECm>jd@&(OIvDi;mP0JuV0bVE%s`;CukD19&z#I!9O7ASEX*l>`E25BrmYX)7Qg z82id*3%>+02V;rwWLE}f3VXg_d6k3xwxUGm;C*;Yhei3U>@wtxr{dMUZ{1c5f-0I` zzx^a5X3Y%{QUx38gj3($6EzH)cQ}dLZCUH7c05%g0rOl<>`j8$@vplxZK~EwsTqXy z7RjEo*6i}TH5Wda=2R&CFgn-lbg8<`kmsxpuQrq%m1h)@EG!VacOz5%a(^ayi&bY- zzTJl5YNXI8cz-IY#<%aZ3nD+ZD^Svi0#Sl1 zz}IKXW3SrA+v!C$PJ!Q7_7iZY*VQbRjnIHCwWO#a?0 z%UQnf!-WXiP6V){iA-oP1Hn*MoFSX@tvU9FhuR#L!)>38DQ8X;CWNt!Y_zovieKe- z#9L&Z8#>HMQtjpcxO*Z_Ma^)4f-E?#Ep^%&*Yo49MUOUvTVw4I7hO2uUI4>6l}o!H zN+_66#CuWRQTse>6=e{Oh>g!$e^=W@uJeI9{;W6jJ!SaW@$Qc3ZBKhIKbHzRa~NHU zS}SfS$q$^iQFjYjJ9r6ktKglNQu5h=_t*1xo_=ubbX2b|WWHl+v8&@Int5V(*|&}b zD+S-wKq?twb}ATTIXM(eT6eWKCatU5lLcY{Z|rmuSo6np6y9f#!}QsvXcWgcfepsG z&=edw?Mg5gVvNx~X6GN;Xs$#AY8wmJHaU%Y(~{u6$rB5{9#$xb!lSH80CxBsg4RDIu8 z^!MPc9Q)PCEF^$~7nj)G_$g^b+}QtiI@n5RIQZ%fR90W)!WW<*+KkOJ@18KZ?`=^} zt)*=G#a`){#-pubZ6?{FeB+F&BzM6YmyP>9#~HO>(DB=3PV2UCMwaJ}y8 z(R$(i>8xenGBwHmNF0HSofWFW)KtxZB~J7ClT?iV;0Fl8af3h$%pVR^&11?N0zWz1 zb1;p~@s2+4AaxtZU9w35tGb}KdlpxjJ#}m!-fTNGSz~kAe$RcfnpTm4aSz-F`FEB!5LEbkbW8f z-2O)%a0sY+ly(I+XEu#f?VvT|4g_O_48wUp8MUW?7Y(wfqCgG0!)o!FS(pME_?|^s zMdT~$ShArg?0G(Y76LKu9sgf)k2vin37qC{nimWTH|zt=JQR#R)ggLU!UegZ-xTw+ z3fC~ZotYL-|0}4-H9qx1Yv?zEorx7dt!zS%q~cx(q!5h2wW62r=+rBQeQh|PoDhr4 z=*Wee)+2bCw@!J5)84L`=&DxTNp^S!8Of2Om z_mzSiNHi~LG1$Dwc!s|#AxGDzuGv8FKz&(4(U5AD4mMH+)ZR$)vv`*u`O0-#CL;ar zhQ9;&|Ac~ubLTiC!jcvVR3`t%u)UPc!H!n5?AW<~%)Wp2sSf)lp_cbgB2mYFy4NXL zr7EyWx_i2-6I(XoK5IDXGC4OD>^Rv97QX0KIqX{h zW78+)+&sHm#JxOJ5nBEqgrG<8y7PcsDjEgZBDYzN%}-XT?c~hxzSE^gjE8qWv%B~? z$x0_CzqKyMsV$*AMa}yVMSBQu{2m zj8pd9N8W3tlJd6SZKp#;SoCcn{IN^7r23K%tHGNIGsvvi$vvEk6;TMsp*HzCuz50F zRQW6uX8N!{NOznkcP{Fg_0p@_*)1P7p8d6Air6u)D>*|)CBC?<@y%%C_kY)-7!Oh} z9998SMg;DJRpIiIv7mHky;eOGm1i@&*RiD0V6t^2R(CnH39NFNigioYWa>SZph99F zuCL#J-L+sTPQPgnhUmB?nHl9NejGsbMjWQ~bMkzQQ~RAG&#A6E)YB>@Oc97y(t<}9 z+5{m!mu-8zB(d0DW8Y+}d5*pC`{K%3+&C)mCwkd(qc7P`TfL6t9lhJW&lyaVF0i-A zqs&H9_c$^i+rV^3nJ%Hcvyy_LREhtjY#bE1@3L@GlTHsqVUL>l^`2TbihC8kVN7)+ zZ?<6rdm1nGge zmd6=E)i~}g9RsNlTtrF=02Nd2j7Q4v;&i(%fH})Kq!($lAKUuA=p+2G{cQwYpkcvK z$&4?T?m2Xno>c`c?rtSJ1^Gf;S5TDs|d_?U1+b=Kn9KL@5{ypLT?2$y)#Xw)Th52@7_Jb0_gV@u1 zE}IerQYGu>KOc4taEn|UcRtm%q?JQKDznR!=kv$r4=Al7mV^MNvbwpeeKuqY3AQM_ zC4r5XQ$C@9dpV4G%PO+Gq(-*=j^0Cg%)3dJeBUxm%~=b=0xmkNX+gyv7^BCh zE23Ems|s5OQ?L~`r9!aST%&?q6hNlkvABPVoroHIP?(zqXj+_mS6;GNAie3kra^Jx zOqARCE2$fzG71a$=aJv;p7g%u9XKLZFo|eY>~<>=6FW8rI`d|7nAXQ7o`Z%~{`F1C z3epq$q{!>$(dYi?CzJq*|EmB-Ak5r#FN(TfK;7p4W<=mf{u9txedi|v!d1+Zc=VO6=t(rId6dTl)iQeZbvtPFw^Run5go2a>i$g{ z(!Cv6Gk@^1j+IpR+57JM3d7BAI8+c^Cw{$9zG*a22}MT~9vVrs{XD>%|zmANS)boA2*6E7TnJyS1`7KvN!b>qcLLK0rLYpyC`b5-Up zm(GrfBoz2#b)`*sRzGDmLI~P&spw+R;s^$lyB7xbS_X4ErhZG+W-$)_min+tMYA+9 zz$o<7(b7intrE&I9({;Rz3|kDOQcT6KCI*7W^;IH2wPv(^-v^x!aL|r?pIpwDRwNQ zuu}K2(Me91asu`P6|};hy)pVa_XXyF$$?;}f0!II-7nqEl+|pfTW&6=+*{XBrr$cd zB@|2)PFw86XwC#5dlsoTIB;D!iKSMl{jR-H@=1~uP-h$v>?`a}+*k2CQ9xSJS7z67 zeaz`DtI|hv7wSkW6=9rz@*&{`T?HT9R`DAnsd}Ut$scu1rN{p;{yF$e`b>h$S!u#N zMXeyerm!z@L_H~9^=3-o4|MkgBUP0$wWLO2LEp6*Jor|w(F7xZiVfrQg&DJ|-vWf>lRRpdlQEFJ(Rc8Ps(FCtB1S73{z zjdq}T*rSM{Wnyw@yj-dA=55VVrt{Ea@QEE;BmtLAd+BR2@`Y|AS)!@#w@Ole<7){u zxk3^82e8eZLJ^S2hvYt+9;)!vZ_+RV+OJUqo{X#y6MTyUU8jAh zn|e|lPtYR^bqWG_0Hc)Ox1t4_0VX-%j$MQW=3Nnub+tFxU~)jk2dtbR%SYC zW@5m-EQ|o*MolD^6qwU|%%>s%X;x;0fHL4rZv_bwS^--CfkWh-Q` z1De%U5_g!>)t*#%%Yh!ixFp5q1m*29b|9xoeSF#f_KA{LS4a@13m|AG~(0F{zV_}^_*N*@JEwD$k*=+ol=p8W5yc|PFA$H2#_17Zd4DtA&H z0DN|rgmh6fgRVG{&h8;$yaVWNggqoO*PEkXvKdR^6v=VJYaU_Ko_zE=5ra|&o2}?L ze+siC4xXI0ru5Un4QXN)>?Xln7!l4YUkIF6&5-4h2DKB-4iJbX9*bqG1c!1E_;e!YUkZgD7@*R z!I)a%Tt=*M4A#L%J;!l59w5S887Q(3>i4~;8jX> z!^_B(slHEZS~A>9N*bTLhmE2|4Ba(oyDoR~S0x6DC|2b#;}YR`PL|$qIg3#x6?Gri zP3P)unUtEr0w*=9R6@3qOE`Rj+YqbWkm2C*`S%)x{0OKC)|HQq=uN?J6$y+;Rk4Er z0)pBkid?3h;jym(*4bk>6UO3BlJCt;v^Ci~q3_h|2$b?XqL?h2KN)0E0&=MGp4bi zqIY`+nvUK4z`Nr@FbW65$-|i9lc0<=7FA4NRBiBF-9w0z4>4^r3-GEsw}(`@b1(kA zHv?I}gZzD$DLMBoO?m#`i@khQlp+{MqI+yq@MSwB{_Q0;L)a=egEB8`iPr@2 z*cO}G%Hi^%i>8e|qWjHg_AB#kOogNA@y*+cT;iK|#M7GnU?g=!Em7S!yO^g?@9swi zwLfh`nRdFSV9-tD5*JB&RsSLa1YwoiTz)XEm}O}#D1F^3P#?T|4Xt{<5>@6=GNKA? z!N?dRscrmC3ShxF-hZJtnuy!PNtAs$8N z)xz2X$2O?zW2+wO_7R{8si>Da+NYtJ8BDy3NGcIAX61F-Fz^CY<+AB!Yh`Rf@G1u)* zGG6z;Tb$z6Cp8@Lq&FFJeGcV-C?2bbrKR{t+?Q6#g(9u(NJDoG5J#Q3CkiLms>E){ z=Kr_Q{Ch_Bn0tMcj1@6{{)Id2gu{f$X`BK@zz-u#9gtiz142!me#-$7Rn=#5h9c+? z*Z0-Kv8CZ^+~2XEK8H4P07c~8er=y@_O|OLAKM+jSA1~2T$`d*sz1wZihGBCG4Yye zw1w>1C)KNh`6=>L*U|8~psKUk-;q*3pc}%VjB z%p*=LIbn2a%9>c$-2)uPpSG5LUsYc!N63_ZvCv`s!d-s!X3Nxq zg<1W|U5mXVzZTdxvIyeyjgMq?Dm7b?Jw+}r28+1s{mOetg2qQ4k6HnPxl|D|Xnu*J z8potKLh7E_bs2{kognVl2T8FP!ei<2hafaU?Bp7a^EyPf*7JmkAX}Mdah)73)qCu| zaW9KMSE!o^2_LuJLDtLMGWuDgRmr@}Q2&|mS@A*NMqKYTQ26d4(VLZ4sh2CR2fB22Ug~D4C-&&HiM?a1?T7n?-3}#!!^VQYxD3TCx`@22WB}@6bMRh!aU4h=3(Ucg*D;lyu5YH^5n{Z=Y6S%SRm_=HLgXt#d;b!x`a&?9 zMI-BZQ(L?sEtm{09*O~(ZZA-=hU8eiVQ#{^_qMrs06>6mILe#e9D)iN6-d^*8lZ@h z!K%6B_29D;^_Q)PtbVXA7vyuzyeWaLYZ|yWSn&XWm=~p>vU}Q{bSiy#buo2E{r&qA zup=86`p;=)@ahZXiB}693q`gKZcN53@3*f^!!?bFN3)Gn0CS*8gKA}>2D~VYDv!;L zw>4cyTHFC%ZRzs>xn!|lfBM#t=@bC!x?$1PU@_Sj-{wCx~ljTZI>tG_z^ z=e1>x({EMZuxNk^&7qrKJ5T3PDKsM7Ut>3be8dE21Vz+E6Gi`u9<=fF!DQ|`Z-Wqm zrDZV}qBI=|=7h9(q1o&R?}6nIYN|i5N2u6{;;FIOrLAipsJKZZhISR?{ivYDyG;y+ zNXcf!(AbAid))7wgQjg|Y99M;%{=Ck_&%6Zv*F%#orX&UdG8APFXs*1q0)L+O&T)( zYq%3CzS+MF!^@!09oSizV^_h^vvni$n6iTizsD=W*;oBZMVC2+rU!o&dkFicH$|w) zI0j>o6u`oLKh+Vd%AZfa1z-<2)K+_7QL@YyrRYaqmUy&DWEFfcWwz}98IvUDbe{Ek z-FTW`83riH{{caSDuCl|(EI_9gYO{Y4`2j}qMso%02ZXoLnJ4$*gs*gtWQC5e*kwqynL`Ue{$Fi=0eflBMlz8G9QvzNwmxR zKQKf#4M$4^lBn!QhRQH;5kz_{-phXgA43j3hl}%o;jo{2itvj@fKv_uE)|fNM;H?WPOq{(BaZY|d@1L1d7;F`1{b%H*%;4IZ z@uzVd4c9XCwJF*vkanVa-@tB9Q*# zMbwR<3*r38+dHdxFq<<&1e!qPf-#gD^b@OuDPux%$=ayH(VCQd5zA6aU#n_NP6`FFJuyhWLL9hM&BbYV2*CWxY9;TA_4tqa z0_16b{k?VHyWIAEkI}!<|MSt%u*uipneEIwA+7E|*+w_Kjmnq+I8EU@z9+msx8K3; z_7t7#{BhxYe(~5_7FjXGA-?-{_;X^Td5brYyJ4_)VNnrOhk^aXaewQ)*9`0AOrLc( zgRsfv*o)Jic6eZ8sWQ%7Tt{z3I63^7K6|mG=(OVg6Oe;I{FS96 zz@yNAoS>rwQo(EvD>@DQVpZ{6|71pE8eQ}=paq}4Vt>m}YQDm1ax>Cvzp?X^zmXy5 zseLkQ8*)2CBj?`kb6n!@o%GE;N2}jY=b>4wGLMJV*DA+Kb>j#0#zU4|@1%0q`@^9a zk!BNdwh$mNQT~Wu!w?=+{>VMNc0q;IYgOjAT32M_(Xziw(^j6&V(pjZc%9b)CUJrDJXv;4gTY~&aPruLASgcfIB zRnam81)mE7ZVnI(2-1hhG1r}HH?=Et;B1esn#YvBeE39ob8bQA#vh5|@vAQ$@TL!R z$yYP=J4hWZHq`*U4QjV=as>Z?_hGKbG}x=DGnT2szAYdL4N;w{hvePCMIeIY z`D}SEBptcUgsQaNd@eDtP)2q~0oZE*_#|QaGzNybEf*`{FZv{GIiyhF zcDe<_kT_(o7Og7x>@38Sfw2AH7yxD}8%9%iU$RoX&lf<;kgC?>w~n;vsStFC<=nE1;(!h(_gRV72Gs)~Z6%X|QL0k zx`&Gb8^s@3dQ)}quou2D9t0d^`dBiO(y}s8#g!S-OzAN_Vp=&b*ivs(VLR(gb$>fb z)ru)y<6d}pG~s7@BLA1>9#p>;aauK@QEjc`)burF2d+OGRZDFmf|wQvfvTuI{tbO* z+gI9p2nk|jVL}LvDlWBC>Bb1XsgBJZtIf6$kCLYqU8|FkP&eR`t4Q;&mS4m$GchMG zRegVj@g6tL_t|i_K1E?n=Z$b0y_ZMf!52Mx&rc=(yQp!ZLp)AKNyL6^HZw*~AbM6c zeL(eUcQaxa5VGiUz>r{Qd*JEKX~CTB(HcHwG9FFmxsQ%q)sG4|zx|oY_WKu2;NNRV z9@o#nHLOCu^_Igwbx$Bn8_gffOJKm|YD^Xtg<+=BF){V3#LJz=I_;@-M|`^s zg&85U4~P5!Pt|EjKQ!F-wx!wLJJ!oJH|fbKRC4OR!avKxS@+T^O?f4E5m+xf^Ljxg zU@l;!Mfx&E4K@dQgV7wU4eS*)4TLs}z%vTY066}+9yv98;m-wJO z^9TbR1Kf z6yZ%@7}~#ABEOiQhgG-+g3`^!7XZCel)X+QM^#LD$sU5GGBS^|xbO z(0_EcB}nw9JUAX4$LC|_W3K84-~Hkd;1197A4awro5 zCa33kQYV8leNWZh!5oaGFa_kP`d>^5NU(YPL3>}RS0hXTPdPfr>3?+n{x>bH$mZUo z0|yhw>(kw*wW2j~(}xFNd=t@q{!k~?6g&YB;n%l4&9aG4GD$l_S7f<=nPWqaS-YG` zQw$L~lmBeHK4`o~QI}g%&}6@EOj&wRt+*%o9^9H#VCuQ=7FN3Sv)L9c7*& z2!pC(w)rFPZmRCc+TfqN%RjkM-|pfI!wgR217My-I4hpaPM}cEt!98z0E&ao)bEiA zy%gc9%HiSzAtVs#IwtUe+*bS9QySmK7E1r*XufUB>NZybaZpGw>P8lDPJs?J09vdE z_=%$MaEV5&4hC9K#3V7LYWt#=m6lcJUjzrH6~EtW-f~>zkA(rpr}=Ws@>*aEL=g>m zt759s|I%7#CCiKv?ad`ff<5VrOk8$asE)ib{d7ayy^BmEImrtaO7e2Yg!Y}M)8 z|Ij0Mb{ey>#HLs!T@ja0^oI?gIUZXrVzg=WrcLIA-MsjYr;{{@*pTf6oLA{xx|j{; zrOV+BB_AhGDe;a3V4W`&Ix%CU0SzF)Jsv(fpx?`v(_lXaz5!1SYFZrk^ZO(=e~t9p z(8j#%*?}^HHOks4W+4r2CezOEqEaJHTmOF=&RP*6fG!v&W8o3`XLX7;2X=|tY$p^I zL@WgkI~0>!^XUSAi|D-|FdCyQhoW`ir1_!4OyRrOZ?5WV7CM!FHH}m>UBth#l{Aw! z+qG4jTKek~I`T4A=y4fgWa2AKz7^)_E?p*mz=EFf>!+}he{hRrPuXWYGiZDoM?15i z%*i1lfn)Q3!7&E9UPGRFq+dD0i=SdQUvS^JxNMW86gF7=51g+87g)!0zF>T|C`lu_ zztuz#Ra#5Xfy-4jT;6*@rb_+Vrk}Q5c-!Rah1NP6=|9x-RkkoOqd8c0tZu3~9BBRS z2%0EJ_wyC08Xhx;2qP&~#egfCjsPtN*ejR~oG4_Si@I&_fMYaFMvA=l#hV5KOqoY9 zNP>w-9qMz=)+(+MoDwp=#SMfT5KX%cT!cOB1q`4lX9NzJAxs1eL}z$m$q;p$#w7}f z*#TZ>*)|xQ?+k__O0W{C@Qa}!TJR!gSltMC72qdcHBI-TJfsi+^fX9-6(a`4f^znHe=IW4_hrLR)PeRghQbEi)$NmeyZ^;E0C$1hQzO#XG!lNI2LXi@ucfQ^|sr8^YN)yiu z;0m(f$(V!`U%$?%^gH{mIe9b{8*cf6_Ktn*n3`uHP5Ch?ocQzqSi15+rvLxXLQLf* z6*)uB^!Y>|B3xPY8XCANz!G$9dzk%MrC-LAxEXs<)~fGRBS`o81{R< zeSYsh%6RX6yq@>3Tsbw2UWqa=H)B z3J>Bgknd8~A52pdf5%S#U*nULF%0wUrmG@tf0;lKx^3xH$auWln9&MKN{0hQNmhJ~fV5g`D3if6HzKJn57 z4*EBuC2$3#BwL9VI2h|07Sx%hZux_8xjYg#zYx!9?+cDgxh$n~Ae+Y{#}9IZkVjw& zf#o1^qabOe%qF}jcnw}Yb_<^%0>9Nu731i= zp^?jmG$3hQiNg9`&r2>O2pb<*n8sHQFy`6S?y{^C!`u`6yw~O8^wC;gopCAWt?EXw zEI{gWo{8(cY#d$TwwV{#I<3CED`KWltxlYhiqY$`T*?~%V9|49lgseY=MvI2lo-)!q9sVonqe^REan*T zd9Qyp&YYI`z+#v-=QAw;_ajUIOd){4ALv`tN701P5BwP47+lRC3viJJeov$RZ({Yn z_(ZCR3g&7cmw~bo$P2B1CS<7I6m`xWRfALjOYl}sVp6`my1dGy(f;hQO6+QUXlQf2kk^w{ zqm*6VPO6J|Jf1AKIoa>sWc;Rmt4GHrZz`2-II-zL*QIifx?9YTR?F56iW{#~6iJh} zg{o3ij9Yv*U@aAQwe|&`FJ5ilQ)gZn@>L7zyCfF-##^10P2x>k;57S}BAxId#)jfVFodrn@)Q?ysG^{hq0&oxX0z4*+&O%D)nShYE zSy;wuiLCP~32X1*fmZ}fJYPZCqCM&ZY{05XqFrpys?N|Jr9mSzFDTdX5$GPp27y^s zKVo6W5Lm!~GSLAzuIlo{y;}%!9?UjSnyk~FX+x?m5rckj#j^twNvQLBp4>|4*XBbe zMGYC#!Q3%E>6M}<%jpwYn1mAOKv915kg9sCFR%q<7ksY`m8T0t-f(>5gF`6BpDW z;8g&X$|x}N;enq9(g_>Ol(rD#=farvpga5FR*BW`QG>*!jaETdY8DO2g*9jg1)Yd` z*k~#qc%rUy84Z;|0l57WZ>{+x5?H+%U_>-Mz=EGBR5R3dM$AVWXD$%M-Bb$DUgAF7m%x=}#Pz-3t zv}Cq8IW-&j!tHt;&;C;OG_kAOBfE)UdoEgEBu*@tnVFkE6rk_-&gBewiZYPqXF-=+ zZs>lHE$5u3Z}-)kzl%?f#S)1ZLtmx*361?iZP?!+bVkPaE}IODCWk3J9Y|>l}MWRyhS*Qn!V% z8&t+RGNnTvvpAb%HIIGTCtcq?l}`>>n>4a2TPhNH)ROabdZX*;U_o=JN9dzvKSOgt z>Q@IkWVHSYb5l|l98z!jx5{;>aiz21i0Ab}%L}avacn40z`@U{xCVuS8y916G^DP6 zcRlD-ay+Pe_ zd4TDd230i7CnSOc*KWLz0Hop{C*M*>s=?@K}bFt2CRWx{tenPc4C9Wq;NMOZll{X<)9lu{SJVQM0;P z$43`L=eek5H7|dKP!W=GG2H z@Ak&k^9M3=qutzDYuhJoU|`k)sUa~k@*@-fwHTtx+gLDtrU6m*TmUM}RMh&iXIlfC zH%EmHK^m3;Wnju8wcFWT79$sGFsMET>bEn3vOpVTlhP{i2&3Lx&ovT)0SIJca6?du z5y4p$3ywLevlfjLi^cd18LU2{5ecF!7Gtass%;79G(h8+oQ_Tk@C`wz38kzTf%{cy z$YSb_Rp;1H$ZcOrBEhw&7qW7hbyZN-ff#w5=ehsc`i9~q28N&GC&U=5z1-gm0qpmA zz7ieY!lX0eYwct9jkZdu#tr!|)SC{L6?q0|rJk3UG@DJbO!_6%kxlUSou!&n)^^$+ zme(Ii;+&5=sk%xv&E!v4$c5_}pFN2hn_TCK-~EHMn-d+ktFzdGGaZVmivFcaw+#{Y z?2L@M02~n5tpYZaWee0+?j5XxSGVw^e2e7o+~Ll*TPh+U-8oWs^&s*S*2s9-t?Wy6 z${Swo)26(D?>V8ZuTHmI*bh zoE>pC8^o`pFCrZNLXZYgq7W;spHH>v;Iq`9;9va?E;ALD8D@NX*`S&#>5Uf zd+B}EaPt5d)HAh^ljT1gGz+F8wCWOf&<?%>Rw)@Gl#S zy{F99nwvI0*)ALW+|SF+VA&7z8N1g{>$~a66G^bK5D9jkBaQg3+(Cnff&7yk1god( z_FhVVAitbQtiKcV#Onb33DwwVW@YiflJ(|yM$~_taCjm+v?FF0dF9(x+JEp8FVnmg zh!1&pb_XqN)#jfO6ecEeJja^4`Cm*jsN(xey2v>9!uMG&GVz0Wp`LzmZNz)B_^vmn zu4r_{-NRBYX-)Vq>&Nt8Ia~0uE?-PG$WX{Q{@3p^he>6&4yk z*6XmtGyS!Xsy=meb+XaeJLMoWEs;%^vU0;k z$NwDmQ!+^Vv_jCi&$x0q24DSWQ>MfNmrKg&I<<9@+s+0z@3gk;JgW07boQ8d?zJ3` z&$sb>jLGR95luYFk|XYz!D24S4(xiJf$1L<5r4eX)_gZsd4ofyUnEHzqI-zTq|-n}L;{ura2YWk3>PsLTpxIC zIEBSr0F4AnB-iT^`ah7@ln`J-w1jL2WCZ9~d^?xb!DX?VF5{A|`BAYVqT=Rw-i+%d zNPJZDpo|ZlH<7>(=<&I_6zmsVKq^vFDCwmyhX63e}X(e8u|`KL@{Fc|n4Iw)BL@ zj6(vwaXbFRpEryIN7>#K1-;oC`>nwZdP}os<(+-=d7X!zH5>Eh6a5U~VUQJm>uB}7 zUp9RUzDX`(DJoMq_lwrJ(;R9`+S7uabj5I34|1A5B+lm!t)cM%Y=Jh@xL0}pxA5C` zTUNvVkTt3`;miwCS0{SUMjeO?<7I3dl(M0Xf6NmB@SEaJ_FQBHCOTD#HTm|bf~3z1 zN!vWiaa-H+=3O|6n`_n+_{8L;s+JbFDDMoMLWZ2GEqSaeLp@C6-i4ydH}3s1GTeu< zWpmp#cPRFSC1o4FC{k)td0R*hw84Hc32c{2`+L9U4Hac|o&8i?eH)|T*Hag)6ea1B z(%~XQ(~37Pcpjf&`jb7BV+=u9I~%g)C&^~_KsN~DL_{R^ttu5IC&LPAdg_P{UZ+JQUn7A__Zfa$sIka+UR22eQ$6^jT0S(- zdhA|rS=@gZ)3GhW$X0Icce?|=qJJJ!S&?y|ivDZ&knnu*Ku@S}QbxkKulasR_l% z|9GtA-!PV&G^62_iU;qCNX`V-=JY=4CK8uFy-kcC?D;Y!+`PnEzarxCPfqHkfxz#U z5z5k9yjJtGP9^QEv(3|Yv*L$Z+pL}#X&i_Ss@ks}=_Oa)q+fWz>3_W`1RlTsyLSCI zBq>35<2h;b6GerNO@?#0_d*ELJP&q;A9$h@>P)Z8znU5#@24fvB@?{-n1QTaOHrqU z>)rhrp@AvAr(e!ObLr(ZytL}i`x5>2>c3|_Pj};SxAL~kO`Xy@u-T1Pbmd|@DL3@~ z{rt99>n$8M*yPeKq)X8_ae~-s5tsrjbiJ{shjL7aNX-DvhDaP(3}8_Lv%tws3kQ+^ zwAiG@w}-)20Wbxl=5!KBHoDrSIvi+-^8Dw>>84Oi7KyjK`T$V++MR zXKLwQ{4b}J9ZJMof1hdiy-9jpqi&AIvymR1EN@)}U4~R5LSW*QSMK}X`d010g4cz$ zaA~42$2lDF?5#ZeDN1ibW_?eZ>r>UC%Y{F@6W)r(opyj{5w9YJ{BX_ju3Z_n`$12n ztJO{i;^5sT;;G)VeiYi^30?}-K;^3L95NQ8v40beh)fUt&^Ha&n_KpbRv20Bmgc;5 zk5-;TN%lM@8vE{^)yDuetZ}uUp;}}?!SqCK?5jU|OQ$Ul)%JA#IJ&A?a*Vv$2y5Fx zPQ5FgDLZX;Ei&YdaAbd^tKvN-w{Dr@PKMDB?`#cUdQd@@DUbuH=T8kaZq|aVYlqGi zNq2;|39#shR14WM-W)2_Xx9Pl|7nW8@X6$($N#rx*RJqdpTQn~yCwp|u>H^;5Jx_V z2Ff1tiognNX7#=}>ji#PJU>npg$gMY$_OB_i=;&_1L?3Na4mrJP`rSm=w;Mqpz#g- zf(AdL^ao2a3nl*NB2b|S{PDqTt7}(#SU(!|Ky$?h=j~nBnfZ4ZQ(R`x_`wp{ZAi$E z`5}vE8)TjY#SeEhJ%E`*)7meGlyt6&qKMfFX&{&J>|hf)MZIghFCkN@V+yKM>!vc7 zcq#p$jnymN-bMdaoZPcVN49w5b@Aic?r2q9!#U|JZ#;`9nn}gOO0Yyfp>2^{1Iay} z$i6J$^k3^L2tVg|YeEJBSlNEs>cZ~n4c|RxwP5TxEw*dIN%k?3wmjt3vRcnZ#h>-J zqZ+@i2hbqVoa@?47&pDf!$@|U{c?#cHMJw-VYOMjIoISU##z7p_J?HxdM|i*J zM5@_cSamSfjazwwGY%B#ePSYO7?!!w16CL^3!p85WT-p>)zC@~4J5Zx+5#%$08YhV zE~dr>(C`QOD?UmUR7M}7eI`(mL9IF{dk{)+6w$5#o}j9>i9%w1M#;}mNpD*vmm%YG z4T(C{MREM9hJsa$d<#B;dGaac!JZo|M&rx&j@uhjd`q>4O&C+x-izy`#)1tC1TUEy z?#%k?x4$EdQncE?eoQKlC3Q!s_GTt%{k9d z_utd`JM`2Hz5Dmn^z?z&YQ|CPS?uOtY1VeUv1f)(pYKUq9$rS6>PSm1Wpw(U>mO^7 zzHMQ8tKrB|j!br|Rj}f2ddkSf&yk0VB#9#;u%!WA+fKqkeiujv4KGN4nWI6 zsOI1o3+ia#+tlnz^+4AeR49cA2T-FX3>%1252AC_@V2wr^FS1TI0?uoPPYImS!_jU zj3Twt6SdXb&7?N`-FYBc+Ltw-TQoy%aCXEy?ZmS?-G8l1?(ug_WpUcXUp)E(FuRbr zm_77KE-O`DYOqMqx3Zm%6cn?B2O9OWIR4_IP@m!rI*hxg=8Ke!1?}>ClWs{ zOSu$yo_Uus=9t|>?`ex~+gh>pVrNIg~uv?qnondFB3FC)3t|9Y|YJ+zRLeGbrriN_qM98)YnHAwp@CKBB_e7M z{iC7VFNrmYx;xO%fUbFj2}lczxxo9mZ~%*coP-JmSiMCThBs^U0Bj+1CWK0SE&#G* z>Fgcm$D3;2i-ck@o`44377(~93y7o&+ykggF8ahEEX#WL&?Gm8iWfZbS#nad#oduh zogJ?U-GR_aX*p(TtG_Mu_+lKwMvbUZp42jx1}RrDi(DBwf1Ck0xe)`VN&g5I)UHe3 zPO?;*i&-dObLktD;xD)*an}_I9V8@;MOl6YQJGyL$q{E1y2oSz4GA1)l1x_vaxPxi^CBJ1)7M~T$^`}^XJB|^KW zI>aKfc0`_Hr@Xksop(qH`$%cYDXlVjvvSz} z!P-*;up4Q55I|>JhUs|0CKGHfZT_3CJLsBZ-dx=4y%;-A+eB|MY`{i*Ftbxigc9Sm z-(EhLEFv8ZYXheRG?rk;#A{VJ1*GiLT%qG*B2?K>TB-q^;Q)C9Us8lHu0O;^DZV1o zSkpt-O%R|GxRldD>6RpZ<#kKWlzHU*l|gI=Ff-Y;xGPqTWii6LxV8N^98cTe3&i3UmT|6+!vmv11_ z748-0-CrtS5Pm|w(Q|r+cJ$}%lzLjiHCi&RT4tYEsu^~wELY6cy|k%IN>RJEu$?oT zw#__IllnBgl{jljoz+h~AL7{<46`Dr5jRtY5!~^)Y71mGs%=ZP9+N(hAD|9@dyv0d z6vh5Z9cGb_`I z5#De&LN#$iJ7_61(1iP9|l+%2A zn2PZvcmFYkSsTXK@aR6)(APW1#$1!ATw(CBCf*1R9?P`c zps|G>lq}a8$P+*jQy!supMb{w|M90`to-Gkf&E!IweL8`$T_xp0gqW{#K%?RZI?Fv zy(Tne&6k5|My|&cHTZ(RT+Yl<$>nK>4wRlqxDtB)@1RvrW9nioW=fAgHTZ~;S`)!3 z4Jj?fJSr=z-|T1*m@`JS zM~=^S;!N#>>u^#snE%y{=GDoM0-I&f=U+zK5{`CP0wx z{aCPz5QJRLdi#p8_$yk>b*nP)TtTpBjiJ2CV!#RH8kg%UH3c-rQ}MTbxpFo!2ZN_e z>BnH~&X;=%w73Z2Bh$?L>1)(Ah)8@vgI@jl?|fHR-)?qst8XJ1Ibl=X-P^mmabs?4 zO{ETg1wtlND7Cjr?0-?RAHz`@^V4cBn81TLrby)Xt88JO`L6i!&yfbZ!uFBDi@q~d zEqtxLOKWBRr=)R*%+a1QOOM*|ErBb(*;{7qKQn*a&(!wbwf2AB7InHlk&sxjM7!yR zYNt!5PqBAmOqK+;B;kJJY192T_Qzu-urqV{_*P8uPLo$I8X6kovQjk3$uo1xSAB{s zo<=*8r7BKo903SfdN^J7L zoQ{qvq;Md@O@{x$sTTlE7c(-@6UZ>4_WDZ&XDyfX)1@II3O33CM07DS zT<}kc!TU&nD23FzpjiR-8_*4lvE>&CA$I9N%7B^-9hwjkcrblCZb5UXgNn`)8Nmn_ zpW>A2rnNovC?=x3s7j;ZHgl=yao0D8Ya%|zak=7NOoIxPMkg!ZZO2<$)Yd$ww{sYG z9W|vW#79ALY+muG;#t{n_S`z@&w%*QCFP-%xwZJuKmbj!&c4SZ3nS_-;PA8pBWC$jW#X+7DVE zQ7`?|Hg(xerP0ww-?dWRfE!4|qqD%S;{D{Cmm788iwkYRI%hrgo0CktAT zi6>M$)gtruPieHYzV$SZtJxAsR&FjAhO^h;^nUFp{V#(qE{;mVjjCK={W)UuSwHCP z9s!GyG?8=nqJwalB1NHRpN__Zhf=nAsL@UegGUYgWJPX`q7{|@R~+4In3 zPfVcBT#T&{H>&v*N*#x-lNlutv5S6-$6OA4dNn)#>LPJhdAO9zL7~A4 zftP!yi+H89OXXH^Aj~@-i24LuK#LHElLeSf}{BYol6?AIg-i(d7Ic@b|2_&5L*rRjmSAek0B2HSKAqe~Y`R!T)uf6bXA~NJLv_1XkpLxC- zKIm6_qajD_&HY4`FDF_2=?hDe8+{n?#pz}}?1CIYMCUi9!?Ob;vbbfda}-&8ej^@y zVb1EWN&+$oHjUBZT`Gc^_D{CrPu{O)A7p%yx=}RVAPT;AAiHfvWi%e|8=k#%<%L9N zQTgh?8*Ap*J4_9nnA5s~`=+#&_klmB3TUJCPQFCe%wef8BJh6T=0P(h_J7#};Abef z=I>PndqV>seQ#qJK(tXw(7j;^j=KO&T{`N~KpQFlmrEAxWaR3o!CW_yu(yd)&yN!b zVsMaNglL$!yUquPrWa}8W5f-hl@X8(lmiakmYqU6<_f6gX^MC?q${ViqI#X%TmR{b zF~t+#tJf^Gf}{`RpU*%u-OR#GKw|Z^bO0J(S|Xt*%kkwn3|%l=7^$O>&l<4i4!M8> zbAFW^U~TiyJ<}n(tbs4n_@i!y2yZUP7JS(egtlIvNH>&V~7XGQyy7dqEwdAYrNxq52gw()~i$HkHKtnCUv05o-3 zL|&DyvqpBl%fM~toXg!=#iBr~CoS5;C|H6ut-Scz-gN>RKYs2kvS1Bp{(__hMS#jB zK^X+t7+9Vtd=JD0wNDx{SGhctqoxj#YCWhK801Yted!&<0{CcPf}r@7mPw0w0%lm` z0%x%(5D#$_QXJE4Z!20@RN7#)+C08d zWig6}etz7+VqD+2olq$tnHA_k8TRTKX#=>0mnXg|Yx5Rn%cXrmYe0=6Dv2!;jRR)^pV3=` z7P$%;YFr@zRk9xCw=)j8j=La{8F1!GhlMJG1|m5uhA;+OhAIiv=i(Dj$FTSWE+l6J zgj=8{AfiD5)*)5^hbgviPavO`$>u}NOQkq;!CR}ldN{y-MBa7@`{~UjyMzC9bo^?q zu$Evsm4`f2I^j0&cUvwnY2sY8#UG@MGbpB>ZNaF}I-iv^ZdGWS{4zb#(I0IvO>*|g+B%Cs=q@yMy?&>Z7kHl}3 z_(aNNq^q%hR=2Z$*_Mi5l+E1}nt>mMeCn`}B?xv*rQPBo?73u5a_f-vtxWI@;uc)? z_W7A)@>!hPP4%in?FdsSNGPXE+aRS-aQM!VzQf`T4I$)&^JX+Cuzfj`*3L&Los9QE zi5J`EF{{5PJ8+ZwP1_uFK+fXmH&iaq25x5WsUu&l2T+S&>m53i28$CjIhFP!jk-bc zb3R}w+klJz+r{IIZ{h>jA~2^8#3Weob-?>OAY(uUAa`1fl@h zPzo#tYfu4s_WuaKGC>nD2^9UoHVlRiIo3$*Qt&<@xr&P3!K?)2^s6!X<>x<>a8iM z7ij#Xw<^8GL_~nZUP^<-X(4gGRWYr)D>lbIkBdK3rtfa}zcC%{H$S|s_Q8Wb1_vxz z-Uie((+6@t>&nU=iSc6W6N%X}{PXn%^A5Q>DuH~pmSgi8sa>jwh*mT-nW^5m{Mn}T zIq}HQYH13oP7D#Z>siSa{2dNy#URH-=n(;`z<4C&kdBU&$Ib5(zf~(=^bsVBO;4U*Q7y=2Ph(1Y+fJ^=5o|Qaihhcx{WEG zrHu@6AgzltUl;794}wq3;W(NZzBp$2hc06oA271Lk=hm!o2!iF3v^VqOGq z0saH$_2LNKwv1(F!{{6fZu~>6TEp68(H+5XCyf$xmF31bIMtoekoymy>6ehxHUC`W zrJQuMZmewZmNbt%7cG@BOVN56HGAa!KaVA9mpUGlRMaKXItsQ7PMBFK4_a-OesMnm zDYny=c6|6JvsCxg*KcP|eM`y0MuyNjJ1)72uYK=5)Yls$9T-U2H(Y%#`mG!ONC{(> zv=%^IT2^Mr!>gzjNE6(i5+=^eo=wZCr6M2-Nlc6KaBVL?>E4GzT-+x?zMx%!Et}To zj(S%_4o63yHsDnkoZ|~MqWb&Q+=1-?+lkH`v=OhSY1KB4Dy8G0=x#H4bWRD+`X)5e z3k@`|4(=C>-E)QBAKo~$pCqw+2bcdVoyil%jKug+Sg*&2&P6Y=VRGm5HcuxyoUQ_p zpFN9{4bTHiR6W|i3U`{I*`OKZ8xZhy@^gl0=YhdoYtSt6&{EHX&Q2J{VYlb<`2MzF z3R?){I26SO`eo2QV6-`FG6%CyO6Q5=wgXS|yAs2D<=m8(K~jRvUyUQy(b-Z~`>_Og zHfZ=Fw+2)TAYF)35%5BwXcgPw!-GcLp)45M=}3Ein@Cg^Rp8HT+cZ6pKwTUZKgv(M zLV>{mAHz0i5rglUP~qF??X!IP195OkpFo_lEe@SytcDT9g>ys41O@DH}K$RziM2Zpk|W z^;-0SGgA)OZU?$HT?bIf-YY*3K>Df#ez~oVTlgf`9U8MTy zkQK|6GuQ3^ytRsHqrK&Jq4|@?pQe8_?qqvL$FjDX#7ypg`037tfqSNXW5dGtl#bDg zT7zsKq57Lnb2{sNtCFmoqp1ePGU+7$r34LQ+~9kPxo!HXU6ho5io25wzv{GmxTM=E znY+DedjkbE$&3bM6{ZwtRA#4Sh^Y@q_S`q>@fc&P+)yPI?VtFf1Ydfp_l2$X?(_ph zoa@_b7M{Pg52hYgor#@VN4J>{=hXcb`*n7dfi=Yq%Y8ofE#x#SJpi-m;U}@7!|3$P z7VEn@_~CJC)S0A>GsFm{^xL5Hur_W`Nkve-qjy4v;ko%C9Sc1~5c5q#*9rgouTt=f z_}4WEe4^m3{MoH6QxV_qFPqX(FKU-^@PRq7N5Wu47iU z#hYsR0+}M4H*XZgR;xr-mzr(5q>jgpkFF7)h&=2P61%E4hUEGC$4I@ZFFG`EI)=Gz zlp00Os-`IL*yx5$pmW^g2Aa2WtHp`lxEai?WMC#%2j89!4Tb2e+<#R)auWrJi$V*; z3e*_W3*NU#NM_S}EhY-nB>aF|tk46%lS}}ZS)%edUhw~%2S(!wOgV%+d+yB2?gb%o zo$jw8;yNW)o?=!3!@xXLHtv5Z=Uea=GyizkCf3M&B>%3|Fsifq12Ye5JtY-@=2OaU zSq<9X1zJ&3Vf2csIKhBNp@-^K_`z@{I^i@ljf0nhSq>1k>IbNLB?Fw4g4+2cxB_zF zGyy(u4W=GCl05`Nqb*1OrDZx~v8w&Os=t!j4|AOXETyRwK!oN@%rBhQqZ0bp9@>#V zT5&!YcX9lRUm4V#gMA4gf;>}lmLc#scx(a$wBAhvHZ||Eg0?3+$6Bg>HTxS#s5|Id=LprvY9pc{Voke_M^0=B&pwNS!x&=@y2c zsZwiLMSf0lCRK62z=mbZgI&fY)a>5-iOG*y5}}HF$vi>Po}|R$jcasGFF3Gsx$Zaj zh{qnar`L%|sP8wh+*Soiaoa`R;qbU%`gnIP)&ngu&MDf{CKUk&M$~cnAg3A@sh!0g z$!uD8x}*!Xh3Ajo?h5}5NeW~<=Mpz?QXn)#E0o0e5WVYbPrHZuc3x*{pH``;6FC@| zkQ^!iY-0z(7*=c^a0h%0n|eT9;$r+Vq@$t|rP(br8Pv7=H>WRYFWea?A>z>&5kjl?o z7y(BKx&YB9O1ecGkOLr4rm6(P_bg@=YFIXIc&GlS#N`8epYq9YK z(j{sXc^Eqd)n8rWJDvwDvZzoq@yj9{F3=9`0f(6Ng%sW8O>SE6F6mLK6& zL2RotV79;)Mw^B0wDh&MC4JLF&za`AR$o5ggzfnQ06hdP=eI?`TOH~2ECv8(`52}~ z!Xtvj|9&Rhql&qLtC{(r&K>;RnZ?;yk2Yrk^MzdM@G}a=1jQ$kTU|l|(Kh(5)l1cS z%KO|(s;6(BS6cbel!Rdx=th_sfCz;Q&i78MJ{F(LBNW31fimRR1i|L zv!bANPT3W;t}(a*LDGGR4ZX5?(za@8rbs3ihWCQi{SuX;jvjorUeyK*fN_b>n{7?~ z$HO}J*wf&@ zgZ+9jxlANR*xvm&&T1Cf8pRRUdyJ)}PQIzXnW*z%G;cQ0bEM(HVhuM5NE|Vlx(6OP z<_64yd<}!iH#qt$0&MTDA)mx`%#s)87&DSC@WRX!8MBU0z+W=u(6LbvFVZ-_#~%Ip z#Lk}>5WE_@7iFT0`!>#H`rwG^gr^K$vf`;Xqj>Nu4JSDdX{eogRRqE~umDe*;j!<8ew%AR2knj~U~( zYQuf_$cx0NR<%XPfJ!7+P}CL!AQrpEIE>Z2l_@ai0;>=wVHOO%N+zp=jgkjub7A1A zg)mlTV>b0|&zGO=(_KKy7+6r#7z_M=&$cKSXy~{3sfP$KyWUUN zc@Gi-icWa|pxjV7VS{KI!^5CJG3Z1U`dp^v21mGya}qwlE}PRC@LP0{k=}GG8Oz{u zTaMcDhp16h6v_%cttBjYn>-clm}N2`Ou&!?&NHz*S1!d-;=XLw**r-DULA>C`#Uo+sPO*Ol02)n6FN4!s^0Mg3F^Ah_xn%YcsASR zagFe;P)_mRyc<(bt%n-}IrPZYhOMvGORgjf+UPT(&pjVqzjN8Zcs-aL9!&Km=u2+L zW@MtwB=9`zAGRcEE<`8lgRTaqs4Qca-%yI~NVj;9qQ0e3fT{>jz)h6ewvpA}^J!VY z>>AjBjn5M4T%;3|)RxgulqnkDa!lXx67ZdHqqW^^##ub%g2*A_x3!is%aoV=i%}vB z2^19X?+s9W=0Ow?Io0>}0KJ5|2q&>#PU5i*{%xJ1vV+kX8(yO)neUdet}lo@Fk*u6&a>_@b0!*|j`j0uMsZpSHrGbPoX{ zqW&_<8GfbBR^~krD*^HW0(Kt!TvY;)n<{T451{V=qlTWzVl`zuS2EyRFk}ZBVUgd| z(gTSA-hhfSD$s_F{t;C?Q_$$u55PSEEdoS=`Fd2`OPjZ#aDg=u-spsXcFWOrb5z%X zVg|2a5eUt-pQ;*`Qc?xs+uY%8?MF*&qzO3XhGEd7RKU3jrMn$ki}DQ0LNhSxJAnRV ziI8Eg3(cs*Xf>2UP$xYGCupin*+{i;D7%$}fBpj>)zGsJ|7-0Q*a39M$?(Zq|zC?K19k;nWIlF027gRLV z24Ih)P3qB041JC4O78sle)vWAxZ>T5DCe+jkdOh_6FEm)-uh*~exM{VQ)(w{%m&_r zC~aY#fJpXIl*kF>{a77(?#o(n?a0&umLPyUYEPmQ9)>esKXYR34Bb$o+4I%FTdZU~ z+2{?60LO%mm(KbrC<~5%x?5ELE-LMrzcw`IbBL2z5D(A@$a76~DFII+Q>)o{WaSO1 zt!(CK`kxA2ub9?k5xN~K{3sS({a|>(CqVTRs4-A{V0wX%;8cFu?nUe) z9!gf=BjFIrVW_VOOavAiyek;KUPKN-?Kx;4FrA!_uK^tbB{VU*cl@0_I-CVIJcC6A zr!Oc6czU{@swntK1vm-%b?^)T>~08&Yl5d3;>X(!Qq zu7AUZ5LcDHu8R}avPdOGDC_nQL=BBfE=A-1mzoDOgi4Jvbt(&~hYH|Rp77tid5@{{pV3-+mhpZPQp{l#o{&fg}96}xN`fDyuV z1;SUkfPw{@2wXtiqRLsMcmjB56nK`np&rm&r@DaN=#&>~K~NV4wN;>f7K0IBt4wCK zDKOF8VcJX*zzG6l0R}F5MU#sR)L-O}-%_hdVqGBRvf42$&_(`s3LCs;!|Q-^#$~!+juh_YSy!RsWK^`l6ORr! z!^}8bqE5Eqp@|wYTdI5G<-^j!bW0*Q49pooh-m&bC=uBDzw0wq3ojSOBm6w^9d$6! z+YXOV71Yotsn)n0JhZSSy#}lJUHZ!_$73&PZrZragxze7iTSN({p#O(y?vy4!KofI zSvzo6*!;nC3zQ)s&!l*MZx9*|vBX%Y)`3ponbHI|rHu6-@)N^l8LIE3_H>suKj8{* zC?^Ko)7YGAqqR+tvh%mFCae5;Z%awQ{n0~*9m{TTri7uA3U~79ijZLLJrYBLsYeIeW=YD6huqeW8Bk} zdcC9suyA(7MUzKV6am+ax?c%`aMzTCjs=4~`VA@z6bZ^KC?HKB04}H@7yLH2Q)3j& zDZnZK769yk8Z$Ho2DSoK7D81P!Rf4#*G^YO)R^Vc5NpUwQ=#^#dzFFolrjN{1lZ1) zGq4G)2?cU^K(9D)x(&e;aWF@6*-hEn92=vSLQzSzDKWA%>Awysh~=wG3+&?G@ktYB zmRl-*6iu8I(H1vdW;I>LqIxm8J8dk_1bueqA0&CA3Dv0-b9i`j1PNdAz|l?V_*@jL z_qwi31ERs^aSnO3pz0TLr^LvZPZAHnkTd$78WML?Eh5DIIt7~k1VMz}>(yTK?$bew z?lmGH4*H5y@+DQBh{Q_;=ZGa3Wz5QR$iSLqMgEoI1slz$6_w29N~wtKJ%W=P1d!)O zXh0(#zfLoHuZ189+StpVtXD)gaWYMscSilBOq;rT{sbn@4$TAK&EvaTOXL)t%HRE9 z|E8G=+m^hqdnuu7`iwjikMIs{PPxnwT^47@Ax#PEG#~rU8d@6dbvVmZtBDeS(|C2T z?J8N!kfV>xhbPNAH%fLBSXA14)+(OiG~kOVHv*W)!Z|z$FZxKPWPrtv9mg@CZp;GA z0PtTH1snVvAUa450E_V!oUZtRX#p9lTl9mK8{3bX`G=y4@XSxQD-`rg9Qfxz%akT+ za~2I1$_N`E5eM1B0BB0QKt?SPYR>?$mDWMNei%F=_&`IwotiSYv&i<>9|mH5?@SJb z8T;spjw{rb02pQyu{kd9xB2Y`kmg|lL7Z-~^kO_%nPlB*l7On*xZp&|pOqJT;QHw{%LAXLD}8~jjIXk*6pHIl)~SN76Eszzl} zR_|bU?yq5YFO_(x;u1wHhk}RRR-y3wary#1?HXtT9)Bv>OHx992b5hC_sGv0ic^;m zX=`OH*I*(r;z`$XIsU2A1R9^1(6Y&`0FPJ_4!#*toU1uV~ zdq0@+LN3jYCw%djy{-L8$A4g7wo_&yR*F&STXt5dZ1AdItVNRoDZq23rQ%n6&hZ;0(vjxn)@#`Kihl= z`r-iR84Op+v^oj=mFb~$aFT6Cm=L1X&}Vl3`5 zVLBNcWP#b0nh-yA4#z@xSUVk)Z*6M7m63!_XZP#61B-&!1@A^MB}R0Z3dAl?4Jv|e zo$?(uyQ?dj6>&tOzpDbSj+jcqnW)(B)@~fjY|FYef2H+V$Eo!Q< zl%t{XTEoK=q0f(m9*+)?es*vd>j1a3t#N}7?*&)5&pU}V#P<$8MlyfarTAvvqkN%i zo_FqVX|L^nJ&F>Hd>RkH-O20u;ll6s{r!#n?}{50Csa1K8J|!jzdCivXCV1vOTOgt z;sMpJp0CmSC*Hhst|MrLP!8Rg=P&%QSzb^RK=^X#z|39o@3C5)BR?nk@5LPT%+Cjw zZIskuQXJ;SGp=8*IQDaQ>&RYwx>)?GysquFl>y3V3t;vCby?H{pq!H309~g&zMtv< z1DoJ<9=V4Hx&n;>Nb(-Vl2n1}_%$R5PZq;%G(tgb3Db8Fkl`|6$QSej=uwE7{YAMz z@5KyMR)Ja7G&rZIp`uz&poR!F$7mIc4<|_=|xa6mdteuo&hHsTn zIZUo&x~sTJCrs)V>!r|`_A7u@L6B&&84@yt${RMAbfg`+@!2wReA%V#!<5bndQTnU zQvV4~ak#<9^B)5FM2=-|<*J4h9o`VYqv$T%L4PE7UO@Y4bSZS3rsZH-jK|*?q>;lx#E8DQb zVzDSh?v;gtz*0OLwyb(?ElXVedt2B~%#H>Fjx^@BZxr&WZH2K%qfHW7IBYpB#JrO5 z{fS$!G5{U{&4Wz1E=S$5>QazQ)KNfr2PB_=5Op(wD}dAhyp2Z5sCa`|0b@Wp5%o=m zsFsRNqdxqntNQf{&&Sm;S&Lv$NMfILW zIf^!DVGUaWaKYQ~E7=B6!icBB=ryQR~^RlH|o%Q>eo>2&_)TLeIXgS!lX#MXBR#UOJIYD$N?5N4 zOwUnkA=9NXcQ{Cug^I<2zWhz0p+{ZD;`S$7IGe=5P91fTrxi;WEz!GRe-pmYKnbZ3 zI#S{W_^g4@CZ}KTx<97gf>M9+++CGFyp5EouMGCf4U!%#_AlDw$KF@&R>ZyusGm@G z(_Ja(3a0kR|G5r0Z$t_ERksO5ig@Vik!%YNK;C0)qFE}SPg>XzTx8Zh!@>Lj$k zNpI3^RH$TRG9gKE=zt5rUO%X9{RgYW;;6CEh_-aR;?8d#o93^azW)+`NWDPw z`E4~6=WYyv8Vy(^CRrWICqvH}C@j(y-1+D#U}OeF;}oztiyjJG2=2=N3Q@OdoB%vU zNbMY9HP^X+h^E3j!ykS3uL#z7V#d~ex9w8l%bAj;Ian*()cxwMl&|x@zkhQQnyL8~ z?U}u=x>Qxy{@w4Jh#;+DeUr{rL3=W0OLVy!d$Lzi$3SMqQw_{tD&Ys{-;%MGtIhWb z6NC4Tyz@oA7Ydp&w|Jsk$N|CGHVg{82U$b@vAJ={8@qZ(Q+{dHx&GN5UPgDu`NI@e3+=vubt}Lg%ZMk*o zK#9I=37<=SFZJLKtnnv~gy(&yLxh(X*`ogQF(#T*S&ceVD|_lxo<@Y)j9=Ta|Mk@G zh>Km1K18~%9XtQ-EwcG}aedQS;pg<3_%W3_eaP)@J`PGnt&%W0pUa#YOAI+ z&F`e*!K0`H3;%ySeG4?x|NlRl+USB@qug%^rJ|Bca+h1hlv}xU6GJf!V^OYAs#WCH zg^z2L>ue(wNkZvb!4!h#nB*c(wt6Q@K3wLobPN;=u8 zcqr{2r;bHK4FCRU>PM=qdQs8^s4dY5ig5u7{0OdQ>|GiVYT4}fu~gUR((f2Ue3@i4 zu!*eq?K@b%ODxjkX+Z9M_$uI0ri&zDJ30^nZ$d;)0;QBb0ju?RMr09;-(W3*4q1hk zhw623#>$pxSXn2Ao~&hL?Dg8R>CVGtg=*>)6d9OqO?49NF?U+~oSY;ly?RT!+53lM z&l2xfe{i%r*vylluuad_m-OV>{kU5_)00ExWMq7*zWlk(%lgg*uC}HI^6i7?BCC(L zPINVStY zZqxyS(wZ-R-UhO$+#Cmv2gp*AB{r?Tggl!U;IqRg#lhfhBr)6{i0Z>B*DXsyBgxHx zDXiAe#rThW*wY_OR7zKn?GIiXWi`M54Mcjya0w_bLE+yo^vrw8J>;%QM~mL2?!5+R z6_tbKKwc?A9Awe6g3_Rt@~U|ioAQH|jjI-QdR_l~#qDIfX3u@f26y-&vI4HJ_2x%| zP1hQsam23H=oOD0YU%xB!^JgAT;IersZ#wtpFiw(|oNqXG4&f~wJ{I$TZdi{l1Th&na zk$*8k<1N4EYf(iqDBHM?j;InD`A!UgTu77&KCC~u4n_iM{h;dRL6{f9&{U*u=cV#T#VEa-n@IkgAFcFvRsO))8ZB z1p-L*|DD{PTg1*>iaYjtZTOBB$xCMS*np8MQe?)ZD^O(2n*ZKRA@(Fm;bGu@Wa|%m zMkt3#<`YtZj4AWk>*^(8zW2|cDuLg%gC!yE{Fd4A9#9LxAfLXCDpVH&?Jr?*71)@O zP0I0Kve5v60g-XGb2?9r8Kk{16?af=3gxviweMQOJv_Z9fACj9hW0I!s96QSYzi-j@X6JMIIYiy28-> zPowY3WME>TD~P>G_k=jIyYoF!j32FGKaNa(+T9DBgD~Y+Su2vfNp&aM_0~Dw@qY7B z{2T(^67%Iz`-=M#EvFrVxsbjsv$0d!uqFW=!|7gqXf1m5UnM;vRt*#{@T*f5j>=9C z*W&e%NTCYyo7Ve5Of`7`M2gVMk;qPk;Z^lh2UE{hf1i%sRAvM}zB`oy&^T_-4e&x~ zAbbf_5I`j~0kV8zSV=Wd%2*P00^?RqZn@OzTRos6Is*3NK_>>DZBxgUix||cEVVSi zqt9Uv=5on+(_9$fA90YI8^Q3jrJ)+s=v*Kgd;~&;k3o2SF}k(<4ju|pY>;~b7nwvj zymJPn7x)HX4!GiyMy7#wIe8QhuH}GuN5C*3$AIAk7vlvG6!iiCa=8`T|5X4uKj$yl z%JI97wO3jPLF1s@q~#jPKdY|Mk`~cAP&OM?CcYc<3x0W^$S;5t8v|3E?jR$+_KP(N zelO1)O`HiL6ud>q+Be58r}o?qdVabkdm!zc)F!?w!&l9o@65mu&57*#p_CR&7szeY zg_VbrI$s-Ur^L$K<1>T-L5K)eiEvCVAN>qOXN~q}FkcAv%4dq$k*kAw3DC4r76}9; z|0P-|oDdlP`C{r%DaAzD6^Qa;!u@r}o^YFGAD%~LG!F+Y z$M10$Q<`I#U-ck|UetK4ibiUEqj>G1cQqX~vk!YBQQA*MK`sW}AE@Z6 zxd%%R0cb7%GIRiU5(%tCfQ-Zc>d}92qef`7Xrc?SaRYN#_u5BE08!FFTu|2pM-e;& z$mEAyMM2kf1b}=0jt@74dVO5p03Rh~CjBN%uplmj;3$glk^w20qSg`LXXp-mbi7gl z2L({SfRgFIqn9*l(}ltlbKjY?W{>*=5j)hTevsIk#I$8WGYG0t z&D2D{BGBBHf-$jG_{I|(3>7GK@+&HAy7D_WMXrb-pp^a8Nw23Q0XvCrKI*q{2GU|Xf2Hei`2m^Ril=pzvX&H~H8RcKZgoRZ$onE=AL$CY zyJMH=KVXM)3VTXE zVeCeh9l!biI{(^$6MO63S5J4&)dQ=?&vujDgyRpA7{}sx9y9-xTr-c%ecLMuPPwp!5n(9spuTav_!c=Q3zFd$fn87CIx--;=oQ+9n!A#=D1e6%00rgsmsL zg_o-ti1(4XZIIlKQRhQz+a2Pd_N)9f=b&#Kz!L)*@pB)!d|-ED49uF^K^@L*JLZVJ zT@hLwQbdjZuCv%9v@t(2(?492ZLbb>5N?if3UIe6+{n$vwKoPntrhDEiF7Q(A374FRI?bVawJ!Bp=zDDlN*&x7S+ zhni#gojmyE2&#v4&sJaFJKWNAUs$S>ty2tfabfT5_!dN;fbGtaukZK5sHw{Azi2c^ zSZ#UD}duBq}{&Y@)ao4u{&jh_Y6 zEruiza{3SWmkZBp|Hxh<;i+|?FkwllmzSOe3Fs?Uq{Q-fkH!S?OXiy# zxucoQ%vCb}2m>3=gG1-T=2yp3)Rs1zkG|xMaPKCOPxhU@b-QlNXYOio?~q~hDPHra zJFwfO}0W4CNw?SI4Yxl5BAJF1@d5GT*)ul&2P@vfUI}JGEm9n!$fY6N^kNxv61)f<)yxb}W%WfvNXCBR|%Q=U#eC$$slSQ~-Jjf54lb z-zC>8)hcb3^rN#2j1|By_qoiSi-TC15Y%!McX}%6#m_^-jh_Wz0^z3|T{Y{dM?gDt z@rplVe=O1`|0yQns4TGDAUah+KE`NhJ3VE|!I#x}zwF9$SN%ha!SIE_9FFl_F*;+n zbV@A|cR4F6YU~_r2T!d^H5Jc#7$~Rrvc#S>&k5$4;~`)qOE<4aDz=26Z8xenR~*c6 ztchFRUW4nI-(!V}NgpxCcTuY;-NKIawojC}AVs5l`VS>bTt^Q4nPuK%h+AQgbhN?v zy3jK8otFG2Fv3SqzEiMY=Et2TSIGij2)yTH0^t zC1|##A`JZ zBUGKORI5kI^`_SgC*!s5=S4Iy)q{tY02IRIwgXd9U@-`Mw~hnU?EeEDuDCC?(0=9? zA^K|A%G(q30|FEBpKm##1(MJq)%Lw$q*v15tPD6#L;j=Z$&3`xyK={dmaCwKqk2`i zJufmAT!8F~i8}x_i37+i7%|F!1KbqQ3(U{}MIMU>6QO|V4#1THoQSExrSpFB(b;GGny_}M= zk0+X-NBD{SuvAQQ0fKY3&>KBh_LK^8PH~ZGF3oX}bRjiAJmlGTCL2h8T$F2R<mx|+vR)>?@`2Eo zPOzim4Ov~MJ#`ItA#;Qhne~(vp0sxv^R*>!-kU<+?@%4Xx}!d^jjIBkOxUfJHG?%q z-r}|^KJyZ%t~5`)+8LaB^Kz}nwP`XM@#pbZ313+EWi**R`gT@r=(U>JiQv%ZM;{mH zeN%j5WL15|init}a`?py>DBB=GoZ$6gFXG@jYJG_50Az9jPc)4Y6SE`jCA_1BiI)- zSHBET)%5to&vf`dTJLQdd2xEXy-rz8Wv7q);6*9ezUgK>nN<2mSx+fXuCsdUq{2KS zItr^e_3~lBJ1gonLG1@GFEkhTS+kNz(_6tecjoIX1#1rJ*L)@&J6QC7<|7y`|0|rp za1c-t0GMAb|AkcdgC7Z4zVW(CfSeBwDo`!P*A)J*phs~NEU3+PgGw&xXEU&koT#U# zzi+*H8VoTiuCTCKjOuP7fw6!cx8$H+p3Kh|Vui+v;9>O(FO`POkP%J4BKNd;P)mK6 z**jlKE?Y&R8UuNSM4e^^Jch?!Lvn4qn8O2Mnmb-9mZ-bZVxAumC?IumoDlpx?}SKX z3g*it9Ho8aYm)1RQ^(Uam<2hwJD+~qy(Vbt_aL^}4HvbP@nZNj=P5np!9ILFrD z#2?OqvamH;L>c%RwxDC%1P5;vE80)u`OSNy%sbH!dzrUwP)TTst?J~Kn@`2fK61S4 zayk=KGv~%Wo5UD zk6o!IG#^$jGV)I=JNDymC5@3&{VC^|ghFWK!T`K=`p=5{NadLdtA;r3X2}?NzSpbM z74C0GqXyyoZ+s7ULbKAxyeSOnoJ)p6ZiR2@D+Z=Z8Z#{C@PLU$dq*DwPs9NyZNaiwO3U*~TJrlJ|9! zg94-@uoVgzj2;SbGVPd^m_1xR9QCW15qfGN-fY=i1~T3t!W|?&pECPD2|A*L)D5kQ1To19CZe;O&^f@6=rXkh<*H3l-JOZ|@T)EQ&Fwv8I#P6G0$pPCQ zAU1&_o$JVpz*b8(Du(}+^$bYL|JyD8!rCpG@&b_Vv;k%i?!U-2pY&)v!E^oOaH)%M z?xtWsd69GPwF^63yh{58XeuM5JkNlb7rS{cJ1dWecvghC;w$NDyD>SSL*00{n9#gm z;~9S&tO!O!_B2RMo-+%nFRv+smQnrbmV08d!ESswk0p?1OM$pjDAzvIz(J$L7ZUng z;;vOLuG2vD41X&2?UZ}+9tMXL`t!SZ>9(4JB<*d^K-wD2Z1PPsY|quVS-1I9`sqF9 zeTN?_k#yqPJJEuYv5h?l+VNvW*$tiQBrty7ptno7JzBd8tnvbNH*UjE1%eOp~i;s+V|!|YJb z6Sul=pK;a%4Xxcp!~s#w81JHj<8YFb8)w9Wboy-k5lHPBp@+s;$i0U1kM=spq%jL(#gm5q37`&G^3kj#?NZ(kUI-G0gBt5>~zVefi8uHC~R3k>F_&DLn|n>1N@*E? z&$~#T^(c40o~2^7l)1riy`H6Xpo{%F%786!?wAv@)jvLP=gB^!hRwg#uSZ7O{}u|z zktHySKtFTGtiUxpfw<|8CFTZ#U&t)9E2jpN?l5iwFfky_v&rBGd$Zu(&hQN>jmBhh z72Fv(TtqzAnFp*HQ8ueb0nUpf)c~!@OxIeq4$MRnlQ0{z<2UwQx+yY2*f>Ll*q(9!HNAcL9O^Ha0wo-cgb$xL z72A_R1EEd>X=b!r7Htg#^Fh-DaLM~YJpo}y{(T2|Pc>ik)gT+DwKQvAtnLjFQs?rt z!K(xUKUV<fKB;1XL!$)?i)fpAttB<^FMQ^9AAqms+7A_CrqdxiW75&44wP!4kxN z>!tY>(R)XdOsPjuUm34b%hqi_kDJ}N|KRh1uZ2{PTdNxa2J*UBNrk%>^Q;t~0OVEL zsg|nah!ZZaET^cANKoqjHM``4 z%|8C&s5<>Ml$-1WxeukiPC%$&^6(}ZBIhB>^&gBWTR1!cSVI9CcXO0p?r7#U-fEWjkdR1yG4xDO6EA(0=?h}*@*IDv{>sIJXW&EmcFoE7Fh zuyXnBL(gcC;yqdjx^^JwQ2EWeeD+0k)E2JC^6a3r#>Ed>HO`{WcORNbh(S~lpCwBC zw4|}FC%dJko|*92887ogFDeD8vNZ87AB#s8G-FH+OHQ#z;`|oZxBk3lM_e%$ZG#%W z*XTG5mbxoE)Y$C{g>SFvU_RE3m3umu-R&!gGzQc_aD;*Y2Z&qY5!Eh&#nt1J3E_8V z04s3<7o?3i;p12bn$1JgfDZ%=YVB`7<0-vvyw6e>!gJ$ej$VdyK6qE`xte2$*P18T z;l~sq#Psv353{lhrkpn+TdkJDRvo* z*x58%^c1~{Q$5dL@`5kP7?I5LE46m2{Nwu-@(ij0-E7=i^( zTAL#l5Z}O+fmJ_eunOOKO-G{*7m(>_SNMi>DR!m!$MaI2(}5wg5MkpKf$Ab)d0)aA z4OEO(vzyd#W%eHk6uC_FFltZSyx+daxgq%A*emfFN`M>M!%m}qN1-B5{e#@NI^+eq zeKjpUQ2zM96V;`z(4oJRfu?kN=F1Z3u#Mhl%fKCLJFb-bhw(o<0GX%};b^xn1`gWS z9x2`~8W0z2%(~->H`)$m+ao+6JQoE(v;kvVcmS@y$t84azf-)Yrrb|NrXTEjeCEBTqh1;z}V{F30X`rHIR;cX#5(K=OeS~ zcyN$J@FixW0zn5Yn)tcaNWxD*YEWZ3SuP?QpL|c_}0&Op8 z9r<6Z6Uc~5oQj0=gk$dOmv!U$_LG?=)=4p4@8uFM=I844ISO2M;(BD@|B8CamoxK@ z89$odoW&F-`1b=02ZGND)=9TbOGmdq=8R@#2KbbZ3vhLPOM#gB}pLRmSYlF|;BSd+=Cm@Sz z;KY&^7XU*dP3#4IDIi(Usm*Gn`fA#t%4u9eAf8<|dQDtVz?s865!I7P|1vLl9wCE( zbk=gooA51d)P0kfqk+0ShttO_T2AA-zSwLcvf`zHs|}Yu#-;gOH#74kSb{|h&~5^R z3$&daDNQc7gPX|zjWFpQFGyrA>lUQSM}|6^U?U80C;($tBOGI|jMP!L)o zD|fS>KzU*tMGo`2@{wU$#bubr>yUTWT^UaOQce6bjeR4WslU&T$L{^~;XPs-%_>pG z2xZeq)64hvn%+=NV#Ha6_#xea*q!8aLhMNr3Kv#rPF?Clw2F$qSf|~OuE-L1FCD;- zcw&mk)b~L6%c?aXrI-$so!&845wdHTR8oQ88CklPA_cdyy_O1zq9{4F#3um3g2?%= za1(gi*11E}Nm`-hSQ_rn$}UK@2Sq#SbPc)*ERg3qf`m!QP5%YI9YsL~#P8vk#_FM} zGvq@o)+M1Bpa`-qqNWBQqnc=p(3RW$OSC8kbdSJoK-WRu21;zTYJfB*Bet@Ad`rx){pzv-Gw*j*)FWBxpoc#Xei0UD8)I9u_*cU;Wgg@3f+Uy1Cs zg)2ryWeT;oS({k6c7C1yCdF*Ihs~tG3VG(KQHzmQEPqaW9n>U7uGYm)2V$%qr~SDi z!lmoo>H@EQERR6$oknRFp)apH9_92b7*CW(Rr3(ccgQ&i>dt(bta8b6-qO1pCveI| zbSwtN4=*GAom!oo%D9`dGiQ8vF3IFoaKCL1(Z|!BB2Wvxfkds7@Mp6=7gTdO7eK`$ z8!#GzTuQ)bDnJAMg?prz0RHa*G)L6PtpPd5?wAEX@h@KWvl}fBNt|S5kRAAlm}|38 zNQ}rC{>o5xd-}uOD#5A>({?~j`=fu(T8EV9)8pKl?U79N1Rz_~h&8l^%1cFwXe-Sp zgtIP{dBvB-!N(}?)pKaNKW~e;k0(GsUQl5GO^Un1m{?b$RFb1BCqd-onjm5iURR5m@x=5x*5`=b++v{rusm9+Mw#;|#W*cQJHN<=d?t-|As`LS-unj7PQ51D;%!r1}MhL{)TZreps6B;=ZN1Ldq1-NG{rBMPHW> zKJtDYw&n92-o4WuG?x=K0P)tqE?<^i1`apiK^<{WiEo?>bpLN{6#l2K@d{shniyU$ zXoH%2OR!1LIdzDv?JsvMQIJmv{**Ev5#Pu8oPJC6VJ!4WPnAo_b%dlx`(Gs(uZ$u8 zQKi@tvCO2i$73#cSu$^r_|uGfse_QSmKsGxa3jinf0J6CXy-*OTh5<;iqnM`$F~vx z+4e;Es+p?s9}Sk=DQABOlrur@xAptwnFpU`k6iPP$pd0;MgnoFD*+66L-xh@F{QgP za$fwxaxXN3t^~gE*phh(=Xuj})@c0CRh87&Z$c@aIW=qH;if5t*ClrRX;3RBMz)wv zxfRoX2~71@^indfttvETcR1Ds*A?|81j+CC859gO!<_R>N6m)%jt|Wjkl-(EPV}qE z4L56R*XXs=e3bWhm9M!y{(5*oe=eS7P3q5nYW}mhw?#gd)VJw`n`2*xsl1Lsjkn~sYI$f7(>M90irl3)f{|#-@N_e zpww54kg0$V7MW7KCYH!<9~raa2#NO8`Hwo&0N)6|xJng)2(QEn|3d?WpujB5P6#}_*EB(dm2 z=4vRDOc)U64HjA=QBV|K6W)(J9c`aG)C6G+yT(g4Stq$|}3-3@0D zGdx*-kv%sR>Lgb5^KZYW0LG^TPjYhOk=fMJMXxW7ig`ffjzuQZ5`yJWz6<6`hZS6| z-QXgmn>18|MvTgQYGh{n9E;*{#)0qk`zY3j&M8wRd97C`tw-r-aD`1Ngw%d46z)}gE`psp-hk;JPO@LDY z%xiCpe#|gKQ9qY%cxuP3#5jy)Rnl;+(LcV=r&j_yQs+YrMHH)&s!I+j9db>>J+I z*Jgp4&jkB*$^iV?7V^G1wAa$0`fQwlP{ojc2UvmN78Vs+0*lMVYCP|i-I$zNySC=? z(s`pl3hJU|ydpJXb(N^Tv<|n4a3y-n3HE+L0RKGfl6spfMVWh_dfu zUw7l_dNGYNyy>~URW@Rkw`=K1Fs;1We=8wHnSu_rhfUaFXGWx(D<1iLT65cZWW9NG z8?7^4bGR(3AXE0Gxw761KMLwYRGOwps8a>@$J#m_nE^=hKZ`pNWdS48A8FOSRui(0 zo-PgKDY-+9u%`}AS}s^xNu7`ZC4~zl$o?a3yZ-yzS?CO_6~t4@4TsEvevJGohW)%W z^Mmcnpj8zWU>SC6A#!Qensbwf?d&}irUw$xJUo(r&b=N-$LRr`-m-I1!%@uq8lqNk zg-3`J5ioP7O5(%$R`I$6i%)E z!RFOTHt`@3@+M-ZsP{cvJdwodQO;I5ni9%_b}^6<3^5WF{glD1p-?6Z*bMy8eas0! zF2ynIsA$w*nWz!@&8E#I?39%CG%)R)>X8ZctTkU-o39%r%6jC!-s+U~#Ks?D+s@N) z!>oaj_fwSOXxo4d)>ZrPwJUz)3BQ{^BmL;|1lE!wvJy>-_{8W-AZ@9LP)p}Yl&#a8 zKO~m8r!H+(`iL&Aa%nYe9=FD#^h%(}XnH0E#}JOvL$X$z>5NLPP&RduuVXl>Q?uvh z2LT#>*iI6TNFK<*G2viS)oP7tT1$HuxuxGVU*3*myOSuz9=1MPA!Dz@2^ov16hggS z2J_>bcK{2$%FMYZOe^iL#+XpRdJF7+Hg)rE9b-S7sJ+To4Es+0Pj;F_?If{nsB1R6 z*zT%K)8x@4{F5f zR2T4E`kd`bUcF_q{V;)A)2qTvYr8rOrC8IDTk;PK!Tb-q-4}s>w^k^?(cQ; z3^%0ZCN6E=Zh7HR8ZJTk?z&V@JerAT;7DP_gO}Y0?6p}y1z@IYn!wQmS8+C4>lM@2 ze%Id!&8-03vK4Y<)qO2OVz6DjJ!*e%xS2j>!4*e-Cx)Ut%m)HnTeMjlN-?`!JAfKO z`9om0f)W({+9jYt6-8L6Hzjd2DTLq7s|?~4&a)jk5Lb?y>B9WYXRfmH&*B;e<;b(= z!!F22w3mjU#fGI1RdP0em1VRZ1h-;p{!`E!BkbL$^H(4Nw4Cn9>}NdzEVsIvDFF{u zLy4Y_#t7OWTL-Tm%rxJ8v+>zx3BRIm(6?+-aeN_oNN_&t4Ms>_G~>-hS1et*DD#6R>9skygKi4H*GeG>NrsN89X- z+I~zLsR=&W!AV#ub!wmM0q!kLViZJo=DMbW+$$@2?W@V68?)!x<>QC-*>Ofs%MH7= z!*%>{v$%%&1rgC#Bg?K<>ysM(bd`=rKVCE7m#9e3f%8?7 z?(?b*vA0sfRas~noRx&6RMaD`TKiL0J<;eFG@|aNkryJ`a}_RMj&2IIqM>@JiOX*& z_~?rF8$j5911L^QlPN{M>*g#+dL8^#l^K7fw5BKdBxiMg z?E;goPR*|wd!*<7UP@hVu%=qmzVxx<4}9X~yxoWZBg6rMbjj5`+lQhpL`K*n*C*R7 zSE*zA_1(Riw@iE`A49Pho;X7DWuNbyXM~*-{&kUfm+~|2)4Byio+M>h11jl|z~{VdF6 zG3T(j&)q6O#Q&AmV%74gUkaMkzEARqzkKpPsc0vHu3n==Q_tJ9xyPp-X_@?d;mP3? zvr{<5GhUvva%^G+5~-@p9PwBUKV#P*{2YmRf5&5(v4H><6@9uDDaF)oVIV^PR_52; zd%${9x=3(R)Q~1;Lf5#R!^vbENYLrj*_LYZ{PoOV)1fp{?qPwuk~*vZ4vjQFZ9-Xg zQ^dNHQ{df>l)jaFgDt-A{M3U!ec~gy)*olYYe#h~>XXRqqSVVa;>E4PD#J^+BbadP z%rFg40e%stZ2Np_$P}3)0pz_iv^>X;d?_1Lt=~WpKlwX_LaT7mgwnp6VQWgU)$I8w zjVKM&wTyR*#0RE&sXLOf-zK^r!e5y`g+*;GT$jtqZHVGKhpj&zKlp38gY$<&n;ngI z=lGf1F2%p~qm<+Kyz$|c#l(&P3-coV6fcXuwQ+1gAf+I1fApjBzmAP)h#u~3+Ano5 zN~7T3TC8gxWbTLl0GQv~nu+9$0PGqJZq=ko{Vllc5_Adsre?fuL@Y(~7i^FQBTWv9Qk z#tyGIXp^Cq)Rn4>K|Gm~P9%Ctp#|hj?i`!Ujujp5#}KS5Kf)O1k#6JLSDoB>jC12M z>rY-17B@V5kY|s>% zjVE~1O*P5&7YMw()OdaYF3N;Nk+)&I+Knig{q7`EPsE9!C)an+T2I0Rlf6HkJ#Qp= zjt@m7AXJ3L*HA?AR^B?thmg`F{G4ho@aL$S))KWl#^_EeFXbJO=ZQk^5c_(9gSme3 zzv#`GlA;FV0X$`WKLJRjUELqm6UD&qVcU7qaRj%&5ylzFsxYOM(Gzxalwt&|r4Qd^ zP9=?yq^dTPzp|TzmA*~+!-rL+FEV;RJWf4~PZtrX8mr8ofxJI~9h|UFO*Y&2-{aP& z{+lPT=FKq|p-{{d_mSop79@-#Vy}Mw!~IOb9NFzIkw|j(2@L!=YV7lQN>P4CqiP4t zBKBmdgyHr`zz9#+geeqq{*%l1O|tI z$zNe7Bz|K<6Fwa?&pM{2c=8^H<%!4z-ZbOnOw2{+cMPKxsCk7_OZ3U!8=5~025VO6 zUu?77v7F1I{@056OrZJCN&CrTkMEwiaem=Ce|C=_ZxC-l`lW{*v%;xYhp>0~uJh|H zLtQP*cVL-(4;RFfoXFEHdww2?J!=03^|i?UNh9@(FOPgB8bNF;XB36AD8XThnh46%ZRT@#qy1Y= z22RM&6wDlXqh{=BRn1sJ5-u8Q6H%?dw}8%Am#?^cYeS}sB`*_b*rO^l`O3I!<7P7^ zBjM&(qf7}oPk6%)m;U6SxXe}cXx0B5Yz8MFCdr!hJVns+1{taj0@6G~ks0gmdrc=3 zgtbnOiOTcjYJPj}yIQVIYrbW3mMm>>Q}4q9R2Z=A2=x-w*Y_ZNnh63foo#P3vMx=-V%7R`G0w;D7#WHoXbepkkcO_!} z9Xa=L%?jtO-W<7Ts7--8RFK=*7S$$ zZz82*Uj;DM_3atKAtN5ApfPdUMZaKU1VIz0OJT$z)XpHa2Fo$`iN(%|-sQY=2mPpu zYnm%Qa!Rs#v9%En+KERs#FFE8xs@m`!`LR`=VqGSk~5N??6+;>36`(fva!B+FsQ=K^# zmDz{Bp5BgJ(ydr{OeAYkDq0Hey2{`G5{5aJrs}o63@r#Gst*NSNHnj^eDSq~&-p_0%uT^ji9}vcjPY9nJ2yd5o8mE+{4SbP zbh+-FzXqz5)uj4jpI*qH8CpX&OfZABT~iGV8Rx0~VH{x(`vlWTzk1QZY6GH?`B+Bz zJH-@@`jvRIkBN*=8Of1DgO~*h6B&9?EKS|O+apw;$83Vq$v!(*cs!>sjH%Umh``jNuWd#%UuzAi2|){l|EVA1NwXxNW>K6s@b*^ z7{5_3b9AX!(4bH5m?O`YmN$tFSQbx6q?BKK*yk?0s-Rb^KyHW*-i?0yb^{c4^0-+} zhy}G#%~<=KZdFmY2JF)_$|95a6J*|`qGG4XoG+N6?Ed1bMSz#i+h5TbH~gQ&ufx8_ z-Bq6bJ(xy=BOwI+(FF8JjF^c?p85|*1Kz^D0wi8j$v?w({VLNJ1MevBk8^PlLtwWP zkE$WJm(+wZo}c599Z2(~G^$-I=$4f^ryLim*~t(;Pi@Q11tvt%7n0N8sVPIAhzdzS zF~`YF^KNS`G0YMAX3FX-j5kP;&~bUB3`e)<}+*`@+}Mg80~SIx&L)lDX0#= zW^QWNv^@;!D$6jH?>s1=@GpxmS{_09@P>XohjT29*q94c+_bKqSyeVO{ zqQyvloIv=MawDhTL5X~Bht>9nI1Qxmyqj(Pte7Ky&igQZ!YVZxNoX@k5KQFvxnkf) zqIb#3T}vtBY?@#XKogN4rX9{Bk&~zF97w91_#DoGpR-i;q;o%MQ`Zt~$(Y_YC<8C4 zQK)eoXf2aCCbH|o=spfaAAgW3L_^Zx3???XnXb@|8$hOi3xrO)6e_?$M*bmvgJ&yZ~LXec$8Asbk%`+98#$0II6udAtY3JzS62w1*b4I>Sl#py4WM9=AFT z@mCw3&3ly2m3qJq`bsS*hr%);1z4DMUjE$)TfA ztFE@)rGpF!32R#i>14ZFs;wQr&#U+6_uC)tTWMW;9iGR>^YIw+(fc?zbh=oqs#19@ zR@De64|$F;TvV||Nm(Pu2i-}{X~>c!y3T8*&6jxM&6MsrrykJYBO%CIZg043+H zKaZHbuKN&`vcCnardF3q{Y;@z=Wly)U3u6oRo*H^%)85RzQM)M#s7Q<+JEC&=hqs~ zVoK=qo~!mcIxSwlepMj$Sbq3A5JLb)2rlGObivVP756x~zx@(Ku~YJ#SS`xx#n83l z2RfQVb3cc585OEZS$R%MO**R74u^6ByjbU>gOA*uChRy;SyRTOpm&VSd36fZDc z&hj(@O}i9zPg192x9WNbL`lO(2HvjQMq*y~YWDpLR)&{}d7hCjmp!xtCk77!>R#wD zGUyI=+S3_P5LD_49!5SG#|YCynNXUOvP%2){>sNH_T~OkB!LcXXRxJ7eI&VuOB@=Z z=2HqKrowVaP~AqEq2kZ=BkB$mhtHy$eKW2h0qJ7| zR5s$3{4ps_tY6ruuVq*1;x?3E%7w02jqda^w=LeY^tDqk^sl(nG277^m9vnXwAtIQ z{C9(1##c%bCyspBm#Ch#5>*ZNVDEfP0gTIPzbIplsjojpL&~L0Wu7X5%_1_eu{c)s zG>lr4#r*aOG)I8A6ui zVR^;swBmfdF&%F3BZv2l_>`9GaH~d{@R9zit>vW_z10Nm){#*qo}s&#KY1CqT$zHO zV5n$F7WS=nRBFEbePvg+9lIgVhPvw*^LcBvh3#r5DeE)qy1oq`9lEM7MnYMy>5AY% z*El#m4_w(a8BElu*$P$pe2aHhD03ihC`@HnZnmVqt35sMHM1~?A(d9l`YE4z9AS=x zw-`%vvXA;G+b6fEP z_f3_*BKWHT7tTExA!*2^Em)xb7u6#1Sd^?-J&-%lNXw0XjI?E$exMWvoy3M_o(zU8 z7Y>OH$`prJ>)DPfh{Ms}_70SKgzwtL+n?Z6#Ou8>${g~ARrD=hNN07}VkDOTkgq(u zdMckRKYL$B`$189nLsMNS?~DiV`xY|2++kj3Dlg0(tb_CJpO0a>u11P-TSj0`*Oj_?PGuR%GF)qV+OHSP^b6EhCd(5h1EW*XP-28TCc!n!HzvnEn4 zQ}2k`{Ep2G8JILw&^6Nw=ThQi*OF)@wPZO-Mt)p3a1hA>!$2*9FAtg8?1$hdsY zL(`=@@h?P6t|szaGPrD>YMyf-pe4Ho?ArZw`?WyE7NwtC;j20kuE$aaGHTMdVYIUytiuUb5*WO~#s1PC1~6dX<_x8XEN!cH_c zwk{J|0k1QV`AAd2tb^=bn-T~**>u}IC&AFOKz+PB=f@C?WdaZE!J80x)qHf&W|B&P zH<`ftxz(Nygoy|R7!Hyn{#2gc3NR0!a;q~UT5zh_wc@h5_maWMfoU(sg^7Vhf+qmm+=+4qcj5@ccuu!rHscF`0;zWFB6VwvLY~4b z(Sal;jl{4tL40&uVvq#Hqv5boPH0y6-(KL9&P5d;bO3HRFk z0i?lTY53EDjQEjQ$lGB`#kI>HGFy&|`Jr=0xPBsXMCstf}n|B^jJqu9`t6j&Ld&;;mwPWX>&K5^hTgHX?MKrq5L09@D)#8Sb4t6{*` z3;Zow+E+--U^kn@2;qG29Qo-N62r4e`q@^`RipO8co^`8Nl9R5dE^lQ=tMh|z~%`Q z2UdcNx-bJB0Rm7Gvc^UUJ5I^54Tu5?D#RwGDS=J}VV`nZc@a>=6X00ayDhc(G3eLh z%mXTlPGb=*Q=@@IdXw)1iX&76MzZx@$YLfgcq zA*412wj19(0hgUc4!JMOo;xZh2;)cZV{}kzVvfaJvV%tV>x|c+YfBJPnfIyTja;SP zkc=ykR!_B3R4!Nd|4H9%$^9Jf$EP82!&BDVf_zt=pYXcj&+&}4jSf=))B7n4Y}MESF&rxH@CIgp zycR+Fy|KaMaD<07n`wZl#wkfsxRST*I%ryA`ZAb}W||0tNVG^<^4975MAv%|0)~&J zjhVp{1sR;C8~Zcr!V3!x}G|??7qrUs1Xg9F1(|;YX-HlV8z)InoR6OC3 zm{39baT3=Gc-aky3y8j906RH?*H%UZKygqB4RI*}=A1IHlI6v2spSCbvIL`Pj=?}} zx2r|Zs!$wZ9R|lTB6uM%F~VB}12-M?*ck_G0iA^W%PqgCfx3Y1UJAe!fDIPT_t*hj zr4_xKgMvU8FcEjsBV}OP&}?U%m!1KDrcpo*DeYsr;UGm+0dXY73RphD_J)m`H`s7e z93&sA$iZPfj?r^H*mmwq=En`lvZ6qo6tjcM22pK*<39wcb5q7{}En@O;(FQDLWoPb1=)aD;J5YY* zur(aueIsS`@M>Rf9YFKT6g;JuHOe}jE2C(e4T#Bf(L^gD#&6H-{xEK%HXjCuDQ>@v z%7#702>I5DsM0%owPc0*LiA^LhQu6>0B?5DGlL)urB|BGY1IN7EG{FB0L-Vg;b3jF3?72yVc~Uo8^@3_9V13Im2`060zhAQ{e7gA{mq z=iv*1j_q@;V!$4C8b{U(|HRzd(HTH#y85QcT4O)Gi+eg}C0M5jAm+j${CytoVdHle zAs?^>KsHR)j=RX8lK)?t1pf}GGeCu#JM0A%+VFxm2P?+NhNA!!DY$|F{G0&33b6M1WN6@_Zj_ZFv0VwsfbdWJ1Ly(L?0UD1 zr!_a2dKS@4z}!aPapmSjvbhe3QZpa4k@{VPQgp2*9To3Zllf zJ?2}z0-9!!4Nc|1mUWL(qesC&x}dP6;~Rv_0pP^AZMa$-pHmJvCKR}4&M;C_8iq-9 z5RTY$ssx5M%!eO$nK#f&rmb3CG}`&OeO>eL`MTBeAaqwfhICNCm{p#)?f69g_O+2yP%Nl|3SNM%k zK0>G%^ed(#t>+eKofkhf^hePFV`vRnk=S`^E0`T~A=-m0Cb42L9^T{vP0lYv6fO%F zbU6%9XCt)v!VFgR7JfR3frWoS-RnBG_6S>H+6krTGqB+5Q4SPw*ozZ)W)h zy$!+7+^=z^SE@#z4#1>NTv@V|9o&fvab{orH=gZBrM2{5)t9&k9} z2Oz8}Z#tNXbDc`AVl~F-5>T@u~7M8 zB>Ema0VcZF_5$9Ef#GxXR($#aCBVqobU7$@0T95?q>RofSul z_AjeX9{ghCbn)We7mpqM<@@Hb1vphUSDNYsxOZ6Nn3-?`F4g0U$>l>Z3ryCq3Si1! zAP~u3Bc`-Th$S{Ut=$N?Bp?vR*~pQIyZ7K`n1n!xi{BYgHyE{&G{%NKh3`2Bwt=iI zXe>Hq)`drd$C5OHG${Nkb0Lwgy@bdFCVY{Qt=4f8eh%pJB_C3R{s7MvABWL#D@1!u z-%LKRZPO{hNzJV9hN}sl4cBPt63_qc^xgLc5vXnL4iEHKmVRc8j&^ujNfy68x^}~C z62Sgg+B*=044AL*dVu`O*jcO^TI5M4L~j6`gP;xqR7)uaGQ;?ZN-yFF1>bav5iUoR zA;V)X-|4S+e~!6n;LSymF?gm?W&&kPrynZ3Lxp1g93d z@j%E%WWABaW_0+g3!BN9N;ij^>N67WfBDUnSF;OlJ5S>+yD=1RVH)vK_4_GLEwep8 ztO$!77^2pg-or=?X}!)WaacDZKvATo^y3CmBCzA_lsBO z?-Jk&Z_6Yir`}5ILeF0MfuV6@YBZPVAr6O;;*{dxA{aWba%!39eo&VH0A_@$!#jKa z2P{`=BtzCFG6@gnQ>iugVCBS%=v~5i42bU*>Dad5$Cb0k(Tbf$5})J+oQw^5Nkw_$ zXwLJAzWk$bt~v6>Fnc)E%>~XgF83$BOHBS8^|9&7NKJN%Y2Yy%LQ+W zk1S*TTE#I%;-)z!(&~L68w%0r%I(Sa%TkACnVH8mQ0h0eytw<{oo*MFVxvLW;ODb6 z2k%x%b|v+IUY1Ef?6PTOC95NT()?Si2VtzbzGkN^UHJyb?4fnR7jcnzy3}veYwVU9V+0+ z53y;7t3HYfzJ1p1I8jCs-wAl(&1GZJy}3~EXelq`3~nTh%JqWtL*+UhL6)-uvBtvA z1$2}vfEt0HQVHD;EI0wg;>4&xVzNlcH>E>8DE7#epbI&o*!bXl|9nbSl(g)OcY8Xi zGHpZWtU)(j@ZkaFn{z*)Ow^59lL+ZHzBhUQZCgK0h}~c4eYHq^Z0Qqe!nJe?7A2$B zPan@q;iSOILIwxXxoFQv$4d<08wNXyhv)E(!nT4S<@&4?n2L*r>u3-LagXW$g^R&q zxNrka=Oi1v4XkDp8)<|f9cQH#nx8HF;}4-jV%>?bjxd;r2SPnBoD3A^oHB(mfoK)0 zvBUe`B$@rPq7*6D$+-_7f&0?cA~K=J8n>4=d{rTq)S!cJKRhAbM%G zH?E0s6JUI0aB`GnoBH{};!rth&ijaCez`tY7ur~=uJ6+NDGV?J0y+c?Q{k}V`0ywh z9x>zDJ0yEJgEZY{JenBSzX1>T&OSaI&Mv$N$@@fE&THZP!w6yY7d+4i4<`WN8BfzA zzZYz{#^VY)=My27#E*G+gdGGPpx^}qW0$^P$`o)ii8vWQybg*Xb^y7{0tJ8Kbb1Y5 zhU#V$BVd&0JO^q@Qp1QTT6^3X+&_M>{RFrSaE(C+j>}NmLpniMCv{a&M(-2usuFI! z<0xSgo!)+DOu^$@?u>-uo|`b%K~~#RDzRl?C`HTSBR|2a6|3Ib!u#qE7z=7YKjco{ zt1cqh)S*4qPx$?oyUQc9B`bFxp6ipcxl5FO;@HdI+tZ%U8tokFDU>d?FcO10T!t2R zB%#ZRpTT;f{T72reA$VZ`-=jX?pVf!ctxT6;0Cr8W9?po3P%MD=>tg4AS$bqE-MoL z-6v7jbn>>Dx0Gyo^{Ufo-7o*74;!2HfiuW%Q+_t0?6@{^%JRV?4NcrO-tFS@(gr4a zZ{LVCw-Fup?DUuu>SFW(|pl?K_PkAqf6o2~cK;liNZZ*$FIy ze&*soP-z8Kj_Tc)QaH3|Wde;vpk@AVS8!U=op2d(ITZ;J(k@(EC4xx%o=FQ2!@h>L zxn5cWCh;W)&H{d|aB5!N|HQVqgiDsy^iX10yD_2kTJKW=WE5R2kv&G z{JmzeR#JlXmo){nParnAIjpF`n|` z3l1VU?jVFG+Fej)70IcH4XbIS)x&s3w3tMwkQ9x8XuOi!=KAMK46c;b(;zD$;+%HC zv$#)0gRKrNv7L8OY;7y#gUy3f4ty3$18?Og91XI1br+2YutA4j#UYfLDsOfLKZ?%1l%8yMS*PttynsmybqT- zur>ms?m&2M5If@z!u_qXULqKUM369`-pVWvH&N$e72@4Xsw9F{5h_I7o-*=_qCDkG zDlg{BL(ljXEimvCO1)I(KB@#8D2m$f1+ho&E40I_7u@5gxBg@E$l+a5x^x#cZ^^1L z-TSNR&1h=}7pOU7{G5Lym6}B|=U|4l=AZqnu39J9Ee88nTkeesm&-M$7@zV$NQ3k} zAx}P8z;{^dm6s#OL#UcBiD^$tKc@7+K^BlRak0L{X;8sNpm@*iIFx?+7(+uH|K)fzrCdOO zQ1@aSsDJF$T!&5z_KGgAm?BJ}eI%cV`Vz;w~<$-u>{o6LhGsz*CHq8v>I62qJZh zK{{30WozYPQ(nlcgE+#DNLQ+>=P;SYNhH~0N z8iK6hu``L_kMZ~h7sPbIIj~2-n?ep~(?)F?of#3zN=Paar$EeKO5$<4J=9x|r;N&H zA^x?F{E(NjJKVASUe!W_KBo#9v54no%6z7Ju;rLNJ-=Bh*N-Sw^lNG5=P0g`i(a_9 z0JF5IOVAy9`$fRT4sO`Ozf$z9w`Xumw8iSCxJlW?b9Nn7=d3aXlFd><3^$HmTDU{q zY`%cCMQy#f(uMNqqO+LvM=e@&(=Kk@s`Xm)#PS(XVnC2j$3N&vsJCLH_#^i7!oONB z!`BWjT=Rp@AM4TU`?ueCl5+g><#^HbetTBk_gb@wWs4Rw-A6O3r~T7YgZ5T$z&CUr zEL2^%r||?nt%upj<{`a6whH2^<;zqSl;; zXeMwxbb;}F1b1=T<>>gga1B+sE@iOm|99g9!Kro9osGHK4A^BTI{dGW_2D}Nn{<6K zn3LulLT0z3>WQJXEpC;e6g53sl?vY%6Bvy0f)|n8`17W4SD~m0HlEdGIy(^J)$W=h zE~xP-rc_YD3d0Y+$ngmW$_zPjIa)XhZ30Lup;!hFD(oH|-2f#|Jl=u#M_CWEUP8n= zxMt>OsEUM1>)phjcI=oZR3gZ^tAhI(x2?cnzNIe8i@yM~4L1fN4HdjvyWKV1aG zL07Isq11^Ea1Q;@tSUB^So8~9>#s^pT?Rcofu;WKeW|(wD_x=0b!iyz|C};$<;q9h z(>@)TnKI?Aqn%Wg8+?9=Xsl7@YPzEMVHKgW#jqu0-&Ic4`}2cu0=gXl!tm2e?efK@ z%@ilzwzA%dU9>j~k6}jAG-cXf?bd*|xG3p;{>iAr%{?_xUGxj?7^ebVbU zu)%W_@DkLA^}x9gM}x=Hg1zsfta~^Q;vo`>K4q)^`MzX6qy#`KItu>vrUq;X8~||y z#8A-X1J(r?lK1%`DH4F--SrcH*dZ@Sh0FpnCn2*eBug?uYVIE=T-M z`hI?7e_?+O*^|urqOk~oDWpof-j0whzO#Ic<&-b=xAacBNzIPFOx9gUxaOU>I(?;G ztc&>UtOc4HbMwB0XiV0+sqW!y#`_cgCBxru#f0+zl18eR(&FyzJNf7B#0dwMP3o-Q zs{2v>;E#OOm4DHJO-j|P4er+3&YH>GRQ?ymCCxuklq?FHX{Of-3Ijm=#G6lp9Ov73 zbAo0IH3HTV_yYrN78qKY=OOh_kRl|WTNw@XwP4OF4gT7wlm)y;s|Tf z*Gdg-{=e=D0hG)#AYOp_1xRe;`RzEh1N2Xj6#2Pv%J})+U|EO^elf+HKjJ9^tU$l! zfNc!FKV9l3Zoi8%d}Dh0^o*sVrh$g(y-6LdPg2q*5B~mKtZ`}JBrD#iG;c#}fZO+~q$mZZjA`<`+H^O?)^_MJY+Ea7%o2?f0DOqehg`Gya3KAISClkCTR+QtnP|-6;He zR%4pxd{?!I$xY6F$wRyqMltI4&RWyNar&Z$eGv!$9JtPzQnFw{%j(Zms^V3-*Q=}O z?0>iYL4WL7#9QxUvH?xOVhWTv1&{ywknW+X0ZPXxQt$*a{DGv zB>ZGEzuIRWn0W*dO^DMt5P0-}RYaR{q5lvbu7SV2{%;@{URNwzJ4`6tjmJ%A1pICg z=z*=biUc#9t#Pci%MMH{fsxo|1R)>R3p}E5Svy~KgW5VfUgspR$O2;)G``^S;SyyL zcBBd!d<80Xwzzf}q((47-34lu(2|3|&f2=n1f-{02wW&a9IoL)xQCwxnH?SK@)*SV zNRLE!w);HVy25gP+e2#pA?J}IOKa(@S_-^dQTNo>?`f*xz1 zGe6%yVF{C;u%yt|1xDTaQ;YLletCFyL@y*S2X3f&S;Jc$jhh`8IBwYPY3}dvMX`QL zK%jrxM7jP9-}bYP->lcy)L)w89iYD}%7kT~=JRW6s(H-ka*fpqQ`-$EY^%~*OPD*h z5$Vv^(J2g;3XV)1n5zB@krcZ#CrB+{Bei~MPSz8<@2%fsNB-~kzXC{n^j9()rDtb< zIXgT4fv&yUc_$ROqdP0rCTYDqtEWKrtNyc*C#X^nuZXV?IZ!a=M_YwayFugJ$v&CF zS;O=H9Jz$}8${OnlfGr7?O$-bhPRM}Hcqb7c4P7}3n3!_wqk1MI7qA+0%&vsSmk>Klah2#NnAu)xCLimqA9Z-jU%TO&LS5D(%= z4$e7^?GN5>^pe9t`xhLBxC<8&L7dnspai`6c(MlNrTKVr z1ot|V|ECOB75Q_BJrP${r9cQCNYkN!)#nibJc3hcSVL)k*xt(cFKez0fm9HM5YKj| z01D1{Ym==#eq!LY8CTRE8NOYA!KZ%t`W7+8`huuxkLJ85Bm=J?_Bx&NHAV57 z?^Nr`_mPHw{TXb&`iA29a=KODYF0y5y-Qetj(i;vMH}i&miuT&9I+iv(VWci) zImmi>ZB<&jZCnwNrSJE;A(3p(n@S(!$w*xa*b7+Lqt7 zybB78L{P7X0(}HAG2lKp2#6KG1uZ|BZJZVy$VKnZ3Ka{N@5k$u*h_fdE)!2YJp+e= zqzq&j(w_)0?u-f&SN?D#;F97kkchVQ#(=x=x?Dhf4Z0$n!7U7aIBQonj8O$*^Ji+p1Gi%Z2?_M~seYxnuHk=sRu(T0>~Nx3!$J)1Z0NHDo1i0u3K&1z?_@~iaJgneH;+Y}%Fn>BdB;dk-* z!A-{?MQT!`Ti=?yj&^p7`+@clwe2&{-Yhax&$XaMddK}6pia;^@#)SBv2?0tn*Sh^ zb}YDZ|?=aEXa%#J@hCuo|(bcl0?D-#~B~o*0tJ5ZzKs&t2b8kNVzm zf43xPn*U<`LTb$=w|rPe9Glh@@WwwcGi! zk;IjqK}1Bf=LCM|pt=P5QF!g-|7v0cRFP;0`*-S9(#H+Z+NWACCR8+)*t+OM6LG%) zjh4?P9>HPp1`uRPc0^^8#w%2K`I!G3!hf*!b5Woa_v811x(YB?u))V8qst*41OLJ0 zv9yB`5)FdN6hX5l-hjue4|qDzri4y&$9y;}yiOKO{XU^146zsOkwfn@RrOS8c~$=l z3O--{Bwdl3QJ$$$HJfAio~Y&6a0vdbs` znO>i7E<2bXqcOHWxk0zS*RDe|#{KxS&7re)o-+>dz1Fb$x3hmOb>Z5}Uh`kr<=(3h zPhFXI`_L2C>BtG2b6ZMjY)S2cZ_*pO*yaiJ{kpm#sY{jxZMyTFo~E&K#ZI)SGmw;z z=D&zHaJd{68?WD_{@~U6z5ih6{?Ybug3kssi}9L|rw{s?+lzS zR1rldVl=2N*DGusPN}8;`CjI*!crdru0C>GWluNyY2l;}2hAhD4U4EtpY(_dj*>dL z{F&_oSZZASfvj(~A?B1Q=f%}MowG9zZsniyn(2>r2dtj``uU3oo`mt(3oLi`*Tt|W zc;W>%lhpzJ@A868Z{UwIE2Od5Z;l%Mt#)ULCu~0yvkJv$&&}Cz0otbZ?0HQ*sKVg2 zQ1_^C3koICGN_Ca zvKjxoumnc@xw+txAx{95!@$=brlbfjvsfP*YA+Nt(ePtKg;q4UmGdZ?-`Gb2h&zV^ za8qWrIp0&MiNv{#tf$V}MXk(HR8Jl0QZ(y*MAQzy%5lDECVC(94xQt*`tSEP@%x-t z`wsaOg<}(#zE!?^FI_jSPjM+ucxd?{vv+}>?#e+gqnh6{+kWO%+%a$8QktdQKKa3f zM{nk)OwyiyQ*TKjd&%0Q+tknvbB!49COn=nqt{js5%$ateYgFES*KAP_eHzzDyyoi z+Cf&;OIs@$ZE6=5j?Lh2KEK9rMa$is?F-FVzh7NWQO`n~+ekWTUvE;kwsn|kYOJ5^ z{Qi9=KlzkxK7GYHt6MIm%uf|4E1pGOa`}dzgiiQfyKG|H>l0q2rh8XEr5@Cdir+e| zSldz0W!f?Y>yFDAYPI99zRGY!Zm9=14NqPH!U%ASOati1rHu-s(ny&~JGI&N8n^h@ zg^Iwzq6uThvfz76-n(NuagV)U92YMtC`ecRYWGre^wcSj1h7VcjY}$BBU&6aQjSKQ z#0DRak@4MXgrlMavAeo?6=b-Juf%dVLMZ4O_7oemhEAX}gzMYU*67i~!j214UO;>?W#zi|!R*Rhv|9me-eF4TCt$jk3kv+4(UhMn4K?+jvlX}p&DhMg(1bC@5J+)OO%rkSnt_&RCg`SUZ*wR!uig{c3Uli-*(<$#{hnLl{dOu@YUs!zk3!u|Y38gqp10p$;H` zA*JTJ#(NrSrgWs|G6cKq?BV_Sdgs~~lU_ok)qksqY}e>tLj$7;SuC6ZO0xDzD4JS9 zW-AN=lB*spHbN*IlQ9qZ?3}P1QL_wUf4;)~Mx57rm^+f_05=pM(F7LY^Emg_=mZ>K z!fiurg_NQMwfB;oT^ zr^H>QB8lH>UdV&YxagmoL92?5oZYmLr~VGs`bI0?X~`#N8B28H&+}igI(hYWr~9|L zEu8pzo#s6234%e7!&SQ{E&A1eX98j!Hv!rGy5`c|fB@mz%Z*rU`+pN$XT-HK*gM=T z29ED$9KSbV5$pYvdy6-@PP-;e`Ou~QVy9hJWh`}a$C~xpqIVceU$b(;o);hX4^%&n z%Nw51v)~S$Az!0&RZY6xGcd+-(#wtVX=imuPVCXfuG~+!Y0e9p_;BJwc3MJpS|hiU ziEa2au;A?A;6t{dEYk@uOK_!*lWzGPqt4j0AhA67C^q@tiB|>us!ubwKfDLvZ3b^R zqJG(dc^*p)PbDj;O3L$JmBZ)u-GkZ-W)Q1!Ua~`0UVvAj;hy&jMGLEaL4FcqS2}uo zitk9m^)-GN2?kTOY7Mitd?70~oYM=e7&|F|+Ia-Axvlo>MPC~ZDMR@rbz$FW4=Lb_ zAshkF&wjcm1^UoHj9V9)$Y#^1^?|~tv*0Si-VH1~OhQwHRxT)w4=@g(qUB^WI2AHu zh!r3|#3E&okpt`l_7^Yn;J^=nJVD)F!C@qmp@&Z*p-pXc1{79GO6dp*^r%rzP0@sl zr*{V5T>0*pl+o%YNMIbaC+U5NBB~ zoQE-&CMKIDSw!WTpfPRMl?hWmhy(d5)nHN><))kIKf}dpjP;I}d1BAV(V6Ox)J2XqGk|AzbT~p} z32AdO2^g`$GLrRSa+&DDgm)HSD#M%T6C{b0$W@Bgzl)xPM&52no?u z?*0INpGVDXtMboY0q{9|r^t2TtQ(6X_K?Vo6ruSjc%I#CT1ry{w*oY<(UDZ>N4F^< z5lkXw%2Jal!XAK|jCRw%Eyn``J4n$W8w?`az!696ND>Q_g?M7M>)iqO^h+IKIP8EB zJuc4${QX?0P9T-QRGCd#QJiB2QVNQg4%seU{}--giK@j|SQVAK;f9|7M!lOhuQl_Y zFPU3t`yelG^UYls%J|1p_rgzmg4J*OE;{8kVN=Z-eP4?91nsU1YVpB^ zG5@JmTu6ANgNKN%kioSkOwW4^l|Q6m^&$0cFp(+T;rX%y=Zul|gu>S`o1vzz;D5Sp zH@9<05V^@9Tb2vyCj`t@*M4aGxoO+t1*^B6-7oxoar`5p?A?yT+JR#7|6KIY8TZ=j z23%-U;I$4g4!>PBHkB_*n4`%^V1By9sE7;_d!*3L=o+RvXe4qrzg|n%nY#kug0mmY znb18PY;Eb8A{@SlM{{Y;9b4cGWKM&I#qg;}mF+`s76Lhw5c4!P)eh{_#@m&!*ei|^ z?%ptHQz1|#Z}U^$+5uP@ctnJxGyqHleuRW#9?yW$iCcAH(5NaQ1AMj!!t`DYPZjVc z48H%tRG19_AHBPPDdg6j0VZuQBVvS-vJQ%^aANQm^#&AMD4i&YUAro@BrvyL13VXr zz=aebo1-+Sko`Q_K!uNeSV$5=*?=kha}Sv)wg zo`k|-fkGcl?7=Sryg8sCpbN~-#x0O5@UcSN833c_kl__V9mjzo&f|=DN>a+ej-=$R z8q?ELnqICxJkm8S+S0+&N$jVX{>WUan=W?q>~PTEPNgzBkh$v>B1?;vB@)b{9y^7)#U%$MMgv7CkD(@_hfKNerpHd=`k#iaNt3a zgL*~(kvjL1UFGyx(TNV9YNkzf!-26jqio6cM=$?Z=fDFhXgnApZ{GrcKi&`-X9R^$ zoRhZp)|M^DgD)c|R`yZ{g7a8|sHJ)483}(w{ zxM$!(c8yk;RXh|)_avD(5K*@B#e1&_dy5yUC^VPZ{IRa0>Eosx?&0@K&@rXwu<(i> z2ynt7fzHis5y-#B(rhGcBnHL4Yl#ZZRd6_9 z=e+NBX9_^37>2sQj*ND=5aE0jHaoE(CP^kU@Twk+zJW*KHl;(_M@iPe_jaoo*)atU zPxmE=A|L8yF=6NH_QOoEy@@y!aDHf?lfnlX5I)BnDx5)2%7cWmP&oA7Dobtf+r~&-50&kf?sXzAWl)Hb*x-WRf;d4>WPgfR=uj+o|U0u zsO-iZw?8T|OF;3Ycs`g<2xo^wx~V@*H*L?w;iRyBvXr`mt^tcW+*C^O-j3))OIlU3 zne7fp{!4L^-^!^R&1tEPFWC8$wv4Wq2cU0|4_Ip07 zg{4kpR90KSlswG7NtAdrkL1}ipS=TH-Jjery+3`(wHak2XM(fvidF9wX^x_~IhX7+Qf`W(rJ)PVpY*};-Z?vI=5GG%Bd~1bV?cAoSfK>Wp<=-Ey)>CVz|BWkTp5e>+BCU&; zMy?cB#_LTEr;9Y}%xBYE)uw2z%M+8heG8?n8ep_HMk!GPTzQpiy2Ki~zkx;-PJ>N^K{U2>_ES zHiTa|PL869qGuAEv*?vMRB*^$*=M>g1%_Ou;c`nDW7%Jn`+z+ zl!_#EbRdg9y-N?OZX3y*zX&y9!&=_!iUa_HMK4RyT9$R&!*Snwi=F|Mpiy+d;7Dcs z{)>ON?ul1B5->MCS>3(G;_HLGA-XS;EKqs5Bx2pJoec*^<+6?FnSpJReY$312l|&` z+3yJBxr`fqgy)M3?mu7QKWTpT(}VHv`WHI2Be`}*Wj4M`Uhdxe_fBVr?xyu%AmIY) z<}80vb8b;=Zn*69mnQyuYXg|S%AS5(98Rsq+tp;1wpPTnwV47g4?rLoS-x&(7#7we zMo|7QZAf>&01I$kxMW9P!~IT#0Hp3OIgoHO;N;`B*a|6zh-f#hM*u@RgbGzSfDRe_ z$iFbb3e&2vy}}+gS6)Jc_9_pn8Y>6|N*)xHc|HLGX*TR3%x{@Ow59L}vWgXPyi>#o zO{G>~AQ0__VTAHR739w({8zzcg@$Iw2_`%mUQ%J9LIEn@Y``5BaK%)ZScL%EPp4|KE0#&Ur}m?NnP+sZquM%RjH?=&$@e0NAph)QRz^}uKn5@B^4!-@zh$SevfM; zD`o{#DvW?yQzj-4&z}KfmaMx5FtE#3Ce%5HsCcuAR8w7H(IH%6-R&ex>vT2@YQd2Y&=5nzPlaQBqlt==qitUf+ksYV} zRG{1oJEYtI9t_7Q7eQQN8>rF_V7=_(09+rD69VCi5E!*fSsh;2#9{E3kc@VgLrx6# zvp{~0NO9td_C%<(K{c%NMUF4arta`LjPk;lke#B0*`mA*4W!K2kdQiPWB1DAcl* zkpQI3zd%Zn6!?9~wM$i+!7)zOwTFlsg%PZ8I_H4RHbWmWOQ`Quo(WQKU!XQnG4wQr zyZYjbe^#m*{Ektr%gm{G+`toUx#t zI~|<*&x1!YdZGFf!l_AqKbS_8DAGyJufE3S%!7ZJF) z-$Buc2UOwk<7m_CbS3!E77s7TVuFa)h<+C@NX*vWPluZc9uQBZf}pJof0HkMC1$|r zS_%iDK-fGA=GunSRuL{4pwS#;6sURqZ=NKcp#;f7B$|ieRTwh%5(?^m!4(SSbJ_>S zNJ0=sd?KF_Ce6z^-cEzDd?{qb7sb(H;P_wwt$?_nx0l|Yafbd@%K>^*TDIb@?3TH~ z>RI_Yn^@*PH^Ex_dMe?2#u76I6wD#yK;ze$as?7=9?abp{j7Cs2*YeaOL}mP$8>1I zSKeU^JiA6iY1u%0%j$ZrE$*V(wD}ETT`$$Hoqt4kc9@N|Cpj&I^2Xm_PR~_c-&!OAFJcZ!g7m<|Oh^ro?xhmW!gi_C6Iec7f{Oy6GwGn#cLLqOyUXJ zT(h`+%(U*IkBCPxc6>;GrZR`JTupX z2LJuSKmE_dYiIKWhd*Y3Mb&VFf)Oub`lF!h0Let)}Cc;zCTJI+!(n(xt^;QwF&nbl`-C>Xmc^zcixJ_2gAfe?s1Ub2m%wNIWZ3!r0RCpm` z7Q(WDE;a_N@?sMF57;UqpbvRw?>?8@-$5;vR_bRMrH?|cOJ&@>RfH{yV3Z?{9!V;W6=xK=t42Lp zDj7e2^#^k7RU4)-5Lklsow$6vZv7EO@PLTK%uuKw-&^b;%d(SFezQGQs&1g#Z0xzG zvOCR$GDM(EDG2^*{_*c{Uo0i}tyt;Qbj6NKRjHEEO)se(Qy8q%74(;+eE);!-k*MQ zgA>WucwZ=*Mc;Tyg+YbiG=U1>7(FSUqV2Tlb0Lcm$lZ~V+fR{V>IYFjMF`Vv!E>5& zon!c0GQX15exo0I^1F3Ri_H7=ne~#lg?R;KHEh%2ZxLHS$5rpxC>CUACEeN>QzYCn z(s|-$AM2~fZh7%=4|1k6a^tM)E~%pA#NLy`_M~WqBI`o-te>`@w^7>fjOHp>8Z)}B zV9v{;9m7PjO+xSK`l3x3N~kcV~XaRs+{y8vPnHSe`(|PpHLi!>tDg3G#M<=P*)7cH4g~FKzZO;Wvg?QPp%Lb}oX>Rv z`cmWN($Gwb9Tc`p@yONoTomtmkoS{&r8_r~Mq@L)zhu^nMUn?Gy%V7&*ik zt<3jZ;*GWGhYcs6R3zy_f#BGJtzU@ludB4MKQ;4IH}Wc0`?u!dOc5-N$?W7qH-G<~ zIePgQa8|F#lb3!G2HVD0p~4wO_kw$w0b{m7BP8|ZBLeTQ`ra~1p%9$+h`8M3^>dLFp37uVOnaLuAs z82bH!`TdLyPY2#CYrm{y@%ogh@y6t4W5e@utktDo@~760HK{as_QSjB!s5BVzHJ$c z^e%q-SQVUa?B1K*&+E=p1;+u72A`10gY`%-l1N>e)cr&00}Y?X8b2LUFqfmVA6AEY z#=ni)p7i(2p$8dppT2MAeXp}787^L4QciL_I7H5C{keWJqk1-jD>`xUK*^DfqE{~` z&D&0&v$yt{FkqURUhvG^?cZPO+Ex9Hjc*!z>Fh7}%sQRK9?Hn_58^kI3PW3t6jA|4W& z>7UCi%*qWJ?%22Cs|^`9b*Nr?1OBZVl7;=n1*=qg^Mk*YhV1CS>8EC|VOUDiyZGI| z_K{0BS3F`hAm4N7UDFlH$f@EKi+*z#Zvd9hv$Z$ za*b2;LrZi1NzRCP06XpRqUzz$7M21T6DCGnm6q8|{b#@b{vIcrWVh*SXXlujO)<%i zR_%UI&WJqTFtj5_wCd&CH|-hf_rrsHO=Yh0Z%XGY_nOZen>f||YoJQwC)nb}Ka(4~ z43?$j`s_9zDs(U3dvz>jqKu+>p_u%++)TIhvplIaD~I4qQ6?`M9{JGSQemDhF?mEz z-+XYQ-eyzX;eepIe#oJR zQx6jWa~(Ri6}_5+N^W1VAGkYcg@5W@&7C-v&{C=z3uDU|5ZOM_;dts zw}G8Sr_#TfRA#X=U{GoL!7x7_rDpP&A2Ju-Xl`IrK*+FpEdds+lP%Fyq{{TV_Q zUo2Tz==(mPLtMN;M$!7z|ev?@ebG7b`&WU3o74KFH78H1*B41xy>a zj*i)7%Z`O*&G8y8tNC?%)34( z&;BgtvOP=_kG0%c$^Yth2%c0;;hinn6;a#seV>Q_2h{*}%GH}9B5d7)$7*`nfuT%1B0SKcy5;}n8F-tF)n_vGsA+@61p)7TKRtY z0iH@GzdbE4+}OBa#)TK2c@mYW#y!7$;)dRysg54R6T?l-B*#_(5J`5NCIo|I?&gQ~ znnuAYl4P>3hQ3YA{Bv7Z?uor@Vsm*rBct=%?X871DO3BhF|l-A(!zXMKwZMJ(!DFR zn=N;Sy9MmmPWqeQ?0n7--oS7*zJCRhPQA3~Iaz8^b#=Qx(qdy=eC~lRuO;U5!+?oq!7GX^dWMxe zUTN3eT=2bHKdMAuou%1V>m~ZQ^n%;>(kb66zHT(B%($+1_t&vp?9=+WJO5do zOil0^7@OpGF(Nl!cf_xMyPFHL|6b!mLg^PbYBd34+W#B;#Wg$LFd^nzDXCQ_#Du(F zN>(Y&YbNim-+r$}w9N6wQf*rE54~*c%bVrtBw09l_`JyMzL;?)P&dxMC~>Q*;gL7` zVe@^v`wmaCtEaYxSI^9&0T#OO7cX5tqo27At3Cv4o>%Bos(pPzXqdo-8q8UnAypb~ z*YuZnF>lCKPqsZ}Rj|}F7pR|MrN431_X}Wd3&{2jSITt<^{DmZb7SBHBMKZ>eM}3BEB6{0}9{x9{2EP?^<2||5*AGcqqT` ze`%DZ#hUmeJ0X;aR$C|~OP-mGWu#O_veaZZnJ8Nlp(x4{o-rmeb~CAzrA;-+HnNmP z43n{pS$@~||9`xUG3)c(d+xdSp7TEM_uccn0>(=2;`oWczgU`T8l-QM=JGfyF8CPY znHU6N()5jFw4^rqQmszygCIcW*z@1B?=sj)gcjsYL%fB0>qov89X*m@8$XMmW^Y$p zNubJa0~Z5z!GOj+Apj>kexQ9cKIY!v0wPZ$rSLTZ#g~~b3Fq4E^nR;y=7XTHE}&pV zG1y18F}An13C{?x=YSQu85zt+b9NrYSkXLEAXKq$1jtm?%y6y}pKRqwLdF4DW8L0+ zylp}H@QUTV*H_ocoax8EZ#VxuxTL!rC~|A5_>j|}mmn5HwX$vPd9%Dr8w&KXe2_hU zbK{U~CJ|cx$#>c6J@7UFHDQ% zcfMOrF+X1P-Sd}^yYy()?s#dfFrCKjs;A_((2>Mv%e#khyz2@#| z^KxCy)+58lq=#)}S@ZS|l%P{+@n6$ql)O ziIa$infG|Ibc0AUP@79axd+dAKyV+r{-+a?Lcj1S9WO>HA-X0y@I_mOFmNZcC4dos zcspoTv^RuGSAC#BKQW1v=FdM3X+a0$se+XGl4O?He2H;?`$m+$$cJ+}eR9sS z!Y{`mWWdHJ&UYQWzNVh2{(_(TB{fOqn=kU)0)W}i-yx~U3&hh{rTm=&H_?MIj9a*{ zF)~4umQAq1lF92cc3MkkE4p3}vbXThQyr2))>qaB3X+5unM+)Q&tu(&e|qhvo@Rx& z&XRosodI*(3G-ng;$Yv>1PL{oH^9b&_JOWnTa@6!mHqd+C?5VBd?c_Sk2&YQtVhQ- zks^ezpEwdL*jS>EDLK*1JFvnmYM%QFMp&s6Mr~1N|6aQtA~6&Gw~8Sg+-#xqR|=39 zmyyZ4w<$rO<)HC9k^lWKrp|B@(I4Tpcl;WDe7HZn^!8`bdn;Q`eiS^WDHQqM zuIL&Ow+KoS@sneH^bywgy^C9F&j@Jxum9`GTMFlsk6vt-RjfRxaY4TOgZvKIsE%&; z-G}01vF`P54ao_O?V~jFdhGf=Vp^{<{NM^d37jLL>8yk8&|eyuWlb2SHPNO%duTXPyoZlu7hO!xt&`BB z{<|(}1wlaS2rFnqIu1zi$SOX{%P$Y zU8%HV{c!m%I~}_P|40g-?NQ`0#3FrJ*0P?WtIJ}sOw9E3;f&e61DH`r-i}ow@-+oi~j!Ut^4M5XjSoM3jfmL z8zYh68EzClYjEZdIcH9bfY>c76F7cHqFU{<^AhdXs^{= z3H6AlsOUok;K85b8K>JzrBxSJiuU>XHk=9wFnn2KzrN9^;f{e5i*U*1DPi97!28_9 zaywpouibaA8&V<`cK5Uzz8C-tzx~O7$8p-O2@!)=M#pZXxUAQ)((!9kyJP74CnB}; zaH5`wMPOX*SW-x1FccCU!bB%w(EU9 zi-SL|h=b@m1X4IOy!?9t0qLMX{1`Y)A%arLC>Dwxs4*>K`VdK~lZgf-Y%K*7D@uaw zIFKUd^24Y5;-zJJtNSw^?7L}8J~zh+cb^`<@wVZ#m#ATqNInOOi}@RQSnWjku;s_z z&&zVwAxXhsL#nL*2{s#$cUUDoeJ0jN!Wk=q@vwcDtca{x@DwgkrV>_@ z(+213&Y~asP!z#BE>3ge@x6}D=MvLJ&l)Z2ObyFaYdYpmADA6sJsnl}pel%#O4Us( zdDNQESM3rh9*}v@B@h|We_9c@63));V!Y#$>4;t9G*N_{R42$tjVaA*mHdMQF3xnM zE~n*4DYbS=_G)mcYsASUqk{zLQkKt{O{{5c{_>+RzAm|X_3OJo!>l7qzfZ=2im zq5J)-&5VTL-QFK{d|0y*Q2}12Gk-~Ks)e*BO@RcqX&RhBS6>~G7$?yL_q`X0bKwJQ z)Lq`q6ta)y94g2YX2R4FM2MGoo3s|``6R?nTV(tFZp{E;D$3lZl8 z9mSNPxxLGp@=f#0y)vu%+QMbg#SfSD#r0GFcfjAh-bfyr+#zMc0qrl}{apGn5vC3<@{q?HFQzhcBBqOwB{<~)& zVU*$~9h|l`<)^f}rd+3g*+8Isvz^-CD7oDQ9uhZQatXUywS8psO02}>DaT5SZY5k# z`FiVRn@kR?eZwmixX@5Ih&pyx+T<(G`EW)ET5u z@7;c=qrBI-b*gX2B#Ya_=uPJr9eMIVx@m+edB75inzVLU5X6h0{yM^Y9Jbi%ld~wH zH_+_K6g*A$zH21(z5R$ek{y%lyeL&35%f2o@mI!Y>D_2k=Sg7uM6mm4Q-#Kz%XNv< zXiLrn29ejd9#81%rI4yDm^5eZV}ytaB;-R)9vW) zQ(MB){p_>FLerH@_HFxFc5%7h*nZ1_&x~#3Q!1ld54&hecu#!R9ww{pk_fPpKYn~2 zFGDVMC{Uq4D^Ev4;%nevkJGQ@V=fpv;uFGdbPlcDluqn^dp^zu@ptd$(={T8{_iCeb93FkHkz_x7ViIk(~|_~75un?|L{6)MxhEJ1&+NId=# z?T6Iprs4VhSEu;_gREQE4eq_GezwuZ=)Es1@!~s+z}+ePGLI~O^%NXnEdMr|AJSS7 z_U-8KI=^kQlT$RMZY)AfW=u5%J~+{KG}2G^moTBA+c5spQSmOLzE_((5Qz%&oqOEv zW|xW-Iq0c%hpaaj_V$SsnK^+qk=@n&^7P9`xM~!|ow7Pt9v>BVIKo<1-$w2ky5bQP zni`9+XcTeyb+@8$+fUXF<1L+yJVwX~^hgt8co0XLF1f^$4fx-L28F5tpsh zj}kMh*7+UpY!*R@tY9Rh%f37F!_^QS5@TY;r}d;|1`xSNEsy18ebt{6Ti>3!@M5W< z*L3seO!(EgHWxLtROqAVahVgx&g9al#~e-L;??V~hkNbJOj_r z&LO{Z6+?ujWty#hAMejfmnhxP~567W3zy?}5I-&ktmuAOk(1Pe^=eQ^X$KH=-4yNs4Vjp(erF zXlrfwd$~G`C(W|k5x<7(gQjvjraOKqCKq_wl><`V*;TVcNgGl zWF&Lj8_nd$J+5*Q>L-UeY|S#SIoF9{y3od)(WLDEGsdDR__xq`p1Ym-S5C@vDL`mb zz?Z^zqPTwMc;jWw*^9K{8Jk6)^gdXDF!g_v9B7DaQaMdF;iL3DmXu5wPeuk6 z+-8V`YgZJaLBMp}FxG#1U|J048pGHR#YMK0*+T9__`orTotf^o$>s1E1@Eccbf1yIkkFw|@wZ0GlW*~=8?T(Az z%&;c{X~kli{--6>NU6r*)6}pN-wUXPOd*!rhgw2}$Fi zEDiXtY});tPl9)$bHtUdnHD{TIVrx;CjIBJVl$Yme@1ZQ;nZh&SXH6&m_+ zGuv2NCk_ig3d?4Y%QX_~qrc!QLN|yh0@lqWooA11d=@Q9p~IFNW}c*A;6vQj z1@X__ET6kQQXl=oG0DBNG=PsjtI?XxZ`*d3<->k+a?%XMAZ3DY9CbZH|0TrmQocs) z?rmD_$c2eY)SO-i|bxCr97Yl z!H?|w?AqeY#)@~Xwnj>>SQcO9G^pn((nj-wW_txC!~78zw>1_Ib6aFtC=4U7zEVv~ zn}kEJh@xBiOFn;H{V3KGMaM@higStn^Y|Xs;aIMw&%jbw$_(>qYV=Z9#Tjyi!s>C^ z@EeCRa;f=0*4Z(uxTYxjj*ScIJ3_^aEyx$`lE$g(D!vwi@>%wGMP#eieFh~8ut=cl zyBvYvgrP|k|18JY2nh?VHHL)Ef?X6elGsU_4n%}k5!)`Fb!%RUE+hoWHN~3q6ec*H z?5i{}#WcEKSIt+A6yBj5Ul zwlTS3_=pm~o(u7AYfMiZV?B!gh2f9(csp@8ubqNPYgst6cbgwifJGync6U_s}_$y|flL#E0x7rB;nc5tdQ>5EW zFf61qNnXrm7rWBHVLo$tQf*aGJ}M|5U#JZQ{fRb3Jw4If^M1fR-0PthX;@_m#n36I z-w3`SWMnm|ViWgy%^5K?d4lD;VWHPx+!z%u-g*drtAK zSy29D!6zI6fEu3c03Aza6Yd5=v>XWo9Sq(#6$0^&Ujr~FYVM>CpK^W>wZzAqO_%+* zVriUf46s0SCKx|KU;|PgPBUTwC6aroWSWdOOo~V;qjIuxD7uTMS-?*SIC9-N#BqHF z!Lgm*@*ZizTdu$p4lKe#f*Z-Oud6XH8}FO(nDHb)TGoxmARK~#u0Rgh+6%;6_$q&` zpRDp^Rt_FwgWyU!;H=?Vu+U;VeU`WueEmJDZ9A7bMt|vDi<3NPSNh{XsJ$YtJ)9@= zwI#;)=wB3#3E9DQuXIvTkfSmvw<0Pu@1GzHs#fiu`;Tna$r6%u@Wv3$POc{9( z2k#ntW;iO&k2o!?`C}Jw6$_MGr|$z%gJ{M#Qs765`Ard#b>7zb)*<@-#QU+J@{{=u zXP&o8NQYQPipEQoE7d#2qb?RZ7&T8lit|B#R1E(dbL6e_Bk7*(QPcu5OC=%pe)pr5 zv6`QT!rAImvTTghmlYiok$XkN9FBDOZM=A`8lWjzVNBQoJ}z@0nPP5sDXXmY-Ps?$!LlB zMfXUA7Sq{>utV*G)6kI`tFlJPT2_=dR+tse|M=Jp22&!ss;R{}j|H;o!V-R*IDoSy zvAN&Xn#UJ^f=G`~ZkD`&MgMtLL`2=iI{#eEL-h*ts}OQxxAe&3gf_aQySw0ND!RIp z7%K+}yC>+4m*t#BIA=!(2;cqJt~z;UWSsE!WKVnLh_~d?bx14XFr^k-Dtw_H zxlZ*xFSyn^GW5)VT+F{;di9h{ctGPG+uYKiNrFM-Q-)P$>`aFZVVV``JK|1S zVA5))y%Lg|eP$+jLWT zSKX`?0t2&-fsW>(~!TC*c~2qw+I7bc*^$%PyD zW&UUah1c+z*dlZ0cWO5EzGr53qv;I}q8;*fD_%K+oP@5P(wpp51n05W{lBt7wg8OJ}u7 zk*yW7+&audRl+$Af>TQJT(DmfON8MnV*Ss}$R-kgKV?$WWZE?Kr$EMXW0*_@7LjF*a zE!JV9)dLv`QpDF{lLgqsdX~f}4#)4>Y0>fGehW%RoJ%^Nt=q0wr{|eQpO;ivuzA@@ zS_#axdD3b1I0z7`YY_gc8O)5oAYcn-7eW65j=4e5t7fw??D3A(k<|jFYu!ipuu=^Hm2wd0VnCC0+>GY_qlN-L)%d(! z%8^bZDFJ>-Ofwtw3ru{!N>;s_VErhowN3m4YH9R#)9Q@ta>FU>KVE+KTtE1&xcl9W z{N!%ss0SJYb#L;8!xjhHp6blRZXHYes{#ixQJgnaEos`P7FZb3NS~M8^6YJ3rs_m* zwUJlyr!pgQH`8tIIceIR(W7kGF?AR8C3fgRAS-LTXBjA2Gf-7jME}FLZH0oL(aBjP zl#a~s@W1iq!ij6w3x8@Lo8XMRAvz=k7Gmg`i=4z=wR6YokZP9P3)R%CNB|%ZJ1G$F zpdfj2(`fpBBSVY+1sgpKYvBOkm{}>ZBbiTy16Usif_gs5q;66=!f&}~ z(ce#3UaCHpIHhTJaZ+?sg1y5*y=bq^VXxtb>K`6jnS z6BwxY%iO)LGb-G$Ds)~>G}YLlj=j#lZGT!Ur7rnZ@q}@=&3~Eq2k&bG&&_T#A`)-R zT1Sxr8_mpfx!Ty)YChzO(w$)3Ur}TxPjvR>qVb9_$L^mD5VSYMGKiLvs55wUpHs^z z*}$MiGly9l&L~(CgT$!MWSh2r$vyUq6TsJ>fIQ>GlZf>?Edi*6*CIM)^9o$Jy(W24 zxosCr`d{#8EmaFrWXjg(mG+yQx$#c+tEG6kt%Dr7{@w8_)`!pEGu3&w>-_Hi#$%8F z`Vserd1v)F(o$_?KJUsacBGQTuh_^qx zZ7&!2{w1Ahc;~w5*UBFItCtyBsEQr3msZ0pCx000wUji^w$RZ_+7Pakox;xT@K_Ji%H8X3|G*14-1TuN==fQq_JaxJUVR4Wh6Q|Kbb;!M(LX;Rp^4la$>Z zm95@ccGDaSP4!a@+l?66Z^X@!Y8SV8*vYnR_q_+b471g* zoap5}k?YLD8=vt%J|fQJH*S{jKFS(9r_6+FDcuR=R#uK{c&4-QR9#}m&`<``=lPvb zdetfK^TP+O1>SLueR?Ub5F_imi$3He*Sn-{nMi!XsPTTz2)=(^O|Aj}rk{rv?Az%v!gCW5>83 z)ZgMTzx??xS}*z`MUEGzE^X|;y`ovZ=z!AQXLY;pAN}iR(3qxGH&iG78ckLfyYRvV zO+t;|*`6f7GM^H*JM8jI*V9ZG{?VV2c<};#{&cWh{6=oim7=;BL{pt6R_U0E?J^6$ z^i}h$_De+=hUDtEO}>A3P7amr69k3%wb1T2DtrT)R+G&uq1&FU(n8&C)KH1@N_1pP zYlm}KurS0HX3U*LzpwaMuf?6GwLwU56`<3bNLWh!TS6=fTK%gB%#%rR#YedN8m3l} zk#8)-Y5NY3h0W|23WMiP*}+w$#E|Erg;|5bMQZ!cjTVpW+9m7SUmI%t^)UE*k$;zW z?a49r0cZIxL(j#%4!Qm9TVEEbFHh?K_EECmGKpVg|G`z499&8BJ|BifZLd%(+wQ29 zvRAs{iT$o`?%_%d>El5=A%q!(dSgNcs@C(wn83Qf`ZNsp=c%jfg)4EfVVA#$%NZTW ze*U@!FE~VY)4`q=TzBkZUufn1ts{0!<}o^B9>_Hcj|SjRJO6v_bIQDr1wo^CJgS9a z*}W9+2;mDSaE&8p^ zT6~k`dHKN32j_PkeLHIyl&*E+(}DMzX6^kH#gcgd57A)iDL*qwsG7k*-QYe#oH4#$In|i zuUMYoXMWCo7ev)QPH%KV_pZl&t*(?ZJ9fn@sOx^Q(y&mG1fjm`(vh@t2fy{!Vh9KJ z4d$Ff#Z}a2F)HAuDS#`8^EkN5$!q>tE7AxyilH8v_PT6jkb{vS4$=UJ(^2#@a;kWZOtMQ&c9qA}YF&lz{Wh8-j zAptvcn9dh7kD+0k>Zk7Zl9u^cB9n%k(~g($EV9NyBL+0p-1(zwvPkm#qb_Qq>JVA= z+a4FkwMhe>-`Ntvym>K5M)muvq^>4Q^JU?klOHuNeq80obx8j2C6t58JEFIRX;zow zukOwSt$cKK`*AbEt3y2lnh zxc}?wYiE>aGbWr8G@2Fy0=?$=9SfsR=_JVY^Jw1lX;KiI&_#v@%?m7Xc@jxY%$A@S zxt-2l-Y%{_uM^OfUf$rM?b?^Wtk2&ne5(>o#$>$9vj2Y0pe-g8%*A`MeA`8Q{ilzf z$&OFBZ0%lvtcmg3$2bBt7KuBrG7o2dFgk0d}W#+yxjP@j?_uo5h;g0MAqwsAa=E6D~peH+|P?>q6c9q z>f28*MUPB;)L|7}`=}H5Y|AN+a8h&%@D9rYxZuK>xRMWd=&JkoP1XO2_RWG&Bec=1 z#{)ps@5~{5DQ)yc3I+W!Gh3A=kr2NHE*Cz~BcUK4`0B{h=M)I4f>dd8AU@UFw)bKe zaGy00UJUpWMQEu6*nkWKurwHM ziBqyed?Y>Q*$NldZw+}?x@K*5?Q|$YCB^p{PrNm~Z>E)}GTk0({Q7V4_h`QGxob%l z;2_fh3fsExeWzahRC737Ko*V`@!O95ng5g*K}-Dv@4d9)o55o8wA(CPkg^o=WU(E^u}ym_^S%U5xSTu+)P5|+J#1Wk{^T6L>FC4=FTVLpS2Ycfu#4+2 z+kSS;np}$||CV+_68{mS1WB9$Dr)5b_n!nQDu=S9_%RjwMoA#Twsd@OmniChPt zQWyk^4bl7@upu2FL0BOnl2($#&4TbCs3xFulB`@dj@~xbo&TiZl6U)(xzj=BU^xGE z77h#m6oiSW7D+Y7P%4kBlOSR{meM!bOMPtyp-D)p5MhP^5odZ#3RTosHV@1*6Bscw z5(+)2OE%XL2VHP&K@tnY6sYG-WkN`aS7Ekm6kOg!7FoYf9KWybmoo!aHRjTO)<}f| z!ocv=cd}mdsel8pI9V!NqF7k>tAlDh;07!G{Ql`Dluufg%p0)NgGnpLCC8NO7*9)WBwiFLzx&^#2;j}UCNS#GHNTEt->$6Nr~H0j zUR9Wh=y`oOHH%!l)ktCsD^{Lu;nLR(YJ8WzSgjI{w+7w43o4sx#R&?;FfH+8)P<1H zl&^L#{u^5t>ce$1{oLlP2v5Uy6GjwTPr>7YlpG+W`~EJ-NkX$$*#S5V;qgc-gu}O= z5D@3lB-|=Jrd}sb=%;_VvZ}THog#;f!-v?|JtuRiG}J1J8E=My2P@^X!5n~wE$7bA z!A7rcWgUbw2hhrv>O6oK4TL#L`~6@N3MO|#6YQ2oBm|tA5+`q_|m=D%0ak)gXfGgc9uin~iIVkKmgASh{+DQTzV`c6L`E;)RE*#L6ofx>f_Y6`b%B$k_XMx zLVxAVY2HIds?~BJh!~y>Fdy}NqvXACeOH3z*ak3(u0)YPdRTt3Z>nhGlAk(wV;UvA zqb|}TWfT@pkEY-m|4^v4{5yGhH%t%oj{Qw|0)bsB*v3%kLCajQ+#~WdA%HwxH4OB? zG6+tL>BNiqo*s)=YiA6! z$;?&Adut`q)h~w%uhvJsUia{>uk_U1alco^*z$wW&16s?n3e^$L$Ci0*WNz+Eipn< zmiXLT%l_MIBez2XspsEa3!2`)y1~@`-7flfzgiblQAe13{(2^vpn}5d@Rj<0?$rl7 z{{=Y!m2mnUp`S%}FGLe}B)hy&8*IPeI^`={ggA27Y<#!g76-STDl01cDY z`~1_Tdpi2loLIi-jt|5`y-dL<5qrP3jkj}0lRZ8J72 zP72#pdX(0Gm+ov}KJ0V)gHvC>h6epCUqwDH{eGam--`~(-G5V~snqM5hW_I1n*LLT z&-5^cD%9&2QN$58`XQRkiGE{Sl97NwwXW>3jWz$V|4e4nil{OP<(=E6;{J$sf#9S~ z>zcN_;sBgaOyZa@7w&DM^{dl^xd4_m#%vO_1*%II(3F((hH-rX zID!$;`@#U+0i2$2Z%%!`K0qb5RL?DlPt1JOPxHgKXL?b0=P;fc+?xD=D>_SG|L|&Z ztc$KVGy9~M_ARvrsSep^_azLHLXziE%yRkl*R?rr4x*qia)e+9>4E%8&$i+uw;rL* zuGu5H}W5@AJOS~;=XT07wXuy91-Dvm%= z33|hQXga0q0(sen2w(&!Xo@jaFyk9@&F%4hS||g{8ZZDU4Xg7wtVN;$HFAe#Y3}~bH5;VA!Oe6q_X+Ets4=x@@HTZqSNDTfOCTqF!pwn zDA8B(ri?fiHKmXe4T#?xst8umB2PrsZ0(W4xOUNf7lk1wAvl=kYjo32y>UDFvcJ$F z#>WeYXSE_qv&K(V*xxoO=5WH(>s)ghcds#*0P$M<2#8suM?-M)>>4&J6WA^>v$MC4bT^-II?} zk2%a|*qHT^SkmOAjJ@Ll32+LL92!;iT=2mbEkl?eSgnsb`M@y*C*?X_Q&LxUbk^{mpD!Ib|1CO$goB8!n7nlMvwfK zxwmVR$bQlDq(JY7W1cbR^cI!1vV;QlTeUW#H>X}TX#QqN-G3m9C2F~6NFMO5yhmk) z_q-3_n#B6<*<+!We_l~_JNHmYy=_(no_axBe<4Y*KWRbErcJl3SU7wp&sNR0nZ-N= zc_ZOdslVXfI>yT4#JqNWaMtAHT zDD$zVLsb=hpbdUBM!d4~_68uQ>C;UFM<%yB4RHY`3d&ipJ6#Q zMUS?#PiYNsQr7>mNllC+?wp$H{YYTDSF6*;-3L_#KHvwr^^5kwe#dgc#P) zC|Jl=1e|wKG1lteLk85=pEJ5q55g!MLEfjfBb?^O{#UxT2}Sn^uQuxT>?sgo+!;+- z3RBy@!H0pdEmV{$%o^*F`V*Q|#`>P5#@}`?whG(&`(uNVVIaDBE)m;QMf^@D|5OQt zs>rX8faSeAYaRmoGsnJpWjbn=jz`ely-SES5PulQr5TZca%>UbV_yG%U0vLKJsFW3 z=n#ukWu^-fFr26fJ3NJUBPspxeUTg@%uCg}N%VAV>gzbL#}@j^-eptQ8!;9^?5wmu zTqz;>e)lVw2ro7MKth0SvN%1(*VnG+0Jr#5Y# zcuOb(rRT#_Ur<1u9+bG(_dJFF&K+}z)1(6M*~q*KPu6FNQLf%Rf3WJYOknsTw-u%= zRG*w8g#&&?f5KNVImIS0;rlhjE?cY-O>qFifav{6PXPz>7EGhwlT2b5glfga^-wTC zd|Y~|(ejrvxs&PaK0SH|rgfFGrjq(N#jUd7j_uOSXqoNUp$;2$rMR@^dQIge_s|LY zi)LdXwQ=a7rYr?D>0<9lYP_zuf{TexFQE(DTUR7J{Q4hoCe^fN7s9(PxQB0RH$S`d zU-3a9b7g4SuIBmY>!{=lAconLPlnjyR!@s9c+33h(8mQ$ObYsewNx};iiD)hN@1NL zXM9bc_gC6@({nnG+@^peuTU@#ybm&I#?1HHVYIHsl|3iWY@RF*#m8_!IRW?Jp-z+4 z1$7nhuaKE;QFoGUZ6EISBBZsO9L6v#e!1-}CL5Z+dPDV4OQdEkSP7^4Yhs4BZDX~L z7{u@w)@x?fcu>z6Kga{YJIrYcKm^v45$3(TM@H0BkMIxX3W&pDr~w+X zqW2yOP*FxyWyoMi=%F$>8xgeOxWw$EJzU+(>lnD|MN>?_DM_K?5}P1yfo=-C?XJj zhDb5Pb*}WQ>%%!t{}Pzg0hSDO(sRUbf{tPp#@eC0&1Q9)=y*l2<`pALqqDp^@#v;QT{mh&SAcLS01u^a*=v=Lj}ETfcm`3V zpz==7Xyj=VsJriZzy0a`tLS{rXD)4k(UmPkn8$IxXo7_o?QI}=!i#DbOxqoj-HIfh z~e% zK~Oc#2Z?H&NsJAxT)!8l!u-*C2&S*>7$EC_jAU-s1TZvQ+;Ps;Q@2~$czCaeK`tgN zhS&{54=8aS3M(OY!#xZ&da*SIZDCO=3VoDgAW(7X7L%Ktvp57JmP1r;`VrsTe=f6{ zifJS;n_l-6h+_*ex?M?}(K`^WaE6gVBpcH42}Cq`YM_es8cjFDWPj~z&?f~MqwC4n z7jh>hdYiFCR~(30(F{@EOV&rQ#7Q4#&b@Ae3A1YTSez3kj>)5GvyU0Dd5!f|PM!~P zAvVUii#e1|n_K~B=?V$7FMmPxrdtwDmPYN_*p0TZBzQ9btOmd@rdUkyxC+Aok3Pg@ z%wQ%_m6=#7nlWb^=-FGOPlWk-^td*iY4VF~96dIzidf#%mu?(VmTv%Yyz5rgw^15i zcV@%AaI;U`vC}pXXGNd-??&Gw9i?SE%xE+)cMgjoLtI1L;!yxQt81FGLl4e_%++@+ zZ5rRE6GPh09t)3yDRWl9W{ZivFb_ue9pjd|J2A5_ko_Q)Nq#DDH0pF^*qE;0^)w|r zxQofFAvn#Z^KRZ*y9T@Asc~)(p;iA7+fW5yh5!qV=IjzbSbx49Ea18Ynpn>BlYM=i z#zB^TB)iHdfp6^~9Jvb%PqOE;+U%?2j2M|s6S}pbW|cn_y?Lo_I^wh6h<&N0I5>+n zVH0nR8)kHakXEFLlTc&N>5&;b{?ijA&%gFC(Mv?c{-bq%d{prsE3r|KUs6uFYlHN5CL-cKDH7W(FCW~|8xYA2=LPi*$rmD_+M}cX#^L|{7wUPTHsBU zL+37DX+&a3*M7$$jqA(Z0JM6H34>6waZu$eBWrOzP|MU*2{8ZOFRDba)F1S-1)4k| z`^%N??lA$Tg$T?6LGylinqL>=Lp{aN}s_#K#A}+Ee>4i3c=n4MGcN@!!T{o?nzE zp7poZem{-j70^twy4-Td9w@Bkh+%lB>b7}2fxrt{xLz*YpwZLX4O{vryxqD-pYG-a z9VDrCMYvI(d=1v8TzekGPTcAmid!27GXIhnd3r9?(UvZ_{J95Qo|QP$az8X1T6_L_ z0vm$PJr>pJy;W_nIrf`L&h%11=fNwno9M@)l3!oE;PsHG!C|L$G&H4_w~Y8h?moe> zgTp%~=y7J|$Lo;X1?rhSrJ!8M+ioxTE~qY3#9HK)V%x=kJmcnH!VMiIb-l#R3nRF?!mo+jnDhkPl%(JtvqLfT8v^;(ou07=A?&Sl; zrfH>ifvq#xJ1YT1`TuXkZd-i{B*TV@`d1z|oLTD)aMT-_{J%ReansL(wPgI^8~8f& zE$%UYFqgLtSrxM~0H<>hc>Q647Q(V+|dMKPH# z{|+=B72%i+edVIw=N{<}sgjtE`cy%x?MCqnK=x`wa2O-@3^(!+?oV#q3yH^DU0gjt zURQT;)990)b4shsyEHH1xNeWcZq0Q_IVn-)zISvBt?lc-D2NWS3Kj45ic2etco80^ z8>1(t=T}EV)`?1s9VsCpuPpOr*BKH-e5H(yw#nzZ!}HmaqYe#>kNoDiJc~=~lxLzm zgJ@-CWmil`RrL*xr^$sgw>?Bq^Nsk0Z^0Xfa%bJF_hv&V{efTauuU-Vhm99N$^-|n z{y|;s>Q3F{7R7{k7rHmN(zpX}QUam^gwOK@jMtatAuJ5v>s7ZixZHeL?yCO%H3VH4#@p%xbEzp}!o)e?xR5Zo2Q$*v zOzVH0*;(*98@NAELzyj!yg1YVMrX`IS9!x@sjm>)P;Y}B2PBZ7V{=|KBY9xbsc>ah zWfxp;kX8xP!Dm3IaeX5g2IBy7!>56eA!H29wX0Zv?R%Y+f za~SSCJoygt2kmE6-2cd{;RCSV)cgXjX?!C=7nU>^ZOf$Be%@ z)vvgBF3;^wG_>ngUC}n!Humzpa?dhKbRVAf^LfM!wduypT%D+5UF7=NrGMO5voBIF zObKp>SmERPO0UgtojW4@#tFXm%5cT_bSA|AK^*LLCV7#LZ}hwX;Myo zCf)iR2A1?c; zJ@)UgSD9f5!+pRs0T)(co+UiQPCDn9T&?{$Uu?q5C(!lAnSt}aMQ#lv9 zfVTt93XG)UFq}#Z6iF2IHC|T<8Mr>t7fJ$i13w_6}&!oyolKtnwJW`yyHIP{-rxj1GG4F2|f7^`M%xQKQWI`7vx^EQR@HRoxFv6?&*A44(a!Z0qC|)6(EmFN9#(dMVl+_Dex_J!d z-kd_mu5+O{u%Q5vrc~lZ)qASXN=_^Ulatb^xtsJ1S2(M}I(6jUpxh8?k^amORcTk? zLKLBK?DtQE>?#syd3f3AnV8hJltbJYzy3MABtU(D5l44b3d_)gY8!*F;3EFyc(iR# zgxrH7t#`uZ1Ee_@2n}#tE*$W7Z~(o=z4FF`&-)WT^TVEtV_ybkkT1{FjIto5^S*g?-W1hx?E#Cw!>4x2-j~Hx##r0?tVha?f(Cy>_j2F!1K2MeurXxZ)IDBcNA=1+j-4u|XA=o`25Wv1%bGR01xe zyeUh^KQLEvEi<_GZY;d!Fe_?K(w$OGjEI9uYaamV0&m!zroFaYqCj2^UBD?SLiAuG z*X;j24Xr%}oF}MopM2-PHje-+&sAD8TyOw~34wM8BZzDO{3#ZEgtza0kzT1&@9HESoP z!pD;t9}dkf8P~TgtH1fl``kLqzZv`b+-ah*_kqZ;+Wc2C5jQ=qb;hjvCxyQFbbI^h z6`^xIa)m%paQDW-M#ib_gL|#;f^7#mJnY`25^^=V{ns5ue<6V*xoK@p_`k;XIWYfI zt>k~1ZtwsqeTUHp+4A4Q-j;{U$1=8^7LwbJTd%zopq-XAwk9Ih8fopAe}<6+gA=eZ zVJSc$&}XB_euA4mcw)|F$KIU?O36zW{$H-4XnYFrA@TuJshA(Om(oi2z&4d5t!l+7mkqjsN56OTeLQzyHxFTSd`ODiktA z>D8tzMV9R5naS8%kSJSCvP&sNC=DS?mf{&hNEpi?l&#fJ$r5Q9Wf|F*`JJcl|N7tW zb-kX6IQgIiPXh$*`xTr6_r`p6Sq}(GO5Ec1`@9uPuXvr zRh2*s>D*+Gat%2vkEp?5hJdH9Q+%k1sNJ* z2e=T8hBg@gG$TM-<>h}sj!r-yFBQ{34#B2Q;cbri4Ji*eW&A?$|I}yD8SEjmIK{qH zu$}RC91u$yC@4%D4RX+7KFy>Z(D}mq(9&8! z$TalW_xZw-f8(EyoN;=%cnJEboAK#`^b}Pof2se>X0ZW@8m_jd`Lkc2m6_bmsXKFa z0@tx6Wpjl1(J7acw{+5TMS-|L@*dK7&4rp$>7H}Pr`&^rUqGx_V>);R-un0v6bIY( z_883+d5a8OYhIi`$0}c5UuTlzM&x~%oHdW)ce6Y1l`-$0dt;~Qg1E(Qn`!5I-u4{_ z{y|z;?iUC0Fgx_WG4bNg^`p{bPpgE(md1K81oUr^WBte1@fAWM8aU_&8Z#eMpJtq) zUf#B~bzC|8on;W?R{C0DC0-JoQh)(+t@p#4krvy(H^LV9TKdq?Z)n z;0Ea)pwbY-Zp=89l&zLZxorv{3+|heDn&dLToNYQlO%(;1K;cW_15Up=+_Re#NUdZ zG~3e!fW^Q9HnA~9W)eB)OWP*EZB`;Wnl++%>8>D>M|BM6T}de@IwL~^Ikfim^P9rs z9iH#H{qMb&A#IVGWoS1{t=9<^K?Lb=sSjKbgMvm~!OJ}3&Xz8*el70Vfph%qyCkzzqf6>p5E)oi;@ojK< z?zNf@@|Wmcn&Pm6n&OQIrw<<`HuFM-SA5lP#f&Eg2-G3lU=|#I%=v_;8@eg8)ht`m zHNn$ICp=L^3_+r?`yLXY*Xdk6c^nSmIBUrC$j8XtVw9U^rEWZ);fSM0Me&0(YP8nw zS&i%A5jZ}HQ~IK?Na5S$J0i0nH*hsfjniy^z0Y5rri(;4>Gd^zTbN7gS& zwrP~>ik7lF^=qd`--KUtw3IiKS8u~|i`VQv@nr+|_o)N==98&BogXnmH8?z1*Q!~8veh>4yD$SBFF1~J1{ce;rOfnHzER@WP zHA@186P;h3ME4uQun{N>l%5E4jTmZK`^tjCX^Ux1-OP4%1BC<%r9@d+!`k) z6?3>*f`myV;#_<;0DB=YhGWp)!65l@1;Mv@EwAV}~8)dcnuWt*^F{f6LT zm?^|#b`PK%@Fm?jpy~j)a{$kjU(0OSrtF8|Ym{SA`eldpR!w!~dtpgQc@>pcm~^+q z94kM7%&SP|x8G-M(j8Q*iiyrk$45tfJ%nal4bEe}*spwk?VM8(U@+#6TpU30WR@mU;jYa9EoM#tEd^hwHiCe_lp(fMN&)&}KaM|Vl2vGt zvF6Vk-oj5;%-&}JsKaXjN>8*+FlOEmdQ>8o%2I_u*B{ze>F+>ySTD6bAGM ztaKP4XzDfB$3MtC8AC6%c#juk3VGxwmp;B=R@PVg=-OD=^y+#$LC}WIXpcttgh1wb zcL$;M8_lX-o)jzcK5)@Zv{L78Qy-H|9n~x}Uw)}6ps{VaL7ndpY5Wa?I%{$$3c`7`tNiM!Q}40eoV zJs%uibFDY4RqV6iN&u=Y#oR?#nSK6AgjtD zRh^=oV)$a~tEwurk*j*5=)x2>IbEO}k)ePn7B8ZAvLUBBjJ2_msZi{m9i=T@5WK2$|tqeErse=1#wa!?8Ab=WUX<3HvwRJD|u-UvFP}(y;1%%>AVp&%wqkXIC;-y$p(*Szaut*I!qIyVH5AKZiV^+j1Y+B>4SDOw`{g8R; zLb2|jZDxu;u?`l=q%-3fS8m&j`3~qU_wi(Ik*8ZS4CE z-4oipP8D{3NIu(q3EgWI)PB3T;y5v5Lq2!^8D&P;QjEUxVD(JJxjfdQ z^sj?oyd$~F7McmX1?jX?WZ|Hfy1cb2mPs=*cnFF7o9?%-s$+coE%)*@vY#P#_lP2#Vk^lffXB#Lh2QL0;IzZydTV|ftAlDfmNV8 zRJ=+z2?Q+w++~1o@;*@ivN=iEl)Ks>2wnodLa20iNdh@D{|-o%yi+W-9i}ot3IRA= z(Ov)=1pd{E7LtLqg<;4xDo|gRUMm)L$e|P=-M_evXESkySnw=s<;mWo6&v6FtPIS1 z?95e}7XkF!kb+P8my!<3wd#u4Q=fH-mepxX1LinBPXYaWUDH)h03U1Exa~$Dlp^6e1L8-LHx{qq47`=Eyv#3{)ngB1dLmsYvCuJ2oJ2=_pa>o zfzvgYctPP$BTltZ*dfu^kN6)-U1#!KdveF%g&5_!Lv}*vr~M4L|Hao_S+tgSHd2s? zRY-c^{?%{7HvnE3yjF9=-5=vcXRF#17mj}0sHQoiT@(19D)8I2visc$N%qnS99oFy zcah7H7?fyg`}cngQ|Rp<#8H<2o_?CC@A*BetAySx+v0t58oy51?(*BlIL&9(mxqtx zToA;pN{#@fH&Ah+wVGeoW9_CyIf*sj+xKkOU2clDGkv04?eI&-|4REr!P#J9-0F(m z*I7TynFKldO&+B#Krirtq=eV^COgvb>8lp#1%zfdcIPyEF4W=F2C%xY^62=sn3ll& z5};ij4Z9PYNo5$(kzWh`OFPI`Yiq)qIgPeRg%OYrs8z6^xJ$+CJ01vW%sDpy{=bO@ zFJ}*S1Vtr&Lkb-AoDnSyy$3(TJlZnsleRPP8yI*5+}s?FvnnE;?}iw1A$A8*PZ|Yf z5%kSK^1MpQkkEf#5|AtC>{-4e&Yt`=`z(rnbY1>HdK*@BxuL?4cfxGpY!S~W;e{#R z4TkOF^e@&2dg@mw$|=7*E*buF2jWy@s#B|3v=r%pJ2S(}v!5#B;pFUb!4E4wkp;#C zW~IZO6RnPZ|C2CPZzZ|ymeb3VRgux&Ya?dWaUVFjgUellZ$l!sgg6~&HR8>OpU8Y* z16r!-O>sfscJEC+vaj$Z<}uqp{q|M5cIFoT^8Wh?rxeH$ys<4uw3;(!V&ywe-?*u% zC?B@vk?`J41q}?5v4d5E55+#(%J;9y*t_LWgz*}ayeQkhEhGEhZ~GB1XDE7ZXGl=- zY=1zcl=#M7Q;&EoF=xdjkX?(egHeCV!KEu+-o^` zT@oSX|9DXGzW8OaV_R4K>^>AE9wjmtwqxgBa$ZfPE$&mtmwrdt0DFUArRLJ*QP%KV zlR4jOU1bQGUxVR4uTlcG+1x*-tP3+Yq)JdU)>K5|b-k$^qf*t8S< z3NY`2O}c3SFvOQ;?MHPa0Y}&ESJDdHNY(_HCy+1=oVh;%yMYK%r&tgwaCf+a7S#wZrl3}F~79G=w{)Hf|KO)Rs+lg*1Z z)n#9=->Fsexp=@}C0|L`i1O{vi{4^an{h@&R`sUaLVW2sXyoTzH@-#Le?U)=FV25@ zef{R4gy6K19_CbCJZ=|>QH%S;kC5gjuiRYNbJw}`>zHW~^r%IJ_;LNZ9RxI{7fDT-*0cV+SA#hERN-2?gb>nmLPST{1Nw5xI0*aX!t;<3G<71J z=(*AFP^%y5P}1B&pLd<^D@W?cY(*;XQ>u_yN8N}b$1CRWb9tc$kA!h2epu)n_z;(} zUd-ti(ow;k;$PdUc(>+6 z_J-E!e|N`J#L?gGsjMreeN~!$MdnMB!Mf9; zPq%#j^`msjFI!Ly=7bIl_^#p8RQIa;&(?*x2r3XAJt9{7#GX!!JO(mxW% znz|36{S!6p!el~3YkoE8I+V3j43jfbP7AH|s~O`g98*~8+VCvsoP!GXBejcER6KLAK8jE}?$oeox?p#j4 z-T(E}Bklb~obg(I9eS8I&+M7k-g9r9`c7WbQ;je!`h22Z{P9djG`TJ8dFHkwSL0no zo@Jb~^#?V!t4eo9dA^=c?4uGcJ>vz z#wr&KC>w6yqq_c+zL^sofn#R=Gc)Hl6P}`I6FKpiVE(g#23Z!UVh;?z3FP0m5SE>S;C1!q6?F%Iy)QX-0bFPq)-UR7|L;0Vln(KePt4=6K{8Z!6k-@2Cqs0)<5Ngq_zxN8|+>KKJq)gk$kotWi5fG+?H(uU` zYBx_N2%?ddUU<%(BNdOlEhP6M2dNF$7Sk~%$i-npcIfEk#TqhJYw!l0l5~{A$ zn9poacPO+YXhKfHKiXp0>M?pANtSN~t)VV5IC#jh_jprF$F&E^U?KsQVf;=}RuY^U zi!rC7EppgR0rP=aN51QGP+32zh3uoy&o`y)|DJe6&d^UjkYj$kxA@^LXKf|aqMeYr z+PY_Avg1PKV*Ww@o>@78T_cQ^l)`y_o0Z{+HBG$X50#j=v|}GGYgI(0MsMl&XTR{b za5VF!q40acUS){AYv@x4+|z?M1x}vBvA--VcdwE|_^m`vMJ@m7vPk@h)Cp~~onPc! z=vfG*@0w7UJ0xA=FQWQ=;t)$L1}4mo!4h|+bg{$@86*~N7+Pg?G$-6t7i#P#XeLoU zrs*5Qv>*sOWK_fhr9*Gl*2v+_NBYOYew=pP|8k>KQ~aa5V{RFlA<|!8m~80`IAR^Y z#dTkSWn(Z~tLl#g<(}7ISK;HArw%ympnKI9ipi0A1q>B`J0yRJKxxaEZJ}^ zyhHH)>;QK%)>m(|IeYp?^|p<&SKb$OxLLnC{^qK}riG%=CCR1Wi#uW-_O1GoNnew` z1am5|@PqcgHOs53fw;*J-Phl4+*_7UVPDj3B_vo{6a=T$_(JPaXgM>W~*LOcb zD_isa`qQ?{a|43xw{lU1P=_5lWq3B?L1(F5(}I{76|<;Nc?Z*%i~+M~O{lZ%?1J#q zzGMbOqzWBek~K>n4|C8OG9eB{zjIGl6(#CDCY|PF4Rau_6^d~^C@_u)S@pU{83Ix? z?33$(`F(K1+qcph(m>Mapr;lq)SeK^C}@9@pjJ^nXa#LZ1N)C#=S zou8BX^H}7RN0r?@6lE?&pQ+RP`Jkryx{Kfu8iG8cLR~K7o}MW@IYVK>{)dmvHtRq( z`NFc$F|FAp18J6Ml{(Wz|CmS|ojZn~;!82%0H$t3NjOp`OCwCjV-;9K`UN38l z*h9*nv*tg+wmAyv-iyz5m?*=uEmG@5lM<|Tw5UzamVjy&CaIGHqcfrA9z+9_91A7s@t1dL%VhG}Wd2F<1pY69k{HCt&CfF{f}Xb#k( zH2)C+-A~tO(>FKymfSi)&0~Tdm{NkGe4_xLToTFzHaC|0)dD*}5ZQ|XtJ~I4O*nMU zk53o+h4Z%0Ci!;<_2`bR(hC*LJitzEIK|g4=}BSM=1HzR*(mWhO_R0qk;LBUDQ7E# zJrsjpoB2PV@%^TnSX_Pt7M`_lKrqfOOQ9HvMv(6UR_LFO?txdEqZB=gCbkQ~l0?vu zW{=NZ47hyYKT_lSNbT}fmGuDF2r40+**^M{#k4lg3a6J>T)WSftW+pgrj*bvZGz}!hroKjcvd$=Bd4hf3>=8Hp` zIF*;q4mVt5n-7DrclfvntVgvYVxk2yQZm|b7p4GnX0TT)iL(1!ZlAY9>EM?Xn%U8u z9tXdA&r&~F0`iFcjzN6DEJRUWOqHgqhd>0ows1%Qk6iwYwY?HfMI3px$R z^20!XuM8ebAY9f6LEOra6KB_YX)ljtl=rUE%k*T446LN~KkJ|FNJ)Fno4Pu=WeO=1 ziCrnL55{}0dQU`1?6-a*Z{gQ2$)|WX4gYEpx#^AmVb`pVFseoQ6(uo>&iNJrG|k_$ z-AY24zh-Gn1+6KgFxfwPz2k&XT(+Ypm)k|7@*C z4qw^{@U;z=idTKJB?$X1?WR2_JaBYa?ken`w(#MgvzU;-)a|}Wx!q^}PB^N+in*C? zc}`>1=9RXa0`6LIRxiK%Im8wS9i6E~$v79b_qRuRUDbAFlrD|60UNr6`M1VCU>2Pg zzsY5{2AKa9YpVK&c!IGF0is_``b_l zm%dZ5E(_Sfm~GE#f1AR_ypA$FvObfErpP8>R(M3}B4Q7+kb|9H9W4V>OzBK#GKe5q zwtHO77eCe@&Oz;x(J;{Hs6nVR)L1%+tgKUft&342O>8&6oQE#2Z+K_Wq}s zm`nPU4VHH&8Z(?X7$cPCygjAh$tIiTMY=o?7lAw^FD7wiSpK%XYlrIgzw=sH z=#O~vWX=V5jUFhpxl3fnUzxQV^fOfq4lA?7E=qv?0b+ZM9}ySw_m%6`>T5#Nvrv8!G7Uqu)mWzHo67T&>^ z9^EjV$E9=?Yet0L9qBceM7VFQ6g2(;CT!8T5|4=c2$hBqVO7JF(P29g)&KA?H`*Oj8bEj>N@jHKD~JaHL__l^SzJ&9fSJ(N z=zRG-Z4qf6u)skS?zyxUq^5D`$^QVGZN*PU2|n53-`WSW+P>*EUDe3vHSUaWRg(zQ zSvdVlm)D}|Vz%N4K?P&G(A%durH(mAcr)w9lKzmzhggZ+8^yKtMxvdQchu-(EA|gu z7FzYku$yX`ROc+9rhV;^y*UF>$usz7VBxT3`uc>M-}iTCE~|AiQtSFekGO50>$-RC z&;}}!YhU$u<@kF3o%S|EENu_LU3-q$crFw*Ifpd)r1R0aaUZ4-;Y-!9n1T?rn0LeV=2*uiY9*s`R5(1(Wdt;rxbzS^Glzzg#_e zIj))5Zx)vMe6eq=Fq~~rtKhp+OF>iHF~SDrRBffdpA~0y59E{~DP7Fh1M5N`1`TNe zQhkr24HeQHZKxA(;|`#7n*fd(eG&899=BMPs;W8^f*y9IB5da*K}T~iwH^>4#aKv) z$a0D>!-y(F+f)n_OD4gJ>P$We$2$d;CgBroz}I1B3sG-0fA<__Cg}(RdhY^Ewg~|7 zK$Me)@2eozThuiOOorRb;V2OjU1>e1q{O0RL0z#S1xr0k%5w$`h9?5!4kRh4Zj!lE z?2ymhzR`-{+N*iqU&TJ3ZDlyIeRiHwW#OF#8rK_wzgtwTu%rI#y3q5CbH;+ZoJ8s^ z)rBtVlEZj6o(ec$?*7%qTeg_}BGKn`b{87%G?T`e=6(Cdir@>U5080%eHyM<=ropH z`7u)`;f&BuXJ_0iMAla{|B!_DCHK6jyESKaS#e*L*KLD08&d!6wu=`5dy4O=R8 zc|lyYV!d!VRbBVBHc!9x`knY>Rvgi;ske%Ik!jgreR3=!?_kCgOM3%Z;U+Kq8r&ASf#kBXsis_r|S&ea@>skDDnC?8&(p zJGUZqT)wUw_Z>Q;PZ}tXibl;>^~(A_P5zlwuntEvY#PL|GLIO|jW}!uD=8wgp!kW(>4DL_O89pXhV1 zX0{1!@A1E@>V%>?+G-AZ;4c@RokL!HHHIn}ya^dk{>87=l4KvhQLh|VrZmRtQHnU)G-1!#RhEBE@A1qt z4WwhycoY962S?Ii?8}I^0}2y?J>h02H$G5i%-GB{RIIqhe%#FsO$%|85}5O#&u^PO z=^?%te;`7_gC6X)aig~M@1>Xq4Zq_t_4|IB4ec|mU{o|+_?TrmBo!niZhR&tb;+eK zh3~N}zojg{x$B|zu|3=NGhXpW^%@!8tdS7yU#TaV72$g)J*chs=cv(0=ZeD_xGUb1 z->yI3->_C#`G|kOWs#R6gB68iRmrRQe+yia#_irf4U>@SE%*Kt(eWXq-#_!Jb)Ng- z_Xqk!jYJua<$m^7-(myM()9ipV~9-QK302d zyarkB(NB+U^S5A#^B2}_N)tByzLhJ_JyL~j!hq5c#V(AzgG;TF|C;x3S6Q7YBH}@z#Ug&qRcl zDCdwVsAg5a@vhgmf$&!w_7Y(H;9QlvRZ{xvV%A+McU#TzQ%AbWI2BBWcbgobSWVQu z{U3NGL$*?TdI^ST)v>aPXf#0y%x#VnYBmh|FKcGlC#TQVKJmVa?dhRGWA=Vo zSeMu2iR*`tQ}Pj0F@3Ss9^X4^+>M#qe{s%;pos`~5u>7?-0x+vkO(NVT{)KP=VO;tk<`^e$KF~jLsb> z(Ln5;rv0pA9m+?u1<+D44BTy2X3|kM^kMHL9#vKTGGAlU*8s|cDlS`+hOF6Z16YSSxSM? zsutXQ7n*a2fB2I8<~IkXFKvHX^5d<(>=v?7cDS~(l&yj2>;v^}HPEzY#mAE(uS~UL zl7f#!U6fmq8IPRna|loJuU?K>6~I- z4^~?T757s?y!A$5YLZloG8R)42{SfDWLvc)K6hQ(J)?GC$O1)^?#Ejb87eHJ;9NHf z7l=Z^E;=Bcd|S-R1V%^+I?Sj`7W5i&AiaYTj0M${A%fWHP@QfFVMO>AOl5*#6Px6* zSOW361I3WGQ^oyi5(o%4nuF$yVW!mMi<7%5@B>*Ka6h997oSV!Pq`we2d5ky=UhbG zJd}TcwJU1M8*a#OAF2#qCq((c{muyRv4$sG7#4Iz;L%Uq z5e$|D$wW@?|M|>8;$B(E2G~m=QBG>^4**1*uxoAJJ4c#zCffDRTD+SrxT1jXx+rct zrL2)tPBlttb@V&*{&t=^$I{{qVJlYNAsy6k) zE*+bP71ns#e`kd46i|@D2%1I)u@9y&lp=D`)sv?DprUpWQo^yN1QZ0dHq%h%tcC^! zvTrJPIsdK#SPDa#>{1kJ^FW2jE_FjZj0vqU`>^c}1rKlEUJ!aML5PCAc$a2PjgAKN zq>ex6>W*!odfxiT6v=P)1A1^!J3((p@tjE&9#h3JjRu^PhZ(04F4KVnrozxQJ@dHRqeao{;cM$gSWt&jb>{u4= zCW1r_rN!)v^H~z4EbnZX57UnP@5aaYdz20yi#@h%40r%V%XW5h`wd}lyzz~Mol*F1 zRa869m+Cx6h1inLs!I+{>x5tlee|T%GBk!S$jtd%gwO^}d8A54I-R_9E6=}4}c+U3+D~BIL z&3BHqER~Np^K~#&r(V)|V+n0_*;hrWVQa63OFHJVy;FmhYop633nLb`9?jyYzn)B&i?d7Ot z=&96I6n!xuw5V2Ku%K z{i?jEDgB+_JFT{1kTSCMO|ZT6mKpCi2En6|+B^POdmXsrt+G)N8e2IcGhilQjT}sC zjo5kDImr;_>s`OGJOaHFDTxNIrYO-t0s%efH-rP#2ZN5EwE@gwHWJM?zwJ~sDw)S* z6Hj^2X?Vz9AwiPEiNU1O#k(nakAY@}**w1e8oI6PEYPkItsEv)tGl~=(gei^tz2pW zXW9hVd%RJEgo&cIGYa49{iaSZ8|R~=qp|Qd#4rq-x~j9zsA4e~7#-h^_3!WVwj60` zJOKH&oqYgx6*$!uEwC!V`Z5cg_6dHe^p1Qe+`;JacH)If`K-355B5{KGgx(XqOkEI z9?oMrOXj=(`rM21U-Sz+s0K=&r`JsKeoc{jLf6*&s6^-QLFrE&pi6*#FQ@!V=KcKy zA%8mef$@&Y3IG1$6T(X~ZL`Cc6DOZR8F?(XRoEE2_-tf?96DcX|{O8*)tM0ZC}q%K+7j;0oXw`$m*B%`5hGleMQk;Vx(eBC;oeD(-WdF-+(zm`tXCy|PE zgW(s$J}g`q@>x5hUD>WVu%UNrbzmrCaTz93rILv{|Cmx%o~t#$Ay;bV{7eG7+h)0f z*#enG1hdb`r6+@9m3pyjUC86{v{0)`e(z|u&9ZZ_hLvH{*u3KAX~U57DL>|rU?xdaBMy-7x|nC&3ThSF5>s0n z#U6tQ=3Ivr3RlC!u=X`evz)V;O07TptWvUu`aIgP_gRl${a#Umy1E7lN~L?JPl$Sn zKui&$Wy@fL@h56LP-scDqNUy(qUFvA65WAg%ePT!Eqh7D`k z&TlB%gT{Gd(F!b1>;iqxBX;q2tZtT0#T}{5^F(adkKC{a8|IeYq-aNNR~{SrD^&QN zv3WArDA+hMY(04%7l_sti|Co4{jMz$gl+@N=J+zi$(rwmDr0eQHN9wEgm$+LwW?^# z$vd8de+Y%7lSf0w@`E>cKy>IF$w4v^rSF48E7eHF5jGSbx195Ci^+>~`1r_h3dv(a znjUmh;6PYvm^Py_+Zvsk#5Np0&Us$))f6y8WTgj2b=w_GRr1T?l%A{xDM(O6j#R5b zJH_Y%I=5XCGlv{HrBU{5bVlIvGn2MwGul9vOZKf$qviI1PeO3Ia$( zm7o&mL@1s1P**QG-JU|qxUW|Xb`-G=P%@7kQB4x@P{(R}fW5DkYVf!^f2z`6m?KNVRIXe)+bG7x$1Nz0fl1m83K`HguZADoE(;-K!*iO z#_xj(qA4BcRy05=Df|~5^(~>TGOQWU55zm*tqebKaY%#e7Wf-a+Ea*Nf;onk;@ly^ zGg>Y%E~Mx$rwlodC$;df+_79RsvR@Gqyz;z$d#DfIDr^;5!2>~uEZKBl%ow39n$(N zlla91w+eirBA(VkP25|On(9Cyfl@JKyEycw-zLeOG=S;@lW0}Ae!ElCr=Flu?#x7M z(O$Rx_A6(rBnn)q8ilsCwao)lNiX)x{Wb4juJK$EEH39rETi;`o^-8$TpL!j*l4{` zIWsbGu(sy%DFpZ8D{FZsYvL=PJ%#hkcbH#G(E7?u+g-{~-#<$SM_$~-k&*1Ufyd0kvVHe`XUN?#^tpiw zy3?4^=0VK}O;Vl;^f&S`qI@VEC`B)TlUP(8A8#OGk^p~H1f&cAjZvBVd~KY|f0${t z<_YX_WccZ80iD-(bi*bVLdMbXb>96i#}-V!EbndkP~^QTtjkjU>82e`;zal&}zXD8|k)!bWZuOJTPz1=!k{8%7LJtxpkiewJ51c9l1#Gf0^t1N4d{G z>F}OV7J(hYG&dgM(1ULe6ULuWPx^>Nd`9!QNlDRIe$Bs%Oms1u;H9C7qUUWFYmRsC zi~&v$0XaV5W+k9wJG^CJav?N5qaga7Pn6|bk<+mZ59J%fBlu4tYvcopp*X@ixCEl` zfMJt0sk5&zmZKdkR^X3jNSMmK3wMCkan#`N3ThQ}U_w8?vrUGAcJ?BM4O83m&%V1N z`+5)3j+T(vBySIaS-l4f$H5QpaJ#4`R-rw^AjAGgqfEb<)5f@zGanV(QBKwp?82md zMn5%sNsv%{86s4U9_Z&f!yCJ-;rw)Oeo2`_DvoZjB}5ZDq~LnJ0|bm=8R?Q ziuF{sF+Yo-V6@V<7Op&_Z+XGf5vk#S2=wJM_(B;8=p5)S;EBoYsyl7N zBxvI=p$GVdVP7YA(pWI08)3g&7BUmro4--RBMx|J6(oE8#1rL$neGerPxe$S{tdgt zWHQf@6CE?jlp2zHPKWGw_N=&Gjo}CLW>QZA{Yx#B|CucQo=RZ;=`Ru)!1>M>>RLai znw9ze+25`Eyq}>zzD>}M!tjP}wq!>F;~=X0#(r0OUE14sKM~f4McSp0UxfGWnM(LB zRllKYV<_XtpUGdPuLR@G4)pepNuTXootY95?7m9oTJBsou1?=+*NW8Xq_v16*~D{; zbF;3#>2nR`Z<97uyDt-u9P3S<8~iEwVILGxtfdqP@pt0YU(N#duYwEXt#uDZ zr-&*wOjf)KY7sFR?jw4nl!06Yoq;3{P8qV7c*<>V*OTZw2w`VOOm?vi=grP(5e^+q zl~9K*RWB#q^%o&JJ#K+e30hRnj3lH09)h)C2*Nl4ffK|7s+!P+4y-{0JonnrhsiIY ztnN#?oBQ$N%cjKy-A;IXQs6zm1Ve@u6AuAJ}^`pXE8 zl=3FCdCSMo6EZ!bH-`2rD+=_|#3%I^3m&r7E_ph6D)E=w#* z=%yHcp$nb7JOnd<#V!(~b@X0dv_y^58txsG*T*B@71NB=Q`PCSu*wAnUQGgg!r zFZI4=>vYe^cR?x}p`sIY%fiO=uIhAmD?kZ_sM}%a6?-u8d#@Q-SvF2B?YSEk$$VFhx+Q2Nr)V3XhU#Aw7D&yIhWT`;(1#(yOtKXD&f#%eJ z%`MD_T?4G31~!X(8XawzA}vCvgJQpvRfqD@Mc*_eS@Zu&M(iH742QPadq(ON>%nC= zHoQjr21=2QoKDNV`u5|wBnaZcfU5$dH27M*#LFykUglvuf|e=+Wd?CtX2Q3Vya#^j zXx~@xI?;j{^I;wi{Arpa5{R&FmwCm7bk>tOaEBw z&IbqaPCew`%#7+g*q`t?`BGIEFf>9}Y7;ImryaT<_SJ#^+J|F94lQR3J}+v8d-Ml- zck=!$rY@Xvz-_XK3mJI=y-(TlbGPD^eJHy=+%bFdWO=c8lh_Ucf7T1Hni`fa$5Je> z_3Y1tzT=sc-SbOxWsw#K!_O?ypWVBAcWu4rmj0p_+Xas}Vxg|*wGlTku*YO?omDJk z(!HM$qw|az3`${jal|l88m!5AL9Kmu+c$W^{?lZLv6P0e1nm$?XP+T^Yf@wTcz8V7 z4Mf?5{%-k5q!uLs4|8a}VN1^e?d87}kCl^dft3(+#= z#6Ax>Tf`1Bvky*YfF^|(4Y`dTJ>4>tf5%Avqj9nw)Q@)cVZ2<{Il*Cs=n!`s)Y+veLJhvf@OelkIktQ3!wI$JECm>ryi3ljC{HrmO>sI!M ze(Iq@*x4&b4~AxxzvNk7^C@@;QZQ*R^JaJQK)Uae`juiqmH z4fUje;HNrC4cGnc=C3*3L$S6t$3#U2oP{EVszy1WZ|IFSslGh!>Vb0^s#BMuLu;1} z%zY$Z325#hM|~RJXsvWJs71={YMr!bNP^x)R(PkEXoD?ELvg2S>MdT;vR4xWpHZvQ|nPX zSS&qXRF38!FEL4=YZ7}&2yF2VJO~7L0Csqlj6oSM_>aqQa(FX^aeo^g)V8zjZLhE| zFNPSh$+jV6(7lLL+4*C*&u>1HqaxwtcuKQ-jr}-8vs+Qlr`t2Rjln$~3d7$03}Qb^ z4U3Oc5r}@rElQDUNM#o;nu<(0dN8w>w@a~M)g#&Cg+h)pUcIb8Gglo%hvk$tQ=3Md zbnz;8WDNseA$NZH#%|SWG;rO=`!?>NDe5ei|7XR{u$3CMk_!KpI)E#m17CEk?!>JQ zjP93<&xB=&Q7U%rfdd)`Z^+mR5T?uW!r*@w|0t48C`9`8d?V zh08V?^5SI87Z&bVA-L*|8Z2qO2mJ3UgOWtNeKPf&poI)V%KEj=^UyilRny}i=VUrI zYn1m_c27hV&bBmp*J@ztzNFoO-OXDYQWzv?IU3j8bfdv|?>>Rv*!-ODu5wp8k z#768=mcwOj>8OLJC7r|LQC)G<#vKls1w!Eog4>a=am=oMy{J~yeeXg;1RFq^NdiJy zn4p2Xiy6=&&}3--u9Jj#F>7s*}WLITCiATc3>-DGk)z7N0omlQOwm$v#UZ^X+M>;2IA5Cy20qzkz ze~4!N_L+6p1S8N2zk$VF_f^{L&gSHxAfGDHO-r6r)WJ7akFeO~{~v5}gq}d|;))7^ zI(`|xr-p*>!2g1ZY(Dvok#QvxQHp1zcrm}-c+29Z3gWjE|2va@C=XkR2(XOM(4nPm z?~4RJ+~KhI3%uc+)k7y}Q_EO}AG%<@OVBClMn0GhEgipqcJeP0rmz!(LcYgdlF#c{=+|p zMV-R-WGsufOi>u*9Jo2KPc3SX@0C9m;lNh`J^hMQ#g?ffa-j&Tg=PcK0*I;%@>0-y ztD%kK6qsjdDp7QQ1DlFIA2(3O-8;$bnV9NA>CO!_3Va5m_Fo#a^FB~P&(;v z1P1BZ9#x)juYgKPGdL~B(hA&~D$9$F1M9=k5YZj$=HG;b>6-7I-H)q-FEa~kx%CH9 zNnOw`XMOa>s_qfzxOn=6|5&K#)f0dg|2K+o*I_AuY{Hyl(>xRrc5m1C(JWs0-MeUM zpyH$S@QclUYm>&EZg%c4#2(0Y)(KUw_St`3?*6ohD9Wk!S(ZE?uQ1ey&_I=9lYi`O zs>}`lGt!p)D;+I$eyF*xR}9ho;Iabz^^c3m9x+p$iM*rf>z?VrCj z&pZv416$9E6l739BTqSdW8Mpt91auHh(n>0kmiS$l;L6hqG)6?0$J?PYSyD{yCSil zjiHCUxLaR!N-C{6$3rFC1OJbuYk_C-|NbTVqMJLFBG(YQNu`L$r6lrf8Maco5K=9< zu2xE}MN(8kif3j~qsW%NA*4`4i4lse+%jf%`Ja7%|Gi$tYj$~_&*gm1d7t-rpJbl( z1QA2LrDY9HINIn0>(cnnH2L0FOe8ka2g#Ivj^}DigYFE|Cz*kJ3;)ngf=xy=m9MP# z^FQ4PTNdMWkO<~YYDJ<-?v@uQx=zCEA4JjIz#x~k#|PI&hc!wgFW1J^hiyK)x zbLTjkHluhp2xbnfMly|zc6!s1kWskkI4W^~>r#i0eK>PH-L*=e~vX?*ASG*V|+=p_~I;_ksI+R|hBDY)z{FoWAxo`+3>1 ziG5cx$Bk=^=%-EJZ@;#QEtwnl!PI@!{~DjKv1*Rp-+7K*q{pF7E6RZeMo2LE77EIg z2$$mjQ;m(YdHM52o^{8qA&}4CFlnh}I86)XPGAyA@9@g7Jn&F`x$kGx~df z-Pq`?_lMt9;`h-1YodjRsm3v9+l^J3$l0^UnozdUMlcQ?`M;_ly21nutTIIl2SLQK z1oEf*?p0N-%FDD;V_*RJL>XjASWN{q!KUi$&D+PJBicT2pJDa?-8Se;)!4hkoId_k zdBc7`?Cu<^5Z~{>4}i5>nXUNb8Ychzfx^>&_$DZvAt>cYNWA3lDRW6*MKRGgtXb~d z?+gwdrAV*39rbl=6vmk-$uKk0Xe*KN?6$e_Le&&o<@H8NaJht7az;rHET0Mf9fOI~@P~J7 z_gu0?N$J-lF^79wW|d`2gH4ea-X!ZkeH55qnBj>xOAp_+U31ATdW<)|VEj=$0zfc~ zD@}VlPbwM*H;n-asH9`_%>Z_}+b%m=_d&+Pc|)?>oed>0^!okyK?q4EPbLB#D>RBmqxwAcS%Gy(g#pSjgb1=j`m5Bu0hrL+3bh@081?5KT_9?Z$%#O- zRmwL^eQ%!q2k{?repd4PuZr;aS;#-Zy2L`^sLQ)SF5*K8^IfibMK|w!r%P5Gri5!- zVASXtZab{^Gf3~v>!x&N%=>|jPgCVP8WsTv0Qe!RRd9Z&HG)SwGR zH9ng|Tu(uoV2?8n6iS@~9EWYc&wso0;IGhlqd$3oG?6`WhfeE;lOD&R<7nT$$18?l zAab}cS?d&3MPpnxF++M7mseA!RSlHl{0QQ@g@al>;3J^`wTaFWb?W)0ZnAm?brA5bl-sI6Wr}V1J#t5pMeMuCbCw3-|QzL&VWb zsq!rqD=|6BYwHL~Qtv5j{1Oi>@sMK$PuxQ|bUb|oaEEF`>b}{G3)AGx5NB4R9?7iZ*N312G)RFVCW(-P6|~@v!c%!TF}*W6we84XDU4%6}H4;CB-_ zk0pu#umg)dLw&<6bNPolxN;1I-&FMLNl3wPwsVUw#`;Fmq6a6rJP z!{aX(phnnOP^MaAZ;qk(MuI{k_;bDo*>c;_Iu1p8Fn6-a{)GsRx9|-4QewueoupU( z-+2W_SslEI*{=&yRBw7-uv)fgm|xRI*BCn3m}Jmh8xx%CyKT+6gmUF!%OpeQkM|y$ z$0fpR+B4Tat;}>dpuB*4CHUo*s<0n+t-JP|KKRjNheu-Uqpe5Y^o5T+uY3M<3kmU= zURBdP7jLvaaaC>eNZ-&*if(#%;c-m^gPH4M|FE{itA_Fxmy?xfTrHPNk*%yfDr!Eq zo0R?*H5_|~ZaS45y5V}=#~iK7)%z+8yRUwgfBMN%@as%V+sBW&wOWa+XZN3W{cvBR zDdBe`lN{pE;L>0P(Zszpsb#cvw2k~+ck$z%{vgx3IT2arfuI7pl_q%VQKXIn)LrX486NEgb5=~^8mA&Y-6ugn#_sY{jZCZQgPk*;Xe&YQf9{h0Yr_fr|xp z`qnjJ;J#bO-G6XO1*lkw6$Gsx8l(#aWv(>^gpc+IxKr{sBKShjptA7u;@YJw-|wod zDCq4hZPpxwvdJmINM&D6^sAY$+e(~F`dvL2gW^~;uQdJi-jslCtmJU{&(G7#K2$Z7 z`-vFl?J>b~){Y)+9!r;cc)YZc0g`QKeq7jw{3r7zIwQ@UcsbbvyPo}UZn*Bx{RbP; z`|8Gxw;#MFj6-ZE`vu))5eqKOSM5_$lgV5a6CM*G_<7yqSHmjNT02prOy>B=bew|Y z(FYx`|5>2%*7)Cnq20G6JK<^m&1N#Q>Mrf4dy zEM1!jjEO~MM31Z~~ z;N`r6wgISgBSL6|ESUq#30~3$1XCWD2M6b49?HpyU5xUwOIoSeC!5S*m!c(v#^uKF zE*O2LoE7wDrNmrH^CZP>ayz{aTOy#H%!Dc-8D{5vkp_vtjX$Fww~}BMBHC8nn+uwF z*>nMBe4nNT{VzGOn)8x!=71AAaY3Za+!I!7jD&Cx-v)b+3?8V~l;A{KMeSa01upjV z-#)tegL{Ow3YWL({T%8J(0Ts$(qmKIgG$=9LzgC-2S+LfC3p?;sfMM~0~fx9{yCg% zV4)z_okC??*2=3B7_R-f=g}$-S{3NRnI8%h^fk#ad+V+fn!)L+&=Wn{Bi;A zy^2=r2|f(HkQROwu|DC)LFqJ=vyq7QQ=?DGML!kV=KM>bMwC{6EZNcu#QBI>eBOaH z#2C;S;c-glA>d&!mrJ*6&>q8^4+pILitlD3^>naZ@aSq`oq#Q*uju@t11;#(ZV3Mh zF7espwzhPLjXa6cS3w1;MxZxgz!a{9iwaH7a=?ezPb>=`P&Jh#mm+Rt)VQ;Fr5#Ko zpqiFoI$1>AY@$9|%4k6dkDL&$-@!xDny4C0z`rX`vtX6v5@$|(##oo-DmOr%y z_Au|48klLkh)>gQNFEVV76Rk|Wj?LnUz{5}Pqad6L@jGEW_@ za99~rVMlZi99)~pI?p3`$@|AGN~I$mcyQsQXEkp*uJlPAj^g9PZ~BEaad8vZeGpgZ z0a8V4;DNh@3e{La->6vH+XseV8xY*|HR!cKF)f^QkdA3d=@WZ|Q1k%~0C0Q}T6jie z&^-j{@I`6$``%UmHGK{mMH88pIBxNC95oJzfhs3UcvS2}rq(?O+%Zqmeg_f(El(|U zP9}lc9?_?SdNB3iz!nw}WXa6dZG8S<#sNTg(Xv9c<1dl#AV3RQ%hLTjBkf-9#o|zW zGZjnDuAqQ1tf0we{9?BMnw6{{qHzS&15>j9^xZ{{%~j2_y=*j7kakGV6s2g5-m6y? zZ%rSPU8?fE?d;@iWK2_;j_5%DN`L0{eHBR;CQ5g*ji(l0wv}nVKjH&~ z5QHR+jn)O0MYg*!u zI5mA}V!|9D)(>10%WL*{w-)C~w?dH@Y)*KT(kaQsuX~Y)g_(osC&%ST3CkOgK7K3= zJO=vT=Qb}{Ke`U0?+?S-C zNhyQ`NLG8K{6@F$jce50t5&VW##yJb8VpB_mL5*)SCT)h zYrMRzrzg;c5qHf##tHJZ1Ei zV3QzkjIXyGWpbUvZ{_>NtnD}bO{T zazt9RU^j;RM_OBtIGzx3ZMd?2Q(+g`0q?Bm<9ML1|C%$k)+AoQIwUk^A#r^$H;3kfiE+;KLA+kKeobd4XOzI80d5UR zH8d3V5*Nr+{~OP2zyLf|WaC1X_IB!WKC1MhoZj#H#)@|^sauIEWqCtk*G zncoN0?RAxCc~E+hKOrYEVcl;cZ8yop)Y@i&j8OE3>NMfoq0xrCAcn z^x1lurVi=epEVdV!K?|`+q^`@61Rw?KJi#(;qv!36L&RE*dGWPxDnN`_S>%peSTB^ z?bY$M&rYhzuKw{Vdf?>xfB8!D#^diP&XDgG`F)CresNo(b~b9?C9l5a>GLmWZ9z#t zcZG>9uezwp5jS{B824qLDSACRpcD~Pb57TKQ1phrPVq_YsjwK`5&wC+!seUQ+C@Y} zY~Cx7leLY@DR}jmAi0en70roc>~WOQ8jaL}6LK?#IXHI)~%sc2j&z1a4&P+Pt}+A2H|`zzT#$OPwZ}THFX1ffm||EyTgyI=-&H>}10^18 z^7c;TWqK*ycOoMams65_YF#7bTyAGVEyUjyj-!9ACdv6guIP~H3TPmS$XO3e1{|O_ zw*wLEvZ$b#Y582+rRLn?NsJ6Y+|3{qkUM zc~{{hjA(OQjv;ZnE|jkS%~!{TmNN^C4=i`Kr3L zi-Kq0)vj3;OsZ9&_|DFAS+iNm=C;C_%l1m~h_B$fbwt6HxhJy$*H}TJg%cN87|Vir zakPt1!u|H|z0qNQ$}fD;-12K@Ny;N{RL;)h+bdJO-!CPRm5wFtseYvUX6=^~!&Lv& zdLP0gxp}+xddBJnb{kh-niLnawp}RhsJpB3?qcfctb&(yR*XuS9m+jD!*3AGKlApz zh>%xuEhB|3*Ih-(eXZ0{Wg}pwLm3R)?q4&cNzEMWQYbzYk3#?Edu2Z9(0Bp37IfTHeE`UO*u-K}){Nq$jJ5izf=WbjF%uEo|XXmOpSt5el+W+!VhQ_^&QZ9jdkT#|X7{><2(((Oz zV-XPrsT<_i7m^|zMf_%_`B0;}WOyncsUcg*L#S5q=m z=_~y=%xHDROhnD9hIhNZ#OQ&__eznSF)ibgXWz{=x$oy!4E7eycgL?s;FvJWXbT4|gi=m^3;Y3=N`B;cp%dq~kFObi|$E=>IZ(6ZpdGfK9Cr=;Gz1!hicF_~> z7nEXEa(toS;N2m+-^WWD^fa~R6y-0{l;*0#vR;KI&-ZNmJv{0WF>g=zx@Y^h)%8?X zXK(14ckrSSl|Kz>`^m1rDA*F`Gc>^Czr|khIY>eJI5^S(_zY5 zN3`WvlT{c~U2nBdEtxp&61%TJ?&4tZz?odVlHeTXhecMPppm2V-NcWD4W;{g#=$^? zr-cJs|0NPR4nz3(Q!)x>1_ocskV5xYwRE7CgyeTp(Lyjg!a%4U1e#G41Z@C|r*)iM zTZR5N)KUQqBB>t0it@-z94#*sN{^~I&k530bSY#MW~8~9WBy)7?)2iFae#F}+>`W! zHv}XFa3P9vk(pzFD-(#f+A8Z(Dpl2)Sa0iI~XxrPTr+X z+I4$3V^pvi`^Y(Bcpx>!__&3q@7<65-|vM(^MarGne_A&9Nxr_ZWv$KbmsCZA!a6` zZ%ML?fmwC+z|Ge2_w`4v_g^~mioo1;B-ufB8>l4jORIRZ{L2yt<=jJyhZj%iCZ`H) zXpdZ@YgF2`t|f$v)^mI~Yk0?qjO1|{QDbT?TNnw#gElgk;b^g(sghuKW^G0RWP81R>R8 zC@meZ>25_@8GNv5jFUe1_8u-t`o$#=!p6I@sZNr`wK@?b`2>Y-%T9b>C4{p;1*5W| zHy>eqH>TXU%N$a{%2sR{Js4hX1=~%J+_YkGQm z$08#yoisJS>Tv1HvGv|Z^is5n=*xn`Ewt|1v_rdWGSRr^^1ptE4Ao|^-XD3P%wg2S`&Sf{B!xBWdb8p9k2L)MbXIszA|# zUyC=u@jsK1j3&$iYNZLA%mn4RL+OzQ0aCEiub_CvNm8Lr0PM`*t83bA~qwtw|2dKPtWL7Fn^6r zuS=`q^>K@xi_t#Q7UfMlg zv@Am%xCW6IE*QoXts&LAWKxO#VMFnXk3Yh?wnF@L64mI`SbHT9Lc0nrik`!1PDn0s zrg}#WzMy0h$k||ND86y)ul?N)V--|WyMq9u9t;W~C9q6!yygDzIdIT?2d*SMi0B8t z{q;y};EuotIW-=w5jp?(4p)QSOD`^W{dFi4kw^&?*rptSbdx&!-Le-|o;Xy+0tkx( zG@<`EH=0PxwMGOv@gR62T_mOMMHMq^w@{)=PV`m4+?O#EG^s(9FKWSl55dNugYO**tY&xRj%$PSAIBk=BZFceTI2QekOv{k%DmACeM$s z#|&OLi@e10Tz_t@D^QI(PMHVv;z6n$31G|JHEo{jpm#_20f)@;(KPoPf;77m#6g`= z7!^(x%|(S3xf2!O*#p~4_fvd!WPGY$EzQq^%`u7r6f)?s5ajzNGLv8sQBggDcu9-g zu)|W|_rF7?vlSvYIv70~gf4V1$W=?hTL8x3nYZ^WVd{c_T>{fYhh4T$6TAk*Q~Mt5 z-TzJ^V4gr<4O8e!2-r(!x?0Bb5>^ljTZ-S}i=(<`X3}cc;XP3HAoguS-a_iBC)p8_ zNphnR$fUYeKS0Z$3N@9Oa01Tw3ZSUv4iFqgwP6?NzOx+3;errWo^5&9l!Bb;Skjr$ ztzmbladN^U)ik)Y;ZeeZPpri;Moo*kJX!}+b`OrN(f@IRR%=KPU}B=L{tm}5 z1?4lVaV$tlG8WRfnTw8T^j--)ZYLVu)*L7reFRsD@OfM4Q0)qxg~SD>XgP>VA<@Yy zuc|&kO$-7yi)frwN{7606AKBCf+zj5rlNkOfIp4%ZrRWL05qJ zu3-CT$zK^9tDVJ5Xw@sK8WSj!)~T~#Z3O$zBrixLud(urojZKf2?4{N>pLSGU^+7e z+9))8Yg5V0okh8|TQdzXL|^fu8xh1(u!3pFLZT$*1YV5qt*E-^x2{U=XML@jv$-RKB`yB&=pcUE(W} zv7nGL3A~zx%66iio5uvE$aiIsx)7>x5h}0x@Iwmjab{Wwe)_#z=MOVjI%HFn(piqu z`tsqJ|X(Q4wggM)g(m|q!F)+2so4+G!fj< z1Oc=bl7_b+!X6%zy~xbR$m2iWBn&T=zZ?EXKUGfsM}H`_r~=L`6^s%V2X$AB$Bk7V z&N2o}pSuPl9hU#u6Oh+L8A21|2QZXG1T$I20=F}Wc^7Pm6L)+!3iaXABO{ma!x4)0 zg=!t?5C)JOWvx)g%MukYI#^t|V;-pkda(HWcSv?sB8@dGN)PGll}f0qW@K%?C5&-N zL?$=JL4T1HuSLe4Vu#1t&BR5l2%HV|w{k?()*s3XlAgbp4}-%P8^@2IAM$yUZvbJ0 z9q3tpx)oFt_G?!f@@i;3mx-dhFS%=inDPm-Sk|O4x0KZ4A9=*yNQ9xoM(i9g5V?!s z{Hm$l_YlDp1L5LrCLVI6QD7dW>JPB*l3|$zJ^qSlPS!*68u}ctBDFqH;qKuPw~@{Y z+8?%&&ToPlfMq7lwLyY_a?l>wzBhJ1d{7ATlrTSF7&s_A1=*PnddS%U81olVw6aXJ z6f8E)fKKRl2VE)zzyfJH7o|!Rr9T8zi7b-IerIolT9Veq!c{nr#?Vo8IKbLYzh0MNm zs`<>1cbd3P&aZf9`JW>_!eM0|ZdS(Ymw%+|lsp&W=P*ia66{WfzN`qYYMlxaAvBHx zu%0QGUA(R810Ggk9!TB>(LL>&0PNK?I%gk6Lq<0Nzy;$VP{es1gZBra6)+;O>%3V+ zgk}&Jgl5CY#pQ3Tf=mk*X~{&J=8}P@nnT%9BJI3L1O^^So~VhF4$7L62Z1mLz^ec& zEj{ko(m=`!T3l_6CWEXoJVgb+48B5P*Fj4}S_=b_Ch;_L{2-arQN@-bD=@7X2PORv$J5c9DgnvP=d&O5}?p8hL!H^66GzhRHP&R&9ZGr)-n`WRIPO@g4 z=$sPB{v~qX9X5MOLR5c&}CFZU-kNmS7@Pq$cV1UpDE*cy(kN}gOcy!>a&#ml<+=;_Q3@m;<8EK9z{ z=$spET7h#b^mtvC5Q+u4EG(pEGOW-Ru4&bzGYlggG2jl#S;5ASEU(cm1PL$H#T^TQ z`3n@nb2XMyZV1tD%gM})balQ1TF_L|XbIo|VhEH;A~LE7deyMH>4^hoI9Sn1C>LrY zRG*+0V1xQ7Na<;?%4)FPVVZ!1DrHkf%{oBHQ}-?$C~ZOPHw>9zr^Du~ z`t4scYHyhcTL&w(995m~$(jCeDHQ(}Auu~AEo{rLdfI(Y;43h9F!ZkpifB6e3CG5_ zdVw;{2Aw|^(zppN-WgO*!Rxx1lp>A-PFcjnKZ<)fbgLny9j2XH*dd%g(b^%$UB!7PNW7gYQb9K zX!t#fWl=zJb*k(6ucaf54U18ase$bPRSiFaD0P2*dmUAla?7i853w^e|H_$`OS?BS zC?Qe|qZk%K2?Pd(MorXX&YUNcy$Q;&NXvF4H4n*zU3!|_akGl5AHGQS#yKdnRm-%` zsg1+W=uJu{?U=ROj;F9Lu&pE#Ny6}L#_aRLuuYC(PMAsi5F`|(PC@Q4p9G>Pze?0` z);Ud|&I#;LSs-3lI_SW1+b%9G6XGT`ex*zMC2!5l`YayEpi5K_5!N9LrN@-XcxSAdt2&=+Oit-#g$OFLVeqMF_WUa}G{gjEE@2a=J z4GUvw#cnp&VY28MrZ2LQA&b@DC9iXWTlap_3mc;NkmjbiIMVIwFq(xO458BO#L(=l z()C;X+l;)#(_yvh*nugaFtbX!PE!NqP2FEG=W0E+tXxk#^6YgDMnC9w&5A8qCi7jV zQ*KmO8wSRl8&yOpP|8mlb_g7ILbAIp$1bk1Et<`Ym8qfuUuht?)yOJ{b@JOE(?DKO zbB1B=3r0@7}7ce=K7ZgmZ=MWl%nZdN`GQ5JtSV5|F=(g0~8S7LQ zQ+-$1SN%ikD}wwS6{$g1`gf*@JfW9`%tC#M`C(G)AGgzV02qINTx5Lgj=!`oU&BWl<&NNgNln1u+b$4%5JG)}{ zi_3j3er1VazmKPUWlAp34_8~GmT7Jq#_L~iD3fo>0-N#rh-ZS|d-Z>>s?A^Yh0B!8 zTsd*BhSZmcQtH*0ueCY+{HVgxWz{P!4G;Ex2r$>EH*Xm4>iNE=Cn2S7aPEAK!JelK zr4IU2TkfmMX-eY@#%YQPom9J&fStpN@HO%=!5SAl8|3?A-)Ta^N-1heHOB9DFDt5I z^X-AV^riPg`O4~B_(n)bpZk?(hZGdzIiK`N@k_wF$ADAGZ#CVZ(s20?8CUweKujhR z|2rz7!(#I7z@#J2GR_aDB_S_9^ULiQ-q~_t)4bz*{0-~Gs%&3Qu%xmdjt=n3at$y!phZ~vX1&yceRHkows8W#6?ee`>h*M zXDzpit#@jMlorWCrWL>>!ixDq6Uc!};|S0w(Oi`}Pg^%x^Uo$xMSq>l&M}btCGA-z zAP(vt06Pt*E%jonSs!pnFmz~YyE^x%fr4*WW?kPk0WTR(O9%|LdAc;ca=1lMaWNDB z;pv?Yk0@X9!?79O-fA|7*Jh$4Ddn0Iz9rgqXS*K7zj-aH z^C^yrk2fthfkN0KsO5e6a$w0Njl;{v7t17$u}Or>Jv!OXU@) z4`O?wltf;PEe02EokvHn@J@$jRb4`zTwZA8Z%8rRcX!er-F2n%&&0b+88MnQujRH{ zuvXQp_PvrXF0+|C|MRgxFu5?E&>O!)^9~)3w0l(JMd#^3*N^-Ij zZ)j%JrJs~EVoL6|xbJw;-pf6Bs>rs?^Yhxu(6?K&BQ#vB43?EF-l+_}K2<0`KVxwfyJ-S{jR1rvfwM%}o|79tHj0gRb`(pL^kXze3z>_s6AV| z+$`p`PpwY4-><%_l{K+47JgA1{BAmUx$z;nqKtXc*?Cb<8TW{^r)*$H#&6|RKn~Bx zZEM)Cj2^UQ>D+L(yb&mp49O;uai`}TUmq`hzVoM4 zP#4;UkDSibj!6M{+1R!1l2~D`dNg$>%pa}YelOZs0}+E2zD=3UT2)s+Pmq54v*$l9 zxUC~7=%ZQoer}CNDo1`pKMMtNQMY{l~?~ zX2u7*MI~PCd(NyK@!HwVnE$)}_r6d|i}$-1+4Q)lT#Ml&3$+}|JT{#6-^%&gchv#q4^+HXx)WyYeBou{qw7tVLxM7;S@P2<=vI+T z5;MYb&pe=V{meb`na8-4#!WO}Y;yf@KK{VHdZiafGu$hj)`UMbXjjng{TC{XpZVr&a;TW|jSP04FI4_6DX_=aVlAU0sw<;5tMi=y0YP&)dhCp| z4oe$ao&>`UJ8`Sq*x;f_jfFsO!;FJ+11X;|_$E8hIZ_3;RYVSE%oW&w&nADisO-_! z_TB1Obu~iUHN5Oy@?ibe>tSVAE6Cap_pduTDKp`mcJ7~+(QiwvMkri``RKx3dkngb zKUeqF?RRvMXwnWixc6SM-Fn4g+tY1@+g8Xr$W8{Yd6`6z`^I7)Z=dg?EwlcnYfZcP zCYd#hP}8>?`gZO+p(zl^{d0(W!8uN^f<~Dv`1XS@lUt-DA~F<=hD8f8rjcr|%c6_YP40Goml^ymyW< zdTgs$t(pO}h%x8+4Vx~1#d`D+=I>IluG;LqCha+mnc}(h@5@~`FBg&6rLOaLU9TRU zI-?_Fpsa~J9Z%Tcpp1?d*KZ}ab@p9tsTs%+zr^;zAedeY9V55E-*O`Q~86=mES+WG1)yH?o z4iE6nM`}h>ZC%!M43Bl`jc)SVDps(L+A4k#v8ZTem)@9)M!a|UBD<(UNO8s zMee-ZyDg~;Qtuj0*&5s`O!cl-firSxGSJW>qKB7%nrh^$%)6v`9q3qG-?0VSFHGkD zGyL9%Q4}4g@DHX~oh4UPLCo*;mj7@Xsl@46r<0fKbtWBs`*G1x?JcKrSM<%9O|8)p zJkDt{XyX>|xSwW*O{k2?>8!*L+?3!?f3W=B@sVA{9#$HQ0);u$qW~m5Cu>)Ms`x25 z7FH7q(l~%lhyJ&vEkmSYo>Rvn-d%cxt=}IiS!)6b;F*Z1&2mw)1`)9<%*b!v?$n;& zpt5!-bu6VWG+-)yz%^`ao|h9gP%>qj+q%txP1==kkO?Yx*c!XOn^CNTapc8~B2xZ6 z@udDWtP z<}jq=ipJ85#`@Bt3z%egjs&%tf}|>~T3j?%wb3T&{$hzytmmzKO10dB-WllnY)hgeqs9QT|Oh8|pxXG!Ak7DzfeHqbguy>bNNkOmdMrj)B5v)OU-e`B!3-#0eX+g`<9 zG^*nI$GP{k3zgZ}QWmf7fIc-$P>8?7j6krQIi9Rh1iR^0fw=g~`N$~5CbvG~Q%+~Q z+E1ozbkBAgEu-tUa!kM|mTdox5_;#B{^n=d!Her1Gdxo_M5d@m%2#t`4Uz7}%@Xl~ zb1~=gGYEQGy~YHb%gb1q-9a^fqC_pt{=I)E33;?xYuvbNH5=f6e9cOTdPZt2t7@Vc z=GwAhF&;^4#!COlu44L!CD@J{ezH7SQuyPYjEX6%rfp}HFkrQdarkquqMAd6l}gDF zf^^)9lC8&~v~p%ac9m=ZVoo``)IavN5k04Qe`Tv16)F7mdalz=EbdD<*eh|9+}z7} zA#vXS^tLr;`j|>qqpvV(butpl|FE5hwH|)Jxe=tP68!#gDpqfTLVB!}(*_dA2rpWR z;yXBBt+kQ{6<)QvnVmtu-kv_=)Gpzr>#T7ICcLsz#!a{5@!yUQ86S)oMECObtHvzv zR10P%w~r*S2nE&O)+f>Eaq@{X{n6UGsdL|EZ7Zut#B!N_YdWryzPEK9HE$1OzdIXm ziEx9dvQE^%G1XU#a+*I);`O6yFtcXO)dI3T)|V^d=KT;%$!=Dd7(uhrQlo1XgCNo)VooHG}I>9_r4V>pV9$mGs4K^*;I- zkK5ZJnBg(cb#TP=d&JXjv`P0r?6V=g6^sA^GT0-oRX6MvpJ@MBAqs109!+5fkNmX? z&@VGKi0UvAnZ>Zi5Sj(W$9)=Ky8mRV_Zo42j8e~Z%@4wRDtGzQ$;R(F8#Tmv=ArZ{ zrffGWggwK;#Lg+>N;2@zW5iU!3x@Ee2a$T#EYfyDJN;q-h$4J3WO2&>bbRj-2=X8A z{h?8%Z@@dXLpccj#bM{MUDAHyRuIV=eU zyWXIe7u?Q+<_R^BD1QPf-3%7DqeH-=uo$&_Zi^{lwX4k94f92s=%hL>Nxbnf~VgiZS0^_kq#cCOyLR`kJ6giBLH0V5L&R*3<{PjMT7vxV2KcJ zV|62!P1ZM^jY}JNt+E{Z*zcyB69FLxQZRiPw!b0AMTv`_8{ZwmZLmY_dVHHPY|iJH zwDwX4<3}uk09Zk~U#tibXNn*kVvp~3ouOeztiYDra$`Mvn8K#inZs9EzDx4AcfM8v zx#{X!b4(R2h%49A1Dr-~LMGvx_hD)fzwR*s+bjwt<*pq2tKqRHBxbo;+#;YI-vb?8 zx<95!qAHgSAQ=w%NpKvH$Qh!L{m1KNL5XD3wa(5I8hJIEf{HxpfHhHL^QitUZ3d-3 z!qubUE(J(b?0cHurHn?}B)NAif{`)q$|97gX3x~LUHQ7!T|2yb+B~F}_Wj3ofc7c8 zAKR183_tl@SC)5c@YnFcshU+pxAu#MKwv^AUOVKN5f$a3*&T>ugv6NmJ%nr*if$=5 zx?92j;{1f0_jZuu&hC10-btwd54_-2IxF(q5nvF%DtmurU3$^bgQ)RXS${;wcwc3{ z+2GLA*UU%GHO3+W6##0q*6?wuD2NB*w3NY_%D3v?dE?GKZN2Ym*T>^2dsB|?X2eA2 zJfd|^#9BwKs;}X8IqWo@6AiD;;W@9qa`_9k{;lC*&WZ(*h-u2F!jv(xZO!88jq5bM z`^q=d;$?$S1_hn?_-u8I`fSLto(C8#ng9OgBl zIsYZDTgLsd$?N&fm+sU%4le#qa2~j^v|QMHXiWz*u81}j7N;OjANNp7C`;52jgFTs zSqM26&vOAKv=#FYy!%5Mnfh$HBK)eZ{-P-#`^{fj_Oa=1%IHX%*;7?+cge$d~Hq>X$Hl6l2@{{%ygIa8ObOI za4210-MgRdn0WB9|Cn>Yvsa_@qfwh2iAW}!H&PbKlbe1Piz*_*BIoP1#+rCL!oqns zGifW%{J7+tnfFQ3t>$anP0T@%-WU0MCVz@gLp!Ry?iC&*X&!~Pb`dk|OAP#`ub=Ac zvltux{lo~Ec!51iykMRwO8M}mbmOkpnIrctes1a^NsiiF^Kkt5?D+<*83{wpK<-}c zN9(7Ow@BPp8hU)H?u2->5etJgPH@sBIn|-+XWYJ2kRGaj%Mo74_~Fx?)SMO(yE2 zBxQC5ljeU>zo$n~Ys6xYd+v}#kRG|d0${>8!a3-LW5j!wcJw39sIl__mFRk;T)Q=U zqfH~a!L!6=$ZW-@l3?A4mgI8-0pH7J4zJ*^sUAx>Ll5}6MBK1-a(e6SjJ56V-EZn; z3_Z!hgtLqO8CF5!^wv4Vr{&2hHEN0M?sU&DKbhdQ#W+>AFo^hhU{`TMl4Km)`8M;S zByKCYXX52-hE}@s-y8ofsjxUm(yG+=)#$e`F0;J1e_`%ifPUtoZsXbR_AM1&I^i z5^ElvwNu<%3Dh(mq=rZqr)&iIcHg8iMFG=I?8a=>XN=uAlW~1Xjc3DeWp+eoD!O&l zsrB9AfxMN^-+QQhj7b|Id8BpU$Qn!erCq0#QupdySEa?A#`{eKxw(C+x3IWjr7IOB zDz%FrT-bQl^3u%0%NkvB%Kh?wZ_Aju8P^7)!^77%kIkf}9e$|SoG`Z!W1hJF|46zL zc&7jVFW)J2AxA~I?~qEDa!bgWS>)cdcD>tiPgI8_WtLFXc4*lLtfx_k!18l`d&rS z^Vy~C%9bb9?)-{=_Jzp2{9u_1$bA;4`AZZqj-pUdK`T_IKM#S&G|+Z=-{~ z#UAnFKYn_T>XdFND}V7$Z{dtUw(WSW{0h126`*fVP0RedCv%TM6bTd{wrX!}Swa28 z>QfOdUNY=KW(n_z46F9L8=Odm_OsZ79LY?MuBuy#|Nfi#F5#iF8hz?i`(@{__f1j` zw~Bn{g}#3^a$^U3)9@adn2G084P3`jW}`$x{8HheyL+^&k5q+veRNlIk!^x5I#Ab-Zi z5cF_2W^2|DM5?QP%6|XAsN>t>F!1@yIVX1nm;cd7)g*zj2#36RT7Z!}jt%D^BtJ6G z=ziH-Frd|*U#UCzsrjyLfzcP8Q|m+%GNB|TA8FZNiH3DLq8?!s-a|jL$L7eIjw}w1 z#oJe@6|?MO5=q>aH|!nDeo|s)z`!NWc|PzJ)0(#7DI!MfxS0W!OEG)ld8Hnith~{p zTz9k))QOEqBpN_6Tev}Sl7P%5Q!=T17jo`6ioz5m?cFzeW7Wy;p;we4WLjd?&C0hq z0cK;_Zi|_4O*3^Z=yyMw`Fr`yai3eqVIBF$#^NKxSerc)4Khu)7@L1sVXdz837;`i zlzCm!R&Lt7*KGW;**?cM{ipM51KuQOtE&X_~a!oL}We{*6D^W{T_x4*fUoM{6M z`dQJ&Z#R5<7XQb3q!jSwIEnacFc3e6!8{zDZsVwXQ`!{9%=xbBR)c(2rdCkGwC&p6rIViw|pV(D!LjkGK76yLy+@HcLg?-egz>i-2yzo`J2W z%8&cc9gZUA>TWYH(gbQDE$|h-OPQyb2;aGw38V;!=)5{VYc_9kwz!1}5-k{9B(16h zDT$@|dv@ocul*~D06b?8c3B>B&dY-#!1Mx?=nN?Sg?rg0kdi&Uo%Sj#JVLx36b}n} ziQ7i9pLg+2D3?x$Ix3i=Sl|AlpzTF>a@=4p#>pgF7RuzYr0PE zLvLB?_5zRG6v4+hr_@Z!`ZS*M9x}OQ?^2WgOik~Qu;N9`c~xyY8V5hnro7)Y@q0~n zM1~0fer9$|pK8w(-Cq;hE*pMH6_L45q)or=SX&mFtm+0u^Jng3DE_^C38lY=jIz;;P&ei`7@pKh<;$g^h^S z!>0lAF2sfax5U&}*xM3-?P&l&Z`{=hmRLbb@b`^j3aVCVzE4Muy$Bxl$?b$?#l7Ea zQFs3I>LnlRtnX&Fqm6;YR@v1wvrTW7PN>M+#*}T=zk0)@=8$buw|f{wNImQ*p#RiVuZF-ka}#!1PW#WC79- z5bJI&?-$Hz;X8oGsUl_#Iy*iep)zu(>i!|K|1_W8JFxtCUKXWFVKSI9r#mg63q-uJ z!)>(tE&fF*4Fb+G&?@N-6a2Y-hmCj8ru)l#TVMIj@FX;7Y=sAW3k^c15CAkb)AIy5 zQhudQ1h6@!UZh>3y=Mt?A{{^Y zR#^io$Krl)3f>+(SSyB+t2tw1*Vjd){oKAzNgnVRhD7T~+@aD%z2$YV?ff)aJuwW`pB_$A{Rdt zv5H%h0L&g_h06NpvUO(vvErLCLTa%P(-g?zSW=iA0FiG&%U9Yn|NS?v<523+Cg0z0 zZ9?3Cb0P~Mw88f<5AGTNir@d%Z-vllEE~)Ln=+7uQWrY=J}y66${L^!HT( zO0Ixs`SO|Pqqo|peVOez^<8Cg1w!6a2`S#N84q^!T8(}R6bj4Li7*SoOIxE*L1?y3 z{57w_+3*CV{eU_FF$I^GT&)6WACz|R8pY}eBw7Yrfbu7>+B8htR&KIgCih#Tl-pbW zQU0Y;a>OIpLYwCnaJQfn=BkuKz#?%-zUsbHVL{rpIU}ph@|E-Jj63!Q={Q(vGZ z-Fjzo?&8LE@;j^&nm!%ab?j4SBK=4lo64aRkYC>IShdnd)-y_a5-`;JlXm03DMCi@ zqq1p=tV{e4GJWBP=xoR}ini4kBNQNvVhTTmy_;c!4pS@0+!OqKa?jIC=l>@&ZJIp$F zsyrnZ-T1a~Y;DZu5&h>}znr$M99^y3+C>t}6oN^)>`r41El7s-qJm4_ZoruWY`8G0 zT!ys<=Cb|FTyaGavX?~{=etwFOR}qIz>m#Ya#m?Z#16cBbEgqS>FwO4wrkhxO*!~` z*;-mQn_3b#JhI&+=6Ht7qn|3R44>bOJEpSzhz3fK5K|)JsU>ME7PM?`IiKcq6UHTy(CZFDp4k)(I3*FT1SlNu2 z0USUPl2&6lGxY>9Q-%go`vFWQS7<2BUdGIQQd2^brAqeXF&)?Sk?L__k1oye!dG?F z>1(=hQv~Uu7g_#YYmM;LsSf)OSc%vvX{RQf_B>59j4^qY;uG>MDC^QDhX-VOh?Z{O zXqOrz0U2Q(}%A&_dVMABT z*0Rg=gVUl)yC|&faWu_!a2fh~{hSnQaI~&o>ZZoOjNLK0NOUn77}`L`?eTy?oj^~- zg=i(a>V;@88UxWIfRr)zhdtKrni16KlR3Ni&3kBmaGPny!9YJ0d%>nx`}-3(pUk20 zFOp*ER7rgxo_{va{98;o=jzX5=7nfBasoMO7dFW*nHs9SzavRU0M}j>nue-N3OX$c zenjG5ZWryZ;~_L)EI}^`KaoXWCkalaA&TryWFZA!xzZZ9mht50g?{j9I3BWp0K|t1 zCPdO5Fg__0zaS+Eh(XWK$!4fBAkCD}o^VNmda4ADwtjUswl7)|EfT;8j-u1EU9K#* zXvy)`zjXPmiE#wxye8ZGh(rcBI%07&IYdh2DI&>YoQ$w#ydnUOV~W$9(x5cGLir&a zfSVyOa6y*<>RJF@-tOup7{BB}M-+n0*pwrt^k@pMD(@;dr8APS-a*81HUS+feV{H} z*uX=wg+vd*NZpV(d>~wMRW{IC4H=*(3I>gs=LI9VeLYM(6F+gyB)1e_(zZS@hKqvi zc*$f3;eW&;`vozzs)Y+e_0s2-dC(zi0684zz4vjwv++WK5*WUVngI*Mb zECL(84HDVQ^g$FBsIuBLiB&6(|7WJQi3P$IoP^PX?Jv7<_FZ1N3(?|x1~_iEzw{^y z+rs1sm>?NREjDR8tXDM?aNPqA;j&gW7!1cFQVS7E%P#>rnG(Z0BvcxJB1S4|x?{{{ zp1?-3fHNapgh)}cprzEIFew)T?4_-$ISw=Y83~Pe*-`?Xk<3WI&x{NK(BjL#F-B?^ z9l&lAiz1t*96I4$7(%V#WQ+o_af;^(KTshB(oAZ&CgA?8InsMZWcc2NvmZJYUs_WI z@AtRY;NrB3o*p-cn2mwk_~_MOt+9p@UvO_jss)LD+a2-*RNK(Q6!|QMAmI`cG{Ke2 z9%$J+YUorVgbyF~NH}by1}dSXep5*Rj$I;K5v4E*pP`4n(%w2G_12>2p#R@J~Hj8tTj;6uLojYJr(jtw|O1PR8W z^W*l@M$$a(7vTVvisy(k8wJ4Yv2VBduHwwhw}lf8q`E@;F8o5wA&cs;fXok|$E&{q zXmSTWB{<()`vvE{SxkY)r7Yd8EUuP*V0A#IOEO2e2GXYZjGB9(;+{$_aIGXlvop4y z^)Aa+xWCibYIzs3_h9AJCU7H-*G$pZM)fTs3-4uRR>pdeN_qw)CExcv#!d?S1TIbTE6&^L;M6=(dEE6S5Z;!1$s$0gPRk;&A zkW~8Fw)XaKC)c=;DKay?)!5!{IBtq-4XjdQk^M`}D_8dIuC12OZPUvlSB8ItuKel) z;;c|Q?+N1n#=^(xKl9NK9G9-ZC5c3G{VB=yV@C`Sf`9X=2%(3z2r`;|=_B-4v2vn;$46XpSRJ z9kfVXwm0PvhYe`4Od@NDGY^_On`tFN!D+2Eb%;Z|BD2oWEU>m-IMB-ujKuz3 z+vhiI7DOC4op*ZA+DCRX23+#|x>(JbNvqD1KM7bdCR!s;|2DUbh+>g_E2CtE5(k!eU;r+hMv6cjhV z-3PNQ^U$%w=4Je; z#RwaEQ9EZx&gEA>{w_6M(|-2@F~7z}nnNTuu6qDZCP+A}1WMl7mNWQXe-C^;lJSH+Q{B&4|5t14q(xC$4*L ze{w~ogd^NLS)f&NTF!51fT}OxD7qcwA<-u)I2-}8%Gv*c*$l*6Xe>2+Z;*Tc{f?E8 zxVmH1SlMDYi7&~zRvZ2vI;NvWIJUSgbu0G_bDuc2(8<>vIOy%wAR5c;41#lVO9^y?xUWf+Nr395=h*9PRbB zKHieIz~SuLC*EtdH^=R`eG2mF*>hQXf-4mpb3N8|BnwWBxGqP2}EYdUVzZ(F>&#`ctMa_IA^GWVvsqJ0KB*_fBsiNX{2 zK7#wJ(IYyg7J~_~^>L{;2$*mC`8Yt{OTR6z`q-7nd#Szv7DP@NI1}6!O)Mgn*_M4b3W5Ca=^kq$qg_vPy98J}?XQ zLNC=8tcqBpBt9s^^`ABnVRCQbr6QhzNl#mX#KAU4d0PRtDnq4rf2Ps0j*B!xM>{3$ zep(@whd>br7S#!fz#Is@Dti$cT}K)_sq~U2K(N27uL&cAE@C-hC}b;x%_b5;-aC6B z$=s!FBVdhWAx&5x{Es^zyBPx72v~$ULVMaS)r;I@%NyEXXJ=UK*trI!hA!4K z(_z}`6R!JikhG&`FyRdG&g+^IjMsDne{tBiVR-I%&x8IwQ*EK@Sh?d24mYR_w8wVQ z59c9&IB$xLtjZfQunSPkLAf8oHmjI2IT8RljlCUjIx^U5*>c}a%`)}0HdHcyQ9Br8 z+Gq{=n!jS}IysM%a@B`^|7_TYA8pRR?o~NhaNqZW`igtgicZcW>i+4L2=)%%3AbH|1~7 znv)s!W^*0PGhxjU$Eyd$F>0Y+^%eClpTl|1s9@5e6v#H5pG%f8)){#lm_lisV1>6pYkc#VY^7&oIVVbEZsAOVmTwGz%w zA13d_zQIE1neIthH^+p_;W8My(uYTFgWgYc<-}oxkG0CN&i&h8<6PlWlNT{*A2ewL z+gOOscbi%D!BNK)tTUBGO@y9O%GAhS?8Y>!r-b8A##d6 zaY4X}9|TC+(~|T-pL=UA>||>R z1%&_pYiRW|5dY`cuIqo41g0!Be6McB5qDs3R=_CSBE$fG0`pkO{_7%Zh_t{f_cJZo zb{W~f0Z5kzmh_qYB~z-1gz!dSy#cdxg^y&<`JkC1ZrfQs$S{LN1B?!uDZ^Tlr#PB# z)CI_HNmqN*HR19K+d3|aW%K?rNS%3&qHrV|9kIjzMp6gu3>`)?n4FwT!`lHpEK8D2 zWD2FtgD+mtx1`6t{DeRDa9ef9>l;={<{!9S3MWnfimq_4xQ@Kgcw<-*=+es=p<(0J zBcJ(}Sj-HsT=VCbcm3!izpHgu=I1+goJVe%`dwkI9ChA1O|ptPgWJCgy@9^YC)Zhp z6H?H9?J8vM&4zb!erpupdc*h4DJ$mtLxbtC= zVjY)CXW`t+KeWu;FyRtOujc~Df+Vp9n44h4@{lM%(Q~O(h@LB`I4mKbG6vdw7ABaB zU|7vmR;*>w>Q|=^vykYN)qB9U_wxgC)An-@9E8f60*1ho!$aC6k*{uRM=}`0@yq;%H&3MnCSPgL{_^@>&g^M6aouCxPf`}sZ2Y$?? z#5o^i3MWdcyoQTrF8@|C$-`DUaJZ;{6Uh7_tU+kMsO-xng9S8O_eFIuaA*DFjr`%~ zFktcfoUZ;~TE}W8IC2gC-Tl_qMBKxh|E3r@xKyxy??aLB&CVBVcd4(m#*oRqCY6J3 zYIV&Y^arjFLC!{QLh|02hpEnA%Gv+DB43YGDWl+ zMjTo!&S+_N8wZ@q5OkPjs3Ubo1S^xTr@9b3?dJ{}kIfh6#Ya(N=e*&|_eQYEpc^Ro z3c^esML(VuHYO0*;lgG-#o!x@jmQE^xIxfIS!@1X02vU+vECfnKT2m4zz?#)iK6%C zXPdM|Rc%e<4nWI74&o))Zpy!1d+(Alm}OsWL3s5y{G3M_IVHSQtRk?%wD}6I zyb`s59-d4AMeMeL`RyX*+DM^@1#dD@;fScYql2%(GNPD9rb?U-g5sMok$N`cd%UJb zbn->hvWzQLwql2!7xrg&luYgp#C6YAJR>t0pa!YBSvvM>%oY$t5_IV&8Bg)^hLaJo zZSE{2c}(@~N7~d;{$s}r7`4HixqzTE6RNF|#{mGsy( zFZGIkv1F)cuwks;lQHwGv19W}`@3a0ow7v89>%9=rP6sm0o9-2^<~}ZeQ~HK2oSpiB;Fwl3)kvAmo;0sA>;66 z%M5r`lTpvdHz!xGhz%LDWnD4cTB4rXy5zj8%650d9_!`oe2Ix&-xZaPbz3pdeyXn{ zfTjES;G8QXm%Oy~>*U)t2AaKj)1r!^{naqGM3v|ACU7bVIxccOn3b3COS68)N<~fh z&G8W-r*nsQ_P1n&_snWfK(ou}G*|6eNC*Rd5#dhibIPj*2HyS)!3a1FR#!Y_W0nvk zgUhUz3gl8<$=q6<=}qE|&WZnIyjN6h%2!EuQa8Q(@>{knhQA4WbHe{U?uBKKTQX%t z1xJ3o>%`sw9W{!quQp2t zcAyuzmpc%}NR?K@h2_#hwE=G^uRH{YszkM|w~tN2Ct@vWFwc;&ORiTMadiGz40O;y zg-ex?&OB1`0@oxdOwV>%dT|mGMQ*Xrh=Mdo4*8-_rcCLhVOWEDp}A*cjj1uWgqnG{ zVOQ-|dzbG`vZ{j<%#~Ct4HnN9Zx;eCYc=SbT`)Z%6E4!_Q4Q($YjvRo%%=|TQwAaUm2Z}DXXNhZTydT|KmSLkG){^)>Pog6n{_Y*AhZN z`RbQ-B$?2>XH@4xO6ox&k0vA~sSH9h}bp`${fO5w_Q48kCN7Z7*uTok(L<#5cV|e$A^@e>(q^whj6zUiDtQO;| z;omF5V4|BR@Agw}a7j10znWU~2g(m);U#$7G+Y>rn;usK{e|+O99EM)r|I}l`y>6e~VOR?2G0SMwoJaY>^Szv29SOX(Jq%V^PY&l)rw|F$ zei06OaoigJJ(IE55XZpp84nf@P-2mfweaq+qQaObXEW(U&k#b$xEOTEsOPmzZ+S}f zp5)UP3BXd&*wQ%DNALF0#vy|7PbR%4>yBHx#TJB&2MrU0`N2Y9H~?~YJduv$1yeth z&Mn}t@D>~wX3H@G$+d$I_<%OUop7bYDIo+n4vZfng#ZX94hu-HSvFUV2#GKQ(gYqY zO=lT24mI|Ht%peZN9Ez~sM4>9{{P)6AWP#Fk6aFhNCNkzxAa?dr?-;7mp&j%z$~1G zx6Lr3@Gn0OBOo97nL=-9x^@2*HJsjuebAr>hb4rZ-TCk~YQS0SYEqtnTrW53W6h zI$(kIAvG4rd-a{8NkV9K&#h%iPYC&UCovKn4fDf#iUvVeQm!?|biqKDrA@qd{DgFM zV{0=ts~aMuvr>Yb0-${GcU|G!aZTb(*pr`6W~9Ho$)YX*VDNYGhOZ1dp3ScmRvA)HSbr>df&*=Z;X3Rds zYbtUb2tR zSjbR;v*-Mp&;1>9)L=~8FMy=I{$lwW)10`bBF zwBvf`t>dx?M2pp3hUK2X^3L=0mj6E6t%`h!uTqXWYuKM$CilMroN zU;;L`O+UIptak0CE>mgua}sP;-hz&5cC_;@C%#06weZt_m+enVvZ4KXbb9fI@n$6E zRhY~;T#;CrG#Ap`m;{^g`{+RyYY0#@B<3G$N(Z60A!3~Pm4*G1AqND+3;LD!07Xw{ zUB!`*U#ZS_Q@>5iB-zkNMJsCg?;X9J--Y%I@PYj6MF7cv)ox*X{CKco>l5J0fd+qp zZoL5VirF=)8XN0IUMDo7ImJN_3@it#jDvX(UJWD}7EjR}=sS3dLVS5N>qIRn?DVG} z0^DC~HuoT!qonXG#FGw{*D1#sHUDOFx!i3c8LgzCXcqBD?Tl#oL|QdBT!|xypOj&( zz%j}mlzp51`o%9i{+-|-F)#k6hd5r{Pr2}C5|{ah^>^M8&zw8gMC zvD641hj+Z(QBtuPqpGSPUk2DOBtqW*pE6}CFXyEC;mlAkmx8tl^J1NAL8`&ADX<9@ z*Bv-?w|tKpGT13q z{L5g?YV7wg+C6mUU64VqA0cNr`BZD>eC>^>wV#{~@teC}Ygg`S>T}-0kC~K7*Qnk{ z_=MoynM4C1Ubjahpme;GoS6$z&!r+?(q*n_M$xltP~tYgtAFxGt#KxvYx_h2KQEN4|nv zzE?{+wD*kbnZESo;2kfI{ibHqr@VvdP^Of^YsO$=5I`@^FV^aQSSC669Fwog5J@vd zLWmoMWfL{JFTw`Jodame1HX$RsWQj-3zrOAa6Sd2w8wKnEZ5pZ zN)-s38hapi&@dgFCz!8-s|V$`o6eAGm*{SJ9UHu=kfW`~9oqlyzU=qgNHqz!>sHWG zM5N6wfta+o{i&Mxr%YBVbJ1q3j-|ICE_uES)4ssOwG4rV9mk27*Ovucp3k>G<&Iqn zTSLKU1(6p1eYni5s->Yw5E#ovJ>@3(=Kj+Q^dB~12&%znk0U*1y&E8-4ArTGYf;C> z5!s;`yX{ln?_1cZEFK*APgbg(^9}UxJkP!LV^!*&?$xrYW$31rekG6=ItUIG-_xha zkY*-^R1R-bRDHh^4iAO)zIplQ4a5WA>RB=?(aTIWa4{H*5pp4F#iTdJ3S_izA(nxuv^1(S46hWP{_+X1A46vMwzT zo{zj8RvN)rJ$O4b-tb&~&@uVPSk*kxPoo=)j>cE+F-b2!l~Us{?1V77o@R2kgp{uw z6t0&7tZe%_)DC|5z0u`CLS*WT8~o8ttVw>Z$sjiF6vrTWhl||dmOI>%+J2U!OmiEy zL(BHokF4_LB%AY*KbB0?%l0SC5*TYQl05j>lpyn|;%X4M;Am4P{>JTB+VL)yE`y|4 z5-orYS_Ua)iaO$848f9VKbInyQ5GP{Ou_uvirwEB0`Ud_u!58z>|v;YB7+HBx4xKI zkD<>i617A+k4IffH~#+8(Nunb6qZxtmOrb!OGc9`%Ye8;@#QLM(A9eR%SUvzPO8DZC2_UYf!L2( zM%h;mmay2GpCEpN$=1JrILi1rXB_|R`h$?!a3t$4zBshIT7<$U%rK${yuUpt6K9tU z4RBcltR;hCTLuj>&`Lvrt(Fqg4cSkn84E_0FL38P00tL;q_8|NFmv%?VzCIft3$sq{phcq8g3-6*vczCJgFiO6e? zjTzXZ;qwlhqNu{9+FutCO4HSwQsC2i>snQ;os?Cs`=FS@H8i>E7muCmG57MSaJ5<4 zFbf>*pb`XY-agf6GHv0PaoztUoP_%xj6IX&hx*&L9*RlqUvM|r6nlGcZgQ|)N)qm2 z3ObwKuEf-al#4V!c1&(7IUiYc;m-|M3lJ3yIv0a5@~qrWxnJ*{6n(DzZUTZO4;pX& zyuteKWS*KQNAWh!ib5xG2rZS)>a(*k1Jt?}VclO4c-zqW!lT5>aU=~%rMiF(CWc1# zTk`he2;Zkbf!7v>1eR4vn?}zTiL)Jx%=)RSjG9?a39_1h{pg5kGAArO*;Q{ScEy*~ z1xFp7?!}XtLeQ@y-|2L1rAs-3^#?60P`q$L-S9-FWn9SnHEWJ7y*|^!dNpbc8Eu-~ z{2VrW+H6C`?Zh?W;^Ykg-5JQi7P<(1*xOmw=NfT8drK-O;5zF0C5Qv6{BYjgKkYKf z%@t%pH36e@nB}moU_Dj8cuZ&Yef+(+w>(-pT8sHdg1NOO+`2M3G(0F&rd32?p2=O& zEg1n6rv-@5?`rAM*&CI&{!bZtKrF*45Qp0xp}zY-oL{ zim(xrh}$$6+$dy9>kHR&R)TrGLczdD7I67{GFbB{nkUG!6fpS{g

    l_^1vN^Sc{3 zZ;IG8VBww?L$#F+YSwlyt0-E~LVdp(GVuDue(Npk}TXeo^Q1`UKWi4dI;UMg~ zc#S4P$D6T=TJ2V8lVZW}LmwDF)?>&MKN%_up^oj_ZZI8&4 z-!YiLgM6Y2Yqz$8`oF1$%ZymS;i_J~nf$cxj;mbd&uqr>a$;>k@PdNH)&s9CfQfM+ zch%exTku539I>|S2Wx3`V7M;8M%OjW_FDAO85k^PSG)^drXNddI|TGsQW98pDO?V5 z$(->M#uS2ZV11@wp=~$7C#6tyiXzE}#n>dFODf!vf1Xi^w8N?lj_k47SXqZZ3cgot zR5O-sq@CbHJ8W&s8Z+G$Cn6-s-FCiivN`a1k8?LkTja!qs$Tu)xu(%n7b zZtJI2^Hlxo(E#TT%@eCTmhD9+?S^yiM&ow|UtY$2N2qCilN2SEJ5`cApol10%Rhx1 zHky78gd?f%7y#L~Q0OMfY7ZekN_yN*P@L$LiwHsWlPJMr5iXdKBE=8QgP%jhyrR-D4S&u5SGsK z$kzkkU$3Q~AmSGA&|mO??CTjbuX%EngT{bXjjyP%8hZN#i^Wl3?&Kl`bwlT#)F-NK zK_LsvNmpDp=xsATlNbhbw=`L;j001K7nzy?UkR6D)IC3UsN8rO@;Y+Ofxuo7L$aSN z_|=$LH|3*H_p!@D!kvRXq=TaQ>hDjN9?#%FBwpe_N@mrZzkUpy2-(nQ;Wz>52(oj9 z-%mI*cgXJG|IYp(o1=WzwDdEb5DXuZjf)2uSB;qhKo9^i9r_uVZx*f1@e=}+RN011 z<`RL(PMA37isJHOs1!xioGTKHi9`v^#4KPsUuR)ocE`2gRhlQf!1=po>O(vYMZL03 z*Vk@VF;=cxHInBvRRF@BFUy&oIIPreL4+3QniklNkKh~d;kfH72aMD%d>-!}sY#3` z^|ziIFMuHOYc*4qh6o&S+FrB0Esao?y7QSP?-bkc^4v>z9-94$$9ls)-3&i5sxCWi zEaLWN)?K@Cngry2SAXv~I(deEQl5xm8SvT58$o}?=Sefb8>um6g&PQNXA5Rl=j@OK z7fo%*3M2jdAkO3oAP+7Q(1@avn3!5BjEyS@nLx2dZVMP9vTO?y98ZZh$OUBzgS}+M z5TMvi8&+s?xUFX(rBuobS2Q_K?u@6=6l9F8|de}r> z0{cU@bY^KP-*FkB1v!i@%NPi>Y|ltJoDR!Y?!p@2IG1|za~$VmjY7?&l}M#ehdP6W8S^LI_VfXXlxC9`8`b*U=iwXsd`8oA8EmZ z@eB7q98KROSsM#lU|)0i7oXBM!Kfh~P@*-`mM!1LOBk#L1O|&{p$0}1>bMI~@e3a$ zl_N`C2AErp7S@|89l28iC^erhB+%!kOD%5E(gEzTKgp3utMJzk#>1l+ifAErNB9(X zBo%Dw6JMR#z39p$1r0C}kKNuES?TjIr1Hv%L;Ik~7fu~kEzy0N*QtNz1}w*T`p)U? zpEZlT^*^pybBn`G5f1D+{LQSX1@MM+KRLN&ykkCiVs!96p!ao$zTOjWhn6;H5+FL_ zmrNWgXJ|VA&8)s{e!qlH8ikGIqXG6dG;z+5s4<; z6s0qwK0s9LX_lm@55WLs%R)(41Gal`!DS0$50%>mX!J$9hdUNSmQ|jK1!5RT60_VL zPv`nJx;AU3Yr0O&W(TY%kK+tnOaJ`K!6hnucnb*}7^&4Gfd)1;Anz|_?PB1=iFFd0 zMRrc*LudW#r?UMkepbhwy1DY>kLzEO@Z9Vnk)Y{z^){Mh0{f)BGVSfHjWwTkp!2nK zT)#YPSPi-&$IO>ktHzQ5u@GJTbNJ5#;z%LBo*pP1m?*KEmA7KDz?qd*gd{3)M6_|R zYWZ?FB2b|KTAwy@SAZTyFCa%=3_l}xi=?YLO9UZw0{&yaT6-0bc+4yz>>c{2)nlL) zSuzAE&HfpTB-55QRlD$uP72zPLxg}Yu}d<=j7U2>Rar%PD*JkqTs>CRNV3~jT`)MR zY8s)m<=7{)zZ-w5rc{kxz7X-_kV)-3$o1nurq7{Cm(IC}v?a19%g$$=W1zj|1rWf} zfy5Iy`PhJ$TG2vE1Nm*dH%{1XnbJ}eT+~mA{fu0W2E`Sqpt@ZxeBEFYCCme7kh;lv zs_@t}h18sL(m(IpWuX+?GgN^~9C9}ONdq}4Zij+GO=1p&lq`DOQ%Swsu;>)@KbeI1 zWI*-S(0I+qcRjv%1>n82KXY>entpfeU0-`{-sWM^>p2%S&|^fX*f_(&9~J*NkX^WN z6wTs^o1|WaWXK*2bb`TF1+>A#n1;a&@2pc0!^ULRSq#;;M0+d`t9P3tGiU@A_2A%q zneq+4K1D-8>#8L~tLW^pNXEvRiFJ7hZ9UZ#rTJ_4eLYTZtY6`edFkF@Z)kW0ofY{c>&Cnw0+ zj0c}x4a*7%8955O>RoCh%C%Lhy4M_OlgYFvQF_Lp4ACD?Ie;QP8|BX`_m8fs*1dVCrS zpF-%hU=-kekWA4dFPCM@zrl@RLCnG*%jQ(4hesueGR&Pu8eKw2Jb2*#;bcGhk<_D- z4+*;pvj$#t=>ft=Ce=G33EVXELxbGASA0(X^zdQ9Nt(>uu8}7_ocHx8I~-z7$XQ8-5+DOO$PN-y?Bpu{xFYPA`ZW#maq=zfDb@W z)Hzt51U-w79fur*lBQJUt)f}pof3JUm`--}dJUSpVB~g7Bj`eUL}FUm=tby@{>EZq zzNZpmN#`!dBv`a`fn1xTONI_y^Tq!AhOf0HA@;Q)`2KwT{WyF|`gcbtN@_*tl474k z^Q?@IZ#2TqG70?@_LHy>1U}_F_34}kB_`i>Hz}MDf`^$F)*(NCJ@105Asa~%5E!kN zyZb={w|9u5cy4PrSdwu-=z+oq3f*JdtU;TqC8K_dP%x4@!;PL&O9W?(yC|+no5SM5 zA;$Pj-2{P2gq7N0N4*uHg00^4=2MVF!1y4%7&G9gKzXv*kP%#WLklVxDaYYLQh==|*vmfbFkz7Zw`go3 zvFxP%%Oyh#&>ekv7(T^oCdP|${I9$Z>+gapYkALeN{9*#4-F(G0B#5*@_4ZTJ)-D+ zE`>uU#)a+q-5x}cge$(DH4!{RU%o5>&k_M6w(evU*)!ul}jlZ2EuW6NPs_4PZXv<#ZagtHa4K#g3s!hhCf(ZQWXGg>OY zyp9+0qmM6%rU|?!LT~ac1_En5FDJKUhw}qx%q?pXFkdq`zeipPD4?nwjnI2dCIe;m z=!rTmnenT$bk(waDVh9~!~G0QNo!f?(MSu?V%u7v2-0A3myF5X%W)Dt(AQA_AW=V{ z#9)2g2@)DJ8Q=wpEEG6X0<8+GOBsOz3LqwU+h^dn9PUz}K!bdwjD;dEyUAxgK{5F0 z8_X<$Af3XxyIn2O8uQU86}=E6?ShRPy6S2xX9VTt$Z2Tn?JbGCv$0pTZDLCh^3EQRUb`8y^+EmYcEIcE6C9Q{ELxH1Lq#KZ-02Bc0z zs~u)pdq0UP+JI)IeDwa)s87D%yn~BI9N!Z!iS3b*ChS;0vGJS9tUtb8Kl8Zr;wz<` zV;2#B)GxYi_PgRJE+vaKFDCaqY$j8sPOd1Bl>l?Fm(Y+%Oul)^RxXNqE{p{_;EI6c z42w|PF#_|aq@X#a4?2UUi zl?aga0@hfa|k$>6;Ce=L1_Jd^$Zf4S$r9qtMx>Fk{2I!UD{=R?Th z+8En%>fjieIV(}hnWU%`DXy7CE!k{~+aYvPMx~h?QdKE{PObsT=7Z+A#j#JtAu|-S`LTv0f0U>Xita*bQXq{ zz`B`%pTtKOr4>X>nzKN|_8#l58o&Bgbs#-P{~<6qme(Xnv9(F@Q_gbXetjzA#QIt) zG?7bUHF+uZtmEuWOCB_H9a=6G`eaTG@`+sI=;4yYp|V)sSJt4|=bJzPpa7*{PNxHYTGc%v zfn%~}CXq-Y9rXKM=ez@h8$_h-p{z&a$=DCqzs$Yp-|^3W-}0xRd6~d_{|Pa zr?4!v*=&Xh=%JYs#5QPrHNCj|sHO5;br1GAzWnjvqmpUQmDbEkNcG|-(-^9S0{EaX z4(gEXznFhVI+1-?c`KPM?k&GDqSQFvveB2dXuL&ayg$XJH?`|Q>f{ZT({lwJWwo>| zv_+Jk^3OMSdroC_EtMdW>V@8Vbi7GwvodHDOioS?XsTr_3FNR0Zt~~g0eWZrm_V5P zz31L!z;j5*$!ySV$xT-Fjtx+9tMA<=MoV`ThV26K|t?l;2} z)aoS)3Evb;z<}Mmc?}1FvTb?Z2l#bkg>jJTw1b?_=V09+hGOQ zIbXq^ol|B`84}}XM1iA*i9N1@S(zR|W@6I}V2@wP8B05`LrYUrIN`Xg8Ic&hL}$f2 zw3#!Xsvufqou-QE|0!JF*jPF9So%jpdDrpKpVFP4Kz*!;_WP-o{Y9j=eM1iXmA>g8 zKmngvi7#?&4!AlRS;c}ip~UJl^kxxPib$t_sJpe>M37NT-uB#b0NFcF3za8YpxEV`Y5F{1oen9hM^+U{3kzB9T*zDZ--UAo|jq zlBJ%+`D-PdiN`lt@?2}fEz7t4k65UD#+oJ6+JW=weX)dSoAShZymMKnn~PikTM>+X zfGq(YxP%T84rqYz!I3K9gv{Oxbo!#d>y%Jw6r5)1ar2{70<4pPFmZ?_n+!DPT)E%v zL{)VG^{_9qpN7?MQs)o&2t0 zJmEA)a?BT~crcy_WB?EkZBEaFO4$(jMEq|@j%fJ|F-6D#oKZ_nDMGN%mY+Ph2ewNR zKzCU9uKjwS`pq@j?su4RVf*DWa6cy3W*t(ClzC@eleF6CQi1n*M5|nV{kPzk-}l() z#@AoREU8_MepB*9?hSWU_Q|XLtGz-t&>2IcysGG-A7;HR9Zp+AZu{FEllvmVNf+K0 z`MQ)K23XV=9s4e51?-QCPD4+<3z!wP6^0MVMW)tQkI*_Duwh|U9eb`*@7#&Wm29if zVkAAk{`J{%Q;}I&1dHrzo1)a;B}kq%d-aI1#QdQ&B@`eUi41e$sjNg+tRZnoDrY~? zJlzozDbkBeO-lr*70;JfwhGuj3eI^%&euiGmq?=x9Le4~VQOp1V7YlBI2#LKI(C*J zm>Hzg(co88zsG?NVx7kVyaR6E2CR@?wP;n6_;oQtVkn(O{JZ2T=8OiMX#ZsUva@JF zywvl)^Fi;zca460@51`kyU3h5E}oL@HU5gD7I^+^a@GZ6oV*h^PANPs8feeC!0`+@ z0E_|7C)h;?52l~#2~ELRdE|PPaW=_|BSRwn#Ir~N|fm=A~+qgiAu;Xx59)HRnt27P6k-sGvn7-S|M!u0v+a^c^ zK-30JTNJQhjleZ!p|JY3h0^upzccyHm;5{;fA0X ztzQ_qtol3Y>fJilhcnxCJVsuj>vINeYipzpC{Wo&Dgcx5W;LAt&akz!8#H7aApptjon{xg# z{o+USh(-=KqFRF>By#a%LlW!%87s!}v0cCi1-@dr)6~)qmA#Y1L|_0(`Db^P?;u)u zq$L|P;D*>Sc2q34pcpe!Qr4E^OtAhN4Q>(hZV z+j|+X@dC4$2()bP{pxg$YJV$f>T}R&U>mHXl2=)xNol^Xb|bcPmleZ4;M)51*m(0z z*4$0bOrx7#3!D$+?Q%A#=15hQq$`dl(&;x^g6ycO3+%P2t=189pha>r-UFNf?9VS< zg>zCJ4%f)uFzD;A#P&JvI)*^`gBx+kgk zssFAkM7|@=M&4MD19o-_Hzh?p6$}ZQ*UNleFNmJy3|;9qWc|pqKeOhjdXc%R=A63k zXzbvo@uD+tuU^XlvmiifzrIw`$5&tWB3yaAtysFeH?Q@H@^0mm4*Eksy`oia5-;YZ zx%Sp?O_C$nkuDb)e1Eco$3C2czOc#apA$~?Hgk$EJx9-k>bTe8e{V_K_}gM<0mW@+ zQm%UNfA}-f$xK3e*LHj9%n-k2;}3ET?v!WO4x@bsI1Ms}%)w=?XT{v)Ki0FuMV9pF z0c6kVcY-Dx;SoN!Fx#1>nJ!?ApE0sW{!szDS}MEc-C|VZwc*mGDaK^kJHC*%C+PAg9EllzY{j>hO zCGVsS!CnT$npL%wZ z{8fECWX>${EZnlE7$B>~D6T5%}T zj7MXh3T`fj*-p)tC&#&gvc@;ppXQ+2OHXjf`6F+VObedAC*UmCE%A=o(g$lHZsIbl zEOB|`L?@^^dE&%D8-(qh*%hWEu?Ndige>+CCi-~NhQvxOC{AOT24alIXwV8PNQ!Zs z`JDQ)q(%PT+_&H)*%ump<)d?bXJtHAC)GxLKfUfWd#~jwZv31X3?q;eKRNjq5k0Hw zhwoqjmt7j97i-&krFx4PTRgo7GkyArf;9NU*cx1(+gal zE&YbJJwi9}N1st`rrPoCaJAtSnALEt&Uds&B(}ZCr0QwNOEWZ1_&*ic?ozQoA=hR> z?N+Hk4`(JaGY{uz@9)v6EAX3rWuIDtyFTzqqv%g5TdgTb*S>LlsIRCevt;PDuqSBZ zEyj3unF@@-Gx><6?UVhAkqpk4W|aaieQ*X$%ZPf2OxnyAIukVGB@Z=O`2t5W^E+MK z2C$4jux%m)bP<~SVq_(lzOclX4Z6+|Cd3T+i9^$oDN_P+S90i&jdMdj5lP21%$bwj zxj(GSCVFThgUZnNyG&2@d3n!Eqp!_o-kd>xcFK^eKpjf=9*m2G52m7KK`&dg84I_z zhsWlRHN06=SiE4w5sSYw@g#X{o#0EUaGYD*M2PS!VP;KuWsO9Lx#RLZAf8s8`uO{s zPK+^Vw$Y1)jex=7nj|T`yUS9wWD3U8P2#}7!bsz&;v$7MkOW^jk~c#fx(n*7!Apz; zlM%}&2AI9 z>m==fSI>N+yjNSNtwp@ptD<0o`>8`nvz>6fP4Kww0w;*!yNd1yuy-m9dk#cKNK zQ1Ci*<2K>*Dp$ETiPLx*{x$Qt#F?D=h`f+!P=cpj@`|cvS+>^19tXvJmi|h44 z>22c&km_9~M{n)EFJ*OKu7bbw4M?L_+pCx_>`vOl3;)fLlV#SElX>J>KNe6>116UA zcp}mHY%>LLE3i!DLZ5SjK|9~m6_%3Z!jvl@B zt$5;3zx*o4w4)(uO{~Ws{R8@^g_1>VvnArVfR1=H8^b9d(dpT)h-?JA zZ!jJA$McnV`*7x`Z+g69wzdj~z>xfi?ccxr6&xOQ`gi9s|0=%7vO1`cA`e)?Yu>+H za%fJWQb$8SH2!$Ktg@zOl2Yv3r+gujtzp37eJy*Jg1^b8^|&RAnnH^;BbHevWa;qr zrAB@kpi3A8%{YV8*5#8c(c=<#q%m5^1<4GMi7;nHPfW-~CJzal1C2Ifxd2R*(t4UW z^=D~{cIa1W95^=+HC9p(H82=_mweoAFnebp0J0U&f$_e_<_ z{C#xTeSb-y?WNP+rwUCfcqS$$dycz+p0k-YL%)F9s#Uvw1*yn2MeRIfogE%*qPy)ARA^VBv?03u6 zDH{RL^)RLDqonx)?m9PA(6W{v!tm_{$@$PmI!dsl7b7ejZ=EPy#C!DE_Ute*Sz?yl zcP1t>25YtpsPAOWfeh%8erh0EVcLTJ^%*lpqeOR!xqLzkII&C15SVh>BrLhU59Gv_ zY$NM|qHE?(<)EguR*zP1}~0 z(+yF{_p?$I4h54^0h#CtX{0MFhpQ@)%bfZ{>ck2`2sS6RdokdZ3+_WucmWZTiE~XLLlf*KSKO$~Q!Iy}qQkPu?Bh5`mSkc5ZfL$Bg|F6u> zww>YJ`N&ptFesMVV$21-JDR|wBDW|#W{4z?N7sc#n0p3dpAE?bkaJ>~9f5@4@qzaf z+k?`!7b6%$vF2PqY?Nbi@5 zO4xMW4?kb3tj{$!>BKVPmx>%`aN5CzqbWLUySXiQChWwE_UEQbn8+4TRcyp)T0(r~ z4V8h%9{)HoYThriu$Sd;8!BB0-qCtl6_oO!WGL<>{8G?@g+dsioon5k?#rWK`Ib$^ z5Kf_}(DJlVh8z{`-E8SD=`E0^r9mOIy>!@e&~A+TLz5H2C833WEMu>RXiys^Qk`eT zRx4mR0MCpV*xbk(F1Hk>@W(O*I%dmdGi5!?4--XcOpp#8+JtkNYHurDW6ZN@6Il3V z3QHUUiIlC&{K;hmZLj4Pxg5%-Q3&L*zAB}wqFes)`z@ozYd*h}cDOD#n&3D1TgKX8 zIpu(5l>F#!u4GJlLphV}zL+dU32h^Ll~Kl-dldOtM6#%{kSxX;68m7rFNOnl;HFba z%-k&J03)%7qQ>DYmueA~ixZiA>FzAn7t3;_iChnTsQqoke?Ma2pF663RqS?btd1B& zuWlt%r)@Mzc9H=w>Jntfy_i-RweZ62!ls7=5op{P*K#~nZ+1Kv zrgaf#GiT>Za4uYia$S0AGWU}Q^sHF(RUwjL8hHjal!=)so(sz2aVd;vUa60m%gUj+LtG7mYzirnlx$#`%;Q!p z%{w$`%EZr@I3`{AAL$8trC>+%;F?U@o_Ql2a{bAN7v*b0Z@M~UOuo4FMHr_sB^EVC z8xq095hvM@Ihl0tem*2%A)kB8IVycUe)p?asGQh@0xdNV}^6<9AofYac|WWth@j+`ZOLIW6b zY1>?EG4>_yT`pblcziM8#lzFuDc;&slbKfAy3;knMU8v`n0PCqM)qz2f@0Z)%*6r# zJ8HB|^FxnftXlOUqvW|G6@K9nGcSMlrOUe}J z5z!mS;LauP3hW9r7&7ApgAT4S@MYMVW_&h=8bLPoXw;Q+stNa~M1p<*b`>6}oj%F* zYQmQYjD@70147ZfsbCVo;K?5WozZ68=6vhKLFp4LU68^nX>KI;dl+u{E!kNbiY6r$ z2BmEg2qeKFT?t&6>Olc58Sm%>3JDCoF?+YbsFGeV)P{wh-*W+pt0dfD@a{VsxlU{# zhBi0wdf(4CG5jruZtg1hA4tRI8qgwchWYNKA*Pjjqr=##Vd4{2Wf8j3cZry zQOO7qHJrCULfeCRX3w`td1#yZ#2N!&?8Se-N99VMMfICkTDHjaayJv~48UZJr&H*? z4={K71cpoiBUV*d)N+H&7fLLp$8J0=KCF1)t%3;t2ph1pUtXuNy5(UeyhK5mvPA}n zQjVxKfsAU-P{xqyD)@E{mS5w;n-A{L_0m%Kr`ScbFgkdvu4_X_~` zsJKF_`IesA8`xJOIZ$rcRnpsEdR=l0my;T1ps(EoxB($u5*4sV>q! z)-BCkXU&h2CH1YOeiGJ`5~I|Jg$QO{JMEg_M^}6g&;SJE>cyVnA&1KAu3tJz zz;J2mz%s%Vh_8x`z92;!-J{&4cyEheEs~or9SA+y#J=iLX)QnWQKRwEj$$N zVRm&C?=7mH*Fhc1{y3PKjWorKwLl>cU&CbIW@S{4Z?Q{wXmLvrcZ_1_dEw?UN3&mi z1-J69+X-H<7qwsBoHT!J;<{5w?fQ4?VyXQ|Ckpe4bIpUX9%0(sy5tW=^aS&MMN?;^ zCWz&&RYw_;H)%|%Rr-J=&8KbQi9yk^rvJ98=!xyQm;h=T*ThR|ze77X8qB8v=o+f# z)&!_B&o=Ek9|G0mV)&g7JK;@U)k-syffw-&LO0Z_CPrZ%2UBh4KWtt4sA`S>x}GC; z5s3CJrD;}`1NaX*uW?B>fKBL!>qX~1$r!9&Z|AgQB$^ZF3A00}nBH9GB}=bs^XQ)~ zSYuRWch>%>WqmRl(lzf}*j_;W}rq5tXWUwVGCPNZf%ETn_=-wso~XS3c`$$i00B%^2zLFyyP8n+pHM?hlL14&D=K904*J%!XC z)r}sh-mZPOA>mF}>KAfB0v$FX^#+iU4rd%ee_xb_~9KrU4 z0Itv0gYqXpihD}Y6%=EL_%zMh+m-YsD}cYL^HT@o1uwPe$7B^BaCM@kai9yq^CB(r z3Q972@iYN%%z_p)>L?<^+1DYIrW|NC>6V@ftu~%G)&tGcLiuvw5cHor=l7mZVbzun z#8c}|X!K=61l);K2wMyhvJL7UrTwhJ)C?Bf&x3GV=LsJV?t)9Vc{G~Hja^~%&^MD~TQjYD?6DV&Ll0zvqE^uA-T@>?V4jynt9n|It25O6^ z=RHQ2>n--JasMh-sAO(bfRPrItbqR>BmNdzmCvWz+O7^3J9*zKO42GMSCr*0vp{=0VKa+ZLDXZ+j5+-GAm*Sif#(@YR`rFX!w)6ytIb7IN)&C2hn_ zZ|6&-&zufQ!Mt8DHD)R@9bMs%f56Y+N7W_X{`w zN?}*d^9FZiByHPDCvNgL8StHHI_$OV5E?8@1H&R(p^MiKpVoyN0&3^2_&^X(Lz-{d zops_Jc?w}iNf02LkLh0j0Y@s)f#UbF(M4l!1twS@E|()?Qp9qSdt4mJP8)P4SO&9v z45(kyG=aI8Ju!bs?+bRL{4*FZ&Do?Brw*j!Sj;4nST14n)e`1m=YdN6wu8jGzrRhw z`$C`kOBb9-^V#Pwc^%?iv;Sur(DddWOxKrGk5Y6LD=%k8$^X5$x$#+I(QM|3(AJ^$)(nRNHtU!Yqpl(bQo z1plc7b9a7s_E3%n7}hi;32)K_2S|hIAvCft-qnDLMWgl=67oEuS~4LU;Px;eFRo)L zjjs-UMty{;S;jJOHybmL8f)1BMLZ?=J zhZz=#un(e71hpy@GjzPEN?)46L8W@jHiV$D!B@3Lpdauxh`a&mL3+&0X}MP!Sk@ zj5-zy4{<%Miw-e=M1uKM^1Mhg+R20p!Lz$_yrznwZupCb|614D-#iBBf2SL108(Yt zz&Qk;9NN4d(8r+0pZJmzp$I2g4iw#*d-T0E#DXyFg}WfO9ywe`qVaW5bWs;HKVR6$ zyRrd-0zT{N&bc(Bbb%s*puuw*bXT)bdp4pOpHQ+uzj+O~18>?(N&9Z=C8%G7dK zjx4b$-&VRNr&Z52Ofwh7nw+lD1^nQn8yCLD|7|g+KT~f!xF%HUs{Sx}22sFhImTl{ zkwd$~-~o^LTM+zvtG?e5Ok#(oo=t|LBWUD!t!GXoXI(Vv@KZRXa_=JVC&h4)=mt1J1F-QcCw?`{=bqL3=Nib4IHS#fkPL(^t6dTgw!Tu;7D#phBU|)gJqfc)+ z23jKxVT-Y5=jtOsO6x#aW!{J0&aGCtPJ$NoZ2~}fq3nBM)BUXK+dHyPX3t52H#y8c z-B{JxhD;k)l&94?>b?5v*clKter@{E7BCsRT;I{~j5Bv*6;GG zPgSq(gC?pNJ^$h&eYQq48tMuxtn191#?6O}Orbsw>7m%Ox3Y?#biN9Vc69CcYKv72UeoJvE3z5Wo zDqbzhvX8n=4=j+kdzW`BCw8!JJz$XLPP=MBYRddw=85EO;*B|~)0lu%y&qFXmu(z8 zNE5f#D{vTqdwW5XK6n0~`wEUN8u2d{-mmuYkx2)RoR1phqr|q$AHEZO{%gju>b&@7 z9_je0Bc;l&6|atz*N6VX#V2RqD7`+TUE;r2DR0gTZ;S7(9u?_qd)twQHlSSJ2G=o< z-S15(gWm*)P*XdAGflwM>`AFK^&_8dNMWg~L7vg^p**;WCQ!da_R6oZX!zoLG6w}y z$3P(BVhN#`x4P@#6UFQhz>CY_EGk=et6?DIHJ}Tn46I zXq~}8<`7@5-#cT{fQ14n*v6aLfok8#Sj*qMKNNboS1jQf~c9bXa)G z+9Yx8&)2;Qpd|?#Q$fH1Nc#$?xcTn4_~Qp2b0l@Fo{gjDw)O3$ynj;hbW^4Z)ln^3 zV;$=+Ro^~Updld?|LL5@=ITB`XaBG^1<++B>{r$0<1gCK?uX9rH13C~C!Rf+HBNv@ zAvx$#=V_&5n|3=OiDS@kvW(Ix+u%1nx|Yu)ao*ZmbegVv?-_1=P${e9TLSBeLME8P zN@OxupF~vLUFv(~j7ONI+ z7{p9$C~n_Ni@wo;1`SV{aHV%C{ImW`7vPqq8J$K&kjOrpBqW+T+3SMN2p@vj*{^vt zxa*3f_6(fsdG9WSEa)TWQ*HKU}UP z$1d%;w~-M5c&f{R@@Z+g-;y_A_2g|oIm)X}d+#WJo{Ejq+1G-odA(DEprW98fEj>-D=dOdAv_^NlLt4z6^F2pZ;c&f+m%&X z+j_y^#N9vx*QapNdNrR0yPCuKLHY9@rUXdS6m^W{D0{G}#-N!EdEsd-uI{y*P+t?i zx@Q>9`sq=WodM(3K10I;M!+}3Ri6%pi+~c}XC3#p`a(cANy7ksK4861OVypwb?5eF zD?*@Q0H&XSjmhsi(p^@%9X_oZ99?bj7*G;ppZWX&JjrL9AIlbF(dxnG1 zEgQ|tL6wuwhA$v?h4jJ|al^K_<~nQ14fWrGKkdE=HTM;cY*b1`qo$_9qt>N#KygZ| z3@?IF0#%Xp9_yb;O7oaKUbKomA1SZ1_>8vqI{hTf`9BIhA;3_Pb{w}t zfglzGPfh`{GnkZcY4f$o;je}ne;3@g?j~O#Y=zG-k5xe^_^D^GEt}R_y7GXEa9JH^ zudD%Bg@!M@=R>Yw2+b7oIch8FK%t8#T|n$xdm3`=|E0HI&%;Fz8$bXt#gj*FK0uwI z7=4vIn55_BM5+z;*gD5Xf%t3YHu&dcM|MzoTsK_HSHrN0+2EWRhvFV=Cfo8zby(kG z_H+Dk7o7uMZn`Jtp$KgFS0@mPKK!yYA3?%?GjIuEPTRxWDpSIc9G|?@vXPV;+8bJ_ z&gD^E7PhzXF$4Z3&jxHyjEpOd2F#T!9R|whikV5 z%XTo{P&=p3VkQ-c?K|%t2JEgs*vXz0P-&ijnwDlv$fBFA^R#%dW=njQr~66nx{d<=;FHC zV4xZLqml{f4KbcJ5uG9Kw+Em;Jiz}@_axlLk+8Avd2ks!RM#8?`%wISl3_8s$yp8L zf`@ZSsPUF_J1OGycikxb%pV|%OY8(9wJEt?%J}Q63;;%Jw zcC!OU8h6Yx6x;@&b0(FBm-1_W$hBTVLw%o~-lr{ikPJ-zC)4nVTOL5RJg{#TM)d2? zK!BP>VGX1P98nsmVUyxDoZxmgh~w*1=GCDh_*I_IcAskI^81I_)f4eI*ujt~-01BA zhs7FnXTAPJGT=CED0>|OmZ|3OJGzm+!=Hxd{XzaAFvs5s{KIpKaGr6n@57d0D8x0W z$Po3@4nub7ckGXfXWlp+Oi(j3!+J4N)ji|yJ>*ry>vz``sr!|w!SmV=S+&7yLTDuG z(hwM!hNt~Bm{KBJTm}|%vS+7r%>hpIm@cV_-DDc!wY0>65WHMrG*?UHlDZD!%SeVC8JFA?IB0lUWGugxBsm8$>kQrS#(xpgq^!lzx^X_T?$ z|1royp%6tDnv*|vJ}nS@fvl{VtKMqIGqb;E|;azA#f!Ub{1 z3#Yd!FSJTl8!CVR(y+bE}%t->A|8{C8-s%=wL`7f~ z0T7{F28ukjK@Kb~%=W_COyh;4`v^#KFy!*xQ53kh0<3%4wXyD6nETDew*OHV1R){R zvc3-lnZ+8wuZwh=pFBi9eB%XdZuiItkDIZ#f=BWQY`)vRPbK*ZUjcKAR?9)zEFS4@ z^nU%=0H#{^y)WXcW2&18#l;6sfR_;FVIkaIws)S2R4*XBZsoyO9Jn%bjUK8G9!h{SXU|{{cC#G^FlBFRf?wOisiSf`ppnpf5p_XmtyLIex;8xnF=EKnkbl%8a{a5SHRjbAKLh>^e zmn{D+%`%ynyeAl3=>5qZuuqCIit8qX>LApk!YNyx9j6|mEG(s7NB|HKPLylc8SW) zPA8Vz)*nfq2L9f=?be44a`);shMzjbPIJ>^9(q#>DLMFKb8eUdR`2{>B-FeDqE)0; z0@kLiE7`U!J~7eXshU<>rr3A)w3k~?$_BXlMc&P5u@}~Ma>3&f5BK`67sx81xj=Qk z0GJzPs;7q@IAvwkSQ@&&cB)Y^o22d^i*R;9O>1lSH32c}t3@)d0T2n?5e^ zKF4l=T@7{y0US_vh{+>ymF|H;kWUFU^K2&oSM+oj!4v2rcI>FG|7Y^)5m9Z826aa< zuwdw4E|4dL^Gk(*D+`EG$!K;MsU;WIkxfkrVBO z@Zl~l(vJ>_k#145#@(x4(Isq&W#zndoRsFeDkHg!#~|^;kNY;y3Yx3U6=2S z_yAuUy&Z1(ds&&MbE8t6hdVUXZhi4qF58ek^Y)4VC3GC}-~98MSLVXms|w3rO`k}n zLXaJ?HDF;x@lkuz66l0y?#{m+L&>_Z%c)Xc?x^8t)R%+TVlC5N76J?b(ly+xjHCB7zfLK;8=D;@>$nGWqQe#8Z*$e}4V~B^0e6SK$6m^3{i=RGt2kazw zf^Wu>I6cqCw7|h4#6ADRhAleE2 zVz{if>@DEYn7$X{!X~5}tdx1?zBzRPb;-UE@ijefsL2xQ$p$=1n0ddO3Jef1k|O+6 z!GeQiVVoh41bl9K!l$WE{is3Z2OTQEqKC{7=Jo51zsN@|89%wW2e*4P(79UU zU`3in>%>*bw8AFDveFy5LXo9eYq>(JPUIPc=C(P1oDL$sB<@Rn!5~*_3pE z_|Z-_F)9?kb#7PLcO&qS3SO~rRR9`)6erP67430A4eL!{{e*CGWd z1=h*}F#%s8q>0vDbEH(|?G(rV1YFbH4Z^iX?^mFG4hk`e?B-nwdilI!u^(lkC+l4Y zlvF<)dDLqt*tbaCJ^IX%n*_7i1GF&^rbN~p?goF(udzF$>y;nc?=^(Pjv5ww5-exi z(Wqx}ynYfJ?R}yfrs8}o)xg~e>4#xH#FK5qM~_lr9u@n|3L-#Y>3!E<-ALDLV55W6 z3@2@34STpYZX>QG@M&wOBpU;l7`=Zr~26b2EO@^-x3yU|iFpmw~dfWTw zmEw(Kb2nE}B&#T^cV?(T!|GL6AIc)YesWJa^hN?RTopq}cP%^GFS-6u+nGA7eT8&u zGOTrrWo{FCyVV~%wub1_WylS?!~4{$7S315Y*hGqCVy8c*}o&f3kQb9$W!A zFcbBO)5L<<`wHVyrVLuvj_lMbUgIu#aD0U4pte%Of$ZnJJxr)(x4{Dg6!pRlbud-U zGvV%UZD4R{?2xCryJ(HCHgspzK7?Uf*@1!X6)-6kU<@LjJl6BMjp~wjCt!d_2tYs{ ze}+DUXN%sb(O;v-BUbpOB8;YGcXm$GEdK!7OoPZ9KG*!l0Y5ZVB8xIE4n%sa*@ zwj8^%n=z<2h}&|pGP7=M2(6V}M^&qXnfR9Kv&zogsh-I#5xwqRYxKgUg~4^*r`$l+ zkb5c%^ISD}mA{aiC?!bCk47I>&gwm`ijGuA=s@CU*n%w^jq{Z1lL8N2SZKQUssEVx zpP>i4^Yu5t)b;%Ss?~2_&=E`!jF@;GykK(96^^r}F1;+<7m2^UYx}ij)%mngbMcy; zg=gxW%U)%jJ&>-n4>{>PZGD^4xeEWF!sp?2hOiHL()^)x?zh)|^E>SuFA-m;mzhys zpK;N@fYm;@ z3JE^I#e)=Q3O8i5`GbIzBsc={?IkPvw3;6C&1vN)1GpN=3m(9;ZwMh7z}Xa#Kqy@K z1khYks?%pThQ*bot$uRy;}=+b z@H)P6`j5P!S)SAde}cB$A|^+)_-BZ)g6Y2D)wh{^s#GdPM7CHI+u8mYE&ETrwlm$7 zxcu3IHSpj4T9<$iZWq%GHzdbnr z%{Jt$zSZ|8$D(v`TSn@yhyvYewP#B103nf)Ajx z!X@CCgT1t}omRp<7=GAG@G@n)ONO69aGB}fa(<++Ss=&r4?_~irnKx(`V zYyx-;3@K$pZ96*@ylh12!ZZpn4KP3s^BUOC+>30*qCPBy$$x^5>4~Am$VM{#am2=# z|5~sdnJG)sj3?X99ll2+Op0Y+XMTOGgrT&yl((qPM@)M{{Z!~FP4-~|JCx%}epvaV z3VY7z{wqLx02C#3w~vKCl?{ck-2nzu3($)F&zF_?ILj`((d8$A?^u!#Y&Zr`ht&<| zVP+Onx}hiDkQxNGY+esf!BzRlF_SFd1; z4`m_9<5aF%H#>T_!otZk9{jMq82B^L=qdw$zWb{GYCnY;TuXG^vQ)y|+$TEsdyQDy z?-a!@lgBu5lVTrH7kxWNU;IIgv4t>}|Hz5*1~&O7lY z3u^Q>fZ3kl1~Cqv?#XDN?ij~$p*}moO?orsPm4`U8$GglG;#=)(t?94K#Ejo6?m8o zN{AFE3_DKV;CbQjEj0*i$xnCoJ^r{;pGSo-gQOi~5dULOm0@ZuzA$CKse)(mZC`cs zpPf-%lihkEJ%6v0I|+&xNO6&ZS+h z#et~(5UaoZR8bjUk;YGCtKw#tlUk2LY>9CTr)=bFLP=-S09uM17JNE60xlk0vGQYN z~S1jhShby}R2$a-0f2uCb2a{FJfOdRbNjd{J*C`AtwwG+Ep@~+O7V>?p z5D0=u0!*QuuL=PNknK3mQtBl}y&pzGSO-PWf?z8>y_ottbbwN8cu_mS({4!mTdH{*p18C*21YhPWC(8W@c>>H%)T?+b z(NZxXBDVCAqspZ(#5;QQ@z=R*)qE&KdxfeZc|%lq;S_ z%^nB}M&|)HqFC4Y0jR*L{a@AyL|P!77yuLkSZJUc4x9kAA2c`w#7rxegrpDGAw7Z` z8)OZ_&;%bf&>;w{MhHv=)_%pA@C+C=!`k+TfV>82F9>h3w&I1ZBO-9Fz3_b?O94_3 zcxyw@CkB&zrgh_ucimpCvpqs4Ap+U2NPzj=bOUfJsP^u_%X@3bE-7?ZNFStQ=0WI7 zlR0Se|5&;bc&7jVk0?h6A;MN;bR-V zj%tnOI#Q8G4!$!z<-K7Rj?N3qX7`|R_6zpm%&^?toyuVK}Ad06EdEmCu1Uf8ta z4JKOQDDBI-Hiz-|zokF76qmakz)-u9f)2A?W$gtFtb7^p4sFA`wPodH$#&uOCf{T7 zHufYA6$aHh&5bUwy41zdlXsjP+o%YsPiuMtx8!B(fdzpn#bE6F)E3&7Dn~uoEPR=G zb^s&Dzp^It_ow=mhsuPJ-r*nDe6d)yrdS$%`o$vL1E@?ubsiySu1S$u!Q+pWb?2v2 zC7TtuPw8EX2;o;LC>WG7s8NPF%gLg)LauaE6#kUzmvvKIi>Bb3FzQp$@!~SvpMR?N z&zO_aOvcBqD{OGReBa9(bIETT)%qY%ycpzcObSsS(4=V8;iLH-uN#zA89~XfpUhSc z8y!zCD99ZLvp?$?quKGzl=%H3U_$g5N{Os{Lr^UlBQ;C1wn^nThlCKLljp)E1ywu+ScHWus%lSzu7{x2Qe_?* z*!=EP_f*CS6WRVPO5uc+8?WeK54O!Mt=c2U730}EG8U736G!{u8gsXhcGNsnXCEvx z^AuK~4_9mJ-WHvBV9^Vl>a*;mslv?jN(?acnin{pP|J)8U{ih@1a zE=vk3`_z}VY{iwljx7>Pot=-UyUd>)!61?m2pH#Buex9*3Z&H~oyG=9yFN+RJR(s{ z!wa?Q*UEM4EV-#Dw;H@KRp;w<`*O`*`H}nND(d2PQ5!Y9#^s8^_RU@@F0pNM{YJsW3Wo??>R%i1E72Ge{AX{KU|gsL*W9GI{=KOTnmVZsuiMKYW9gOUv&k%s|EC>Bl=Eyv_n@}2u@Bt1l!C%MNv$m=3|DsTqx!y_+#O|@!YjTxNiYyf zTih;a^rN7G!QfKslH^PUjPugg)&@g2dlkb=#bTVO!SHezq|T~?IX&(jA+7a)3#PW9ASLXUuAcnOrp47tw~u{F67&MO zHZjcZFlP2rFAP15QU|}ut(x2enQq>0cxSlXhxZ9_#b(2IC;PJgo^%`lM+c_-EeX&S zN(#V8JAuTmVRL{R1l4M*>>*+5YjXZB#gOXF4*rDLR@A}8Zm3ymCpko0PBbqOn?#=X&BGEnYuLa zvW~O;A8rkD$w%){)&Bj6wZ8oKm#O`LRSI8VXm_{3X@J6SUv{GCrnqeSL&1Mf;6{^i zfuMfbr;H0R?NEwze$8ctudCB%aiu%tvL`pV6e~?kSW#)Mbrte`|2_WJ;f$MvT%|TJ z^I$6=YFAiLu3anF+UCZkThW{r!mUwrQc%3XdU2_f3~hw zw3n3;Gnob{!JlP^-cMz^M34Lx;sPI>+oy*`CM9AE{4{2t4%ubRTMOE^7w>=29^7cO z)i2^_C*^XDszi>PHQrTvdo}Fxz+Lt4wjXaP_&MKX(~dhnH>0nNKGd&vqMgazM^u?t zqAMQ~mbs(mC06Dx#o|wS)`)NtSXx%IFzTa}Ot1dW;QF@ttNhp7I)`~a^Ej}DHsZLI zU?Z-Jb$P1c*n#nOgy2nT`>J_U${$|;YYZ-5^+_*XH9*@&z<}^xN>nn4susjcfiiiL zx_FrUxx-$h>r!Z(KMC@zh4Ha^p$s_$z?Q(6gTJ;XHQc}H81_|D;Zw8ZkvBy4GBy3Z z9she!XcQtg1j5a+pjKaYT^gzmfH%L2eV-Rp6&Jje3~FBROubC;v~#aZgTPhpB&Hlk zy#<;d^ceoW(Fur*H#~`1z5YuAlEOVQDXm@KMv(^3H@BL3Vs*JA1FzVug z-B2ssHQIT0nLF_2d%@`F-~%&biktbxbGrKDUpv3$zcJ~Sy}db&oqvLi_ZyJ5eoD)} z;5k~Xkhx)vw2(zCE=Cs{Kz87t)zzphb@yK8Ro;O5!cJ>JcC55C%P zWsih50IpzeKM(SM3U+>48o%$Ul~=_L!a1)_Z;KI0U4G5{GC4W03so%jN%nB`BJ7iW+P5yBR=3-7wPD|_3z}%q+s_OpP_@ND$dFQ{zGF`i# z3ufA^zFCfsp3+u$)IKyxeLLW>u^pu={=NM7qDNIUH)Y64z!-Q34yhNhnb5-&)FZwGQ&70oxS+68MrPe9>qoKC=f%Ph0dj8A#oo36SCe81VlEm$|Ek}3MWVv&nyd~7hw&gKY z7t6hN#3D#zuvmDYV8e`yhbh#XG#$So%OCktpgDEmDaCfe1N&tXr^?19~8t2^ceP2z$2MAv2$lNjTDl zLur-Dv3j_`>IB8HGFB>`S)|G(@X%#+O7zLSymRX(a^EzYzSl4Fc5%Ff|7rnES=s|z zq63~uN(rol&sCqo>HZWmnu9oq$dey$NfRBs;2i@mb+Gd_O&aoEEZ<8b8RXRD30iXzwK8rNJsxn=Ub#>H)< zf7i#VNE@BIUWmK4a@2ce4=JjRMGpL$?ffNr@0_7ni(hcoBJS)_J`6mvdAz;5N*yEP zSrfbGwW_F38GT-iY^#%geajc-M;z5}_v`&?MWUV)Qn0k(rviGDT)L1$;fONaV zCyt7sm>v`vn~b~bJ>?8N6Q_@gpe`m~G?@Ukz1aeD*b?XayesR;uf_`!w$5tnwQ?EJ z{GVGZMR3cy3?kHY&shDHQ{qjxAm)44u1aIc0~4ISDL^Ju*yD8rzZzag)-FPj$)pV? zM@B3kZ$Jdm{w#3-W2R1B*qrgb{g89?dA7$PXaNJ&Vwm9IJ^a(*y_DL~ejahSuwB?T z$+YB|MNK{^?kLJY2Jdur=x0}pC*ymW$mCstqeoQ`f$X85OJHS(O-@3gi)?DqIwEwO z9g53DZiI|N(}A)m<6if?(C{#;VvDxy=iN`9#z$>CxJ>#WkOVb-oxW0^lWD#idTzT` zeM-n&bVz1*oAe@OMEYva=D2HV7P;<(S{L1|nLCpT&KfPKF`*FunMyCeegaXuxTVk! zd2a4mlYU5^z%h#?*)197C}9%TchQI(zR%P|2v6A?cm*-2 z9Mgg1z5PKHsU;q|2|3%ZE_v<)_0_^zY<|k#rOJW;A^taXbdIb&jiYl3Kzm!*W=|@4 zKJcj!;d?Q}C!PKc!bb&!^T&UReXkRk9;Wo}l6+FhlZZ1!CJx)WG05WvnK9E*RclWm zJZJk}k95lKR}PB)_MOCU@+|LYt7@9MpUoEJD{TE02{j%ssR=sT!X~|;t6sEk{OcZ$ zG2H9kckT&`XoG$=gePx6Kqe_;iSI2{v80SaHO>^zeU{GIjH`YIh25Z(9)WQA`QWon zIT!+A{;jdvzjXGe<-hBG7&X@4x_UKqICv$%U*Jl<)skgfv{-+<_VMCgJE zI3wbBB9v|;K~De< zBrOx@Gi@`q8<}iDyN{sAozrX693PcD}v| zgTbJajA42rK1M&)Z4@>PG|sMv4kq-T9_^n9Ti)ZoLk5kS<7PsjvpM(8d#2Sme938b zgdrpf38T{y>Zm5R>=+Pcmo?Lew;WX7{aI@>bQB8V3{@*-~gQx8Tusx1L4UUszRq*h+mn+eXzWD=ZyT@?U}IwNd0swtqr6QO7+ zsF*yc-RH;=pR$Ms768S|9(X}|gBJ%3d}fqnXAfNW*ns@`7tbiMWwEPRSA1X_D;NLz zC^;aBeI<8hreW$oGo4!w47|WOgJzH4n&V{Cr9}-Z9O##-8OYmEi4%tyyEcl2 zwNr*+9AW7*rG$oief}%&l(uAJRTEdS9KIity(y7Rjyt?hX!@0FV-uGJg}jmkgvhYv z4e<`2R%Nb{)Wn9%vva0!l>*_Ex;ikSpjW3klfA0Z_U^nMw;g@!%MBKlKOFP=lenP1 z=gm{K%(c(V8b1u5+E%zLyZ*=1_`YYVJG=9rE@@Yhhr)=gMXPx3Jo$`d3MkfM=tW}+ zyO1*(poZHW1qIP9?dE1@xRl?x6UajtBh=zOftQpV>+i~#Z4T0K=P77)MT*-M`O>Q5 z#F-fk|9%J6Zqj=f?F5CJ8)?4V=m(Xu@KXPF!Pk8~aqIV2I3+rAMcg9mECF!_3MjDq zUJx1!j-0uFpF16T{Hy@o;G~9j!cJMx>NY?I~A4CWn%$HAfT^765i>&#yF1_<( zeblMfeP{IS(hU}f)ZdMH=xu$KejsQPdh4_+3iLkgZdW!ZAOxNQ!g&wo-U6Ada_4O< zp#c@wPUPp$)VZ^tKI9fTTLyu-c*1xSDk<4dAYK+TJ+gR2-ug!w)K`(FSSqE%JLr5> ztwngTkjKML9#9n)DeO?HHyzuOcjLX{$4xmu*DZV3w9}yRjf&zF%Y#SJ@>d}q6cGE`V@p!jd@<4QuV+nlU00XU>*u!dq<=<3MACb+Mg$E6 zsi1%~<{|H6?#Xwtdue(0%hueiS;qYDz(pHfo9VARtNnit`yG$Gqw>U8RGDSJG*7#u z(^GfXf!Z;NbYTVi$Hh$PsDNu0Z)K*~z!0$F=v*sv&M){Qi!XYCjQ`M)+@rN_MGjUk zV+*PbcuLroa37mH0<#P2nLM7-nRF3P`(CIs<3!+N2@6!U2c@-{RC@VS_|bvivovB0 zN!d&S)gGcNvN)olBl!xex}x<3@)>N~KN=xV!AY{* zci}Dq;rCYIpL69wrw`ODiU|IcAr97HPamg_E3BnyB(WR(O6^Qw)~Yt?e3>5R^fR2J zDoLDuK_k6i>>k=3R9#k(X{nf2IC9ICS`KL>es8V}Xw;R;Jn9>>5`W_CaNDQ9GCNCR zQXVu~lp84hYqIgw2`AC2XWdXs<~gBriUS)Lne*B2yNi}Vi5@3TLA&-8#KltI+wlg2!@)BLsvRH=6N?k75%H!Fq4ma!waQd87y8f#UC#UW?)y|3Ydz@#VIcY+Ye3z zGm86HqHQAieSeG1bzz6}kEAtb(`se;-CYRMQW$i#`^Tv`}qc+g%bp-RU-*zWycrn;5Q`9t{e@W`PR zA}O>TeNx=;Za9=_YBFK>QZVOQtV5g`Z!S9*A>S_WK+Xm}3);Ovqg)v?#|7L4>z&E0 zV!S=VQ_8S>Up(X7QRM<9#$NC=!VxC;W_lb6Po7906h*d;Z4{_dS9R^4h6>EL$bMUf z&67(d(&(yMMQi?BNzQ9PYoKsP?dz@+kS-yiLL4-tt||b0PM0(iw_54psDl$=05*^5$Fu2A}3(VGz= zFR@8b*iB!z$ppW5DmymDcvM;O!FGkEM25g#$IAmJV|(Ji&^yGy#MYai|6P9GSvvHl z@=&a{X8FLM(kQ0sRuiV#5rORqWh!gp=PxlTC2*W)N1J2X`?(b<&v;Q!vMz5A8FSYBFupMrv;i#SGnOD7=e)4f5W|Dx6iyaO9$d{7x`{$M-Oxo zwj|ddEPtJ|zSi)C0|$40=AH~QKGrA@4AUS#NPgSj(b6?tBf}m0Hr2qE{Np3+6)!u- zY|mW0t+3XkcUe0SES4eOl?BOX085uE;K+oHc&|2PL+ zls%9t<1BmecFs*g;ZOl_FK^!mo!X#`*vIPsng^u`%eU(&tt4mojmEZyR&<=(a?93A zqI=t9=ENcYb*cSlce-==@BeCP?NH)A-TbaaacjnYQHDIpLul}Rf*u|4A}F8t&)FGH zQ}pTnAQN5vz8xIaU2Irkm^bJS&ONm5Tv7Cxmpg&b<&g+Qw#9xzB5URbl*pIIAT*dP zgkH6Q8*Nl9sT%7C)W(-QxVv`v?g*M0Q`3n6v!?Lb|4JuMy;0BH9SKwMY^h{_jluQmwkKJS=&xN57Sgua2oe$ zrj`$FQ)^o#IQ_lE{HOil8BJw*(fXQfxa3f*u}rC)%Y!^Gpu zFm7hg$EV-jHk(}Ue(m@3>AmUFo!D_ zD>XU_j(=L)_}J{w*2U(cPmcXFwh;zTl&0DX$I1w2l;h;~tRYmJ=WQ^*Ke)x>@V1N zmsJ9_1ms26?|nGjZS5$3N%ZBy2_^Yx*V+D#%I(7Q@m8U6YP6N!qK>K=)*~Y@#%!1* z3Ww4{|25NAMe0@^PRYY(6m=oIWzihLxd13iSN3#ix0|@9Km;}4Lg&TU_yo0^p_Q1y zMK<9;894R;XGTqlEhL|Lu%8VjxDiGd*YQdasW)jmn|ny9(OX0hOGG%2llk)N-5Wlf z{?x5_NL*Ji{Wu^jZr$#Q!jmrzmI@GIUDC2>cj+|OUnVakKK5>bt=oIqEEnfU($^cB z3I%7R)FQQjji==Q+Z?Mr`D%UOsr5^@~~Hnek_lgI}$uMAMB6zmI-nif18`vM1hf1u+SfZeSJmT%T-P-=x1dVvh#zz$=3XG(9MC>yaYnv*fDDij;d*wT4X$A!+omIYIHz&WjxJwf?O_j$*PPx7$JPWI#GgVcjYk z5up#>JpR3>@G|HsZmxcL&+|F3oM-FrzvPYpgP}y|5LuM5XRGC}|4w}8zf)GGDd{^J zN=%cjz1L-S+5WE5LP7t2!`F+}{8`?n(3oL;#6mu)?}x?@9mN%bngzMzA(mge3X7a=Aex?&RM9We0E%wK;q2O7`q zcVs^P753?Ru)u2&2*yPlZUDx({G2@#$`=$Z#f6V9tt}P1XBael*a#rh5PedGGlp}R zSW9h*HxtoE7;U7YXGBICwn+7%;BZ+k4R?5bJQL?xPJVfbBEP00{vR{RjfSNPPjbIc z=xt@G-u&ZqY(DGG`+Kd2_s0ht$lO@;(4@+gL<*`qps9Qgud+n=KGH*8V4Ra2eEc4H z6|QF3r?ar=$&5;jovu~opl3C^YRup0;i=^ZgV*R@UvZGIjA6b!*SMinZd_&8(N~L) z^BS~xdXv$3K%{6B@TM-wVVWuj-9)OfQ>$aN)r6EA?`f4;S$^K z@9gMkcif5fU7mnf*1HJ_lhl-4TOpBqRBlAzF!JT@Zf36aUg3EOZ>XLvV!Evr42a`; zgeMM)rWTcM*29ENyxf0zU3*ejNkCg?lZ7z$C#5~9lctS}jN6pP`L$8`AhG?Cl75K4 zO4BKFP9A-eCz10jE$2mq)zv`*h?Y1~cFM)mSpq`Dir@B^Io~oOl$OSw3-As3wmf#{ z$Hi41-qf_Nx;ruI4ogigedtaJvh18lynet~-Nw7f~>_^F!s zTD_gS0@Q8FMs4ZcJRi$f+woc0A~p6D&uyd)RDjQ$V~%Z8IjMz#ErplqIFs>Rve|}G|_KMAz;CSe%3hBX3JsC&~f$&ku5icY&<+I%M zy*v~)s^4W1X#_%(|G%X@Puj|)vq2Rbi?ff6=_<=@R8PpN=JcjaI#%zuKV4xUwKZPp zTK|F@XF~sWEA3m4t?H}0-`?CcI1gRH+(u^d@gR`^t9iJ~^b7nl{CBqj*pKNL+oNSN z8E4}S0Rv`GT#Wxw&dhr{MKe(FY8CfuwC^>6(Bl(CvFO~+#I^T=_^E?Pncc+$9wMKm zihi4h?%guzjC1PS8eYHSV3T>rHoG7l#gryX>R)TQ8B=DIErvQ@FVPSUYRPL>735HF z%B1Ispbe{dI?}{ybc7`RoAK($^cNdS|sWa7ZcjwK`pFJWPUvqoEs=Wn`>-KxQ(36I9gHb?T3`#qL=Qh;AhOyAr7D6v3 zD8nmyk4z>q_!5TXzS;#cU`6OVBIxi~Z^~!;J~9yyCx!Qqac{r^MYjlI-Q?Y1G)oI%#~0T1}B2=iOEOST-ijCE{{t3SyNye5}4JL4fYJwUxzDQZ?CQ zeLgmD7HrBuj_n@9xgoO-v3;+-Wemjz?auVZQm|=vh+wcC`6W;y_33SUBKPHOZ&*e7 zg=LGBt`W_W#L z^*2Qp@2chA?Tbl5x>7eX(-laQ(BdMgJ~CUiPsmMTd{Oq7cHRHu?y~jKjOtGQQ0dW? zQew+IjACDGyAGj+RNE|%u&9#iyR7HT7pKZWV zpIXo-jcFdBpT3l4eYDnMyco&IkdMO=cCDA5P9W#Ga`H{JE4QE5tWQhz+ww*`!!>Bo zUPn?LJbg8H?B9u7wYtII7Agw8_ev#H^61)m{T7)k4zer*bY879zF<>!{MnYpYJAud z`1jZRoDFUKs!xTzY5Q`w*k&42d5nLG_QuCIEt5V+a)u#u{O`6R(W5FVi~ChTiCP|m z{a~QgKmc4%3Zhqd|?1}&42WGJ2_MSY!%I8EyArL<;^T} z;|Dl{3d_ha!uA00> zD3~g9o>WnPV{n3E@B2mNccDb-0>!=7SAKderL1Rk>CoyTSWm~C*)O9!mXbPAYlf!S zY~L4Sd0Vf58aFRP8fJ$P_+B^fYKyK$l$++EN@SKkd zTgu??)j@p|1wASJDFGzJuVaj~EA1H<9-tx}Y_Ufc7c&5U$;<{KDA+>z0Ji9!Jb?)O z+`@bqWV15&oqLsM7~Xo@>E}sGu^|T&8oL6h?m_xXhMwEmi^2b33to4m4s@iJ3cRIJ zip$sXM~Wx=oCkmHUo!ak(zOYpNE%tiY^XeL71K=BS!^CTDUT@_i@`+8;j}O~dD#Xe z-*tR(4qYHE44B^J?Rr||CORH)xvgy4jLsKlr|g9WdxW8ml*o=$(7qjPV(_Wot5A11IMeL`NZl&6U~!&5kn}b4d7aJ0mpZw4pUs4 z4=KJnpW1KgDu!o)pL9x_3DlYOSf4R9@QE-vfiq+9aD;fin2R>HrBd%ehp9tCqXJlk zt9s`7b!Fe*(@;b};{x70`jz={7HdLTP%I$O;&oGmv|Hr!(0wwO3iV?DRYrN!6FC zyL_xh_qR>g(c_3r!dSu;_jM+TyJ$UkMYLy17DSS|X#>o^fXr0rpaT_5U$D8{WN3=O z7kzJ6oJlvbc;`SR-SDxxT%pI80Ek4{qlyM876%Fm)G%u3JBC2uBjCAxzpM=>fJo}*7KTmn=6KUs^g`Ypt`S1M-*#+TqT6rGTCjS1VPy8>o@3&pB1**UleBPyxZUh& zIYQiu?_)D1E7XjRnO_)Yj?4QN2-xgbdtXbh87l|*2#B=%z8j#0mt<_677WOgliI#c zRgp?0saRD0p$|&es|P^_h}EF#UA}}Rv4}JJgEn||n_cQNC61on06h#YyqDh)ErPfi zGz8=eh+}FS5Fr^lWC=)ZAu{Qc=d3S>u@hCf{QfyKKS(|{a z`y_$iKOL70ekH_Wd*Ad4Ia^>Q9%+E}_eMWh7MfcbftHOolGC;PVCkd2!h}dDsiO63 z6KL@H3JQFRp)8kYlx^`NOe2F%=hhzF=U>v5^5f1Qb_Ipq?Y^~Qbrw6X*sGxOcj$ok zpVNOWOBDYYA6lHy<&CReoYTA}EVPH|($_4UUKZ=biC&o6^y;CycThsG9PYx0Zxhlk zr|k~!MVAxj#cY<_bQJm7um6o&+lDb_{N`c#@k?0HS zRcuz!wcz=B%m_hF9djm7EbREeV<#!xg&+BixoPhsfYpZ>++$4(I z`DkdP7Rk6wTL0Bxtg7FuJq@RAn$+Nz?AVg3xrGgX(L(HI@7(Jwa?|5`nRXU;?{DyX z-C3+%vzIyaMwwyu&up1j(&h=g$%LqB_H_51FXbj79>uT-cB_5|c4&)HEYS*OefzTywCG%BwI-M?zqTY4h83!T1k zJ6l+Lo8w0W3fiFSmhdX%#JDKr4i;Dxo{$!TWgZNG1x~bm;H6qYpBVr)5pXZJlZD;_ zI1%k%$hS!Q=F11$U$sixO+;_FB;s(qjG|^4BkT$xA)KTR5(bG++=U-xc$io*_uk#_ zffC;DR=hMBZoozt_OJ+}-p;$*&C4_${W^YBm$hR$nmUAql|ttIs}}GJJs6))-tnLS zpCLpb0pBE}CQ(iOG(kJ6tRW&}V>#5rAA^TSDn;pXZyqD2%tpuI@?IYkbYvRUCNV4N zQKz2#2#v(EnfKb4JX@$zMLqF0{h8c^_EkAQ@f}%3qmw&Nw!E^^P*~Yr(Uu#P{cdsX zyv^1H3!bj{`Qbkw6Rd2G{3dq?BtJvLlxu)o`xcBpC@QO{f1Cq>%8l@m|I+!IDO6 zkLqt~624IFfn4PTH`V!%8khR`WR{4{rSlfXD_>JF^BMm$HHJml6~!8LTb*K=WRLP` zCT{p|nfc?Nl~JX=k3W4HDz9=)Yuii`5H|=suK!Rew7}T*nT$T$vZNSBv<7cNGtH2< zl#m_jbfOzzmOFnSS((!K>-8TID^kK2 z{%%+elu6zAQ|Quf5H4W@O&!ngy#+*HxQZ*+D3Q6f@0xnTPV!mPKiPqwpYpS$%08d3 z2sDyL8qSm;rYSvcSZJLI^h@G^CWY0`X=#F)Nyv#)a67+b^!DtApy_vc>hQt@{npZX z_NJYb2GTW~p>&pCayk0FekE^gy5JY{q{FaE0L>IsEV@h&A6hLvwYJnFPXpD&rQS*P z8&8}f^}!ib2O|k9G+BNNDvHX*cD5%^yX2WNG_lGw1;5f0!7vH9jYj6LL4bR`O3i_9 zOH==(a|f2&TS3Hz270Q|3*}@u1Y_{{Z~zaBzyQo0%NPtp7OPxot1zc!3C+SU)zV88Vum@?M~jIg^6 zr_1?*^u^e;zni}5vepnZ7|`QLRHQ0TD?aLVy;iznRrEeA&hybpc}MeOD7G+3exK}u;52m1r<}}o{xJTcuydx%fz%e z;CpG7U@<`O(SxJuq9Fd8nOscn7e`5}m9EPvD`c2vn+jSqxaPk1eV5wk*+gWq*>yvs z<+AfU&t?!Wwgx%Reo27Tng}Xxsbbm7VN$=#&Y0iw>(qVnY~|K(OOm-pdDVrL_V-U` zZM8qHFgB={gxEKDI&Qpc&U8w#Ii#+e!MzUUr=ALtp|=Np*{BZH&ePG6lb z0-(xabG`2>i6Hn`{ZQ8G_)moSR!ImK{8g2OMQ(=m z3sp|qC4gK)yKNJV421v$zNUUhuh(mCqc=RLs&He_073}y$oRlq)}LTd8SWLzMnG75 zX-jLR%FYQOCrd>%4LV2$tRfzr6Po`?!JK4Z1!I}Od2lC?K;SeF>X&z@h*X|}dtL|8 z3fjDT9qC8(c>Px+s%?r(<Qr!wHR~*{f^Osbx2;L`@JGxOFppAKwtQ5lLIdP6YL>rK#*NO=5FUt0qEa%miky z+i}>ZlZ8s{?#EUZ!n5A#x>R7<&{#!g(wjj$QZ{)J?^Pf4RZMGCqap|LJwMd}Y(;NU;pc zzAg{XGF%d^l@Pp+G}`x*MVWjN82-C?WK>b{hXu`CgH4Z6c&U^JY>=%G+FA5hs_fkm z1k#IY09v0JobN(y1l${6L{k!N}lI<35X-@XE?N`V^xfh`ob}?f|V|RmIQ8et* z7hCmQMc91)Z>{%lW&(2-5AVIy_ib!=e+GTmG_7@NTgsH6i3VEY9rLCi_mIhanRFA4 zZuc{Fo%i1y@|>>+oz~g(5jMNK(%&AZMmM;8cS?z1y3(Tu&1r?B~q?NgT5e zln)`=JeiI@Kb`a6XPbVzCA;*pRBnm3o;!890t#C7k{b_H;(bg2APocN!6KkOP_YS- z5JVbXGui~@GAwBKauIE59qZ)R-#4+2jQ1hCCdWO?Y(!ccmARcq=a>(!dEE)R9_1!l z-#@_ned%-X1Naw|G@3=jAGkVbqLJP}vcBUz2gOmkO|yVsQ6!G?C1dP%p(`FNBfJKl zB}4;D!aG1wP_kYC&HiUgu$33-9B$tRnBL<Cc;o8tGg)cBsd@qDq3F$KD&kzu27= zPU#*aqIoGc5inUgG*)X2WOFzs8th-qv73wlc0r(1_}30Z#7cvW0Aq_24OvKKAe#+a zcsS}VM=n0a1@(T_vP^rmz$wN+RU=t5-i{-JRQ?^ufW0|_+UXsmQMHF>=)dZpCL(#%S4*&;)6UHoA+g2zBjwL zCO$>Tyc)Af&W0nL*3cMxVAx{0PAk#nMYr5Pw$O;nuy1RG`UUCwwL7^)B-{M`a?|=f zZrIYrd%K|Ny_;0q6m%0{ZBQaUy$BfqXM-Q8L9?AeKq;6rP?8L=oCrZ<{OKJmOiG38 z7e{`#+w4m)P~8$x+?Lp`S^v^5cniIuU>IB-K9HD|0l$b-jkYUM3=7vmf_rkJFV-}v zdw(~I)hP|jubYV*FN%k0pxEHUY~COkTR55*gm&glf9j+RLss|7$0ircCHwN>JjznA z+$vE=GCC>nNYI1rojM)fV5JhW*8p8ZK-&qyiYUv*c)#vr?mP))tgiYRCUsY4TLDC3 zgZKbLl33bAE~r0_xHJQ3gdQXhR`zOk1NtX1>R@aJ5DsY|Fk;O2J^NM~IqI)iufa+U z_aa^kR@_?tr27%UY^VJo1Q!kO^aiSS?_U7ci+>G-)NDs_cV#%d z2WI_$a11;oFk&It#Ncuhu%^FIyF917;3mK^1Zru<9FhPz1QwBOnL>d@V(19FnH4HM z6>$_W13Wu}FNB2AxdUt?ccJg~dzwX}9nm|jE;CiZ{{r`}m%$$`mfbpm-wgHTe8{D0 z8RkSHY$54FDvG~ForYz4K#E9`FuCE1#AIn7*pBLYXWg-2*Y@_RfhH!`@aV74a~e#D zM-|B25#cVA*G}xn?vgeOYab};ZoK*Z+1qMM9j!wH?>-=ZB0lrIh^CeJ5mrXfsK*7a zWf0k~v(>h9!dh7>X1-mIrhE!&LZTMx8mZcCG}ZHv@))mu-MBIFacWw~X2pY7bdD^a z@X`5jN&V;N#WfBQdv1T`PqwQlA&}ViLw^75P^t6%!gL(3=ZDkXAhbH%oHF$>rT!tkr#@7U}S%<`A#08^{FrO_L#2L-^lMw9?cZnd+S~= zORy5}-8Nmc=u7Ww|KqVsUNO`mUl~2vya8pUxeQ8xDl0P*uUstWua72e0dHc?I3!-d z14v^WJcbvbN*@(vDGH+jdYFe`LD&HV0{%m-12}+ej>HvA2}*gOYKT{>k)}f*-r`o3 z{Ufh?ArYAPY%Mbu;B^*?Xd1!?Xmg6=3jY7K6tI?t2sj4h9{v0b{E0v>^w2(fl4L79 z07~q-f&XRDQbxKf`b-G8WF!fUM&)NsK$PHoZ6JCjO*qqSl%?3#PRuFwv)o3A7Jq@%VXwd(2*(+aj4VI+hO3dU| z8CZ4%ub0bRh9kf#@}3@CadZ-aPOGV*G8P1>t+~~}Q~mJ>KWhJ;@a6N*DCg$n_05|| z*HME5BbDwqgIf}TMuY#){{&>l7qVppN$jqu!)Nnu`pa7V$K83uCH23r1zpQ;*nBv> z;c#dDvA#_On`Ruy(&=u^ql=Os9}G#}u6mzKmUg-;9DgmHwY*G@dwPQo-@u^ESgBv$kAzAxpM(us2HBynsxv($!J;ubgdS9-t$|b%mf&GFAD!4|ezf>Qx#6tHHlVtsUopWv#EuV6_W0*> z(OoKr^YW&$I&Zyc?QmvC#3iiN0mOhsu@c`TXz9Lu4(bz(nt|f$>+%;~RFu`Zs2Fot z-W>-1Ix1zOqKA?EQ$y3F<~XFV`&jLNs&ctUecB9~DbvRyDBTkF2%q1HmC3^0UM0z* zGx!F}D1j|0HTTZ;PkdMvJpViGh4YAz_op_f7a%2la`d9E(2CAkyMF1Lrz+`Eh2;&f z|8G{)dX=D1`LJnJ2~+%cxr;4u3~-5%fjfF}mHIN&sJa|NGlLmrrYpAktW}5i5kyEJ z*ToY-wZM7(W&bX$d31Z`Z-;cMzSm%F=&p4~Eatyf>@1HYEIcf`u484E^iOoWL5%WD zKfzDBs-^k-E@s_>wM444PrP)XlRA22Cx9Nwrn8i06>tHQ(a8aK=BYy<7z~i<#Ax^y zjT-mv;lDY_Uz!al?2}#7pXf;}Rsbr08q7vpfGOs{EikWof>2)UW3BnMih4>5^33@C zXHpjl31(LyMCN(}#TejEfbXgM2~{!xi~lsS(>G(21OwU#0aZ|_Oq2KPjrDPZrltgN zX$bD=-7Zvd!NGk7nr+SuLfSZmEdu3rhDAgp%SmW74+lEVwBmZ#9FhdkQ(rMf6p?j5 z;30wo4@%JJh<&$AE_0xX5Z&HoK415?Q8ega6T*2fe4MVXENo*c|L^L)Vx|2#*V5Q! zv3uq}3wI{;*vm3+uOkp(>xo%X&zI5+%fPTpeVpE^be&jVxBG<5@S^wpqhqpX59ten zs`^EN`zzEEZ7+t)EvaUYN^IipXaS_Dq+5U4P1!ZIoiB`C@UcR5kEh{9x6IK)s&q)! z<0-f^V1oMS&j&$uJm?^jka0*^@(BB;>XpRt;_7qFDfQAYk??S^lKz7u8 z%{?pO-fu10v|!z9@@&o~=8YP~Pa-$3g!^(9MQMM?qUm${c9WJwN|?_#H)r(N)X97} z=?*i%@FS}>2tHjpV<{9~jnUMl68K*wBkvZ=xZE=*&2F1N&M#Eixj!2xXjWI;ouvEr ztQbd)Y_HB|f9=;VmRIqyOPi^;uAXwdh zL42gi*&E9TO5vU`(Y;Kt+Nh2D;s0SoX!za(0yd}@5rPFF?4^Z^P*y@1haq5OsRx^T)jPJ`vnRwyZlYZaQIqRY_+LkDpg8xkBeD$rJKB?t?bGE z4_mOL#D~$?D=U(go2*?xV+@Si01)A(D(ymRM+=?#>f3#-Bs92Hz2rF#^+?$EF1h{ z?;UjWRNIzhSGzk00_#sc_py-6&MDYbbj?*H8oc8+Z%S6iH)lV{4t<5Oj*Hy7wpOd~ zD(TqjUlV`G*Qc~rlD(L^4&3S5ViOHI8V#tR)bDW*E?$0ZIAYb`5`r{!VEl5}tUt&O zO`{Sh+)E?bBqNogq!EZh^M6UT36>rd0KVW%YP|Q!F}GfLFlv`<))F1{n?p}XX`wRH z^I~|Y)OF8fk7b~=1K$vJ3eh+NpgSP7#{YAQ9}O50fWhR*QdYJf%kJR&l`-| zMES5_)KspJ>DJJ8%J`dA>AsWKd=D;Mo{72F+B3N9`o~pqGAVq%L~v-{dKJ>LnpOB- ziZl0xgj4ZL>>_4jUu87Yym3 zp}a(mjG04%PiL5oGT-jYc1_6@;q6Qf9WXVnyCa8szzB}Xj--@<|7ciN3LQ_a7#+7U+mv#$nO zv6dQ~4DGZfd~s**fLeN3SmVCCmmbIkP0Ym(R0@#U?{reiwkbFb9M#Gb*jDHkhPGVL z2~Jtd(-*z(6ShxW>aNjou*GhsR(WTJVskL=}h{ee?lZ0YSHP>ftF)vMxjh ze&wI>9mQDH2o|Jl=dB3yIx%(}A17rk7i*qCJ^vHTGbnxr80Zj6%ujhPh-j?N>)u;? z8B7z^djp`TA`m{9Yc)Pp<_4y^tf2@8>S=f^9|s>qEl@Zy6@V}KL>mDc1YX|g!_S8Y zApWc=Z^_c(hd+sulTdPUL{(zVhu&aZ|K#<`<%M372GR!!U&F?(PA(7nvE#|I#SM0l zVM!-j=5h-+U0&GzFx_6!m$l)Z5v$41T5eCocb@&Z{NobfNwBg))n z{f}_twaq@UX33lgrwzy>-mIWKh@PB_WzEQ%22|~tPFo>T6qQ3A-%HiE(tR77A04nU zy70wd@46sNl=9G#rNocB3DXA*DO7>kB`mI0YB75*aoOl;xuuH*i&q|$l5}_0)A$ge zC7(|f*1b~P`URtCri!^IT2w_`P>MPKot&Au+N)x@`!{2tW^(-)Bzwt2-}iE3%#q%m zDd;9;v3@n<2fujtUF*IMxrwh0%!w!eq{us?)5}BbqK>cElRIg9-;DVhYsnvr5S)`3 zD7-Hn!Jm%?BY4R48i4xpzAuV33xr(*Yo5lA=(qGWIvO8gf}N^--L|d$(|DiG`LOC< zu=*qOW(KXcbUE-qP6gMF!7E_Djjw|MLkJ26q|3)Iu*Tc-M>roce5e}&!)W@`e;5K( z|3Il0y@5*>)KV1(+OI64UT5++3b^Py?^M-W^L!HmIjW^z^ji zpsN)d3y1c+Sq4QSkRgyXN}p*2BolBn?(4)o3pDQgqJsOLtJ|2hLX_mOCBgU#O=+k= z#M-<}HnCA^bZ2ceQssYA>5JSJFz8Ws;CMrnsKews`TpFeZZDyd1V{$xX}54=%G%N8 zx(j>;8ds)uiHWQIuS*^FdzCT6iowS%vul(G;48Q`?Zk_VO{om(jFc~)#h*@xjHYS% zsmoNJ@T{{u`1gRy4vJUqpx?xMOg9F=Y27cJ*R`<6?Ow_ymtU}6He={eRZ+KLYTe=! z9rrzFh^9mGmNn} zIcxBhbWmE)K6G}QB5?-0;pBE`W1>Ah=h$sj zIR7U;AZ4hS1ze6szI=ka0`9?Dvwm_7A|LpniONPlk%~q0%eB+syT&5tvlbrJh1UuK zIeXY{$K1Cki6mxXRf_+gI7ZFq!g@H`}gSv8F#&l4XfC~#~~ZLYKW!Yv1O7gWG%7uhFy5? z8C)9DpNpH-xjXrY{8z`gJS^@p%n**{GA_O!KCNGV>e?y2^|LLiUoJ8Sxw8f z2Tb-F5o`b#hfPtH(jwey$>NLMZtganY1Ol23CrW(<~)epek*Q{kYuk+!!1uGj*hyi ziN@X-A{M%K-bKCUhpz5;xIx~2iK0kZj)bEPObkY>RzGGcI+^h0tJv;p@9Ke0llLNtdum%O=Wx3P=lp`e5M6J5SP zP|c2B1p)}d@yxHB4e|#n1APy*0L}kXN3PtC4yg6Rq4G3WY+(fXIxOUmRB2RjeWB|x zrVl&M??Oed#@V}oIKic{KNO|MF720_WAPuf+I8(*9b}Mh@H{F=Ng*w%{&cn5gA|vo zE8ZphTekaOvrt`lB->!1vYuj%3RP_MzT!LPi_7n@4j61pLAN8ydEKU9uAM{f5& z!Ck&{oMow68ZYY$wF}ez{~C75o*n+S{QiXaaE{Z2`j5TQ3k`LRhYHLcE`A*T%dZnu z44O{P>_Rz6aJOr?;;@HXR6SN9*nycaL*M!66tr3B^a0RWwb26Xxq=LUugq_3D&TZr zGtV#eyzemnHjoKjR-oGfNPq)5LW3C-m>hH%O+fw^jT&HuCeRcD1W?9k?HauT795qJ zKU0vL<`D)cYSh$|5MydXAc=q<0QWfu?*_L7l@r*`pfAvnhR3Qo01^RrWU-!KQ1;Ws z*y(8O(;`Hm#j2K#XRVDs9QIF+3U-XiQI+yoM@PoDuUk_HWMd&kId-{bZNa<6 zVH3~Q3mvfEHdHZ_mjI*%I&@)CBHqgfGC%uaDNvh&vf5Za1oYLsL$J3&H>-{0JPJa+ zIA#{lD5eWHRevS_?0L5+pD85yNh=hf2GDf^rK>o$lo}lXo}bam02~c2flt z`5S!JG*e?<@lzoj<-Oex#ruL)08(Kg^$=K~!c+j)QllQCVuFedc1rElTHMcr<(8e~ z3HjGOt2|9lc}MzrJB<9T78g_yx|-fqNaOgiy;P@J|(h+OXMR0X6v3jCDZQ z^6tx%E@@IfePO^WdYD&s3C7H3y3eR1CXs@sK$KH^SXU{D$BPZU`QOr^pmfGK-k;%%I*wf%; zt(9BhCShNw?2eIIcE@aY!jTIkzcBUbsPddc>M7XzfFHmr z@^R6Du-I$}NYR0#G5}bF<_BQ!a;w(MyGi{B2m3Q;Qr=0WKz5pjIu;bD2tsNJmJ>b| zM9OFXrdYTkq>I$3|D~K#qa5-h1hmep=Kq04Ku;z)j12ho#YVz z14H-+mn7H2QMP+$5>C9X%R7T6_oo2^~IkL^}EUwl{lZ!!JH>P$MuT zDi?U~EB4Y`8RNJkMV181!1!2f>9pP~$G&Z8=C$!(19{ThtTr6p7n{El{4MZt+uxyr z0md5lBODpaZRDcvIQ+qpnQVgKfKmnA_R~S6TtM)kOA1hcu7}B{SnOFofB|AZEmR)3 zA9>bm?Ec5tvQ67+3!{DwhO)-|%DG&ofZ(yfV!V$=?F3<%a`x=i#8Ggt%{u|9GQf;p zVhy_CO^s;mHkvYS8JRYs8mDot0$4x|%3||sKn<#j5O2(TrfMt$d=bF&K!hQjSi^*W zkIk;L`yk(VV{^j>aVwcB`Ac$QWdRD}ew3lldWxUWZ?w_=X+2R>zW z1U#8KHuX^9aI={~tDT*^q@SNo8<$C9z9c^2eXGNPAg4xy<0_!0@qj;bYwO+80YPM$ zxKX{H#x`PfXE?GuZafeCu;c_BHy1Q$I$NFZb&hD~Co|O1{C&-}@hsxATEfA=v#n&sB#Aane$<7oDQ`pUY$lU+H0Xvcz$ioT&SX zEAk6Ff(C{^)JPkyki4X=u`n?)IrFAld(G3preP0yr}&jgQlgW}r>n)sANAT!tdI+R z;u_p=*S6?q1!GQXvx2<_&8x)Gw0KM`<@wm-*gv+mQC`nhdfIdnF^cx2)x5jA&7~Ri zSg+!>QVHeL)8AvZ+^Lh(?{7@9f<>woCV&N0$mSosZQ zoz(g;BNE}1DNQ``bos)6)qKVd%>)M5c&WmP;Joo9KXpg*^WPqEb6ew<9Jcu@(QJYA zIyBampE(xuMZbc3*?r%3jm-;WF|zCERi&+Jlpe^+;Lwk#--vlhSxYM;$U0fks9&Zy zg9nZ(-8G*zI+|*dtFaS*xn&w7l|2&hsDn~%oMW|bz4X+TTZNajx;tzx;=hFY&+CfJ3jpiB!se(mP2S^_GO%~l7`$y+ahs}kb zGIhy`l5$6`-bt2_Qhv2S>d+F^a{#udnH6fD9m1IOxew|o-`_;adT>S}*e@!;CRprg z`@?>9AUO_mP3n>CTlH*rySaBav65`bW;6}-ZMDG2vEQMLb9efNgTg9sM*djhM@2g4fH?T1f+ zs>ZW?P&Mc!JU$?wKyYFP&_ou*i0FsGKt7fR&%2BB;?lWZQgxw@y@W^0_cD4Ka{l|M zw!~$HOX{B<$&-phc~f7sRTh}-P2l4_-blDew7MXRy6wKL>eZTUrx-5PRtyo)keU_A zKKzJC6+?xkHx`9GiSkp?StUMv(Sgm@O{Q$vS*`Ok!+k1zB;Z@f510In&s72@!_HK9 zwC5j<{i0BgsrgbNEAfG3+E210wU3l@W_Hbv>K1p5>aW(P<`{_&l2TVQdKOwwB*t{-@rsY5P^#)B89^B}94I zi*cBzkIb4GdK0;$8lKJ{mOMHVa-yj+y;x1v<@28UgO*2A4>VF!lGL$NTUcY5#A_l( zty4F4z10iie?B!U7xqiC2}~T6RL??=!j#b`i_Rm=Br(GX^`*{2zJDGzB4q!5U4Z>> zC;zu=Tz5TA3Bm0Imw<<|r#SQYtM-3j_Q~t-;sIo%RYG}We z){tvH9tNiL*-c)5;{dv4)$LX?m>1HJ7 z$GJaDW`~Qdoj_=g>yBFR4x>JLHZkx)s4+y!l$`I@c6yeFRzOnXA(M`l-bUp$&23Q(gG%pu;l#i0@X+;ONP&>%kq zxC!|%^dA6=1v2Ch`SfPEFk|6YBWy%NjrrP&XM1H&2Q2-9d9_r}5=Sj#^YIIHhtt?= zKHF)S?V$ziu^*LtA?3>IYE9Z@z95fU5Pwx#TR$-$v$4K__H653+FrVn>~}PLB&m?j zu!5#ow@LQd)P%uuvb!UWdS=4s`yOY7R0y+UXM?u280t+OcyWEjmL;i;Wgoq~PK|Hr zyEM8#)cZ5t3228A2s9Ja3EVf~^-!Byv4|r$r74w(Noj1G*53MShsk|+myFkXn?1QD3I`>%FICUc zx>KsgghfS^c*_^hqy>#hAPdwkI;zwN^A7 zx+FB>NE=n{Hid-Zv5v zXcLDqTws*a`_D@oBL;hK0EgzR3xu zuaqct&(aRiyg1TqJa%zg^|rdLcKcN1v9=WIyRAz%Yi>8is5rbKbvX$PtT2@8(axXL2B;taQ*en|F?2`)qA+tnNizl@lLqCXElz&&wW& zdQihV1jTOHj%s5qFl7jK$7%t!c${%vTQ5Ue;t?+ZHI#I16w(Pprx_RDYxZ!y3cJLL z#uerh0e1I-uXY{Q7dj%Q=H`2$AlEj)M@=eN-=5zR)oM!5U}=(Br+-Lgm6B$x5j= zp==|3Adk{mfoZJ2BjygxVQS)3g2qg*bE^ken*nvkqe`_xy+&W!nF`s4MM`Ys$D?+c ztGjuTQAM;fLv3?=ntXi;_oS7~Tz#w3Sh|m8k{ebVVZ&&bY==17cJ07QD=d;KgLEIY zvGcrL5y)a}=XV8D8jBx0X0{s=?C!f+Uq#2|U1{8&&Y@;NJDnpIP3A| zh=ZxWyOflC`{ak@PS=r}ZSAg?mHu{+AlqFx+4nvd`mg_X=Zv3dmJrFWuYE>PkdS|x z(y+E_x5Se1w8~)hpsMb~1=Eyqk0mM61_OuFcoWex4I7~-a!y(POHSf?>Pu8xVf!X- z?CdGaCfh~Xu0jkReD~xLlFkoVP2B2E;}@Afn6PtXeKRhSTH#-86sHeopqTjw&^X}~ zPts@DtJFTLN~aSv>Pg@)g25&r3`nVhXuvO!90`V`DIbf?7fW3{kNzE;VJL0D;A8uh zH<=RP9iYget&0t7dL7NZ0m&G88FM)nbfXCLHRr-H`9LnTAHkM9M>w`wve!L6fF1n3 zy?N6#s`gQ_fHELx_egN4sO=4v=@3nI`M#eI*5U8<`!U1kJTwv}j@?fz!1aVF8z*sp zQvz-K$1M{7N)G$&ekS21ALyG;X1a9vb~(rtVW+go zIG>6Ile>l)xdHN8^Z6EN&fhfe^}^+BwO2_`rzk|udpC$|K^J#{HJvkCctw?rKeEk! zv0V`1-o0|pYYj~E)rffO1GB%UzJ(+i%19|x^il#!L(fTW(2%^SA^$9asyunhr_=p% zrEir#9SPg7c<-TniAr_*uX4(ykgii~QS(vh^c1tN$4!A`&FYM!%cdI9&?-I-xT9HR zVap=;1RnQp(}nZ9$00My{>`7YwMt^8 zuD#SAtb3ZXH8p2YGTJ7t6EEc#)iVNDah!|hIX0N(p>T<%g37nl!%&%3{WBsuldBYZId#vWu zr>~4U!YVc?_VuYD9siJ5NJpG^%A>`7DX*4O@EMrF^cEp_W1I(;rs&}WIeg>Q6Cwi zlX-XeXQhfp(rxMtt_e#WRW^IbhW2pCQC7e0);({<_0ISE@;;PgcUHfdkpdP8v z0R^4gXPK~8qK5q_m%x0?Un`(Ox_;^glLlI>OUPq!Oy-jUXdDtk30LoNftj9Pv>!Gj z1ps=6pZVCh;&ITd|GA(d?ND@q(HgH>{kPZKj`os&@e5Yg6?zsbWT*u3Y3oZzU9g~^ z^Do)0(@PsZM1!W7QD;f#<>i50zR+&~0SgXpR*a^lLZUR9IW2^QjfqaSVPfGU?odJJ zAoJXmBN)I0a+y_@M{VQFa^9$xAxo5iX;uNyLZ$W zZU_x3<7D9q(M`-kn)xIfgg5F25Qu5f=s_?Z!1rkT+&&bx44BNG`64NfN?EJg2_Hk} zVueQ{kgHlIZ6n>>9&JMB9W_m8%<#VvDJMzQ3P9g9qh|{5 zhe4e0F@ivRL-Y*Lk+L;M=zrI1Ebn?^)|MmT-?aC29f9 zX#j6Y>3si;~*#1 zktdNE`Ec`k=LJ8fIk!dk_V3RW-%K08#pGG`Us;QH~hv=#JTX zIsZ7Zc-dbbCD$LncENc8a)Y*w6iMBMFTh5c zt-HzaB;feQnXq`Xw)LuP0R#L~wyuH#j>d@&)*L@v1~SfK!xe@!mXTXCKiQHF9emW* zDL0~IovdVx^3tTm$nnm8c_lV#;lMevHHZ}HLCNyJ^gDG?jeCU=HXL?54zlm_B7w!G z4KGc(Ev-)HaeH^_f!>6L=gp2PZ)~5>^g@CztxL#e!+UZE$X^sw0#U(eilB@s<%1Q5 z#16LTc}By2K6H>!(*!C>_{}>@70CiP_h;HyVjU%@J(^I5r@ZNQqVf-IN?MwNF?1Ui zPpP@fQzu?b3K97dj8P1}{;rVNlP?+6H=4_1)9)hF>!=KP{(bkay9fQW{mzJ8=^@V- z2iJ-OjVWO1&`0Q;w+&EIilip|V+rmIc+QJHNG%RqI@cT{7EPVWl@Eqj+5D*x1H;$v z7x>PM3)0$;pNcdz9m}_=YTd8Xut-5nx`$kdtKdf}0kW0N?I&5)lz;skJQkJsDv*kt zJ2IoDMK=hJyRnW2xtFxBGcSo#DQEB#CDm5#Su*)SW>VEdUS?7hL%ji{S)%8Tl{{;vW%bdcXKO zW5x|b!LjF}`p0V%4n6cYLpyciF+J)5iiBT3z6d z*4Mz6r3&iRP_!Bq{HsucdT@+yK%cOc37N09(?{P!f30niuAyea|z{t$_R5}oWJ-Z3z&>7^eEU) z&hB5Wt(r3q55p6UD%qWs4t1@1`DFW}v6pf&w(MPjnd{$EOf9T*yj@tg0-x{ja^DFj z_27?aeJ$v|82()pDt;^$i_+K?eBy8d%zzS`Zz~qJRn1nv6rZFpdaPOFzkA1fENSXt z-u>~u4E;5>kc0GGc2Jx}+FA-m55~MMFhM9a`m_;z z!b>sPXRaL|r^n*XP&Q~0eS`sH){hG&$Bp94eC@KIY@}Z{3H}rCC!j5$)klEw!y(Q6 zGq(RK1ra4MG5HO4igvvkOP4IhNbXxi$o37+U3AkZS7wQY=EB!z4{joa-@NI2%eOhZ z?=(j&+tST025?55wwy7%S$~tykEfE2`Lk7Vz+g75L*rWBHdmMnxK!yS)v$@FT&Y{kx9JkggG)Eo3oo?kMV-F&zrP5<_{z z@9`pXKT_v2!M|Qe#j(^F7%LXJ@nCWgYy6zbUp{;8u6V))@pmi7QUxMC+s~Z_)0rGo zF>RMv5bqWww`~m^lvz>EH6qAjafI^zGF*}65T^{!KF~-e$SoN3Hs*PwEi!am`~*c=k-q3kf3gCc??VBT1Q?*8@PmjDs z_ck9&-b^m_nK2D+SUfc}i@9Wr4DK}IZY7P+o6tj zO3dscFH5eR9C(_j zqxk)P#8!IKAIqWa8SiHwG6A1H)J~an8PaPT3!~sQcG5*Jeb(_AA1tv3x11v5&k1)O zBP0|N`l*O}<~uxuC`kSFzwPjRh;2DM_huZWtXyo-Mvya&y&ZVEtvek{X{FRrN)@kY z*9R;U238}#+f;F9m$R>9$pPyR&nK|!%pja`evIdN;$=!QSx`Ct8f$;@gn(zXteiCWrQssXzC={1Xr5G2$_%i)lN_YN)+Con&mGL10!0HNr@wv(K>cFUst6A3VRmZC>;#ceK3lEVyxlc3 zs{Kmr#$D0R(&EM*Yosbqjm`N$YQBmVL>u*rg-% z2v)foef1VcrmD}%k zMHXY@$G1dWG}{fGjnD4aMyw(*1j~?DJ5G$iX#hE+4%n|%!OmyRi#opE+;g`?C0-G} zp@ZRRi(9?tj^7`>9PcY+6h0K%Yvh${Y$Y%1zBGZd(_@D+)K*>;V8}yR0tg|d?yeGG z^s!Wt+|ue2MLl|%FuQc5S_8)wp+al4j!e``pcP| zfJVC<0ZpUiy~|$Tl^3_3PaexiREYn1GidMEhB;Mb$kk|&ONpm~?#{0!2yJ_>rXHT7P zt`4mN4$@2`9qpG{Vv{%FPFFR?&yVgdk87nrF>27U#5Qjt5zXd)+=|N=rA7Q9Vn5Ew z$jW?Nix633x7<>1=uS8p2B^*1B|2j9nlg)PT(tfU2bbp&gh(=Exx_f~*OT2MxkdcK z{831PO`KpjaQbIwbD6&zu8Q;y!dSLIjb7oMgtH2I{JEOqHSN2GLcIzRGHEjiID=u!^E&MgaM0hPs9(^qD% zE-GbWrx&e^l1b6e*=gnNNFCKubOq?s*WwKA%F41{v+0d{Xtuc*(}@i1$nhNZ*6W(a zbiu;|4u3?=@^GlZBcZ4eJ&`U^rT4`5&uay2nnCw1J{-}wS!UFYiX>!l%0y(XC-PR%a-~j5TzN8fkOVo@)WJ>CQj9ReGgJ>xbYZ)X&THs??pdE@R*396Z%L zOWMfvcJQ22x?Fx?{Q>tkTGOgKyK_vC=u*9x?$Qe++~(@RxRB&@7J8H!kAS-gl=F_YeJzK=3_QY~FZ$m5EMUeVCHZ)STicB#hDR1RC|s z#Z`FdFL9buzl;}+2@1Hpj4Z9s5oTmlgC0!O)CYPe@nPSk5#^gzZ`X;;)^ABS!7b|> zT)AuyV`URL^T~S!AO0HYl?{m&7<+ZR&|W*e4A2#4jw!SDFEdM0N%%C>ePcsu6`4ee zlDAiEXGO?Ts6&1S8#J2npQ3h4)#xr|&q@7TgXxlQNc^XFEO1BCA5lk@r$n99E6MD4 z@|d2!Tx?B~WRvu=9^~xNO?@w(FM1kt$x(+^SqO&!Az0R+zNGIXOhXd1zJb$^HaCXU zZC#L}x=8%w&toy8`)LaS(Z4siLB{N96G>*S$nj{Yp#aNCtREsRon0*^S<%M`<+%KO zN;`X>U*WExgvhAC(p~{hTfcypJ*VvJGqxr2%L9UmlEJzxM~#>2@qc zLeI>iM8oaLGA_SDea`)AY9YN^;<}?UL!zT$N5P`>U|fOxmH79I?6-F9$jk`1BzZ-M{*PPjgaxuDk75UwDCBc7>e<&i=2HJjAL}C2&!}^!lhiznmgkhUZVq%-Gu+!*9oqr6mwH`=i=3Qvrn_+#mUDB}4dTU&kD96y+`%Lh_?6o@sXZ;I^{YtqH4=r%g=uuO+ z%j@!fBUNKo=tWkx?VsqB@OvP^oKunQa*yEhRjeiUO1zPOy}hI^ogbaH>78W$5xe9z zOWWvxSBEmyW2eg}Hu@a9G>2OkmDuWm5UX9P=*F!h{l7z(KDpA8fTTx>smmXCG+P+B zGoR5!d?964!9a)!vUPVX59qQ#@9hny&&`|b8QEYwem)3@k45GEBtlu~$={=aZKq$x z@JhsAm0AW5#L61RZ(d>^(=kFYcleg8QGDgjhfOl|e`RfAguTZiwsgjBy)H~A9=*BB z;&9>Nch87f%=Ufv>Vh(Zo3QCe!}IHZFO6{DyU{!P+k^L|2OU1d43Jnst-^!;O-|DX z{%F|YyVm@{^8Tp5zCU%0R&`}=fwjU4096kj6P~6e8XR6W779Q;GH52pQn!hMI-b)dc%^cNcTN$apEm;zegPd zJ;Zynh4Nt*&EoG2KIgB!;zREsvkI@wx88>x7A&ccNk6jjT;%e)eP=j}cM-(})s>io z61$?@*ZCND_iC7*k$GmQtW4gu+*MqPlfCS?^|@x97}K3r^}t`^-{p#f2eNcrUfwvb zD4{dJ$h#|^nGu@{@FCi&*Ja;bJz&@Uwn95x?d|mM$nUY%+qF**mZ&U(^S-_N7Cd#?CV48{kmen?VL{%7o}^tGO<9-# za+c&+*fNbnfsWtn+7k;GZb=WvY;AQZjF^D@v-3Enk~Rx&8esW}PcDQhZ}IbDrQU?MvfO zIeEUTjnhn#2H9PA*H%9j*Y%!zMYFeibCn6uZI<9gr;KRS)5dX5ceIXPkC?rm) z?eb33*IIU2RqzXGpr`Uik-J#c)y1C|;;++jo zBn}Jl&*f@#WsOg6{pEbkAiIB~((PTkHG1Xo3yxf{liGx5)`=U%gporNW$O%m&%P-d zzV-;y$-tgiS5KZ^Zv?DXa0sv$b`)sQC$OWt@t}^eoKfflph0<;fl%9-fmac-MX)en zz=w?4LZ$qqbmw|k#p$(i$Bh!wn~_nMwqRFH#oEGWDcuDJE|ftJT^i!L{Ln?O7)WNRa*DRZ zEC1k3=+NxfoC!nHLs!|`)~Phlk~MoflIm=%5%O3>gm8syzp&0=g0PEqI%9fL5fo2) zw2}I!CAI?0EhPP%Wq+kJ-dpQkYt#GttFq7Xk!ij|fH>c0z-vwIDcto2YapwuIHa5k zg&KmaBMY88OTZY)@CEKVV#8{L*fuagzZn_q)WSuxNs~Ua@c)XaJ-AkrlFW$=$YV+A z^5zJFLWfU-;;*;40h9dUW>JZ7RB^j9xCpy@r`9+3`r!QM0x};dI<%IBV||2LY{&ii zBgd4N^SH@v-x>bmfMc>wz-0p+2*vNM#Kfz}+^yn!E?!FgU#)U|`hx;vfz5=)>v~=u zmS-G%tU}hA&ln`n7BkA`5_8DZS#alP8AD>I>5mV5Ut5m1DVv0<8DxtfSL8G6Mf_0G zRaa~|jI?QrN?ME56*K%3lvY>0``zZzQb$1(F%JkKQbugi+4WYBQbxV00D7C)|9rok zb5;4t*5_-}vMy|n3{lT@jM$_0c~|JZ=kJ1$>y8m_*C2}O0F;&|A!{!278G#Bm`)B* zKCIyozXp#woTrM&GquETtl>Av9?r}Q;rPWT2vxw4uB$*I;iAZ@@OXnE&S@z8oiXSP z+G1K?>4@v>4^BwSNS@oNmypJBdE0&^PB>?$S2q`v>VEq~!n@Spip9t2Iv%0}>uvwU z31e22e3*Eml%l*Tb-D>d&=j&|WwrPPdXH#FM=aM(xofQTL z>l&{p)ju-%u76I6Ym=##mlyXtrz!ZX61mp-d_-`*C3e6r7dAXHCp)EDcp`t0 zydvA{WN~)}LRC3;hQZ@wtZ3PmpxOf7KixeU#yhzoLpd^b)@S@-WSbTb62JsGqkx2A zZnUluQrGGu^r(Bcw?C-8t~Q7~u4_9aUQZ7$SpGc=*^pOEhXcIf=+O)3oP^7=L@%R- zClQeIl{`2N*LO~lClJYj^7&7*1mgsD=~P-gJPukxX7eQ^+zjn+^`L+uv=jmj@=SQ( z`3g4x!RCu3C@UWV^*gjs4xJNNEEYhuod84vfC^ganXkvqU->^sl1tXqWRLxQR{%W( z)7SvOhl&hvC_=#-t^%zWW|WlnBhccHFt+E8#QP@)=6G&yl(KMvsaQ%P6KY{aJM-bT zFw$6c4M1=U^%CCCgA)2V2cVUM!@R7zDQU@s&A_nW{G+=GQ2RljyO+}Iya_D_LHQ7> zI>C`>T_3QemLMhoWa(`JNFS>)?fMX-F}Q~#>v#fzsd2LP0$C0s-qg?8a#DNHjR%RU(^Y3a=0&C z0`vXf06ZPaih!0ViA7Fe}ZVY z%VYkcH9WNE5l~-|DEm9y6y5;j-CcC^UTBX8Fj#-Zd~@x5Geb|$U2C*kvX@f-;H_H- zaBcye0*oetM?TtC5B>0p<8OHgfb`UA564^wE;smQ17g$V6s-I@5(^d#&`s+$=G$0x zP8W73#IlkvA zcx^1sbJ41`(v=YaLTSmzrOnhlG9q9S6X75>Hh)xKo%&r?xtv`Yo$#$-P6qcKqchRcJa6`G5X>RGhC|shqYqvH z-kvEqP8eF}q66xE;o1(F5&2hC?}81NtG+@GzLx@f4TWgBmwC1bd8}>FZWp)gZeuVS7X2x#YKJUacHqg1;F5e)j zhfC19F#F{57#^^JMwNXBWDYRz8(|p>yPW}Tu0MU5bG$eBM>uzh=2_o9lK||o-W7hA z?hQ=!#W6Ap=4S_3CmAT%1fGl6`(gkHgTwBkRcKUFVZH*;?}z`7C<|Jc(RnDaLHi(3 z%q**}@izP&9Y7ialONO9d3MBi^Z_M;kHx|!?KGY4*86B<1eI7(otyj{OHu39L<(n&?j{!O+c-lF*}H*TY^G`HfduT`fRX} zy+9Gk2aH|vHCbAgHM0*$yB~8Or#zJXd8OkDaaL%4E_-S`wy{G}q3sXB<#zRFi=hI_ z=zU{&Zjc_qL37e=HlbF)VEgHAqR!;?=+w9)z^1{$bLyYH1@UURCoH29@RC z9RF1BG!J2MzCbA(2JskMEPb2$fRAT&?~>L7y10TTfzw3jBbdzS>;!=T#vP!P@;x{- z&)_xRkgHaZhFnKmJh3QqPfdk*Iq-CcdBEJISz^EH=~6&UicwKPd0(KR#~OMHfJQ$k z9bog!#X3Ro*!X^_&Hv~g5>V5=U+${m&!2-q?QF?1qTC3+a?F6h^T){s4$H4UR0ehs z6d;hffJ)6|W)tCt@M9!QUGzaBpui00)hF=U!>10zA7OwBMBd!bSL}XT9YBF!O#(qK z;2x+%YLqvvtRd$u`fn4!9OgymKMO!V!4FPPfS))e?*MxXxyX%vD65@ciWEikg_-uI zz8ZdcT0N!Hn^Ak}(rzHa3t4Rh1m2;~Js#fc3G=wlaXM;&QsGq_sh(h>db~H=uh9yX zDA2*259Y8oyIN+N(K=sf`|KtC{HcxZ{94~ z`8IV{+4m=?z8ffqc|-yQ)DZrt91dWESi;5sW9iBRnf~86q8uIWBjl(YEu;%cjvPry zk*)sir^;$1w)85f}~Gu)aXUVML-k~ zkVT9NtP6&sK+jGI3xNh4-j!Dqb&r~)Bm*jEV25Cupk4ur+KAa923zUf=0$6%sOnwO zab9loVAlm9u!&f+;w)$)Ax{HK2ECJp=YFq=U_JmWUZ_jG3BN2?<~O!7x5j5t!&v?P zpuWon0|m@JxN`b*O}^s@@%cmo_}P{n#7g(6zp}Di^on(DZATo9M3q3VSjA+sr~+V-T0^X|Nij#hoiaQg^NLs9G}0}B925{cnGC-xsCF*!uK z)1m$1lFS_FMLHuH?tXfQ-jL&G`R#j);(zyE_xgRBQp}jH|{6!6u zDR({yC5|uJMwNv?9`Yy(1v^?8ZV*Z!%D1r42U+}s3aZR>4+P-wg2}dm z-jYmLz=@S+enfZ~%mRES@&vF15V=I|1Ka`l^+@|G;0EF!VFHc?*n)fmeh26Vn_GKA z82f63upKP$8zQi6atKxFezgpNx1}>+P5!;*l`be2hgA1nULRCctl|1>i7C_mxVLB zIF~2BUe^VsD;zQd(g9}yZVHx&1Sat6k*geqOlTr)Udf1RFdQZL=xedqr&Y$rVsC`} z?#H~SfnuVM$C?lIA7NwO?;dE^MSh3|`KZr&u%v*1^UE@W|1LWWN$=RwtoS`bo_CPs zp?sQ7=^23EH(_zw;!iPusJ`d?4Rhybk~Z*{Beg0wz<+6{&1~=#)7OQ(O=lzFH!|)D zA@itgkXV%68T|5B^3=U9rOKVxU1ALcyH1w59?%+V>KWQ)n;MqXd*_(naW&n&E)1E? z^bM!V%12Vg+rXofXyD$Ak$VC-HAs@(iN6MKy|?tse?H{Wd?_575UF%BCar;9ZEk_LhWgx_kyWu{dR z6iNuZ1P^k#%mV{ME?^Q^Y~UxUXtI2)Jv9e&)7(4|31PrEz@5}4fE+S&c7Ce_^ggDf zDY>>k*T0QoGggf?l{Ja{4!y_7%}dN3Z`0z8)Xg@i2ed>9zw ztH+mU$+Y3$mtylB6|(gZ4|A!RiqV%BZC>hrn-MgEsV#CLn^YV>f4_M6d^w`RbRxkh zj2*@tOm#wLdQq@5ZsMCXnFFtg1+f6UPvk=v9R*noa!>nRCLkAQ4uU2N3mev4EHw~p zJ^uR%S986c4d^wI0MxNxV}OOYdSgQv%Z4l68pPH$PG$woO$kY0*-__Gh;$FUs^%^_ zdun0uNcKSLY5I>}f>!96J(ZIA`pIHt?v=^X*J^HxpHlN(%OXx~(%e9CG-|NE=BP%_ z)l!4whL}+(TmS_1;6b;*brWc+bdX+oeGt=r@v(rLj=WeJJ8Mm}aW=87ZCt$2dg}16 z264vm${?uR=|wo~uDr0E7<}I{>9atG(qG%1a`z5AwzcQ^|9#``&HINZS+9kRjzohU zS9?}|7wpOFcP)Vt4=@3^nW%N?P66P05y!8E_;PQE47yU|Wpw(o#r$E%R>*o;DM`Usv z*~HxacaPDM`$5XcyG^1sY-^KSS-&gd1{w;ksczh{hb&IamxDiFiGDYMAJPLIaDZqT z;_rvq$c;m6jqwy-B77e%3UTSo1dM3HrUW7}J{O3Veyx!(5Wog6SmBC~qAH-#h~?-?inq*Mp?BvA!mNALWUHCNz?{EOU8LlVWKzvD183 zxnbj5EcWBjMcL$ue2W0)RC11QaGZbqv?`mj{Axa9y$=KA&KH*>4Y$d_pMxOO?E^SR z=tl337e(GQ3zYf0*TO^wd@uek?%Q7EyIFu%EcQu8Twz=%Zp`Os`?F+$+z*z9^w6Q* zsd-;&pXgc*DpkgPk6w$bXV9>`?~26fe--P`DVgt~(dq0rMxnfUXu`15a&{~4KXnir ziI~FpTl4EyE28Hs0o><9yMhKc4a&QJ6s7u8aUmY4m^wWB-L4vMO zK9LBXyVA2fwb!W@q)n5q1t1nw1A6SeND$EcE;A4S3J?Qx((S)UVvryvnhZM|=5`Ls zi!y;gTEQ?Ph^)~NWyFxXgiF&5?bROJKDlDpK?n*LVLz)KS_!}5nlduT@H%O;;?hZY z#60SvemuWt7V(;hqvnuYV_((hg>X*Ox1od2#xWP_NpOeJwnZ+DJDf#SyJ zIk5kmyZd=={+0FNyA(`9okm)Dmxr1i!4Dx&jNE^?5rYyNLXu6!dfrOwz#}$}G|d$l z6gU_wMWg*|saD>x8LyoDZ!FcrtrV4Xk1mhrjj;7=3#PKBMOS6>Q<|JMF#|z}r~jgs z^ND-T;zVBE>8W(h{)?OR3D8mg!!qGb41XDt=96tBigcwfiBEq&jle!J@frrXz92wQ z2dKNa#UBRZw>Eha>#ml#`jQM`J`)N3;m9S!Vx?>d&i`{8aOf#3$tZF{VR6D(7Bzf@ zIs^G~#IuZmZ6t%>1>9^{pOD@3OWj)`^SuN}T2aq7dG@XButcIuz&%v(qermo%nJcz zbjSw03@{f~K^_nq%t1E7M31EQL2$cQ_o(G(zgms zbslxPBvqr+_N(`+cV(O^<|C#_Py%z6^hlI6IQZt^v0Js?g5H@FUTpeqPg0|_u;3AK zSiEGPnx}U!UI2Bz%PEWa%VDX8o;!D9#%7ZI_RAIvN75h8l?9;m}45E5Jqz$tkxip{l;z zDffUHl$eoMu3rs^j#z_+HWJ-K-l+~2(0?%YRX@lck5sxjdEI;$U?--N)v_BW1A4b8 z$tgXO;k!WO*Md_IgUBJ_dm!f^qHYY5cr6v+%)w+%3$K6xlIt-J18cGpcmy$-%MWv+ zjDipgfSG_BaG>F9E2x5u!69Enl=w@QT(Lo5ZizV3%A7F*C!{K#V7@RmXkzj$s01Kq z>AzWi0B&qp&-xfXX{b~{mQ+SN^$G{)Y$BW2oQ+V9Y;3@ZhgIw-Gdpx=M%d)rLy>S! zMml331x<5^6JI6N)+J@MzBTlzT>HY>hWNi;;7Mum*$=OIU`21)m^6KEj;nCauaoWyL9!tx^JO~TdHK8jc?&1mn#ALm0 zysl;r-P?3#YWPtP7%F5H$JvAt&GLZ)i+i_$S5fzEN5HKI1-AKHSSiiPWKGl5FMsWB zRNUDW8@L9~Q?4s;TW!ss8XMz)9FF?k&esadUsSWP*w4i&zD+EK9(Vs*)yJVgjllwo zG{H3)+`E|PUH+s8TL#+97*jdH#s0ovVgwbyHt6}QUd%Tb*$9i9$GR105<_zgT3cpsM) zpcq*?b-K-w8pb}4pb<9G2NE^lhOk6}h3dUBR3xo$SOtax=cr53shvbzyeO*<1`LTc z3XO`SwzLIP-tz3g(KV`1Sv0miCu+6oF<}fg@Km9?$c0|CV0rWm{tt~3FBGfT8pJ>r zPlqjwVm?__K2K;{JP~+Mkz3CDi;nHc8g;6ht@K;CbMlK;t56>4NRjg`tM0XCUNM3a zBG|!Im)*9CgC!Ert4lm!vJ_?4A8Vi*hKs#0m@$)^cq5`aykqcE_p55A988Grg%hHEEI^ z`nBIXSGSF_67L-=niO7gEXw|8K^Qq`DT?qF$Wm8AxbfU!PXA+3Y1l~|1b*T_cU@HL zpJKwR=h03JWZ=L}rNMxGhQO-)ghwWWSN6TZ_XOyc&zXZ1EfE+(B+{25_P{oVDfdnc zC}bLg*KfuALZq){;@yQHr2{o%O6`ZNey3by+blB_3@^lH*XxPPXAiAs<)k{5WM3+9 zRq)xV0&ufll&YNEQX>0TcR#wS4TWVQ#Q^ttgsg_!4I!kZ06_IzN>{^(GQ#W18~v$%^F?Mm^O$k5C*eICU<}3ZB2pR>@0@Z^xE3Y=pvmggoSV` z*=Hma>cLuX@})-h3mA@b@ldHK+uDI!Q~4IC*l_>=l_y3m%m{72N7#LTp=JY9m` zNFAEGpw+iAQSEDo{z2{q*@?p6R~~L!6xsrI58$(JqXI536$}c3Qqu09XKn>~{~`;s zD1y!|tLg*>nUf5P>;*HGrr-(>X+;fcr=FQl%ITK%#}<6dKSE@Ql_}^7IcaD_-gj_9 z1fFY?6oIyIzx*YQUgmv7_^YNS9I@vwgR&VtLHB(3XFrhuGK+c_?^pWjU5!t{j^4R^W8gwy3ay44Sy?UeLOM$w;a`-L9zh|+_Z1!d7L*~8y9uEK zn42VR(+Jx32!jF)FT|hDkByE)e zs*Yc1vASTn;qDA`-hVQj629H#DyBRn6WJ>a)`^1>BQ*%A_Jlw(vl0dkl8ZF!U=cAg zWe?5_2uE08IM>Y@ zG7$oi)HNL^P?*nRP7q=3$f-3cLXRccduhz*wQn}?K3UfCikHuO5bL#2!#lL)IW*ee z5O>v^N*aS2$FA)>IBu+xn9_Vf$gkrSw|XIAa`Dl_2a7G!x_9cM%VUCKJ_;2Hq?i3R zEQ=mk)e#%343`y*3qc^f&6@BU=`0!pi6P;F)85}e-4f+MUKHY1bohnsIc*&IH{5M1 zouOI&`I3+x7L?IiLpj)}iiexV{OPoD9I<}SyYV|B6s_$Wfs5J>2$c-VKB5C+L7!u0 zNv~-#@0_pgUS^lKS;?2ws0~&SW4{OWwJJamB$E{S7Lp;r7$m&_%vs^Jz%iymz5`kF zw*79M*Mc1EHJ9Y!t`h5(5hfC?6Q3I&gvP@psMvmpd-TyrmV-{FaVDQqeJh9|45~le ze8l#WIe&q}gdM)M4CE)?DL|dXMELYx=2gRz8qkAtZUt+t)SHM`uhbQ#h9NKojub0C zH!EtnL#MX{eg#-KE(=~v-9&1Vn@*PgIwjX2lu?sAY{=xcd4l#Q(~Sl>{wB!2r|C(E z{0ck+rEAowLxTNQcF4}jpRV~FBBptjx%~v)5o&R}grPCOlcre~Ao~M|ZO)Ln{Rp!> znRC_boaSI%$d9lkn1+S=b^ekJRQ|iw-+-#~A@%`-TOzlpm^hWs*Z-z5YjY-s$P+!K%KYFMfu$_v{|Gtd%M__nM=XUTs8@vtlY8U8`4iT^!-v(i!*#h)RBh)oz@L)Ohq01YXVWgwG; z%YvP9h<(ws+w8!6DmNHK!_sM}%7l1E?S!qG5WBC$QU%Smf^~RG2kq4ymziR65JLu_ z4FM)o39OEw2m7k2$mTK`FucJ^FW6@RBt5(e2*fJU)Ol-h!CEb#g?fLf2^q8)VM!BD zl7yRFy!&ADQSnzW85n~9sc)7LPWb7-I^a3Yrt~%K@RJ^`PQiIcNhfmwGoMoe;#uHe~=SiGkJXN!OG| z?ly**tt)C&^^md(@f=xHpUN!a{`HOj=9m*(LVXjP_l-HHzl~pLcLqx%p=-Galdy@% zf(gxAKQaGO)tmfs2{;-7TNr(!QRQL5(bzA^Y*n6j%T1_L_PdPu|Db~AfH=qI60P!M zhvuiFxm*sJsfwd2QrwPybV15A{eP4v7?XuPGLW9z#iz3C0)WY@B$Ce^soMU|zKWikMXFe`{TCHuq?|18*5oTvo(j|@0oSL?z zTH5RV7-R|Ejuj`XQy1VOeGaXY=!3}3B41*Hp@D&TUmR8tYjFFI&sPI})@3dVJ^wcQ zdtgh2PHtn3Y)BXi7AV3>B)~F&UNAebc_3%E`cBZi($Qe&{dTcL3}V4z)c}ukZvUNx zNNX$KRIN{JB^NXfygR_^?cc#W`M0P9yP6iyqUpWf{Ml7<+}zi7`>ZCNAkX?SAMFV% zU+4Z6K7lFMRxGKSEQ*b~vw$Vs71Et!PI=uX(yG6S0haZ>6YK$@{a?JMD+~}+Q%_<6 zjljOxK0Z5?5OGh9xOC37Nlos=c_-ly*Z6&COP=S8?+SE&+Ol)J$v;wTD5mx1L-jK^ zwzb~!U*m$|&B%Rw*(ZBw`YxZ(fb<)Iqp;eW-FEZ*!U;^wb&EWb)QL6nRbmD~nc}18 z&7`GQDGR;v4!mf2GCM%|qvq5OOPph(@UPEpE*2Xl_v`0nOEz>BM|Wcb2pBpq;P@+ZR@PnZS6j;bp7>mvTwh?(RKB8=f8MN(U0Hb;uxKGg~0ic z^k~aAVfWoRq$lXds7pWV?^>zs4;d+2@O>(Tc3`4xQLfgaCFZuGfOl1J<^gwFj6kU7 zSLRD<;loYOLUd&(R`T++@a8xilBK{$#QP5>!mmT^HikuLeI1FXw<>p4tC{L4D6|b| zo_dOY-6~%MOO|2fy`dXp?Z9bgW!5uNU=Uu}apU~x##9xoam&tE}h$}=-hE%Y> zXe;0!#D|Cv_8 z3VuS|Ik*YZ0K^%~!}TCY^5WIKLKAAyz>mv7PC->B62s$l)lRvDPQXt%-7eMwUk~3S z45@nu8B+i}vAD)@2_e_Wg^<7gc0o&O*mS@>Uc4r}>PR-RZ_wN;kIIVdyLvn}&6wU5 zC0!4hMK}9$ltPo*J-*Sda$M98e^+z0fk&X%(%|DfzlHQ`Y|`lq7N0>^A4oh%&S(xe zj9VUJoBl~_-%zh9AN*NV<%21M^*L<*pqJsAnIJ=@fD7qOXXWiYJ1ga9b|GrYnu?F*=4hYo^0^Kkbu;p&m6zfTG}3qmpldv3ti`X}Ie`vF$;sA%Bf& zUobOkR?jyY#N3n>dxy-{HD1n+7jQ7PAtGi9H0ieXXw(C*H9uD5{i;1_dgpwCd zt1GRPza=ZK4wOLZ>C*QWOENLLyf3GB9x)xu$bEFlAy%8sp9YW}PB5>k;Z z*8&0hhEtKS(tTmG1(Z{e#3DX8Fv=;_W@mo2-}L96IR&T4i0c5RNl+|TBKeSl7gJql>ai0)L~G&{ttlu-gwwiEr3mtdjPDaj$NtRArX2`e%f-PK8Xj&k$+IWI!vg+-$ z;7bSk*n+XjjK63(?K^(tqazPM!v0R`pJT1_WM2BcLl#MRAfDYU;vKVsFG zi7fFI-LwFqUrfwIVK>W)GSE63aP%Ht&*_R&0PXLhq3JlWVfC5S6_yg%`K9bEcc1H2 zaRp(4hyCO+)?D6<65kiO5Y6J5v2pw>@wNwpoU!2NBl2&z704$Gf2`svG@Ci(@i;Gf zl7lZCQkM{ru2YnHMiaWKDP~NpVX{A*eEaT=TY$Po>w~GBl!-eNU-U*r3T5Y|^O@(o zt46Xknr#mF9kbfzp`I*<-q<>r>ap+=*ZouTX8h-2QOo_H!4K&Ia#I7$*wR3I-Opq& zgAPyEJ1;Ft9R*)p}zCQ*qt4Ib(&s(vQ<9L<+WrZE~mJK8JfpC4I1tqwHb3iokiJzA%> zNCv6e{U7hZ_~0}B^_Q%zlp)ErgWu!M(&?+sUeZR;83{oRfIqH9zZ$}1LlBC@tP_xf zcgE{_fq5g(CLx)2O;5~rX~@Z5GhbIN*SC0ava^=VP|2Mc!_1&O;??NHV{nAyoe8GI ze>92^&?(FHrjzcZ=_xb`I1^8Z2zOy`cd_R02RX&hGV{6+nxRYx&P5k>ijDW!(|mY-Jtj@6z$i%G~j^Rs

    z~u%5VK5w#Melac({f6)2$nct!K=4P&+rp+9?fNN4RtuJU&9e?`*B zKS-X*-KhmP3dk2lxb&$mYEbNNXkn-Sdz$T@<`6%$KnTn2t2Qud-<$6Eg zxwVwQ$0y=`=k3N0D}=bgYYBlo*FSZ|ZoJ#9&JI?6KMg(?aoNCi@PL5>*g=pA>}G!r z`r7`g#*K#*c33vWIsZLq(f8B(wxy4q#0x)$p3tT5*B-p3I-kUiJb1}{U^;cHx2Vz$ zN(q1Ak3b>XtHKjUXMT^+hDpxjBpQc7vlPf7G1bOMj3c#)+lU}VIg!2O&u=J&tK$Jr z!j>7v$DMkH1C9jr20bAUL17oWG0)_VlJZ0JL@aja!_SaqJ6!-FlWZgFhbz< z3EfJ+I;T7C+Z`rFME_f$n#-fBqX^+!9N0 ztbDY{8gTFIBFxOyu9<1fXHEpEy|L8mFe`kr#!mX)0n$J8)0uOIb8-T%&$oKh`}z8V z*ZmO{%p37@+4`nEi#go}XR+m+A-_jk6K^hbK-;yCEPaRG;Kg4XYeE0}aZts0Q29CS z%i!?p{h>n&wytesz7^!HJ}fqO-ZQ>nk;^{wt^;GAnBR=q{_-)Fc!OWwWaO&%o$SZi z5+MHvu7C~=T}amkN~WkTE`ZoodG4Wk<>4Uwfr23$X9d?Y>M8t2Qrx%Cw*B_}5`?N@ zTuZpWYC&81NcVWtKTAwAvG&88#?KkY9h!Rud52&0TsY97@v(FKBq)-#6}%1C9zT*V zOD`+?GIwUDlX&$J3z^}hlF^%2%Q0679nd7=R`#Ddw@fljCjk5FmH;6hOfC#V^C8rj zptR@?Yh594jzU2q3$qpQijg=CX#zkph<}G{%ho&)9K_XCJsUSE7WgKnJ4gsBgK-US zAp@u#(YX7X0ugHLILJO@35l_RU=#m6xZJ@L0)}H^UY+O-;1tDXkF%QgFlh6<9{NC> zKQm+Ypv`H%A>l0>>3>hFUfF2yY1MANJCFA6xkFP)!=V&f>s5{g3_Oq)G(U8k5kb16 z(H<{&;j3a$!@b6#qRdM9F#RJlAiFUz#qg^{47-P<) zh%cD9104|sc=CMBmic)?;I`DnHFhe-2lRhWrQ4JD9;FnBw(#lCHYAvyo#Zek-ncml zZCGY451Ki~nq@H;lAJ|4ynF)Ge~kF`U-ogik$3io+e@XEmZ4h1SJmEF+-96#5UTXf zSEu*!xG~+!6Wg^)tB4L|(+dyQ5Z{suuGkJ;v%MsDSt0XoeDEsQh&qCD-OHJFS#M6@ zywDM0vsVSp93?cJ^AiwPE$eY#35Pi3;Z?r?_tIsp!<&jar_S zp9G=>vc&Vw)!`k~>@$;2@MUnC5 z-mAnn-Xz1mj%t?$*Ur_^ctIOy?i)z~y${~JNvG41ro zd~){*7gO^7y6(q48Yz*r_PH|eROZ?EjRR?a3yihe)OX~`xOa*QgQrD0B$9tMT2#pX zGq+-*S~1qigRmPMzDgv^`3jup?kk@;aq@$&ntG<3UqHB3ibK3^D_i+JcJn=t529+s z#6yp(<)ZX-HE&EmK~c!26fq z)$QHc_j_XIPg{k8*}U#{HQIUOPJzX*g}DQU7OGE8#sc>1zQDXXS-jYE)l_IQo`AJ_ zsl#+i-(m*E_5)miUD=b9$%*?lVQ6fNQed5!-ZIG1H zy&*R4BlZzFO?-Y59vm;LN!!8KQ4K$3Y`CI9b&=UjqyWSiLczSL2$RETH+|TThw<1A z&o!W@bbf3?Mrp%a;nb`43F1{JlsIV=1laiT- zR93O>#OcRMeELUB95DFXrRQ}?A!b@1c=dd5Q-xIR1V?4+YM3N(zK=Kf^+h6_w5@fv zeR;W4&z4WO*UWc|Qfq8}>9*No$-z&np28RglTBLMFJ^nl+^iT=_$O)ZnKw?}zw~E5gFDiv}(Du8`>v^6VO7cBOkM9>TrI_+)SO)(MA2sv! zNKFbG`DwP{YeP3hwX7av1v|LfyiwLGRH^+pl;XvY95=F=DK&lL#-B#7Gv!3>ugb0XQy|Z|$f*j?9#|hCe&|5drN$BhPzEsF=(l|l zmKeZZd(fZvB}5{F6%cJ9u$N5jXE&GeW8LlWRpcQLo-(g%8YzN<^J7B8#4!XJ|BKjb z%Z&yFl{|KmI_ zv}AU7D0f%1!^<(bWN0?MJu$EIw2$Qf(P$3Vtp0`h@z8RRLf9tNCNpa-QzCw^;G0gy zrM7L`Gxc`vo7WKDmQq|?E-B`g-f&9j$blERQa7J@WqW_Dtt`y#3jd}#-zYjDdtGf$ zy5_AxktmHMyRR1}Z7SB7I`yjZP25yV6U<>;e^OZpv6KUa@`q5+^7kY;CLFSrM@$Btrz{FQPh>sM$vn30cSCs z9QDe__~NGf=l!*`4HIpyIz6Eg2B*XQHTZZl6VNpA^R*Vj*BS0B+ z?SSHia@^=}^6freXBVT_*(@kv1D`{IY1QxYUI8nC#)DD?iz)qi9B5-~v5|b5kS8qw!iSGvcGXAkxG8>Cek2>mva5*2d&I+}Swv#nH3oYy! zn?d}wcyZE37tE5H?ijOX+qKp~?Ob{I66;1#ue>RFw zc}%2lxy1y@@PJq>OB1qlJyQ2wGd70=V&k=C>BjwSqFAWzK@MKI5*K+Y!vqvCMMSLR z5D1HtmYXx-FCdt?LTS?I>0IfpG$tO(D{M?e$G@GzJ2OS@CUxn;`W8FI+ufg%ZauIZ zbr@MDUS^#C$NZgBe|I4hw=5#0^iLoPDktPkX%(B;nJ6r zneVH9m$+w;@icO5?jJLA?{iVUH$qT%NB0qlmAC)=;=gV7S7Oy;O;Sr;De!I(EY_B| z0zN_?FocQ#ZSW~MI(Ds~=l(kEy#npwwybUSnChMaN2ih(fov$5&oP8xtUm#|I&VP< z9XK0k74w4bU^WcWOI)8k{*pQ6&2E8#oe8cmD|~PH43wgrU^-rO6*D2E^SK&~%_^nw z319zXyR5?dCe}RdXv%vi{38V$q4C~|H;1O{FktJwsn<&oN{fNAXHm?ugp%Pxt|v|} zqmOZNDLhLC#~YA{lHfZS?}qnWu=gSkMjhDC%*kJ z$=Is|y)viZhe$pTo&d2c242<-A}Fv5$hNhZcrZ5Q_9~&@S>a3Qk-VEhwf1);zpd%) z;r+edPD2I>R_H;vIz`UIqE^1(GN3UolucZQsxp$d!$=u&*-r7e?VfBLY`rky*Hat!_a?6&6WyuauoZS zIUY&4+CjCt{#bHVur`^RQy0Xb1m4-cdWXW%<2lyn70**@b0x}rcV-^BWi1y(5Jge4 zWuGVL=fr1}zz`UO{3smE3Vsi8-BlPMU)fXe*h_1+A*jY?(L+msPsR#5LVRBhl#Pru z_l;^FTogpfFmyFvMsDeNFm-VyXVZpZ_iZ~TQ}6v`-7t7TwAdPe4XQ+vCuSE zGo>WSz(!Jp&?I2zC#{HmQNq_7i=Zg9q+6JoSc6|684p!B)Nnt1ON5?_A{_bG4~mSS z0XE8X^3X*_AKPUKSzt55gma9oS#HuV%Yls`x&DG^@l}ymdur9_zs5iiRwd^XFYaek z@JknyrDEQacxv8!Gg-KU$tqfQ#WcYtWuoubZ7*~yY_VADU}C}vS<1;}8DuFP3p(0r zOJD6FhjDD8mdhgr4Lxsm;JNIGs14*|_Pi&9NB^_XANHJJzYw5}&~(TL>C#VoBtTf1 zIGFcrmgR=`jH4@vDObvQBKPHK6yuwHM5|n#h;1*Y z+j76*C+6}G!e62!PZWxJNK?g1XT{1tTCJG-d405wtgj_<1qnfK9cdFr6)zK-%lLf4 zzw#I#?W=2MC#J8}YTuTS@MAx-o%kRy#P*Mu2|pP-`Iuc72u&Gx<a4H>Df5uaML)auVY3j7sWTw8f&Mk_)a)a6}qb)i^w<@YLz(X5j9 zmnYg^xE{LC>E`7WB=CKCHpApZ2Kh zMGw2%cytS@WvJ=*@RyMfN^`C7YS+lj@y@5|TOYj)g+&WTLY>1?*b@}Zr}<~J zQ6ri&Q|mNpZ>*CFMr{o)i+AX#FVu@p-4Gk?`fa(Bg&$sYQq2`EoO~;y+91oqwHR_i zF`^V03MHAOQ*&rSc!!+=SP*IDeDym~q!fV9-JsK3;-WSOfLg}V;|ib3z=r)f>Wald z`)b&HqazLx4v8g>Q)!$CGYzOC!(b9j7NQ0T*rKJI&#<%+HUS&xYZp5~2j+v~vLxq8 zg4JKDg~^=H&mwA`4@7N|xQ)f!b?WR@ClwoB>Hib^GGD})qO|lp*Z-Pp#sa7!Moytv zd682GR1a+-^Y*l~%qVRPV%a$r-r$gpETSq;OAGS;{ChPdE$JCro_h3n+LT~?r@yd} zxe7MO%~BE@H3EC1=&Y!tanhdjK_VktC=VVsM9Q_5D)*?^*<5)CyK>VPWkn5uPhe4n zaKOsS5VQ4u3kkwF&kBDHE{+Oqgz$O2al8lLAe*bA_t0>hHAU@oeAX9Vv8rIfpGFM( z;C8tSb0&Q9RkUnb@sSAJ!-y_xkKwGG^L_YuVVE3-K!*T98q~Bd4XpK35Xb>2^A53& zyabncA?DCzL~fzZ9p0v=Y1H&*I#uEAR$2m#Ri6}kw`Hpns2jifIQ65V)#~VkPqS(6 zi{}{Jp6z~4Kr|8{o~pYR1XHjmo!sd@=zxd^$(2@LC9b2D9-oGxe3AMM4=Ct7d-gn| z{{O=5MJ8Q=5_H8wMdoPG)VOv-rs_=pfZ zZ(=&u;Wh^!tHWv^Zx%3L$6`M!Hl1+dUC4}(#NwkwjL8`Jt=&-{p(~Zaxc{nXfqzu(a%V`eFQldA*B7)4Jj96h$=^ix0Zs-sqjHO#@#~)0wsX#Y{dbc2$PY zGgVX$D_VOu{ZRLu^)Kcbft|HR?(b*6gq1w1)@VPklJnur=l*=iWBZpS^QK2?1})Rf zd$h`bmWZ6Z5HiuRZ$pml#FE3~Kb*ERcM^xK!f#=R$$=>&S}gH};-m%C{1^WBg5vUK zy$Wm84kd^|?EYjrC^MLVV^Bpw2LW8s!B`?dicE5IaxxWjpWd2z;P6(3puO6(A(T*g z#rW2awN2-azu5Pp-F;5;5|^5BJF4)+s^^OPrPGAuXxkVotuzP%f?Pw%N?Yx%nHOaq zBA=d6Gq6Aqglve+Rx$7#w$HrK;EzdL=2~+`HMS8H_GNKr6MdAMFW&ubhd#uYsT#(2 zv9UyQ2vg6>=4i*Bic$to-hYtZ8l=Y7*Z03|6tO(bL)X3K!+g>cGHxgcT|Rzgh!Tqd z#e~Y*Rh*v6$>JE}&-IjLa{1&FHY^E?td8@kC7nSj$foEUCWvFTcTLW>GC&&nJca*Eb?iCPW zTfQd?UHU{ydW7m0DQ_%IWqup7zY{xT8gIO!X;9-$O>EAC@eUeoer(bezKIz6eT2}5 zCo)*jGzWc+P!q>a@k7%;8S?w6cgw0SvN2xku0KT4`o75=l^}knByqUJYX^?$@eq{= zNacYD1}B4@zg~Yc2{ebd9CUwyR}gl2x~KQNS$yCF-DPf`0qaJv;C^mr3#IF8o+4*< z#%J331H;A%>A?r4syb&F zyOSr{H8s_Ll)ZqnaDb@rz-s!ebhRim(s|M9&NtP5Tk1R0^UnS$k@Iu(7tv|^W1rme zDt@6-qeE4mUHon5*XFMgqQ0{$GU)zHWJJz~xbMDSzj>MMZM2K6R6WbxC}`Z~l5SL` z+5ebx*IvtBq$w(}_3Euao3D&v|3FTbD^@U;FI{XPurW(U2;3jhi^a0J?8UDWd;GkK zKkMF;^r7s4hgRNQ+;jsBb;`r>fHcUNB!goFd-+?-!bE)04qE<{6tAZ{wLv#jJmwH9 zy7I!rNXOHSIW<#`)U^0u3H%>TMuQjSX({L0{uZlkcnMPX`7<|o*%bXtl_T$1V;^Qc zv|jeNur>NSf71q)s%Kl)+mFUb%6g5|6KlTUUq`wtxSPn`XKP>Cfz+}qtT zeYX^&r{G>e@~rwW*5>@rmcFY)@W*^i)2at-PM_#=2@-$gv(b_Zc%H4ubyZ*K@^heK z`M^R6-{!H*NS{Nkw1~Niomy=d&Wk)i(2zGm*$>Ki~!(JiME- zOg1GA_0zsJl7WpNsgQT5iwpLEeX9%!pe8=~>4US(c)wXF;)$LNbFQF)Zb=;bGdp_` zSd)K7ewLK!32!u-EOS(9gM9$LYx?R17~+F&LhvO>oIe9QmjG%Z{7;HvMZLEi(afG% z=5$?J{`Sh{BI(Tp=3-H{)SnmRJ?6$kx5+Y%!Ym#IpBy#yn>UK`i``XRuhdSe8I<;GWC=K{jt)~y}&L4R5CC-|qyJo@*7rRKr5C0fhxuN^7LpTjAV1;12CIB>BSYr(d&5S{g~d{7){4T}ju%(PZC%`|)v)e_jmh4t((RUDuZ2eDB{ryRjri({0w%YxPq`HOEO^R@zx;#b&r53mbVR0A--mxt#}GV2 zur&*hJx0W{UGn8hH?xIvPCQG-2StmT20wFtx_ROlx%Bq*sHFDZql+%za&bOZmTR@m zyT2Qhz!D$_^BlfhZfR60TJNjt#qJUEU<4U}SBkR}bq9FE;0uoXa3P2S$;~KoOJGL;f6I!?XO2 zo6@b%)`)T|HFfODCQiweUi3-^eeFlPbIQryO;#?&#ZR0QEl-XWGwXWDUggg$j6KTP z>^ZNOeVl(L__=bn2St}MElbpAhtJ*2g554BXO_~vSV!`1JIPxrggrB4@&bq+ zlDkPHZYi_WVk-H7x|4_6#{w^>dhIoCC!UC0=CvJZB5&4hSa$;ZMpWrCk7r6cmFOL- zu5KEgFM7J-Px}1(``IxAcP0b0l-3+@(PntX6aM_*LF=(*Y#Qnkx7?Zihw0$4Dex>N{C`(}u?Q zC2`+1TtVAUOXzBn?!=8K@{?eBAXY-?QI;GUK%JIS0fd^TEohDI%m|0BIhV#1Y(jEo~ zxz2Cy=5kKpK{UKt?9LX~&h@{}KEJrp_PF8wsl)_^Z}(knxzdp7m9^?q^BINW`2$FvqQ7~9Gw$gMxzeHp zGfyr(xZa3`r_8m!l=AKF(t4jgBwK2f?40IQ(kELy-+F#$(!Y+%Waw%!*LX5L&U@{8 zbION#C_!NLBKI0PF#=8ec06{MOL^Ma^$QhW8Ov0a2y0I})6zZXcvkFQ4Qh|>1;)P3 zQ6hVuu(~TgN@<-9+0Nr}mm_af99o$86GeH}*D2KHcfWXkiD{&(yQ5@pn8ce6xMg0= zt_`HWXs++q-i(%8mBrb|%uG(kb3^^gLRuxC0A^+jh}dTQ#Fq)=geU&SJoL1hKwXpxry@)$4e-0_&(`iN&CF|Rn=^k=-L0svXo}O`552L)gMV7oA zncjSSji14-hS0^S&Vl;+Zw;Zt4|Ah*O^%nl z7+xPcDS~x~D_TlJGvs7QBY{ z4CmKHi*!uQX9uZ$Nq*n!Z*gWnkF>buc*&YOYwkO!;g9q+;_iCOw$|hmrCf8$9%GI% z@0PiiCEK#uWq+!B28SUztiHx#+Ix+*#)+ispp zKJwSMPd?GPPyTUd_&My(ZUOxZ6s6gRN>Sft`))}ITF{tZ!s1PT1xjpO6i?~A`KP$D zH)3RGT%~Vqr`vu0+!nq7Zp+nPWvdrifZ@t3}COu0B+5@t#?!kztE+r&7)2 znnGz}t~qAM@8$ddzoprGd%xeW(vuSqX0T6Q`kR7}#-V*hUL=?DE#;Z;?bVqT5Z#WG2T5YPvZ%gCS z`7xB7z!`@(6(Pb?ZB|7gpGS%)W1rXhaNk?>0cW3Ju^|ZDpbbx&&aHR z?8=cHRX*Vl_0D#M?1+$c@ON5lxZ=?teKg#gMTuT-Q=j5iaxm5~H(;A%&Og+>ip-de zYNA^yqg$OMKVTZf_6xg6qKTl+wSX>^V8kGMNE3mA3n;r!%Wq#IHEK*V*X<&^2a7j1 zi_@{uL(zqYVNUGG%RxfpT-7R@6UMAFZJ^NzeETJxVleE`S{Z3c!ja$`5ASXvjB5XV_j|s~s&H0NkZem2S7rD6Zd{l2>NzUvtS#x)?2S4`- zO%R_@M!nYBFwt7{B!1h^ht?T;H&tZlWm;9HXebUDbIjVUZ=B3-o%BJ# z1ZemD5p)POcv?Xg1<)_x@&@nc4*qwPJ=f#Ev0s(YRFc%h#>06b>fuSRKBVdX+os%} zd1LpXi>c$ir42G2_yU8M>3b5i#yWR3EIW_;SxMM^SFYwRB>JFJ(DHD^wCB&oh3 z)i^2OYa3ht2I{s%1)^q-N{DU^`$p0)MRLt>l8PH0aJDK7VSMzAJrTW2Po_6aGT(H~Tm&o=lQ%Mbz~q)AJwGt4kxBBVrgKQmXX(;iN?+26ex0Ix$q||qN*X?X2?Q*-Q zwv?Yve`gW~)THB{$VuiNvTu)+pL%SrszVG!%c3!fvEnaX%%Pzk zml9DK<-KyEYPB(YJ8AC=BJQ3@e83!eT@Q?B!^sknwz|`|WjEcUb9dmf6on2r`u0(8 z8Z}GsC63ZnU_Zo^NmZ<*9qx@&wOIU8-#wDbrZ$?>+Z!p-btc4U!1&}~2D2zc2sF8k zrvUaE6MvWn2<90QTgAh*OPNBWfGfUZ9$1X8-|ZKMEA)=_d%!y);UD<|-K+ViG&hFU zQTRUaZff0Ui~HXMo2znsx_6u@RD>`Dxcn_RMz@Q2sDHpyHIr!dt&c}R?vU%ss}HJk zi!UY5Z&Ty=E89x_sj(f&mb~x$`(MYn-btCbH}{L5co&L1$-uarzC_b`>^eJ={-(HW zm-gz2)3lf^WmiU$|L5}h0Am}`5Zl@)cGD95l;@i}@`b81@`?~rhswC&;!qFD9b8dx z@U`1$PQ_7zZB#9u%zPoFO~`y@dbP3Zepo= z?++a<$Usqb%uKZU(Jf0({pD4JVYV-oA1)-1^1j#;mpgxB9%02ZI6Y*}H7Y?jZcQx^ zl9buuKy4$bOy7^%(^hX48!T*3H{P-NxqE22q;LbN`K2dZRSoO(I`~_&_w`-VbHlph z+&MlT-nT83)mt)-@k1_VH>OR7XG1ujat)NN zr7Pwv?K*zpWmPFVC96m|W0PN1#iEsp%u%o2ROMVgE_SlEgHj?{pb(6=P-itre7hs7 zE3qsYuC+feCNTTZ!%O`W5~Bd=7VDd!O?`8oL=e>SuS_4XX8zoJ+=n^i0I{DnOHAd* zAe3&LsH$ZGM1s(y`6+~}66Sd@Pqu5IWR;5~+L(BJYaE+B_z9r#^OaA(GM_SeFJ_LK(-SH{Ne3JutCny)6dsRC6^k!*EOZvTX-%OdgaY`dF#Qq&_o-9;y_cT3epNF7#lyvEhDP}44ppS`B>A6fal zgq-2FEx-vF^mGt;`*(tfYtKj;sD9Ub$Ij*u6zFJoNVU0P{SF&9qS0W|K>ge0FN)im zHH5T2ZDmlUV1nJ>!~F6+jB^6knoWy7E-=i6;Z^)Em;+T};+Iq`Cxiceh+zhl(dc{) zO#K8oVkKrbKxbG(JYYnwa+bbmuy{D9;#Wd^`_n&OH znFP7o70ntNiDLs@-njg*-c#42AfE|rgK0~U({;6%rJayf;2k&pJcGIO@`ZkT?-&)b zN2y52cxmg%TYj8rB?!Hh3vp*g(N7Vu&=$>GL_;s~5@ zQ!%Z7I{-{rFisUnX$n$*bx27&3cYx)6UPNfk?s5+7l#|jF<>9#!Ti3B&WRCZ37WQ` z!??(dmN_4|Vj-ao~jyo z*-6{jOZmjmeFVa_h0yNQ-JuVft+QeHai!n=J4lg=rwWo#FLi%I`>Fb5#9{HQpu2Iy z!Po9cpLW?;#l9XPvwmz&Chnl+ixdw^=7-JqL6Nv&keYVg&e{iMZF0w5^vM?8_Plpy z=QZ|)jQd3Ow{C>HMbM?8weX!`Tlf$GVm@ zX(NfN!7FAJR^qEvb_Cf&5o|7NCt1J6BS}mW4Kc@Ho?@P2duTA_q~JytgT8Xu`N%9j(sk&V+ z*BoAB``#hskyaPCpqTwjHh7xE)#kR{(oX&LXJ;yN;jqd`91G51d&@&cXM2K#{7pFR z>cA#zJ}9roFU8TPf(l6aImW;XjO59o!>Aq(!#bpfa=Bofw}XOeFgEq!dP%n~nyCB7yX6fC2AbErv1UOD0nmck z&82Lq+1RSa*(;yFqh6o7q1gHCY!|^)t^K5-LD%pSa91VI1=(i4z5BjNwdcF^#;z2v z_z%9n{q#cQgri;EIovzVdrEk`XI6zHnW@v$lQ!oqzi8D@b2qA#7Sr^poL-zBv;>ew zqQ*GFqGPv7Ugrydf8iMxS;{rw@8pmoyK)w!v_IpTOj5qit$}=Gz?^Wsmbuz*jY5fC zR5Y~_#QIJS1@glqB`Gu{-ggQy)qvk?Kg6FG5NS=fYlry1bW^w{R0d7lmVm^PYHAD1 zAD2<%O|OZIr!}HGPT&~A%2a`;RZ{4}apXDCQa-EwEt&05`8O>O<>`#ojKE(^dvEANQG@GOFd(E{cJD*9$Bm{xy=!`Biw#j zUnt}Uezjb<%*QcUBv$#f(a@Zbd-%xg`JAvQYUnz+C~&V3Z#2w- z>)8|3D`Cihf7@Y&-BMIs&v#?m0*d70g6R>+EMT5&#PTm;e;!(0 zs2j{Y?ja?Y(i!TK(E+GGC8y_YV}JCS$rEMC?<4U5>75l z=x*}Wi!bKPj2x872391E(mA{(8+|^5z{r+_8>34rVsiore7OYTqr(4okcz(>>UZxRe5lQxos$=Gs5H{X&iEO13GMFWJFFB(Ja z@jxLN=j_IW975vmUvh1?kcq2{3X2YXIsiaqz;4hZj8jZ=5`rI2$6upZNeW>(BgQ}= zrB8^75!09=@De%WRfJco5D^*nSn@ZYmroaphc)s~c^u|5armTDX2u2#0J|f^JAI|~ zFO5*hFn=Du%Zb30BXU#e=X&>(mNoSm%zao=aWF3L7BgWIP%pDZSuWTLQz&yh zYT)|-lcE!GzG!Kf|I2`R{w>VM2(-jprn&QOMENU!!e|8 zVqmAW<2dJzh{&EjHhHS~pQk0^`m1~|fIAet;Nnz6J#!p2Fyjez>oX9X(95T|H1Wgq z`X+7mb*6qzJ{`Oxl3Th5Ri(lxuC=!VnSZ{G5pa{k>W}q@x!caCy7Vr7&#(Gu^)gG5 zvSU`b)Up|`CjXm>SKqoiMbp|Ce9Wr@@oy@I_~bJhGt^lwsPJm^`mp!xMhx%2Dq_d^ z`^8qZnRo6J0Qt*2;t;8E+X$$t<5J>CWNqeqxXzO7TU*Gf)I-ywpYaK?zLiutBBY4? zW$JaQpGW!h`2(hI7MGrc7HVNv0*Rm&9w{tl#j4mGJ_2muy8Uo3Qy+M=OFn~_4}{wY z;!u$p5gVKjb|C{Zj+mNNacHfBbSjdLaHPN;yhcV3g=CrUCRyGW@*A;o)TuJv*~^3D zuu2HSpPC-NqMhqY^qP=^+-qHk8ADbxWv+RXsQRff>*r+FK(I5^*t98s;Ntg!#1c-h z27+M*oHK9Lh}S2mo*2fI*W6#2B!OZ)zd1cz6!<-lZ|i$W5f@iUMhRrUjD=GscgLct z;n&rV25DUKn69QVAt$}y1)RYxtz``tWWza7u(Q`+ukT8MC?ZW7dG2Oc6`2ryC$T20 z9`quMUZ?4SyAAS|m;k&Q{)WC)P)UYRa5-fu$E!C6Doi2SYcW?2XB^^NG2UwLBtSvH zO^|T}i$DCg7C~Ir%s6uEge1W$R$8BCX8s8O;{e0#U!`pDEgWkgs!0iQSUI0s0oRW8 zGU$yE!F9%-?nP%&Dc@fQLiH1Yb3fBAQTaGCvae)4DAH&Oez|Zrz0pSTz%+e~juSry z#^yQ_g_tK@_Zkp4B?o89p&;_|D`^-ecV23^ne26;#jIv{0Jj>O4@h$gJlRG)s{xw2hcXAi^lKmaSf8AGgmDvP-`P>&$VA0&Il!i;tz zVaTgN?z)l6vZlghjo+IK?`P~xk$2uE2befmr10P zaoqFm@`d%5tiiIPVtuoNd?kMmbN2S{-q%h<1ZddijhzTOXTLE>a7x^-;%M>H8Y%nw zX@2O+V9agS%8y|UXMtWi*JBMb#ys4|c@>z19sm zEP^X;>QmpdtI>@US)AXqOJ!$8&f@5-^u**6)$`2pWU)pA|1)+r6FgMu^_AT1DI`p4 zNQj0}{Ao{Vg;BEu+GX8B0Tab)jJ_nJbv$&!_8_6&NOtXhil6etBZ+mEyosOdGGh25 z-uivXN3t@I|F%b1oTcZU2s*)7ZbwyLY948Oq{1KeSa_JzJI z^JLBClu_(>i1e1#?REYhbJv){nMFbh)TQ+7ta7emYwv)^bvrfj?um-Wk6)!HIx~}R zANh6r*IstDd#_eU&4N^U+GsLyICa_G-vrGSexQ?kX|}s2v`rus$xzk{RXbLd-=u{h z{y3it;${}-Y>eT`2MC@H(ebazP1!(S1IRqXiZXsGk_`Hm0a!(wMF3K;oImYzlhE+y z#LPp*YOIM6bfiIo5f1XtU?LLKGvvbX@}FIM%KExp_a}LuEE+Susr$x#>4Uz-N0TY_ z6vV9G_xx8^QV!i8+v52C*LE~Nwy`==wr#5}zNq-A$+iP&eCmMzOYW47OcK*!5g{2I z753+$W*A=m82h2Up3LY zV`9Wj>V@ABxd{FcX{@)QnjX~=XLEu~To%&n8KC9>1_sKO+zt%!*BN~y1f_~NFV+bd zjDTofma!ZX{G|zMc(REQ%4)_1)k}7;=*#o#v27@_+E&KvJa$}iSvc@(%(6yf>;03> zr+OMfwE4-Ja7^-<(hPsuuhD+&0e$HkXQG;9q1c1vamQssJBj^sC*xLk96CSkj6Q-7 zqXwgnJbSvLq?&bn)byYJAEAkG#e(!UOA|h@e+4>#kI}mPAu5)*po1cY3dgA|9)kS+ z<5ldU0aQhk_tDrl7ZC}MBiQ0`^#dJxi2S$i74%XfhTvG+GLn#0kBmPI!yV5sFw^=q z&>~;}zk>TR4O587c4;z-h^c9w-o%MwvaM>2b)lO5M;$+0Kk@j=>h_aNeiBc#1ztR4 z%QG`l$ZH#Q4D9=0AI;kHb7S%L9AU6=j+crRZQ$7re2yIHo6C`tuX^_(vrYtE6dB#` zcYOP}=5MvOUel+0owxX3H1}8fk@R7ozkDWhUE4NlarJr!7t=k>?wV!r|#$ ztPVhr@->qJ;Jx$fQ@hwy>RvSOv`12hSRz{K@z6 zeP7~=lO*@e3x&Hptrf7llGhDlW=oxg0_!LCfsD7`WgO-bcP0*2Hn!obp)LTfyFn8p z*~3)LJd}Uh35Ml9$Gb^iMhcgIxZjShn{lQ?kk4EIPb%LwZ)fWtlGLNC`u#rpGu$r& zAnTYwBEog~zbEs@eVh)zzK$s3Fx%zxO6ib0HwKERK1OqVl2|{<7;8v(26yqAIZpSQ zgQ6z%x61=&opB=~YT7PXG>`cjOJlu<0uaOoWxV7|dkC!(-&eRrNQ});l7`JwGFrcT z-G4unYzk z#q2Lt2Swn3DPYQfROqxk-6R95r0iKhOlR>P%I@+?Io|#t@S*rcfEoPdlYx=n<=tPS z1^GRasf`qv6re}I>DR^g^?VY_aa;v22-Of0U7py@A+>zN?RkN=qlYb@AkZ&$M>cwd zpda?a9ay9v1+Fle2DL)JPF4pOW1-)gLpWljNsv^q8GRupRLp78S4mP1&i}a?_+%hn zEZGP17QM-o`63v%G?E9waBZ0s2Br`K1d3h~xk+>kT%qyw-6U2ypks@`>|YO^)F(J^x9WU5DdJv#c$ISx>wywNUtu=`}K%= z)Z>?1_Fx7Jq}>&^8yB&F&CTWO=t~)qjVE3e_9SjPli;MRdPn5`4zo*k$qZpr(%+E< zaa@&qlMi&;dns(>j&z(~bE-FzvwBiV8b$Q(nz4?sKj@KXumR^ zb*$Su!i?2p4zifCkMxKb>p00nqH54>1~Aysp&e&MaDq{XX2*`ffP~`V};mjEm2uoXEyVG_HY*sAgUbqZO zw%FQ@t+N_-x)Psb6s2}<*NJ2$QtG8wd)8}v*U!E@`CdUpsW%9>$L7M(lH)}}oxFc4 z9~{j?t8pN9G~~~tEhW;N>^Gj9;_vIfhMGQdTxc;vV*reXfoZ zaZ;Za$c*2bOcti97Wlv?XI|vdqu?s$!+sx3gKtSUcG1rWnUu-`lDDZ(8?h=K2*JI< zQ0@I@r_>nRI6!4UXcy;aD)y4A$S1H_qFiY*ILT=Hy?mB;_ipGPbZU&v_RhR#v!eIR z?(Y%zezIIMzzL%z2@D>_Dm{(ZCs-2dr)XJj9F>}JY?HbFN%V>J1M&BX<>fa8uBQ9K z!{{D<#gjppQ;s~klS`K}dSvo+L{PwYH?nE%3<^wxaRG%Ug|l*B;;E=`1C&U z(#)fqSNAfzxQ{NNwjq(`+D=?nBO-<`NfPz@U$!I1;gQ*+Eu`qiiN)qPFy?Mo-!@{5Cy&s zQlIzAe>uYfZdr(av+xe`jE8*+mKgX>qzV=o$zO}m_A|kpeiDnpdRG@2~Q4t`zN331+ z&)y%Wy^n^3V3p1__!<{1tvg{}+1y4@8w^VJUORjolj?IG&dv7i7onbROY&=etAw2I$ z2DTx@Bt0i*obS~oQNutDw7X-Wai9!CQ0-%B3=C5yhcAqfU=|rY9Ig#0I#}kGgXv2( zQPqvoS+|%FF%$|!C-g%Jzm^6IvI!pq_r}L0PUN!sdmGMsFok0qQ+%m6m2ItFT})Hm z{o~0!;XheMYDXSXHb!B+ML_6p<~18#{JH$f?ODe2+BKNduF?Iz`x{-|?L+(ADBGp1IQ;#1!wZJ=K#;nns3Humf~C3?B=N~fn7aBLQbf3#>{?*2-mR6T!8V0U($ z_4^#?gQ79ImLJojnVr`wteT(J!kx*ZG}zvQj2dM ziesTN9~+rcXjSs%lgsa4DGFw$LGS zZuiP7!%ZRw@v;QGq}7;P>)m>t;1Rtz{1IY=cjH}Ov7kL8`fAO;e^2rZIp>Z1`!x3d zPAJ{h95uF(Bc2(t?_U8cV7m~fwL_VEuCB@9x25Y#JA23@(fCaLgAW$ALv|NF8)m9M z+s|#MP~P-B&;Pyinq$lhh=FXsj&Vuxi6z=qsxoDFU{8|PKii#r)yiM_Dq49w;}16N z7N6TYlYU6%jEfwqx4J8bwmhGtw0SZ1$?EdVi5)UHqt7l}9?m4(ay6bq3}{(l?qtK) z{zZlg8;iA0(M?!vqpc;U1MgN*mKj@)GJYAjg^_-12m6)o(Rwi_{t(bD4eN7-Jn{f) zT)}!qA-n$lXS#wVJtvbQNz?Gq3Yo+x>0LsuB3md$&%$M{>fqFsD+%n! zB=2&P7hX-jzJpnQR}so(SQr=%1zbprB%)PqO;`lj#P2Z7n8#{A;@~|Gm-_fpj4MvD zR&aAYDuZqa3cP|x(HSvfhgrZOH|5(P+e<(v149PPs$RrzT}({&xOUFqm*;_Gwe@wg zacKslSv`&CpNmJneh1yT?*Yr4IT6`+OG=P$bbfa^D!%xo?ZGd`J~u5Ul*Bre%Wj9S zCxvgSoXG6?BZrTFbyVAY{pCbUIko=L7lI)Gn>KPtY6A=)pEbTc6LTOn@pDztOYu*A zPe_zsWHk40O-LJCX||KXdtG=Y;7y6^HbK^iJMNn#KM=FxM?A&WOC~QB)9`YKZpMrr z1OmGc^i z)1JrXGx1+xn0|fb^(`7d`6YHX{MOmNkq2lVa*gY_g`FP3a_Z|QHO8GHs$}Z*6E%c zi51(fE=O>;S#8+$PA}a>U$2>5Uvp)bo>{{iQU~mS+u$5agdb%V0|0=T&&J;5ivX z?mOO{v=Kui4EGS=Z(Q-(ST?RnF&I~hd*t<1)(tEOK9RIXO#IQIu+>%B7)N10?Q@bA zb)`pTO7a9@gaYch^t35SejRl*{u91QW}9T%&wl+QgiY_Rh&+=J%R&&cB=ydo*Dq9% z-b8>WlFNjjQx66SxRecN7kIYuENZ<=dIjbE=zVC`6pX@({<^Y&W6s`Zi zP)x$=JhXcP-z2N#``4{!5S3rQBgcK=K3@Jvees>YR$!fZD!!hWfS3C<*|t=S%M`K6 zk{WrwFE~~EFbMrP@gfjid=zs@kpL*?-CbTS`~lH#KTijkSN4QVTo7R z&KepUz@}jPEz@L2t(3B-6PINzKlVi5cA6vBBqSrO6+ltTz*Fs_2gIc3{4@D0H|*32 zONLjBjo4w42Nhkk^~BusmArbSs%|70<{tr|cPl$Z#;1f|N|tM6t)l&MsQaMpmWlVR zs*xB$`KfQe_nmox`-@jIg_u7KNZoH3Kc%Vg$v{%e%02}0t>*95ufj1S>>R_1Gm@u$ ziO)+3oR0{=_NkX*bH370oZFJ5D886pOmM?lY-}PFOODM&b78cH18?~zhS58j-$Um* z%5upGqeFO96=6=_8EfZ!oxZdKZ-TXq=wt@qu+V`TW^lt zO}h6SBpkkqL%w}wKp{ru_LJVKw{VwsYw$01SWR1PU9~iEAvGzw59j|f#ZY+i--zx6 zu4^vD67E&kXrs}&jmFN3LOa|eG>NE0L-IF8F36ssP9RsgUmmd8=q1A97fqG=OIsgb zbgr|sx2@myQ~vRTmbX(Xdz>&;q}gjF0mB99AVD$yM~eeLOwh8?pYmzqq*qep+~Ffh zjeDK60+nBogdm!5m4DEIFDn$sPkIeIFE`Q&koV&t$(6>*Q|bon+u^rY>pWOzaxj&0>&#o~aMATodTPNpw~tuPRso)ZP~; zo|aEQclh(i-_YGC96omv&f`SWM%W2&2=oBK1=YmJ@3Ig^NDz<~)VVA{la%oi-**W~ zLb9}!_*c@nBZQ zpX7J&zT!G|(-CF;@SNM8)r{*v7;s|AI4G90R8!8T6gQ4!gxzM3G4dK^SIM!CIdzuK za>i~F=+K|X>)9XyFucv+d@g%>HZ&EWZLmSI^ir$3sMd63v|rrNwEco)5Z6K=Sp{lu zNGm`8Baszto7%wvf|dTepPF7fXKOkD_5`F4ZP}NKeog*^Fu8Q!k;iD}n3^I0?hWi< z*yHTf2V7QK9qCrK1}M0MM`!fY9+P4vlj>W15|CMq}5{Y)x68g)rsftuJ`8 z3M(J)Cjx^E19+|Jf-Fu4NobG5Msjc(;4CH>S?>jnsWd$`;rxV=U$or zmK-*;+dFLFoFK4Lt>7bzi7B99j|yEGhdRQg)l_w{{U|h+fW@Kp8L|i{nCVM>;sE!$ zkVw@Y`O6=Fw|oFw;T6k*Bu^~+eULDzEu!&krBHIx^HspDg}Asmxae=U zK$0W7+FJy6cPr{3W+wU`*C}`(h5$;MtYRSoMzrO0M?m#rNjb zt=2kZAxLuA9Yx%3Fp`!(F}kcdbgHM#M4&BA0znu+(@A91(=ro*o;HM@4@6t&5(7P$ ze~?S27<8u%#}_UV(4-*)h`9UWXX@Q;aN}4U76oU(zl0Kp^EA<(#M+m6;XC`k9V7M2_dm4@(jEg}v0yR54TRd9XiNbYIAV}ig-A`7mlrgW#= zzab;I`A7RyFzs}f@CQx5E0*JhRODcmFN>}---D~oezVXumBA^J*%dEpeR`W?1~7ae z(>^ZI^0Fv{Khz?JgL6!hCUqWrpOa}SIPmg`9vvH=HX%5pz;XDQEN11QB8bM74dxGo zuh*1nN-xmKIV!B#(X=xo_%i>jaNy;Z1Pf7faZoLEdHA}6-n#oX*t#3KBxXN#N0UiA zH-C0@6_o$mP{$ldo3mp5XEfBnt4GJ*(6o|s71*QSu4d4dFN-|zO&a@{#pCB%x_bFP z`&cH7IMw9vkfYd#9+V%GT;G7oOh^n1x{PHpWZgO%F0pc9LdbeKghnx@3>%dF^Tdq!6FmR%PFV8R-1kv&b@Q# z{tvd!k`R=0*>n^Hx;{45uL@RDQd->c?inhG`rnb8>{>Ovno}j=Atwu!ROzD!bd9ROj7 z89mZxN<_WmWFGH58$A7z<`j2+DX_V!?yi z3RMJRAY7}CuUa$S!p3Q1H%bE#t}xuQi-*%zpa<&1WQermOt0Dz>mcUT!sYU)q}=*Y zwVzJl+ZB;85mIS?5N`lP>l#Z$$11!t*Uxq&s?f@wE8cjXk7INUoE83Z6Vq>aStF`a zk89@hvWJ=R9>%usgN2`acV?=+H^T8h{}{*=o0ApCqT4Ljos`E#Yv5gVdn+FGSE;cNaU31Y4gTdVY4)z7 zJo%ua*j{BDEBEOCE{);8?LAwM>h2A$d~SDoyJ(-qVCxM+H5SjyBgZ1miqFzFe@h1ju7Jx}O==04yp+doyx#uD94uD<;-nbT++ku*cQ zUVTGKM6o%`)5nn>h`Rkabi29&9kQp}@jA;>H%|P~VTqR(5cG@Y$f$wGNA)1+F$YLNVzrFodOIm;1i__biGG1?u3_PBav0dO z0dLmr^#uMpH*C;pJzymyLI`;9$(m0S9JSgCa7O3aUv#fWfGWV}NgzEX^nw#O)k24y z8A09wAlVg|{ynMQ0T{5DJOW-e4T)pG%K!zTcH|Xhp`o#l@o_)d2RP=QfLzU-AUJQu zQ5u1{aueK+5V|{c?RuD`CUaKb7_Orcp_iXTtx9*z(~!l6QA0039rhMZT9x?(*-L|_ zk$oV)@WE6m@T4rzU~@VIJU{0sprJ&Mug}3*#JH?<02nJIkiHIcZ{5q9B0#$#2(?Dm zAgdKT$_iA$iR?ZkKR`c*o+-0^8`iZ4RW=}CQnylVw@O26W>|2^iL6b3s-uMbm}K38 z?APOwS8H%W^Wib)P!=O){DbeWTIw~WMa6eXE33ccU9BcvmrKP|{^UN1a2Bk_M7k=r zbj8lVagmB>A1lP)=4J7nQCljkTm>#aim8w5Wxr;d)gn>$aio7e$+085Zu`vrW>a@) zUOC`M%mb!VXLFoCoNw3hKHfvLXA|lq9)x)F?3@lhbSw#~9Fo+No5(!tOjWuWc zfe>>*hN$8dT|Mvz9YjzQ+n<~T-vAfG#Y?&hVo&tRITbnw&QXFKZL?z`KbBrnIThT; zW^b7>3M8z7J zWdSOy#yZUXkB)$CuV$?IQ3w`56kwC!L=3S*wKIccU=E>JCekD6b4>$B_wtzwpEu#{ zHUaYM{C=~Jjx;!~52)u{t0`*C@G=BQF$2NSwuIqoGD-Wu|Jo0ewD&(me53=S>99HZ zmIA{G98^Ulpx%>|U)M0N{XTmPWZLOLhNT$LEC{UH5LyYMUux}`%a)LQE2lali|_2l zkcoAhC9+tAvlnwnuaB%cM7x)Lu39xjFhPY3n|dJ{ng4C_MP+Dmf8PabTS52e_2FDg zrq#a>Y^9~Q*V{DEyswyVkd=@xpm?ywwFB7;`Mhnp=mbr)?6~+10Q=ZcK-!w>a9@{8S*gm zQO73&0TGd14#LAx@W828*2$BeUphN40Ur#o(6-H$YY?yWcVGse80HPI$~i#};#eQ` z_Q+aoVh15K*?<^v<2cbP2QiqnPoL0!TRIg6zV)FxWe}M~2igIMCK!yjISwd>4q*wG z)b(V2<_VvRZU|F0S9`S`s@q#=-_p`Tx9>{_pOyR#bh7x`IBupXb2?bt$pVK6nUaWcr-=wISmUku7diT$C2n-FT zLPP(t$cd1jS`I&R#7RWTFWs%s~~Gzt{->7cdX2>;2`L$P3T zRfEEYrhwY5ao(2397Uii*ous|cXRtxWp z=^K|O^nElKHB&q3fWMHC((XurI*QD-6?U5F6Cfa&m9(!2fCK@NJW6NXFb;DT?yxc3 z0M;$9jQ_VjY$LWO?g#Y?dMQ=jdZ-c#P(F$6g-&!J+{iw4*VdM+lDW01_V}XhL=3$k zXH4*cLj6I%QH%^pqz{77c~PI>gVcy4qbh$qYO)W6Np&82c`O11)S)K^m0$)C)c5Bc z+lCJag;Tjxp`C|^6R%PEgJ**R6@ue$tlvZM&pWpG?elchPo&uGuU41&M{c*RPVL$>kmI0$Ds2IN3YZ3NrU*c&8qK z0A&z-9e^eRco&}Pz*9QBt0*-)Za8G!qg%3s1E4Bn){+U z#?tN}Ai%71X<2}yacbM$8+<%`un>g4fZUXQAS1^Dn|jmy8|k-UzF^UK0-hVM4N}Y$ z`9N9agw=lgRyGih6j2^Go?;7*K7C{LnZM&^+)SEHw-u|g;ee|6Kn!x2DR8}JSg=ln z^ZC@Ia3G^}^ZCpd3ZqYl4;{g91ug?4Po*<8QY>nW|DjaB`ZMFj_17{YLB4DfJ^U+G z0n8*At|agRfM8^1k1m?pek>anpHUoFHB>I*&@qHIk;!-FA{uv3uWeDGSc{PID}hF% zJ4*zhs>G$`B@y;DrXW}Tg<}x!~c6K?aiywZ0JOACr0D{MwE|G(>0)yOb#u*4` zh!6@Go(wn%2TA)d!Lv{aHTNj3`%()8S2@}$r~6>YW6h@JKuem;dT*f~U6k=%U@q%*FRpf4Vwi4?Z-{U zvUT+5^^buTxhF0}h-?i?bB=lD_^om&=#=a~${&hI;hjrOJ9dIng<`g{(hC2@1mAwq zSrqDUC`MK3owKO8%H6keXx~HcPu@}G3q4(M->ih!U(1GWPWq?#9~({dKlAD~Pj??= z|J~c?e~E8vQu=@61pXVn+gfMe4c1J`bsM{G;ecQL+1EoE%=AN;pGIzMvMU z-4^&y4X;z=sKjYVepwI?c|HQtAtdCqk3Hj#h>ET|*yaH%pOO-!vEdqr16s~wBMtcLGtJt=vz zCz8~72QM9XDk(?5clt+MntG-~JAZg}Df-qOr%dyV3zbrfWx@`jAYji&nZu;XWiZB| z!^ULD_s~Z>v=Bc`Ra6T%jajxeRZ=p+?b4;ax^jP_ew*`0RQ;=x?B^}z%o8n-mCtAU zeK-FN9C7n8g}k3lTaILpbNPXLaXqrStqIThLW$3RyMARqS2%_t64$od$Q0?6l+8yi z-u2a`Dky-J60AM{I|%QdkPMUc0K=?_=`BhZ#mqAZXaRb<_0`|kJ5)9M;b$qDw~xH4 zPDZurv{>9OISz!AypN7h$W8tk7|r~%Zc*}RdaJ?V=Ab=i-AFhRf1S+6odelTx~Zoj zdfgRWBM6N4Im%QQJ{RsSVhyj&Fol3AI;2ksvX>y!5rBW;ic+8r)4eiwfPDl==3qW| zT<>w@X9OLx<6mlcVhc>1#E5-aC?HWP^!8sXc_E3QXb~e6*4A(kV)5u@76PW8ws*`b zsye%G$oXIra=K2hBUKAi0r%EPNtpgm$*I+fmwxop*YnR>MFALK+sg*COnEfriO9?U zp56NP??Bu}@yP+gLT`S^rjhmZ;3xG_r-jUgmYU|ibt*58uU;Bhj0&9RHCjKv`BI}@ zY^NlKZXw-wbP~8vKF2AH+B_0@5YLU~B{6CVe1fJ>t|D(xJ-`u3+mZKb%?s8wb zkI%-KAzfCkT5@eHO0JZosGJp_nMp187P+M;LkE*6QLfB6+yCwN-}n0nGu!9$e!t$Y z`}useJaZL0@PZQKwO8xit)o1Or|$N*^RoeU-9MqW65jQwwTUD6j>4muE+4}pDrw=< zCx@;kv2g7c;$1iXo@Ez0gkcddb5CRBp#MEw-ygVcZ!kJ5AA$O$P_@h9Bmr@<->Q>1 zs%1t`$r`^=tWG?}UjMguli|(TJKN?L){kS35OfnmU5ojxcMaxEr;$Mvrk?HTa9N>7 zJg~tVd4oxtNpK>A9i)MMem&L}d9)1foIec|Hym6$e_FQ|)Zkg+r&n+5mQnCyVGtEn zW0VB}7Kmq4PaMv|9`;XQxc+V`73EavG~-3n$><_@uv~%Hf!5zg`Kb34Lb>XWw|!>? zfOo7hvb@bR}AbG85yyOHSlE9`rN0m z!tW%NgXPoS4OVUbG##|j9oTm!Cr@iX21q3u>U@yQp@x4~gjwKMV;M46PtmKi)G-98 zBORs^;Bp@v1i4K+B>3)()+BdQgXj?M{6kg4f*{~b-&ZA`Dr@O#g??&_NyKSo1AnCS zTHOVkc*H>=4aqODwFo~ugez`QF;w+H9Eq?m_E_KjuYX7n7kMnfod<h1)s||h1NZYd>(Sqz3+xM7_okaTGDy!C-#Q6uJypwb%HutLHMR9HV%t#k zk@ctxYnK{Kv1W!X;KqC%ym$Gg{Xm*7TDMA-x7=mFNVskAqEr8v@Lb>xI9kDu`6BSv zf>(;=)~q90KeN(y>KCuFHk?Z|Ic^gtrQS<~{8S}fuzQ`+cvIN4O}{@Fl1g(w#h^cc z;tumCK1ffVwp;txweFFi#LG_cmzcYoMrqzx;U)pHYP8fN*S84OUk$_WE;Dji8UP8K z{2b7(k_oVBcvN@W?-0|ZsDaK(1ue5qxFQ!BcgUczV$4Ii-v^c6 zY>8fTUS}a~xF*;@qQP2Yu4W z!5=}?t9~1_yu$M_=|B`p8Ae~Gx>6@8{eb1h=WK8T$f%Ssz+nUab2+1F&@Ar>?>1ct zhvV~y7payxG6&pbq^DU?b3}<(PP~ro0qCSd|k(hRwIVK^129_Jf30%ng5SJ zl(VFR5dQvIvH16NOqV!hAOA>8&FB1!C$~65F=8ABv4hEoEA+noCG_aR#0ZaPNx- zz3lj#mLlXfphQ$_r;XlL7I=w=~jjwow8AIjtuB1t@Q$#ulal-w@zCycUxZH8F zzQ)+sM~<}$!dZe{_qbioRJJnKQ6v_Md7uv8y$f8WT=}yc;CusUOc#URMFxWMb&}eZ zVArveEzL7GM6*0VcznrryP$}WfD7eSR!hi*YxA#j;^=-@;X0<*J%h74!CsNU7k@Uj zGxWN?q594QGEXVb${dhJ%~~L8`vzZ#eH9z2W71!)WDcENjS&*s7Ej=m)qa^ZOhV9y zd}9_XWVXjET{s;R2P{0=yCsHr?haBqqGEq7uG90qr6MWhTc=@y{@n6M z@6kVA$gOrFl6%-Zr@>IPc;B}t)GRx5Db)lutC4x`F5gST^zJEhyMzA?{+V`yKJUA@ ztRVPoi_zFW0YSdyl@l$I`i`Zmt_LdOqsrE){*pT8V)D=RN!LHr58l|sIjeHz6m64m zN~V%;ygN^BG-P)3wwty_0|>UhuTkZ&J9|maynx+zt|h}$W(77H7PPQ5EpaQ?FPyUT zcxV0gqNjZJUVf?%-#Tj@FYw{bfee>35L8xj{?WbTonTKu3w^Oz-Mov2nA@vm-{ZnJ zu-st|e|}hQtpDZM;J_xOh|{53gfHdhuArq%V=oy4YMCF)ax|63@=<$MhB?mp@m|vA z+CMr9P1MM}QMsl2i4L*WvJdwaf5w#KvLzK!v1ekE)gecGR{$OaU0HUo>Z|q2E2Cgm zO(-b*z-xCLA*f@1qzXD!NgO-=KX(k;g&Pm``d=1p+DGTgiOXlac2J6Pcf4=P0&&EK ze+Q?$KDRmUzSVhr)xrI~S7$0uZy9m=ZB5)UHQ&SO_tFf@!Uo!PDx_qJMV9-rpFt z)>D6%SfBh42^{=sS3_leVivt7eTlE%C1UX=`#@ShtyRQuTgXa9MjWwab;>FOq`f!F z0~omKz0ChsmUh9N2>NpiM`-OIEB{)RQtgG94!AD=;A-+E%NK&2pi>jT*k$T12I*_0$D2pK&4m_>;FHrz9!x#jCEUaZ@y z;|08g1me3X`V|cNv4;^VFX$xG2hzV7)4U;vZLQ?`>{25(XW)wqW~a8P-0);^SJ7Ox zpz!239Mfb3C;Xx4rW^~vIIR0!N(owS#ExxaYVE^A@e`8n?l zs&u&5U%#x1ou0^>`ti_$lv#tD$dIZ+RMmmSBrE6_H=A=w-wQuP53Z3^;*zw~fcJA@ zmxJVXcG2zoqLx%NwA3jkikYOAAJ`VxESU#66dLk8w7=i_-lw(q>|tGFKhMNv%TlfPbGnlHVTN`5 zUbvqzK2QS<_~cY+T|$FY58CH3VM$Au&O&RQe8z)oQ^s0>Lm%9VJ_C=rI9WNtls|gf z$gRtYUwB`6InlO@jm-p?YcLA%bs?rvg{{Q=zr$2-O-$P)A}K(J08O{Dtf5Br z?vs5C_L%}^XR1xYunx%4r7B!AxN9l8rgiGSd$bkS!9^@M$W&G9kw<-KIt7Y$; z;v3pZBIMC~83$fIvcXpHx2})cYKhXRL0cGXGc*4m{S?=edA=f3KdEO{-k4usdOH^u zDLn;hylQ@PA#Fzat;sRNLg$S)neZ`h{`^ zcPZXF>!TecUwJC~;-f)>Sr;u3pN~pfke;sbuc1{`S(kp8nQEzl*t>7q;9F9l99f~Z zKReJXNmLZ`eC)&St|PlwuGozARE;qlaraZG;W0IOdLV0FimDwWI;eKDE=@UW8B}WN zqxEGbUqKX2%-S6@rL&1OhVFo{1`EDmI1sHyM)mn2FNo#uBXOK~J#yWoDu%uHRhR7a z@N!J)ewn{oNy0h`5sTHkmEFUyFAU zMP?Il&?@Ya@4h+z4ZbN=v{t}(7oY4r;gAC%{su% zaFP$Obi2stycYA1C!|dj(>=i-( z`v)YSltuG-4vbQd%lkpMyS3=CTF6Iz{L_8oOn+-_LkYK)u+mH4x~T&^=q;&`IjBax z;1RYt#oGCiq|I^Ca27OIe9~Z5CW~N6YBvsI24n>%SUw+b?G)PT&Kr1NAVR|-tf}6! z&LGzW;qVWn%(Uo>&Y5|a8;ye~m~-JOiE8_^J@B0+-t)RleNjE{`9b~im($$a?IVR0 zn7U#|pzZER00q8mBnZSar`kPFQK%;!B%!P0#vGq&XE*CRjL5*IqooJwPnOYw$B6#w zCePQ_K*_=%FIcwxm-qX*=t$zF-=t5mmpXo%%$g!EFn4%}o2wi&Ygf%Pxa6mvzxTRI zHcY?#gD3X9_gX0!P$2PM@M@-s*4QFHWHBVmApGB|vmG1dAA{uM*}R68$9}ubn}KC# zMOF@ClMoN}R%pB_+`?u7)5PBE<#uM0H7}OBiqx&FB|IIv|K7ISj?nxIA0RiUs#xx5 zxN1n2%)hzQZjmPMu1~65Pt{wGh_NS2U-fMyfDrk#K}+zM zEWEUYIE{L}iny+a{&s6n=jiCKY>*qoX%|??5(czxGBv{|xf`40h|5O!26G=!bth}~ z;xa{9vf=0S4d5~ELtRQ&APkR(-lw=-^@R{wxs-W-2CV&*%v#O}KJ!SdhO zq03`WvIKHP4EH6N4hsutOM8WTk9f^q7OqHNI$u+V4SjP+@Gx?Ui5F@&YWv2UtV#~5 zC>MCMer{o zxvH&pD@dyKV%CSHACtclCX!mLwO@S+)UiY~3KX6;BCxDJ`fiFn$O%a|Zw$PvCN{SC zR9{=9xj@F~zi9fcO#~^jjS@0ZmejbtD#{QVQ#n}>(7rF$FXQxZDiljunNUmHS+_Qy zPljUOSdz`?l%Ff4Sr=f6oiE@#jYcw(4bTH4l>jFMG25kqT1DZ$3+xR<{SlALkUYB{ zZcTOyeia&4lAqUgkk%=KojSLV-NYcz4GN(SZ>( zz7he?m!uAzgU4I`X1+VIcE!9}wn^o_^F9Z8tSfzYjn5XNd`O<`=z)D@p5XUKMWzaZ zAgyT11eY0Kbkj^g^Ch!3m(lLY5rfWHjYD`irD*nv0D8TzNOf*{4=(mt(Ddj;&QZ5zMXlOB$GEATBtxDLWp0_N}6!yTnE3htGqVp63Id zNIC{7JF#$n{p<~i6l9SHlO$z#bx+=d(JK-^k}UHSo~k+~?cS#-P6wQNht7WEGQkAA zpHpkI#6Qw{^UHG8hvPq{ zT)rx#CTJ>9QgrB}`i}<{Mts}?e&PIHDVS2nfJHf{iVP#FI0#4{79}ByAy!0p$t2^x z?P+4&f=~%j`pr$kKmPK}<()E<6kWK65p$_XeIL?9^}H50XJh4Ks4w9&dG1dzHUKY_u-frp26K*>4=@)kPc)J}_{JqfMTiciTv@gvf=Ey*-Mq)@b z{Ir?(4&ef64UN5DTDo3gDHi0M>pY-U#SXXd)3y|P%<=|wvupRZ`e_Lgh5_uIgd{+2 z?`y&X_-l_tB5-sTCtg7@E>kd>*;K8$PY|~^>H?=~NT6}EnM8>y7$M&#Y3Z%#bCw}0 znb;dwVzy(ZYU+*IbrlQm9?z1A9(_*08A;Xf!x<}g^bbD{5cT|M&6<7jpMdJrI+p*7 zKfL*Sxm_gE{DFEz$+ZAhSW@Q^d06t_>V^6@?@j7!RMAXg6+<2I`|sCILFHY$M0L3a z#nb5c^~KSlZ2vfe#2U?D-vvSCgI=?DM9B7UGcM4aXQgJ{BxI96%z2i7Y6-h1F4)%O zmpJ4jq9~X*bt!B!(lQ)3>*HuA!btz&=^>B%XtWT2*gmsd2NtB0t;qf>Cx$0}q-azx zS6vMlZrzWb_1j$LBpPWu(I#-av;6ymM<-E5(S6CO++z3`I36%lcjJs`A;;ENWN~*^ zlyKQ-usy~^EKp{=3-;PSoikSp2ytCXAm0vZ$W4`TCm0LOOE}K@(#Ti)SY);mC*|$FIJ@!S?R=U^Ti24y8>AScS|-%0 zj=h^D+HpfHDH{(fEH@v}U@EtE%5HW)z0?26=^ZL0u2tv$Ek!YAYB)tZ!eF9jq5X^G znwK%MA_FRTw#a7VW1MnVGsFDEW*>-(8GzT`kOWH1Bxh~^n^hBZh_gq0-`vuN1-X>E zH#&x5#nKl(g)g}kCkgrZ3#nI=8VzmH*Qi4iBS*+=ZDN>TpzT9a9nEQzKj^!#k1(t46M zxXo|N$)jdZPE`sVFg-?Aq!G<3Y>5(;&pC+e#vYp#=Ok=cA!z|`G#O7#*xiW8hV#?g z8y!E8`0PU~-oIC;Qr2c>+MCR?&@fj^%OO{nl4&L~=3*{c$Id9lWg>DLRt)Vh>};^o zNN2!A+Ezc~~HQ=N~m!cWVkK}OQkSeCa^zzcW84=a* zTit%0ⅈ`r_vJnDD6($2Sg(E{K$$*(g%h}*u`tEs8-wH<@#!FLIJYTOVs!9Q5`#T z!EMmd@QDn>@q8hHO(XfSGj%T#?xFL3FSqPwC}n{4mdM=9lB znChlU(f#SilaarM)90l-DDxPgK9=g{{ZF`kn9AG`TwA8?P2{-#V@1h zgSeGK4#OI2Q?~@g5nmhFF~(#Y>!bYNnQO*xE++^y(ZG;tM84{fUbv^5Lv2~VL-O=A zw|ei_2J{ylGYM-2RCnO$E$7yd*lE_X_GXpBs^L%HA8r1NlP2+=tZ^kLUqxi}s!^c+ zVmAcJ33dj|Uy^t=sl<7TMx>$hApoZ^+olrtKwW~)*qgGWTd7rhJCCo0Y_VGijlANK zqSGwoi2hcR)ZVt=;_dV5rL`Gmeg=uS=MJ4=WS(Mkp+e*gVAB?(d(b8Uyr*I+cIw$e zsM3rL036b~o~`kamc9%4l!VqCWx004R}$YfP_}z<^75~u>mLmZ#6h=`HPI0f(ms+CX@a>D zk%=CIY$ErbpHUC`f4hod?$rZp3L|NdQ{^PLn7U%Gr;eQb zh|osvt=9CONQhHYDN#WVeZhd@x@M!mM>1C|)TSkhAR8|q8g&Q}nJdGMpR*jUkpwkr zjt8e*+#<1#x##@uznN!0j<0=1Xt@#7k7swp+);TnSzFFcO1j-y(py8&tpWNfvcBe= zu<#*lP(!CC*}QzcQ|(sK?Y(5RV|Bgxu$6$S-y`|(k86dPZzsicLH2EQbnfU^F*12l z{{8D^(DO}SGyYrvh*cx#a6i{A++$bF3ggh3WdYT6kQ4n++pvi%gc%;}uNtmVE4yVt1 zs_G4=A5T|oi8?&KfZJGJ@uK?lstsdj6RCu|YejT)9k%iS!X8CkA=pG3+7-jx+70vQ z#?&LnY-Q`b%l&&YV3N>Fx&~H9l>@@YU`)l@6shMgY0Ug`tlh?UL z;GSA;!_W$H&l%9f5d`uf-;$58#d| zoCyn-@56Iqre6dfeqdi{R=HnT1Tajd$z~`duy*eJ6CZ?pZFT=dH#?f=sI(I-$I!%& z_9>f4X|P0=>^m}2;*`31zuURJ!wyoBevbqDxjF2+SsP|d>SOHHygQ9@7@!1Zc0rCI z9podcK<9er$(?|JhmlbMVWB9PsZJ)AmKzW~HgAH^V%5%0(|pHcqs7YYE(jM=tK%QBGTi7%=J<{`N|dpu zeQZEg5G!9}Xa(_ad~QSkjQPL^1d+B^^v>xcDY)<{uJ^;6Ab-_3-h1bp_rvHJW0Xy3 z-Qisy&vDq8h3cwJwZM0h#&R<(qgaZ1gBp@)YrSpaFY+G`v@w_Xa~J&!2A8DGSYW*M zdP*qgP?@hYRsml3dx`n5j#_rSS7I^~lkvX2;%nT{aNg<=7C$iWmlE9^_IG1E!sP`s za{_2c^si$qS>ZbHM0?@FvA(*x-eE_eY(?R^s;FZfgPt0kYXW z6rPVH9^I;abZ+I6tinl3n{t*byKyUYtlK`sO5Z6cxH+w#!Izce8;?BM|hQ zqU(iMP3@@KX($^6y5WDv7i6-{l-M^rPI2$0o6O^h7X930^o*BRXH$Qd}OOUOyL2i)r5WM zZZXj_C2DdGPwm>|`5h3`ez+03^{)j?WD{sFGfXQAAa_tx2alEAApaXq$4sGnZ52gF zXelf-hLLR6QsL;$iui0dayvJhsd8JpT5Xs)Ca2QC*0BmNy%jxv1X_V`*|>EzSN2nz z4Ng?oHV#P60)*guQ>%!HAOD1Qux*qdp8qkw7eF{gU!J@d5Vu|PYuZ3BFWz{j&qlJ% zU_LZXP4j1a8XEb~b?CD79+ILJDc>q$xL$S$0JUP#l!XImH$olryZKk#XG*!f`T75y z=H0@w-nGCba%}5KzC7fcpJE(Hez~@H7%glJdJ_mlJG1`^ zV;`>w+!d2uF?);lvHhzo9&ChYuudzvpSI)!zkW}7q)>hCz?x(Nd zNf}bu)nhf+=%he^mIR~T5m~-Gtyd9)iOhD<>DB2qfJ(drD0PWeq0-uZc$}Yc#nwBg31u-EF!w}1!uHbZ&k&d1IBt(xB~T>!W@VDi+ikama61ez>BbQ zh1*AxioZr3YX@$7NV}~LVC4oNc1;)@&3Amvl%vvkzTF{vo5($qlRyL3eV}>Id#b}T zK$LpazR*@e(GbKsm=)6DulxMt?s>oa^nP0V;GS|Auq$y3-VCbu(>i6h3W2tJ7~lcL zs3bS$>eZRfu()!V4jQHAszrxssF>i*ucS|;SqUEM-R`zqT*u~mkCdT(ZLRy?MK^)# zn-@h_fk~P7blXTWyG9bw=%!?WOg&E&`fCW)y{S@Qduv_RGMh&XnR_4{pMk2X0jt7-Y&V)>eaORsnmnJOsG(2{t4^$d2nxUS+rU^AD@CuK3ZYN^{0V>A1Jw zb+#g+D7|cis)}Q5(At`|4ovlh;a3sgbRcKY*#nof#OS!4c?zOK7T$&sKPG_~DcpUd z!-W#aQW_E{6hoK`WYhW>SIW8Fbj4` z8T_sR&1d_ayu@Kgmaon%=yfofqq9ssbk5nKq=J!Rb^nDkd zJIMCKAd3K|h}Yk*8Vj}Ag9ZUsM8nQV9b8746xwk`M~Zt--2SyQ=IL1D)(GIRT%z;! zk&D172;x4_8{+`b=qUsN2)v^&9U{81R(#G7SomuVrvt@19NuaimRbJ1t!j4z*DKvc z<+>r%67@0f5>s1zMADCj#-byx)R$=^Cqd`kGW15##Olx!KL3V>pjB}Y^Y?21igJ5( zK&ZSAC=0nJcaf)odUGdj)7r8Z_ne_=Hng%u8u1vrV*Nm3fOxF)6jNV31@32#A2HLS z#WXvOZ^?hMUDNm%$Up+E&<7tFxs55R&Ca*Ly0-m2Kl6p*C-k+67&Jb$#Ey~KA|+}J zG3E)(5_RAW&$gKn}h?R4O zhF-NO9zNM7towoh>c8@!%G!HED47bOttnb!22v*3uhWlZAm0==UeUqu;MDL)QBD6) z&24JWJ)FppylqEH9{{PR9^-2dbKk`c;<{@B=3Gooyn;LA=4X>=560L&&`> zN7opwB5F3Mm2!zgAUdeCa3;PL?*&?lioY_MRsB_C6<(O(84N$8*fLf+eh)%LLoYWk z`?;5xscXmAzQ-#@L*PV4oNK?P{mr+QajVHMP&ljK*0K9$=nOC1uMYn9=r#ALp>FOf z%)*9uhbJHGalYbJ>GPT?9{bbg*qOgy=eDSYHvEgeFd`tJ%`(gNkLt^?fS^l+!|E*5 z7p^P|bfaDLLJa@}j#~*Zkgw-uK!Yjhx7wHuMSxK2-Z*XD;`?{boT7&`?uJ70u`B{= zKpQ1Sfv|WK$c||6E${v1KgZ#3e2)W{>OR@|1q=xr+3c)&ng3&Icss_#d~S}6*HNWlLPdl)0@e&rXN^=#m-SYWn0BZ>uhnrFeng|rM{Xd}-Y7O9~1d)9TM9eCFH zE(TDV>elw*ndz|pi&A6U?c8^NZmpw#OxD^{?{Z`9b~!Y4!nD??a>}8xqmiV-2L{=McPKx0)E*ukfuY*a@So1_3c=emqXL^GnV@SPs=2hjp8 z(S|3T{_&lLYK}F%7rkPcH^;mGWuQmzU%(W+%+HWULrx|9{HG|2Z?FLdY&{E$wlOg~ zmBNC;h;RuvSa1inXx+LAM&NtDbduQ4PNm-;$y9Ft&98FO5O1uI?b8|hbh5nXXCNC> zbjm9!@ld-HT-k_xio3lkwhef=K-W@QZz8odzr80_&4{%29{$xOtT+Ju$a4TDjx;zCeq%|G_QV~)}fO>4amGBTQ(byLcv7iPsO|Vs(_>e{v zs6Y-e5yqIRk#b7r>ZcIUPmMkp8$@631SmqNKV`J0lCDJqlJ3yVt%H`WU#E$c)@JrG z!FTs{YJsTt=xD+4#Vok0C=$uxKsAXzusHfU@=N(xK^%pw300z6$Hw{E4nEIjzT zMcFe#&aLH{U#nJ*lEnOkgKMbcLw291h9U}9#? z0$>{ivf%n&&Lu7XYt_`(sU30GFAIF|PnBGN(sGwbJWT)QSr16ErIMJi}X)dE&XbhTs)`N^kKwX8__3J)vL zrHUUz_xfd{;lJ}j7F0AlQ5f#0LoC!+uEYR}C~l0Iq@b1%bf}~jRFI!d74gV~0S}%d z{*O8g28wad0^<%`6I!S{E3lq~rvQ9~D z>3&_nGcSjS%IyHz^B_Yd_6_Eae4Pb=zFGGn0)`5Pl*7xlrL|o|8Sop(7iN<| zg%{8PTYwR1dt^7_RCw;Gwe}j?C|L>2d@MCeb-%l~(jub`^bv^o!Q*g^OOpLN88vvy zjRS0k$9WHxbY&wE3#Q{qBZ-sEclG_%gKASoHw-D=(ruyp^{QW43V2&E4o%Je*+F`L z2Ef5kAxZn?>{wNwOX!k4((+*huNP>KOII3|AV?AIQh)-*QGnYixfGu7V&zN!5yWe> zaxi0|9$sxUfmp7u>RGsQ28$^Ry!F_Rt432ei(^4RGSSPLdX;BTSxk8th@A}Z-w1VP zr@&_eRR`|0v+u!60`}6RBv1~u1Hs||Vf$qUQOhEPG_wHZ>TDY51c0DrH_ApQ6ojEL z5p*o!(qzVa05}0pK+Ee^lK>iKF;2tX24Vo=n!q&@Z~&12TB@E+RgDyaVK+=+0(dFJ zVwe$d&^&nvLX{r6D-KACz2A|s5Pn%79)_vQ7C^M1W$lf-tXC8<1CAISYXF*>7$Npx z7r}|20u1n?!aGW9NXieAn0(=)fq%>yIdg;4nuioi+U&kJh1{K_RxgX@Qdkt@Hk%opt9J18&?I;y8vG%93>_LUTU?`Kx@^q z+#@zALk$=meNbCc?=BB1r3%~>dGqIj-3stY<-s4h732&0#?o?|O`at3KP0=GzZReIg(F5udlplv;BTOs4Ve#-7sYfr9a{OgCiUNo~|89FH ziM8URS{=5yqmHv|MrC$XCTmpfq;UiC0K5=)QpV+JcBc755|1HjCXoiw{|Wh0;HuF; z& z2lw!hQ&5P8G>br`kCC0=VN4Y`nNch>Y7OxiY_Tj2x^(T(HX)cAq+fY%M$u|<$7$pY zj~2zhwkVmkZT(t@L7JQ0zKM9VWZ)wG57Gf2+i8*rnoRV;Wx;Tf$KjnmQC6mm6A1#O zjwF6>ta+Ae7(xDj53ox_Lm_ENNfK8co&GjI`#PGPX2H@;a(($AQM#k!;IK%AtWdgW zvo6prY1`WW*A}_~?!0GO=?m+0Fk^gI?7Xd_kUV$?}E4 z90|CpM~8KpFa^ZZF)t9N0Nb*G)Ter51HjRP7QyEMll!bA>rx14GY2@%s-Diwqu_wz z8$#1IUI8t4Zs;;Ba0P(oLeD-0PXgX8Ht7GP$b;o)aAi17kI|@qGGIn0j;y5TMBGSI zP*AHI(6QI|Z_^}MC?;7q1sEr779Ky70dz1pD`)^IUe*J#o!aX9vd-jvuf0!E!)gem zoUrkFcRXRzNbo*+WI5G8TOi(Sd&Je&6aPw7 z54j!r%zS_2(BK_B%bS+(g$)8D6NF;{>Iw?kb7eS+1}&!nA7f4yfZRH{u|TWs@_HPp z#(`e}rwla8;F^s4>8y~sEXXY~3n&7jBMjDYLr4l{8jT4+cag!~0@<#MG81E=A=*eC z2IdVksp$b$?lb~Sb>~Wh9>6L5*w^F>-L1m)`@vg3j*N0|I*AEyUY5FgyUoV{DZe7ardrb98cflY4*&#boT6-C7Vl&!eXx@$w~`#VrU4&mtS#7 zlXPfW9E`w?o@b#aHi$LAJa!<>8wg$0DiTQ5c|5HV0u3m@W%7pM%Yq_`h&XMx*Ok80PWyJ7lu~Q% zPWasgtv>e~KLl(!HcTc5cdIF6|J8(2OM9jMO47lwiZxwAS%LWmuoZ^Os1TTK37~Aq zD2o^W@njVKe|3Scs|*604bOswPCeSyD5>W`)IV;~7A>iQq#LeVf3I3{$)1U}YgFrR?F{ zV^o&aJe}6G3#ODa57L*d=|Cvaqd?oN3mXI9C?BS0p}0^Gg=YId{u4Moyn8(rI0cp9 z8tGq5H~xi`8}2WVGcEez^FeU!diF@(907)xmiJ^1Kz6{rJ4wgDERrxdIS>U^l_PqT z#sEPenB(?3D~MqNn5rOL6uDqSiGz#!IS>~VXDj5+0)2x!wT_1E@>W{@lBRJfHcjLH zl@Ng>!56MsBOQ`zshTc!>bInJk(X`r1l}&y`|G~DfIR$4;s?@o+o@+3CIe8J?0=_r z?OtgJlT>x0Y^*3PU9eTBy{NinZ;|Y50r)KN00#D?r%Npm4e)L2P9NJZQjp}0{-j^K z7o}SRz;yL`$t$>ku?Y9sA@8<4r6buvpEwG7CJ?r^oHFY{0Gm@zT|k0BvK0?p1Q^JY z36y!1MI;-uAa^WL0_Fe=VxLdsSs>;|z6@#MzGqF+k^caqiy{0Z;_ z?Zp>hgQ4mDkXtDKlOhcFIsY?%>@irmVFmgd8f6K#wLB!ujKNeT9j&_Sh@YRbn-mX= z`bcl}xT^5*pl^qeaO{?gKG7cUjk|iU9=QKi&hF)lKvf2b#rG)RlW?f8%uDah{;lr> zB<4fLat-(&8VBB3p1AfaH261jdJdESdu!T3C`76!k@|?4ox6ViN#g}hs>}A4)Wy%$ zAHo8)uW^JmBGr_&*0^f-_jZ)IoI}bV`@XL>#)QW=7;UF?=M`vC%IS+zQsDk@r*(lWfdop| z_WjaFR4QQ#%vIf(n~Y1PfY5aku=4^AsFGUl27AvWOn0oJ3Y~(#_NlW7Ada>2cnJP{ zc>f`X{p%pHj3;1bpukI%Yd2NUzs)qvfluW1q%?N2ca zt46AT-d6teCNGFii6lG`)uW)vlYjrx8qlAE`CQ3Vs`n1Xmcc4?08VZ+_QNIxd%0gr zO%C_iGdpd4%TN0_=leXPC*_`x6hRyd@*T{Jh&lX3gnWF)jWIUkwvwm#>3e?f+nT-Z z_!}bvDu^3#`Ho-`ST|Ae+(k_=jQ98#w;a;0poWNuYo4ttLTZsS!6%kv8%9)29R*Sa z_sLT)OnUCDz7|F~3x^Xs{^o}cU6tYcX(@@U(j29uC6nhHGdLl`Ut1?$L9 z0Le1sMR~B~T$o6NVK8Xv2y7V7eWOo>jr-r{v0^U@)-+P#M0iosjzioqs$) z>8sD{lR_~#AYIWgz&6d9W}%PP_Zht~Dm-6=bq@HZk6wN!O{^+b7&z$q?J|6@u-*Pg z-e9ZSg~09yg=+50hGM$0f1ezt=mrBdJGHq+XqOCR3|AQ%3f?=fEvdHrD1&*F z=UcUZKSsK7MG%YXYIcbK$NpRH)G!jg4SDk@ZCgSNZgtkvwi02@_&ZUptw5P9`B?bF z9Pvs0gNIH-qy7{tHYwok7TQI(fi4R-1Kq000p{}si$G3|B{ep-h=BQdPZMM=Q_Jaa zCD!BN-1b^PMK+g(DQO6z9P$E-=&TE0EQ4unsAsFx7cl!ZbLxdb$b znxj&|vISAf*v0$kAT)xYSaaiz?cj?6{Xi&(55wi^)SX&{#9xZCJO9%}RzuREG{*y$H)zZF6Ls2ZQ2pS{qcsGXb#CHmGzLA`1BQLs){-UdVQ7qMqR@-`Lzbfts_vpNllqN{(51H^ z4+h^DkDpBf{tE%u0SnXmnVor(GRZ1zHlWkX!;9h_#_27a$u~X2< z&FEghM|plPG;+$e1OTg#Exhuh{Ogq*8k^*4C38ON@n{AaZskvMa-7+>fX$-dlBq$= zircv`^(=F{Z&?=520Y0z4rY?^VgbSPT!FK?U)V@_?Z?4^b9-?Rtu#B5)Wcow8mhVPDS;c;!uh4N|SV~ zyj#dD_UBp6V>Z^%>rJ7FQU|V!oxSgHPb@dXQ!Yes{7I0ne&O+;xW=Mudp#AL?^HEe z?%L$Z3KgsHA6UDZA^zrIB4YE6P)5#nz2Eir;!T_T$!}~&w;|NhS4!FUB-5$qdq0lY z7F6plii)60W+wRsC4ZwPRKgydmt-&4lrb?g{VPIX1|VQAT&blM0D7NFKIJy>`yBi6 zb3-6^Mdj^>JpPvv3(KOSz>3gZSr`x@PD_HEr~^z3lLg@NDs@Q+lSN;CmkF{oAf;oW z2{>cmC3!Cu3IwuOaJfDlT*>#}8&r8FT-u|d(JJEGFcU*%o6nUO8bLVG${a_jqFQ17}V z=>z{ezT`)t*{`hEEn7p)&-i9R@!O=3mUUz1s=(h=VvpRQL_uTbuIyK;eDjGJ)6Q4t zM-9E|VCLk){K-m)=K}tw!M=gl(oe}pgAD%=k8F$s)~St!f*a7IdZqQe0>yIrhHik$ zDYT7~$*e7{-w5o0m@aqJ#DGp7%CxTa2h5t%wQor@!Qzk1bBUQ7uq0GTXk5TobA&lbhh;a6mcz`EQP&o~l`is@c!No}M_Z zGjcp};b1W4ZKmk<7qw%Vf9oq;d#uSV(H433)#|%udcXN95k4?kO3foTiFGnbGZnTM zG#lz4Zrx2?esL7ItNQegtVIcUii-ae`1vS2I!S@bUw~pY4a`ysY?-Y4b4T_$w3=Fe zUbnLh0H}vgP0fOnilU&oXM$dVqX<9ykT9hcL?M}pQ9;{r$lR9{D#{@XM}C(BfYhbT zXQj&dHh@ZL$=R1elIhjsM9*4dhqxB#^^jGCc3oZFkMn;2&4?j-k`0AD z;@pH>eB=s@B&>^GEIPDFandwo=Kq}2cqnHpJEZ?DU#UhVDLKz`2u|9IxwnxW`}9M^Cz~TUys_(nXp;iO?PXbE}U0I90}_ROcI?XD+*6xpjDy{ z+5hIhwW|xEJ6nXgjHb8jXyzT9pfFkG`f#ceo2t~(bhbHw=JD1{IOP*D1ZSEW&9)KQ zkw86tuJx{hjKSnBo0vrOst;e|iGLTa!b1`Q9J;(lN3;_K0-gHV9RrjppR05)Bk)70+NeB8Gc%%c+D+*AEfUyGjM94{ptdfxV@&Euzk z^2MqBbjbew9AQlun2M-b*Y1A(5}L1=E(7LG^2y-5y2T#jA@Q+O1V;Tmqq%pUHzkMG zRquuUlQ~EzPv0~jLEDEIYnTbQj{8xBvC;_6HG2FGH{M!$b#T<1VPvIz*6fHh|A*y1 z@0*<;A~^q|mxbNZS8f(Q;OG1Lv#>J^KJAURi{r6``#_xB4%_}j$Bl^!xt|!G9y3>W zZMT&t-ZT*wdIG5G4^O>;%nTwTBIQ$WZK?b~yR%(sLfFp;5imWS4kiyToI18hTV+m> zI(Em#S+9? zVqB9CQ(GdGB?bB}2<4aGYL=k9Q5P^5D0(E9C>-)HO5?<&;1x1H`FGv$^`!PngoOqF z=*SWC{Cg_XOGI*;TvNezJB_Z@<6;VGqS()_L-U(#wbUEZ2r=Gb3HUbky77yW2~SoJ zuas!zDQoUtT~^NC6Jm22ZXHqo^mNy2`(t8uTYwH|#YCDF6k;L^ zK=08B6znl<6VPJJ?ZBVVeLBem9tGg`J8cZ;nZThg0&!FjqrjX>ph9@`ym=Puj4%8$ zplB(IJQQ5opB0N+g4W0evdkX6x~gDO6#K8_I*V_`%qdQv`Hh=(I!B6NcbMO~-ieHR zc9VZ^q^AL;>KyjK3mp(Tv2az-{+8Rtv!!8{dCmIH7Yu$Yl3RQ~k}vx%MJOu>ly8y{ z4iN2qqx551oa}y$wQI)m_LU@ovi#iW;RUnfr;!QeF{c=!LjO%K_?6`&u978^YN-ko zgGLFnnb?_4v#MQdfYpn|t9o@rX(ze@LfB`{xy# zd}}M}N~k$@NU5dy{EWphzQ-MqoOscH45Jj z8EKY|jS1;Q5{057n>ArYYn%1$kh|E{H`dZYwrX8#Yv=FXul}INqX(bQ-q-8>dS2I7 zq^fPvk=PjIeJFmnRr{2f%QNY`YVM%9bffBGwx&IXmlbz4V4b8bEO*!Tf;h{%!pOJg zlQ))trz9QOw06^xs?Rcd(P+(EV_nwAIK55p?}tOxI@Z26c8ZHwpKMH!9lLl_+ki4u zRp86OU4u_jv~kQel+1S#mdda_;K1kfTIvzuvq%b#d4d@ATQUKmvi7V*8;LmCQ^j9&@cQK z3O(&Ho&0k!#_K$GGsh7hO8|$MAvo_CAmDYJs3_pS#1au$O%VbX`@-8c83(v~Fcp+d z1Z2zqGrC}KnMN}!(pg#c60nt%?HIZ4Hhl7Vx3)Na%ds~E6@C{l5DN>$G7b6&Ti{J%%cfC8JPEId~L`co6iyQL;mySfaD>b_^xj(xv-DRlW zuc8XMKcDGszha^CPUT_U{KIp-^hE|G-&#VwD&K|ewG#i>U*!4px=>(^kmyzwXLffQ z=P+jKTKg_+)J#gatb_(6QRfrL0zPyvg;6m|CwjgHQ{+R;9cwx_+tP##v0^vL`v?eR zN#vj?_GuPqN5`rvU?R9!u9pl4s0jk(HHb7!>Pe1D{d@u+Tta@U@L#q%B|D&S&w~-j zn0^&pu8%|@6ikCia=i&l2@XA55v~fs<-Y>%_8&k5OgMLfXff%##uXe-m-rOeJ0Zd9 zwA9K&+LqLu6yS!09KO@dI~{OX6=+ct9%qJw1Yj`k_*Db9K_QbhxCLIdC!0I+P^TLS zY0AW4QY|078MrN`O#vFTBU|+d48M*z)sl;a1_@KG2@@BWkGnlV)LvtuLi|URRLC}( z5|*ueF$-(0Y!=dQNz&MKq^k&CHcXV^L+VupP75b6@=RZa;8{N{02X{d=~U_CG70~$ zhS*LA+^a#)B)JmY+f){?6G?PpX1aCBCh~-K1__R19^KB^R_-xhb-Pp$4I<_Hhzj+e z{}I^ApmqHegu)<*Q3W3nBchCO6x=I6jEF(t&030^L43RNq4&bxv~W8zSD3D$!JN-| z6VUM139C+pPz3Dd>3+7;s>uW7;y80vb_Q?FUxJEH&M#gbwj^`b%GyAb@mKebSf9rM z`5}3-cZ}1aq$J|{G!6q^&se{Yg=MKU`Uv&pxe)T0CE>3#k*^H`Ux`V|L;R@vE;IuU z?x=P(QqJT8vI}PXV`4Mxn_P!ay!r;zHmuO#5j`TZnKSx| zA_1s!Y}HL7W8eva7y>RVqSy9-se)tf0_eY@nZucy{s#tHrD7PFX-C2B)lK87q9wO9 zDTqjw9(h)azgGlf$)`Xp7RZD_<-B|epjVcS_%wck=ayYiMY~#X4yEV8=Qq4 z2j62l>^G{zN*0*dI_y^%B*@f4gE${0tax~x6$v#*9wn%fEynt2=g8B7moAjdZ}m(~ zcr1Gg!Uh5BBo!L@%c(Yml3f5!+bBN6S>={QnX+_BhV=*LfquILw8&zAf$f(!P!i4P zqc@ltB@u`*nap(sy6|iz?9D8c0LnVwC>|1jl0mW;Yeu%*=j;&9zBZq`q00qzO@gqT zJnm#Z1>$8;Ql5w*u*eR|4_r8;a)s}5K@qqoTb`#PsiFtRb6p>iV<7}stdxi`=G>cXQN5y*8=adW=1)*DPnN)!ZZs#@PNodNj`%JJ9O=s z9*B~`JJic0&k=waD1(a-!?S_Ykt(9(rlS%xRYA%O_?9x>L7OraM1_;N0`;4uyj?V; zf6}#~1thOG&Bcje_kmO>V=@?&I`+J8ePowRYkUY>m^`M3iX|Us0WJ-Jt-|vKi6|-S zybWOJ(8Zt)aFW2A<}7cp|zoEEFcP zXFSk!pqa~!l7o}Tk`1&J0w)SR0uWkw6sT4VBAes}a7;7_CU_o5>kkD3Bfq}KUPQ{~ z;dg=Hk-WxI#s3c_G@KRN0x(1@B6%_p#)t&T?~J;GU`2pqo&5X(;l>UX1Qtv4b^oIRaKQ2=NmQ@G?@PwP=<}Ch^2x)W$~j8N2I@&$9`T(i#CgZ;^j;@cGQy!u#a1#LIK9>+w8ROZ zNo2rl0-PLDk}7ZFCMzRn=X}(N3cn|Wh{WfS;zZf=-2j17kD!t%l6AmfJe2b~-~}21 zFzp?L{Dx4SZMNumpAAqqYqIt7YZMCeI`BwRK`h_hwMR1vHpAz03BJ$ zQ{eQTPd=c+X9x(4*UnFOh)74&)C?|oS6sxpwj|=1=bpln`x&Zn1x{l5bTdlMP`N}1 zsB7vi9fc;=y%hNO@++GUH6BU;9biw zHt1g`@H_RF{!8&jGVO?gE6ahsMD^7n^)fc``*+yrpP%|&*9CUTQTxsf?;wje;JQin z^9HnA<$i^*nG9kwQLGY*BN)=$5%G&T+D~qISy#H4am)55mZ+*e`2L?k=O%yTi%%AE zpFg$KrjwQTcTvA;UT@LU`sp>W)JRV_a5uM2ee)pc)zO%>+s(}eb=6E2NtCl2!Q8}d z2h6E^tU{b7Xpl42D|QTj7q&15v^H(dqcMpWR)r+qPs*!{v~0}wdl z94s+y8qY)C8l%qdINQPKHf>`y@t+!XN7ea4d|2m@`K;u_wO$*cPGzss)!qSqX$AbbuxRba>! z5jx!-ZBmcU`<0aE8W44nr}n4d>446Qe<`GC9_+Qz=Ge&Gcg={l+0gX3wJ6y>w_6eo$5+A1OwN`f^3kz;|6JpY$>`9hIF z5OjKyEf~!0uxxk{wyY?tK+h0W?L*hT=KQxWc3Z*PNr(6M1J2ZW8E{t?*k(>fS|$U2 zN&06b?ev~+Fj)0WplanhMRIJ*p)NJwamIS1Zrw^21DTb_Bc^W+B1t#>{!m?Fatur`pK1f9c%9&(Rsd6&F4a^7Q_KO{&BB!>(P_YFyky;HsM0=wSAQu zkHHR?{#L0aT4)rf14hoWZNC~ykh||8acQ=IJmznE27)(ywRoqd7w{4XpCZ_FAMsmGFRoB1A6=cT zjHH&X&P;hy-~-H@-a`RAD5(qMgI`6w| zSkbpA0#UrlV^znS%?;`CS`AX`xRFzgND`KO@WdRQ&vmBMg(PHrn@EY2Y9{3$%uXXA z)MH59)u2j*kCl@$zyZ-%x&xHoAu56gwqRD}7nltVz%ilsVdib0aV8)9vZ5_zN(R^v zLaz4&mhqPG3+i5k)_q33@~mE=)kwx&f|jv=e(j|htjyW&ks(Tb+m^|_ z^vL{tfb6!bzg5E*U0beoS01tMIDq4gjQ-EV8>m_=30oM-g|8ts_mr-F!35(oR=&}@ zR!LUTQ@xiY(focHsk+lwmnWBYxLKN~Ck2i1qa|?$ zM=vAGRLYMfgpLftFQ$>KT4yqeidjJ>L;T|s5QjS79 zfzfuou>IzE5qfBMvX+UHg-X|o+E`YD z`<&zK36QLeyCXQfN!Z~3=_dOp@q5!cBM|B3Wb6dZv4keJSB| z*}F-tMbG!e2o4#?8-I6IwQcm-o>ce#elhfrSbum%Xef){%q)m$+V>*U=5DIc{`x(k zm`|S72J|5ne&?G+g>5Y|%0ps9>ad)0ytu?(ynJReLRB874TYRQb6aT7v79*#9CW9 zIz$kh3ahRf2csPdEY&HD3l9xj@-N=j+{s<`#jd}9=^gEa;LA~qjEyZFmf;@Oz1L`M zMH-^3^t9VXS1i_)?1e*N)01oS)adFFyE`w0EnSN5HfuZW{ds9>WS5u2$`iYPZZ{(g z>|HkC^JU$No-2c*fJt2N6nvxo=Uw?4r`&w6znO()LzdVKImiPXLDnCh)Q=MrJent?fzHjrLt@LNpu2g`eOWeV zq!DLEk1|vGVBBs1TN%5z>|DR)gRe<-Y@LoM0`u5EKdWeB}MB4hpa9icSsp8Lu$L~;G?~MF2e&7v=DTw|2 zw{@#zJiR>@Fs1LvsLg7ZkVj)ch*|B$vDoN+lQ~t%X=^tNglg5swJZ2j`(V-VNiQ|M zSnK|&wxULlSsff}=FF(Y4-3$(rwW~el{uM)9px_h6S4?gkwdjIBH%rv%t_tZC7=}9 z(}!dUB5mQ0T%Xm9%CwqF41seZUrb8h1Mu$pl#(Jc8t{uCQ6yCam@>kFd~|hlm;b^# zpuYfG#iBCX@Xq9cChC62Y%`09^=6sI5fKTyw|LpOO%&}o_B_!ioVy9SHp?nEU(8bX zXkSybs{nsdJ9eT#E#hQ`??6t0jj3DKQ5);AREB9Qq<|}A++EtFT5f6>L11hfQVi+m zL~koEwx~izQ0E!Q7Y-*=%b%JbycqjNXM3RD$@(@;zmUct8-lR#pJlf*mC$|Y>Z!d! zD8Cayp8NuGLgC1x%xD9A9zhiG&kP#g^P)+A8}R=e9(S+pQj(?B45F0a!C;zWfJCs2 z3&JYh=hrsN`dMZfRleNr&Mu9f5n(pyVP*Fht;~OzE6i|k-!XVB;><8e2ZAxI*V*u$ zi-xChWsn{0q7}ofIzcJiMPA0eUpqq3#sdW(ZQw=MRSkZ>Rgz;`rWMq~mzrMa=&anZ zzQWXYi{tm9-!Bv==*y0;)-yd`w_XOZa>;OCS!X>Xh3oj1xz~l=3+3;ZvD21cCK+-e zSBlfuebbVnw*DE$!Hee2y~Q;dN*PX7o5v zDDNWi;8KW9<*1M^XrktBYoiSTAz5jqRj|8Msw~f>yuV6P{w>;$ z9=tC={>X*J83_L9*|gC;CRx^_$D>6+#C9aeAJK9PjuOQ3et#0Z$%kQI%%hQAZk|ag ze&%CKi7%&MTkzM!A=e<3hkqEO48{Gq#?kW+! ze*u#7KtedLM!r;Es#L4|&f7`98s2FEy}yUi@0M`?IAl@UvD4>cR-JEt|1@WjTKqPR z?(b=&$y5G%S%n|!v&&c(LIZarwx&8p*T0?A24DDy6pZo3*D|h9U@SiaW;qZ~K zHD@MYhZz`fCnk_(G!uoo)vo>`_t(!Ii*9E{=M*JQZ5ouh+SHm>&R6{PT!XJ+;4U0o zo7+}Ncd*%7JbF+`&f1jI1TJ%~`S!T4S4z73rnb+KTWtxfGzvKbfy*7Q-y7RaA*CY5 z)kB`0&z$rp@Fsnue~O}&WCmrS;WivoV8OH#{Qs|@a}Uj;7yT*P@4RzI-TkvwKI?@6 zd1SoWo-(1LyAw(9$i1fRs2>u3hjXW`F5YSN`YyZhJd62arh9#CLsvIpK)=`CE&%B= z)^YvNw^Y|T=GrIN0vu!~{6UKz#`A>p7IZ8Xa)}theZ2w70*nP(N7#QK3r}hIBZliEwGl~X2>Afprr|P;kL-1I)7wxejz+; z4=c7w8s#ye?a|E0Koxd;z$C@&)x80)rbj~CcZoUcjMVO2sn!U1aj_s3x4O(Mz#X?L zG{4PV@};Y=W3{}45QOb;+EK*GXUQHGlY?{*re?czSfT_{ec5-0HP{1NLgkg2M=tSi zbu>H#spuTv8HOmCMI7aWbg=m?_V*`VKgt)!pzmtFQgq<$_Z zshRu&GXIdWr)NQh4NhDdEY4#Z^bI{o%8LfuNQ!Q-UoC@=va)p*Ux|ZX_ZoFw^@W|c z!|QUIJ@~mr^XodSVsJvQc_aD%D`% zmZ+XMmT3F2q3*ThP5Wr0*n4T%o$_x!&!zrJ*$JhiCG-Bj3uvnzpOW9*lr`l5J}%8u zib!Rz72yfC5CY@M_v%cDr`Dg9Cf(0~MAJZP7r%!SLVH*&y^Y(anQ8cWV@WlG;87su zz1LiVAXPf-aEtLz7}x0iN?<6(4HIPS6;Hl$;0iEPBAkp7w-Z98R_he2Z?4f50jKuZ z(RjLokyJUBRGBfyaHbq~0pige09)vn3LhmgEL(V>WK!VQpKX|S>}o|yBa zOLAB+g4YJe=_<~!bFBUqL;PvNZI9w>`;*urh9(P6s0=;@Eb5#xa^|-YfNlx>tUsDh z16_3mqg?tdLeEf)3j?k4zhG&wSe$HSUFYm};nNp2P70R1GQuHL+9JhUv1uOSsB2wM zBsXl{i(_k8sQrz@QwFe(7ww{qG2MvDJrYZna4uRPV!Ux=7=DUkN92k|eKPu@mHF#m zF>Uw!xt;jtpoW{?mGP-JC=k;5Ey(-s3h$}qjjk@frN=jm#ZzJ8%_IOJt=kGM^wI~je{Vs&x zyb93iD_|AO6_k{(bn;e2YC!ySc52rsr(kL+Awm>&B>&TopZ@TA`Ug_vNC`(UrkB*n z6Fqw48Tw&c$%5*ERnSb|`x1_HB@>40gDujn`Q*b6s#q{$G9tSNWN`N|K9Ge>^8-Cr zj8$$9DwG{Qn|+^$%OxNpW3O%5&K|zTp6TS1vD!(rBvwgbdp#LKV-a{GQV6>K$*5rx zFha<5k!;~lCs9J%HA#$zChS*bC20A+8quCu64oV?)y%g~JlOP3^Tbuss>)-me}KN{ zfts3`o_EDVtlxej2T>xDG8P`C5A?p9z@G#aaoz#^1RhdJ{#xfl1n@P>D41*^j+Bm_ z@!kd2lu117hBk1O4ODh}uMm@=IXa)^57Fc+b^TLrC_PTU-L?L&PE7=s3syUa7(yh| z{R8DyHxu+cu$pc}tP89gt}3eOFGZJ#4G_T=l07$5tLhc#T3M3nW&{GuXMl;ZOQo@j zCZgcb*|ON03Qr;d*%n)N5C@P9+_d@znrzN6NpYm9o1B!kN#06X|aU<nC@rN8thDiaAczxtuYmAz7bgq zw?>0=m7;}Pz$PrsUJ*Ir#DgEjc<^pbP@fPJ}5)POFPuCL<1ev=d6) zVjXw`#jvK=>r4r(th15>6oA(eBnsK(9mGBSH$eDPk7^`tO(HQ^3=q)Ch?D{~YI7us zdq625C$i~-gnWM_?u=RSZN&LRAfo!op+qa8L%ky0b6GDfrxt%4xK9$;P&Y%OF_M0U_N$hz4O*B$fCjBqN=s+!&a9XRgm~K!oW3G40O%^ zc2`1Mk6m>XE3bPi!o!Q38GO-T2&Iwn%C>=^_5D@A_qdAcW2;QW}}>74>3Q$zdR zAb#_#D|Qe@r;=u(X$@v6DKayV%YTV@w?afx^E0|Iq5c7?;C! zrGiLtNrdgl!+o)|u4@YNLN)V`To(lwkCtLTtpXCT9(e6vk_s-4z8 zj54XLT7Pj7(s<9Q4o5^Z-rE2+G?llPbi}=Pg%#j*vS2V%*u`{hEiEkom528ZoXIPk zGVOSIiP-2emlZU;=Q1q!Ym9Vq2iM+>EvHVN(=^dxF#S>YpSdSz_6X zXXUBsR)4^v*c`~XmA9~$%{BE~0q4SJ^VJLhoyPWj%U1orZ3L@k;8&lGz;MGmDG?Zw zu32hE%DlU!?L>juNu>4Eu}hU@n5vuD@2i$auwYq|-fwL=?_F~-X{qWBMZ!$FFmO>% z`qCt56UJ{z-DuLk+X`1_zm z3M$Q(1Ox06uT^hrX3m^11umk2N1kgH-14~H=*raIDQZaXt~7|hXZ@$Qx~bKmtYuW0)_o3Vi7{ij-CKzEe zlYhUIjELhWBOa>O7bzS(q*m@? zg8N)ZwMO8}C4tte*p7mT)92NhUk{)cUEY%1%|SRj&p)b zNW2craI_GSAnf6Zs;Tn^=F)}fjf-+aL>74x7T#KnH}5Z6+<)2n(#`SfIr7EtH06ex zFhmmNk#*Z}oYSqm@U7dG9ot{TpYfDO=kRxJ-QFhE9_%uUNaQWv zoqI_G>$ko?9h@0JHZbJn9`3IK>2Uj9eLv>DP5p7i;a`7EpLXr`wvYPj5Qp#4?FkPm zV;8Hf&O@p$EvATP*FBz-r`5{q*Om+iJDU7C+K}VYP&_t)H2Jv5<;@o^>TQ6x8#=1{ ziQccCoaA*qjH5}$97I~jzf&`^$DFZ>bFbEmlql^jBP8)0AOrxa1`F7kRhtV)=F%v4 z&yb=W^7WZOX9E0*sjXpwRB&0Tv1|Xhdg+Z5o18`pQs+8ea0|}Ozr1-kLF3R`KXFv` z>qq;Bu5Gm%i~e9bsV&*;?`3}>M)vESt4|3*a#`%9$-dUVDA?o9fVD0?Ws=Dqh9L7`wN>G0r-BBQ$=sF#m+hGvD>{;U}6O)s1?JaZ^9B81n|b z_qfkXUs+m2i#WGJ`2I5flTrV(n_qucR;$1ijq>=NRHRVf1N_(6MROagZi>4*ClP-> z^@E%GzW$=!W?Fbt(E1v<`A1=Px^b(%DiYcD>$+=zx7we7PG!-ZZcU!cdf>9>&ygdG zyRJpGQ?v8a6!)%=uYj(-{@#8tTc*~wSnZ($!Nn*V0nj$0p%2*vbG6m=ZOvM7xU*Yb z88wgFnzGF&M=jzC-Zb=r#$XXtnAOte{_+(?gZD$}W@$3TA!P+Q4$g0e!N#mwj}i)` zobDw>u&8HT80fnbw7kEQMU<=(O#cR1L|fF-tL@9Zp1Ry^C;y0YO} zY28(4y|GUf7;n~G8-0pgdqARb(o4e(ZmfNEp9t}3YO#j887=zVcCy|sL*$OqjILF& zJOaIG%YMEwNp$G(&NkZRB@XozBd{|7CyT&i+N9N}T5Pi{g0Iydr3k#Z@iMWk%0RmPE8ITi(Q+v|C|$eo66X8$GQU z8l+h?@4fCW-9-h=*7xcboIebI=3UmG{(pA`5a;<~WrimDg8$piYjuw}t6%(pNOJXl ze(3e{y_qkER<~x+ec^4LUAb+ljNqr$N7|P!ngDT-%_Mk)uH0Y&q!SO+ zP+%ZdyjZTsI#^#P8?BA10E)*)ScX$da#k}*?9$wgZIVUEA3R@{EvC#*_XzDFkEvsr>Y`Q0)s0y56Dn0z z&_8Uj6bl`>{h)6f$Q@O<{IW!ta=I;Ot*5q3p)&0%T?PzM z28Z^Ux)yD|I;p=l9%TNy8;!pz&%h~)nZX7Nl?L4%>-CsG0YEJbHfg-ednGJ577&O5 z(K zbaA#>)?U4dap2-@Q0VkdBOz;y}m!h^>1lO-< z#lsmAYpeR6^L`{i)iW3-;dEgo@EU6L|M%R1{4d1-2mmZdrKSr_ELjgGLqBL594X1{ zCimJK0R2IHPPdn_XcrF`Dx8Tl9Gvy34K&AUdE}lAc5nrrLesx*q~a~E8=ewpi=DNr z1Cf`Z6JiYDB+nihdgNPtyy#q|Q5n)CFL5g>M>TLOUc8C%?#4SSZM$Re?0)b|&;Xs_ zAQaj8O3=*>+`6!;GB}$=xu))ZAqY-yx|DHDzlVG@9v{NV3SY5unts~P5*_e8Eof%b z(}L60KL%YNyPmzcWG3sldArqGOu-FxJqI~t@!+E6FxYC?cLMK70ioFwf#qg8)Vpva z8BWa{l4`*UltB{M7@fOG0rymKrjk5u6sf*Gwrp9q#Oa1f;arR+a4qfnyD2Uigc7X&KfHVN%fDgnbv!VM@^`lUk@q3eqtmLMw z{m|^RD<>AMY2K}pwQW4{eEGA*X-u!HSmC2m(>3SAwt9#lL)*@k^8`Ee^tOL!uJQpb z$Rj_@atgBczl2||$~{njW!G1Kd=g>8WoRn=kNA4Qg_=|_x};>Lw{`pnU;p>p9L=JJ zHX>rPPUxT4pH_pv#ebyC9^3CZ8HNTML#);cl?DVx;BF6*J@RDKlgDL) z(t6+nLQ3kH+CdV{Q=+EQVD${Qbr=jW-gS0*n(|X@M z?>718*{UK8ZK6Nju29^c9ob%$D>V|=9w|U0(%;sxcNUL?f5|$P7+z%Ob-q>5E{Z1n z>#lo(HbV}TJ{jALe}7vgBYFVin-y$ES(Hc@hDelc zB@kP;a7Z&Jnm@3z)yAV|~(Rv_m=AS7yGHgB>xp0k4#<2t} zRW##(Gw7~;?fl&8a`@i5E6Ben#+BPe?ze5Wo?QgSXT)0 zk2fUGEypK&z4P{u?_*BZcDO!kAB#Ji9uH9%l$EH)>27Z;{%Qx#dk0Vm#G^3Hgc+4E zy~~f~*{r?oL-N#7gHQPt!f}3z6vx<-Jle-fCwx2nQc_MzV&o9Y1<0iTHweg5TE-us ztbogPOAk1sq}G3mbcWADbB!aNd`}|dw^kUxi@g!B`C`gf$;Xt#MQ3)Mgy-8(e|tQ} zUhkA4M0henwzO`1+A{c7^qQC;Qptf8AVB^KC3?tmb=c!8f35x)-8O2Uki76o*`*ni zZ0k_)cF&){%2@Thr>^cPr;gW~4pc$CTG6L2dz&Ybgc91z^8^Jxk3veBn~obE^#}6q z@9+|$2eOIeg7nGieJRx~jOR1T`^de4Fgw<{zuCx1f9lWkrHUIdWbh6X;(3^p#HN2# zIC^mKYeXOw>2+^GHYb%r>@Ym%s@(*-rUof3!C@1S%e@+lD>Ky|C}9d!1J%WU-d*+- z;7T_TU0ArqZ7(b@wgCGOl8JsIW(7}Uv={@;NOBoGCon28RQ z=zU;I837%)XE6RB0XD~b?Gu`4`VmcPJ=8n35+}YA z193@RA>4^YN@I{`$Cs7xo(3vb;=0$2!h0-BGsS-G)H0s~@!@-l^SdUjEqHiKRt%Il z3<+e#W3_XMQEU|K}HIe6}z+<*g|fY5Wm@9UVV^&Ifi8sEN6o(a2Q5o zeJ1Ws(|OvesCvcofb8HKU+%^@x!iyzjYP_I4T&j=Y!f1KttEYYHkU^{r{mz%9BjB) z(QP{Ek3f&*j*Fy#L`#_Wbg?h_0Hi)gt^zO44ZwD*o_8egaThhcoF)5KpIh1@LJ|KS zbg>=1;oFOM4pGUtCeT1ONosIQdgLaxN$?jH31l-Q;^}MY)1>4zEqalSa^16;!9s#m zN{-|+?JG>0>ygaW=nQ#tmjH-g-|tHnvw}y9t%N=f`%sl0ADT^g4`Dk*5Jj*cvWdAU ziAQd>0zK?f1kEav@-FeL7%~{y+=JSnkA@*Ax2vj4M8OXZ6Nys>u{~sRS1|7AuE=J2 z0O|L8dTXQz4Lm)mbf&?$qlIlo%e~zHLManuPLCqEhrgdiFD0TAx8ne3_Nlia@f7(l z@TbjsZL{I$e;x93C;81BKF{k4u%jc83AGB~{>LUF8+QIjAc|p%V3k*XRKpC*p~0@p zU~oBx`WtEW2E2A{5>OtJf#mxKH|oWW>`2$h-=GxyZg}E7@V-DXmsO4r6X8T;MHJ^h zV$^ixKGZ-tiof^AWNBh#sY{hFE4t5MoAhy$8w?vYJO(||6*I&7QvdZyi1@?m>zuF7 zc0^dyJ39a3ihVzRL<%4o_06>?3}H5;>5w%yWg;XLiFaW0)>^+Jp1Kx^)tuj&#UkF) zi?|EsRC^a`_CAp7AQ(`Vh)t9@vw_Pk&>BaTv=gR%V42~bczZEqd!MM zM1!LWEL73qX7))U+UqLtm2H9%#>evd2VTN}>2Zql+8{d;x`yX z_llm!tlw^BU+oHm&k=)}Y1h5<4N6@b(K1taH zJFTW>p5R@<#PWt~fetMZ`R<|{=BCH|ktGs8hHdj z9vI`MbyPEW?G_RX2gXfGi~gZOiOnMcl%-K#sQ@wxa6g6P_6N=HU^t&t{#LeByi>K@ zRJx?aZelXs7X^j30z-H^m)WTI%73ArCyFZCE4$cH@A@1OcZM`0r249ytEH2JUNL9-NrL0E_{J_m-xs zUjSYzWiC&~J@-HVD?@|}3#4^(y9ORS&8Sh_s>=KG0T`;}2Xj>#nB7PhPmtr`^-3SO z<(i8%4!*njg3BeDWqSkY$bxBa*X*v;a+bl<&J1gA3`Zn24Y!sajCY;6pYe?Q&zp@4 zPM1L0en}IjYXyW$=Ik72Zh87xD-*}rSeC|t$z|}dj!Xtqroi^2a z{A9&s@Uupq7tsSqrW@t7Fe$cX_&z#2fB0vosgzSrA#X*NeAtk$YI%$t$FIpc#1myq z`g;mzp>JeBG5k06lmd!3L8W0PeKQ}pNNj6leG51RxQmx4HMiXFf1{HV_wcf_=|Q3D zN!Z{8_iw1DWsMwV=eN+VN8xz|$W#OMM+8xY!_9_wRkw+|;Ql-F-ccrw2RNRvVx(IE zn<&iVn|fY*!1ae`PgPcxH^(G1#L1(tX98!Te2Tc&$~KvYPvR4s25u5%2NBViVeTH}I%jF7kboBPM!LARa( z3p;#}(5|S!;6}Jhj-{TjkTC%LX`$}@gDN?>QM=eqM!iqiwn`u$beuU&~-RdCi`3QHA5_%NK&1(w*O$f5;??t~cB{LHQ6s6?Q& zL&&)1!`j}<&&IhcwNqQE%^p&N0Qc8lukrO>w=`E6Gu~|3ZnDy@j(HIGmEn6zCKhHk zj2%^}c+HO*mOu^kRC1oM(DD+0dEqTZL%w%5A9SQdmUqW!=|p5tT*+ zht^}cFI7?Un1|l{&VObJn=^+FYY^IYba?FTj7P*9;muk8($&HZcAg1G;js6yNXh;t z*8RV^zq2X!BA=&80CdA$xeoK>jImj zUXs`Qt=`{UQZv)&a>KFp)Kss1RvxcKp^Zgr(kxv<7}x@k zA3r&#sYQ%EZtQz0k$BW>3(IkQzH8aNx)(k!*Cy#(8GoNVu1I;(c9iEMNuEhl-fvVm zFs))i2-g(DnmXYJqD&RD@3Z;7`xJ zC*v!s(+k|U-*|04vbP!TygXW-&mGVP4S~s{wP)pP9H+U0E*Od zc1o=>iVg5k9pB5P1t0t2#z9?II<7Z$cwjq|#$x?X#-DZ^t~3lP5$HOfJNj8_%sX-& zd*}xcQO;8{%V3;LQuFjbl@tDCtC7~&eOz1ciWD-1mTud>z$YlqWDJxGXk5_CM1r^# z69O;;$fS+k;N|)SVsZrm1f$bXljx-ig;e=BWsh@Z6Te8pTA}y?=Pb zrpZteKn4p}Oy{Yu(>fA}2un5GfQOm4-_*+fHC4YX>jq`JqhWB?q5oh7!7iE~bzSXM z6VD1KY?;R&YU5NLnK@ln@m-!O6}~|`ibH4`c$ETw&zA4o!)6CzY2P2*R|XHgS6=&I zFrQK1iTZS3TtBudb~;6zF&VuuetPlz+u&jg(gLYMDKFbkeaHTZ0+>=ueEz&_L|G)z zUFv-ylq%dB-Z|ur;5~~3wli%bqjK5=U$i><8`bm3J%1rMXcgeoaKcW$f!7xP<$p zW8C)4vH$YR4jsR<=_wQ}h+{Y(URouJK%^H^#QyYT6cf3A+Ve&tg;6GANjHr+$k9O{kf{~qr=q-I;YzK^Y)tQozXY`G9pf&IcD_+2>rINZ@+2xaI4ML#n_x^9rTJcwYDUQ zzc0ZoQH5QU`N-NE-gW``uR~!(+@-N<#|sDAsv}=tG_&@!-F=Gty2p3F*^#eWH!=S5F!$Eq-4*IGSrrQ?a#LW<)d@Om#9Fghu9x%C!|LU*O1ZP;EjtFOq zngGN`wiOURQ~L#(yqG&SRG^1mcR2IE6p4(1NjoDqm=JS8+~SA^h6~GQ|KxZ)hVqQ(lp>KG?(pSav+DbA^uLMGmuQRxx3`y7*adle9X({53ht4hC zclzMlUDO$c!L#nnt9-Ek$b9bJC3^y|EowZ)YK(rmy0WBg?stn#cI$@@DYzatNM&fzc2m!$$N-S8Fq*I!gLDxUx;<5+!Py`3#p@M2R=Ft5g^As$K5 zO&MQlS~*nQ+VBv) zYWJ?dx9pA3?9;Z_Z$^K3z>S@RT)rGLvf{K|q4|^mGITSke)1#z`2)_ezmGP&`Yk{O zfz5yDI>c)2xsL$`>ddbkOgnE%HWSM{{JXd+2~61pgO6n_)oX2|)$Ey}jdC~sPqmCN zfL2+^a7?X1aHfPgi`VJ_&{!X#5hbf&4*V5=W%P8W^+1^CB4Q>XV{7*CP?&x;P!6=+ z-&{=QEMo4}3I<-?OmxfN@TP-fbqY%4;EDdC5F%qgIUS6T2lD1bKRd4+vO*Y*Hy2(M zo;UQ-{cu~W(%jL>PmPKS9dI|l)||Lxo{eP-@gp(5dcNkP{yWJJ)>VHgaka-PS@odA z*7@JWvu31;LF2$cl3T|_+4;z1%SFaFO6jxs$*Wc{LO#yX=sNrvAkNj#f1qBSiodWi zdhod|`NxkBz11zDM(0+ak3w6#Kj#!(v)9LDJh;ec`fj;mw7Rz`IYD?_@Z02e3gz6- zZ$`qGXQuT(lGnObsm{nZQ5{$KH&+d)|aVzE2_8{FNz`;87Lb`K4-S2h#fAU|-M zC`X2@LakrvMfEy=bzwY0+cqt=Hi2mQhYnS(%W*ieQaAUacR{~mAaK#T>xgvn?|Ia|a&c?yLjR)?63n}S-L(ub4N^rQdxK(?;C$G(A zr!i%$#RrVW?#r}lPyaWEO}Ji??2}E8QV-AgxVxJE2prMAF)G+R_`N>r72=1aO5Igvvy4k7QOo6MuZ93AZvLAaKuT(xTPhhh24hwdiB8?`}i} zfxb6i#UxaHF*N`idrgc%K9C=A0cqWBnYLl}YYyMFp5Ejd2eJbdhUv_)!OahLfTe@M zctv65&4J0&+|NjGukl@0crN|vmJ$6d{~5KF6=u0{32dXGiH%Z*mP>lSIY`Gp2($|? z={H_`l>O2gv$=_=hfS!vbTo*KJ6GerG|2W#oC$Q{x9S%3h4dvWjl`L8KmLr4tuiSR z>t^qYjfA{;Of_j2^t_UH#Uy@ju&w^o>*m7%%q+cEzuGc>$Zd7*t*ob4)Yx9XjcWSW z9Ng#_AmBj)Lql(lEsoA2{ufl6Z=A8Dd7a+vt*}+^Y_yf5A2sw&u4~2bEsvXMZ7kUn zB?QLH`<}W|cODN;7}fsgbupl*`rSu#R{@ybkq_zXlZ5Odzywx{&cGSG>CTZWXhKK1 zrZZmh#IXlELfQ!Itwj>SL>wNhzr==Fu>_oEc{s6T^1|a^;5HWbq)a>>2jM6j*moOs zXhYV;n?XRS)vioJK#lhJns<hkPglU-!VsFFHT+3Hn@-tXN#||h$7BK7yK7S9=J+K(Z zPT#xII9)6=zt%de1Fl}zp^8quO3$*mhWzz$FI+3w!4LCoZ%QyR2`mFc2J_dibO0S@ zFKe~4mEJz9bN?gsl4s`cvwJTuXg+WWdL?w3Yv37qsnsL9CzHB?tFD2KO|CA37zAc& z?)UcsYSXYT3zSa5s5W9KhU6#A<&0tQrZA<#VC^&WwP z+gM{u$iE&pQhg5G`Wixbop;inA9CP|4m3?m=4o3M@Qt|xh)cS=z*U{$nZNEodvg9V z=(R@&r-`Px#&{g90**acBvFyjw$v4ec$+IQ(Q zrO(5>VTe4kozCXLFx_~_-ZocTwp&Zl+T>@4Efa%Zjcl>+N8I8%o*lf^5$m0)GmkZI zTc+V$;4}dC17M~ktydWf>qL4@7DbfgfE=4m>D$XBQ;0)+VGgW^5m+m=Cav2)`Gu=)_a8XAv$}oPAs04YJlZWGNl_K0nOidYbOF)l&sYYqNWeX z02T7za+Ze?PAzvcl7Ls>L)NvIN99M~y*z1^>eSY9?(6oq-sezR8-4QLzVE-y>e5{Q zmp&hxiH+-czIO-T?{j)LKzn|=zMdUs0`W>0NgvifxZkTkm=U;!{9;sN!awSY=!zbT z)lHQx$TClv?vVFRy?OV;$G0l_R`9PQZLX_o7nFpU%;CH2Vy)d`oX#BYJz|Z~3Xrg6 zL|qO zZHNOd9le~=rF-?k=4Q9edR@CI7 z4=u#tnupX0pE8!YEdrRS4WSIPZ+E69a8gfe&YCpb_6YB+qrM}vBSjWYRGQa7dr$?T`7}y&4$`> ztvmHqaCm0*Pig47Z(YAnl*Kdvtw3dQzBVPcU-AV`-YomR5RQ*OBWVIMo;+`lkktu` z-DI{Wo{6j&{Y>Dfs*Pn}!Yvpq6Dz^GA;~rcqd1TTSr5*qTB5^7zKEz3lFnc^F0m6! za+{1doEdulrpaO78NaqGE zmXHPme|5F~g1t}~5%xK3Jz!R(c2AgmJ0s8)L$AW>oXm&WZZp~a6AeZpc89ZNUti(0n_bXF{3{PtZK{;`7G)Xgy>c(xwp!GI{5jm$k=-Hw!rxv zax>Z%@ZLa&MOZh#xO^|?hPwCHKl7W!P~_fdtqNN)3VFL+MgWVKKVO2Y2WU{eJwdrP z7mY3CSqDmfxurYi5lw9A_>)5tlgG2I`AZ{eA-hVi+jdbcT;l?MDKh4?9HX`++BhWZT5{9 z3ag5~&^9q3alVy?5H2=C1SY#yWX5=_8yDk5l(@yq&Mcv~P_BL2>W`vay{ZB_Eb^fy zDr_|kd-e<&n6J)|e=Q|9uHwUAq*C?66;vQE#f=&DIVbTHDIPAtm ztXYgz2c7lpYdo*j4^OcaYoB{EwBGjyKi$(p_SxkanOpdIni-TT671tDj$6E?$SP5 zF)$Ty(Oe%}XmTC62&=Wn7GN9K)sra6k%*9_i6}sL?Eiy77e!Kc|JgBFRzs%n5nui? z;6QihXIQ^Tc4DV=F_MRQwY8MXIF^<@wVAA-jRK3lOO}E}ZAYkFT5y<5*F7wma=K&# zsXPe#Ic%$%7zeftGmYkgT`Z`Gp@A~)I7-pTCn&B9k|X20oux@B9_dN~U`+DrSK7Ea zGG71#2S+eAj@KA@AV|QiMyh7Z%eU9_28$jm@w(ez^iN^X%w(RVlN;vzh(#J0yiy6L z`uQpJ8g9oU>4+zkdd931nRCKaD6L^N!+)ZYf=p@MRbTtdf}p;BNm7+i(**61Lrm;UoVZS_ zDnopE-+}72vuE86fCPCZmnji728mD2$I`)+612T*BHpd}+EJ=l>hAtWJKvyZ)}6{B za~@550FM@vK5G%9q z{psW&!s5_}If)aYaI&CYNY|hB2OV@9Qj(-e&&oI>MT{pyPGasI8cAi!*+@y5wg*rJ znY7rXs$<*J1`|vwmC5(eqL|VhKWH+5%8Trg+quN+S{{Q>B!E^0T52 zlA;`0Y+m!Xf|1%8-$9>JxV_{9P_E!mxl}q>5cjf-8173U^HV^FN?Qr;DU|kCk^Q@? zpaz2~SQv?Fjug@cAJ>x;r|Ms}XzUa~VKzq`NV6sjT&kT&B1Rhd{38y*0~3FfwkH_@ z{^_11dw{po;t_+So8&qgTrFg0Q#=p^S2rPvnZz045a0puzjkCm_XSdS9jQmYg4@R> z)jeSufU*qoBQ#v8KLDB&0Lb^)roOsIlZYDhDI*=7rI!NBzo!8Gq2Zo!#pZH;=_n+g zuh|m3wBzsMdCYN4ve%>CE45-Gerk9CxW?$4)9Yaq(W%r_s@#tMHxsZKu)aa&@o!eH z1G1^iFK5Och?))+{>qe4+*b_yIwq&Hl7hYe@04D(gE#`;8F4du)*>@2DClxllV+zr6!h1aH<^7gf6zYAL-M_ggD%He+J@ZgG{Z z5ip_mR02)S3D6cKBb5Q)^T6Rr@Z*d8ROF3Wb%4SbRjOE>vZSWf+w7HqVg=|3P}k4t z_%*7!mt@!2D{WB>|{#whHln0=o}3gC`N1Aj2vD8QrhB26s0luhw@vl0>mAjNORUwwXJ`;2oD{4f{-bc`8RZLz_HN^O z!3M|~-)&*6^+7kvT3z2d;+8z3^BOm}Sc&#N-*K!8PHH%YYRUr66M&L?jE;$&)qBbA ztU}{rA76>=@WtXWU+f$dBb*kIB)+_pA!)Su)2Us|mB@EY6=abYkL zmeg{`f{o*pLthcU6aNdG8PRz>4zVek%pHy&XFq_pNi%{rtXUr+SbAmqG06p!a7Z2##~ z6p`a7jJvUvqqF6-u;TQIOAZZLWZ6T9d;!O6YzOZasX@5l;5wR#%=?`G@REjGy-g9+ zt5Kl}2dmWewU1VWZ(N$^xp(|dhI8N>6MU!_UMu-+k>@PjRRi9?D?i4Qt4=)6>H?sJ zNhF4(Ebq)Aw0E$x)?T%7n4*gV9#^F%5;#n2Si2bd-wy$qN7n4XQ4eLzP9icTN(a7% z3fRYSqUJ#VzP`+o(}AbxIOb%n%R_GU*5S(c9YfzNzx|HPZDxKr;l{8o@cJ+X8Op1) zS{rH=PV0QHI{ng4tso$);>Nv=F=&i35EOkBP#MAL&<)~H~vOyL?c!X=Nb;=4VcA3oIcl@wZ z^zReMwQH_d)OiLmYfp^lJJm#Ve7NLL;P&+3;zC;X%COc==XHJ`8xI=35f88jN|O9w=r^<0j%6;#n|Cgmy5kJLIOUOYFhy8s$(1eA4oir|IS==ETgR=vTO9 z!M#Jl!%N!qzsY{b-43n2)$@9A1Ol~p6g({OARdSWr-IJwhwmiZ&dOX@*aCUAJ1oGKH0`mqdej$F}JNHcev}CStF+8Y& z4LFM}o2S%DNDDeN+H?UQy=+6rhJ3M? zUR=4!2b&efuN`}PB9x~2l`TV{Kk=K5WUZI;%zA*z@QzRqE;Di7geXQxueA86XfeWoE?Jh!9g|CdZiMtG*J&3o!U{ zmH>2iy5Nh^fMVlB2J}OuV1o&~MdtJ3nevQi$xO?;zC+OUN&?;5U0tqydmUHa?#PchiDdQ&UG+32!EdIW?g+)&t0@P>~e&OhPGE0faX~Yhp%mYQMLCZ zQD;v1?wOuQwD!c}lkBz)@viefzMB8}LdHeA>BQRJH#&A#9Cbrqp2288oANZtozs_X zu5`R+H+q-4(dfO7rX)HExSt-XK6s|=pa{v5Kc_#9DtSXM&tM(#MD&`qzR8*sJCwY$ z;lq@(%x;YKdb(7aFi!&|r>AkZvtM~IWq9?ftvJE=7WNqRD!M8oWbzMamQWoJWKZ5c z<&%51-=JuYS82P9Eua=!ET{)6e)olSs7>?J_bAj!t(q944Az`&DSMq64Dqzne_bAn zh=B@ffIab42MpHDHBM;Xyq2Zr5l_KL#cQmW?+Ax!{3c%Rd)0ieGXMNFHTT>O`-yYk z4VjZJ5XVlg&CAm*2wyFK>E!RD9gb|CRrvmr;MLg~XXvV}my)p;7)SJiN?|2n#=Oqv z__1J4%swVqO<^&q>umC?PSWMOJxQPp7NiM=FE$;2uy}(eOq6A?R?~G^Wt!(F1ir?C z;{Nyz^e%_bdr}o}WexFkcnW7F<(G&d;)E}(4nzikM!|5UQZ?@oS5klFoz9E~pT zZ)}5{+rFUEV9l2S_p9g6mU+)i!D7o2?9ut6smy)5cHYmD7a%=biz*IQMCRG`g&5pz z_3YH%IC7xKjYK`@cV(g_Yr$jDJoOpBw?mUPqqe($kAiD@2Kytc)MTFU zDJb}y-nsHe!>1;qIr!os7zPDqrORNU8vS`SV5>GrU*ij-OUgOtJVrFj|76@lhbv1v z^U~FvBDOl6iT-UYo>2p)G76d~p^tJ)G;TFwiOQu47-On==>rlkLf3y@)7~0#<3b5O zjadHi?O*NsRoy0s8n7)W>uo(S_iF8ntPf|tvgUs8eu&FivHFapg^+1x%wU2MO?ub4 ziOe*Tojk(;*?TI=WhYY7CQiqmr#Nqsul;c?oL$`Lts z=skmG>vxi816MBbS|KD+?%uHT-!S6Xg*+6GNM=z(UHxgK)Rc9mBR~8zU#Qzu_TC-oXqWM;asgQI6MT#-hm~8;}KL^~2=LQLS zSsai%nOLGS7lACqwjJNhZ)1>BxXP&DZ{Z^+?iW%9f1;M}@KG_Q+_7l`(@4=T6V^6# zvJ{=k8Y@YD_R5hwF1KUEWm10WE)xSqn%PC>l9UoQ#1SXx3^Y5XGERv(TKyYeJap#+ zq8eCLtmc1)4Ew0N#)j_}ESOhQdnkC7hPTDiA7|Q1)ujDY+h;isQUR%6M%Bdqv%AxX(D>OTVroXw5o`y9 ze!&YZ!F44`$Rf8EmaCl4t)FAd7h`olL53_yG}L-t-%UUHN@sG6l$@y_QWN3)tbYQ* z0f}zmpMlC$?6IHx%7~=o#n$==K7;o5o9cQ9%L^G2{6+S zVTcvJ@r}@N;1QnBU`jF)_nO)4ARISakS+r=`}M}rB(`@~DZnDV7%xCjfW7su&xm0EFtP z-5dBMH zP6_i?aWIHXGtzs~s1Tg5TFob7K?@iR(KZ*jE9>dIiN>m~5MHC_V?~YNW$_uz|-M%oz;63pC~6sL4}^a$rN}A4?Sql6uZLy#9kHL;`5H>V9~sB;I|9 zZA=Z`5r6-3ZLa;oaS1iXB&{cKqtqWJrUqVa-Rk(@$8w#hix;0)as|kSjm+qo;{Jc!Nf%}bgNV%G}L+KjkMPp`DDbMQO<1So<%+6SFN{U$eN zl3;%Yj7wonYz3>y*3NNxnUI0+APvwSBYA($q)na<71Fsh1x+AM7OV7IuZvCR3;ppElGdPN} zOB{-tCg#1y?>-1M_hr2 zGA7Bx{XV0d2r>FOE`}1_;}FEM@yxOsIeAgQAE7V7KdQ*y=v2P!Jhck>0E> zS%4?7Cs*B8o#&ay2+-GcBjhxCM@2V(lUI2`7~#l zn%KP^WCWHV8V>^q80=hAs_z zn}KQ-aKAXyP}iNrLAd-c2$M!e6c@X9ej?#B>PJ!{V>3y-9}KNu3~zt-M^!p+<8m_? zWTH<%=+Lt85GzS&o9%19=bEzf8v_^p$_e_Pijv*GCmX1z32CLyUzEJ-XGBm@K(jIwxOKHcxuo&zlX zG`P|?MZQuitxKBk7ea}NkqQCglKG0As@dDFj)DI!qv}cEbG|^qisFBnY zy!xycMU+^qmyN4cbOEy;Oyv49(I=Qo*}ez`EfxB)XPnv?45z_Dl|&Pd3_>5^wQ=B} zty!ND;Wi#mJm6mbOe|Qd52exHXA6iAl9&h>czuIc_~#U~*JouK?d3Sraf5E8~ z1ZZ0TAWkn3`x>y&#vT5cBFt6pXL@D@$ z)szfjzl_2@la08?w%%Pv1|T^ST_oJNZbk8C{X7^aIrJpc&R>_+V9bHxYsSDhf2OqO zoV_WGK9mx_p|sHnlY9sWEGfL9YxNkk*PoQg_t3@B}BQALPdmeC! zp)1=(Q_4dg2q|_a2vI5Z!C$@hJBA=R{)eA=bFC1`Aoa>aXj+|s5V4%rf09XU- ze{eFKgKU7MFn1uC;bHAPPhnz8$DOiM_Qng23>F~hqntEQtaUtycn5m(9c9WLPUxZk zs3AuMIXM+uDj;e^*}^#RgQbweOCTaY7_DZPfV<4^setsdlJx#5<0c2hwFiyQs8Yd~iP2Gu9?k^(KQuQ~G@wT`gzt94S&@U4AY9Juh{sNpAdtK) zPzUul!}b*uyI9zhN{P>mfNQ5PD7YtyBvX}e&MZrjd61N*ep~9nIg)-;|9uhI3C{u= zXLcfK{M?Lwv z;hE?k6nvxeOAwH(cP1<9rn>SbU5Nfkt&Glom(lhTUALdyS2{Y2;{(fdDk`QFWbvdg z!5Ac10mGAWXslp_k_kO#5~rQ@)M|Y}+YgW}<(`#%0Rea{|E73MkX4y9!b;=wps@JU z`(^j@td_?g9h}OVW9c-z)hPVg9*I8DHZ(PD-K>d|(nB8~K*S?Rk=>T;Q6Y=P){Y`( z{R31lc0UnOu$YzidhN@Vo58ihr=K_$DSF046qic8oZOUScN@)S#W>jpW}<*1{Y=)> zYLQgqR2qv7*~k<%E)stR(^9H}g`QX`1-)qsW)GRSCF`&_4<7aALYNoM-qOu(iPNUf z9gsWuKrKo$$(NDU?qC4%p8Dl}(N4*ZA5&NyCte-x{IQ{*x@&vQOC=pPz)^hxb>t2A z3{DSAm@dFzL)MtEWbDSXuapd_+_CQwVB7-chsJQty%{=Qi~RFqH%9LEU+NP9gMD>Y zN|QSppZzU^Y$SEXbxhrJVQ}E@n8xaE^t6+zBs85ho?gjOUq`>+_2h}**-U3_L&GI* zcLwdt3u8;NNTg1B7LPrTlhp438gSaE9-RS5iVmuZuulq>QE-X^e|kBF!FGc<@+DLD zKWEL|raZTkA6>VFP46tpFk22pg;_U1T>$w9+*w>n)Roc5y6)YSG3vWR(|MtI?%)4N zAv6csP>^=;a9%nQU&XCgIZ)2~mD!kXRwwOzdL1D$j+fgprG89_^t?Z&ACkosEweoP zzV)RyGT8Uym4Lx3ICiz;fFwW9`ov&q$5tDZ83bD0k`A`xpbKstJz4e|#PxDR#JMqo z!G_c;3^tkZYtxZi?=IEZui3jP%l7CB*gM`b$?O`$NQ~F-6V?Sj4aQ|Mjh(rSTAxs2 z-Rw$mzPP_ie6jiqHvH)#ip1*~jW>irf;=}-1Rh49WDQ*w zvSVVE9X3~;8=d!B4l0>()UASz(11pB3DAsM+b->MX|4R{@uO(QQET#>qi+ZguVU+g zlp7d|duZ*dn_gEf-f*(AV`ItY_@%Yi59}G7TMO;5c&up|mFC)3)S~RRvV+gH9Gl#D z>Y9mn7W!&ff%7{l`hNIVGTxLVqhV!VZo9|g;8f?Nf!Br*L{IueI1Ys2pQKXbD4C`{ zVp(hRBT$Lk($%3zQhWzsHi9Wa3JHV=wm8!+8>5$QV7YkLKrA1!pzfhob{k-_DaP#P zx$?m~XMh|QD2b19W&xs(Re}%Qllsmx>mlsAQ>w4o6UK(i=i}Ou!}@inLwHCA1)tMo zv+JO#YyZx}=74;%z_=K<{pxEnC1a-&Msw-BI-4YY<-nIHm|3y!DXtog=ao$Zm)OGD zFPnGU$3_e%Zf1C0oIg0E4;4!Y^A}nIB->x}Crg~a$ZqLWpZlG%hp?h;IP8T32h7gq zyuHPN%GRk4Z(nuI@t$uuHV+B23=>)(;X0U3o=du_VtYoKj7a44CDD* zqg1WpW?uinlmC?`tQtUiIalEwkFfRihkkk0sEp4uFfMpFZFka(_F__8=TiwfHl?CQ z3j&uZH$iKl28v^V7QsvYh6Ndw{w8u7&1;@bRMZLqz}INZfV~rZhCnev?zYXf9Y@6@ zjnlVfX=_f)qSD)WY6(eg`%HPwNz3Xxg(rW3~xT5eCy z-81Z+byf3riTg`}4B6vaVIo$GP?f+U#6%`{AO%@8B)3$%zw(BbgpWrTjqjd4Tk~J> zGgH2jr6q0@pn^=#&lYTWs98-#RZDv9p(n4ta6x3>NicY5e$D~1|H4XQ0rg}k{lwiM zN5cyqYSqv+#4pht*X??NM;L$b@VuC>=nI)$!K1?CYm;8!;THx^8xpq^RoCC&5zegh zXgmJuu*7|hQ?2?FTlcwMv)QF!ECUQ!&Cbm`tJ|yJR35zhn5}u|*#&P0q3h;f+pzW_ zboYwmCz7`hhaby5wl)E}&)Z1HNUB8$w9N$vQRnR3Srsj!-bcSQ(92wk7-Z@J3xK$p z)UPn+U|eDmj7}aZ8B^JkM5}3F`=`AQKuIC+r^xN<#WD~cr~&usUVC@;`AE{@?!(?6 zXH*g3%}w4_fB#?2vk{#YW19oLuhBWg3&pH>@$WfrFMNkMa2>|P1M_Yc2AZ7r@ArE1 zLrJq$;*v|&Ob#PY7={(#Y3^J<_S^&?d|R@9s&(8Nsq>-^+iZ1eS~B}JqDj2uF2$-&V!sf-6??(N_3na(0}!ED&ifd)pi$R@}J zb-%b`pxOHs&(cLX4WG$1D$&bJg?5nGH=&}F*U#JV7lx4TK+PI$gT&@X^M`t`-wWZW z?P8MZx8%NBdv4S2F2SU&F~MlgvaKu6JvB^kEsP6u)l_fv3Zvld7REndsZ62oImMc1 zxn@^mx%I^z=|lgbQkC? z-Q7ueVIZbq;(=G^iJ}&dFZN2y+4h;A_}kP{jQR(Ey{Dji`E#`)7KYWwKY~}GfFXEY z#OVvKh{lGnF!G!K^j4?9C@C@5yx&|=Q4hbTg*=2=euON;T48tWU+G@B*ya81H!kbU z&n4!SXVpEHjl4wBE;(jZK>^&&`{r-dmZh0ZU20aNFvp9_Mk22e={|OA<5WI$Pr4kcK@Ag^<2)#YECA! ztp)`D!@+GBj_hl&08~O|1Qs1k$!zAqj^Y1<(*$%jLm(P~Y~VNSibRo0Pz}-d#u=&H z5eNFO#CQ6#?+Fh)zT2L@cKcj%wDy7PTV`dU>7@#ve|%o#4e#A)CZKOQaMaFfjCLj* z^4;i_odJWLQ?GBk&5Zw2c--A;lLrI^N@2nfguq3UQHxLRG6KX%+nm!kA#x;{k09y~ zdFKwl-|NzG^LZtG{7>O(>&X+(IvSQ;l8{=8l0dq7=9POO|EIUA3UXZ z30O||owB{g-_{F+*kLAl$ zSt7n;TzswWgI zx1tBD>*99_cReb^{Y zR&4OW7FJt8`6#hab37e-x#Bu{S~>T>K<8BT+oPW4$+n-vR`qPW73pvq_ob-SGtDz4AZ08>+hl zK7+!&VSTTN{8J5kTM8epWv#kSA>=sf7j%WJ^2@^S%zvFs_pr|V)kY!5(526k-4NhzePF?L`b!KPPez!MK53*hI z?kq_?{x##>K>JvgE5K0}scW+=(qyg|F4&uWR&{vl2Q$Q4n_Ogy)sD3-rq>*gbT?OK z9JcO5TfVn(h5JDsI%Nnfex_>g6C)&BZrMiylk@#(4g#)#0~2gL{ieYh*ls-QqBAWC zv_1F#Hci=`2!^e|gDg`8BS^{^nfB?1cdXDfw%gRwxDF9YRC5+rf7~b+CmA`{>Ug=| zI%_2*KmF&SHI=E;WyWnI)1;hlO{Ce)3bo$e+0BKqwZGl;O>L)OGP%O=R7ol101T$g zjNV`9l&*|(-0wU|5t-8)LoKhAh22K!M1@0%DDKLQx(evz>RiV|vH4D)T^nA>U&LF3 zg!8IWmX9;b8F%%}+QkPFypa--T3%QttXG*xVW4E-@92uxRnH?)^||$6s+k2IuwTeJ z4Z3vHlRQpFvg?zPE`=P*!UTL?qTTZ5`skXq&sx{Z*g@skf*GR+I4(P4*e5YW&*{UPQn?iWQiLL=Z5IWUvPWrC_}teZ!QvIaze zJYz|0^vMbsiNCxitvzVl4kYdLEDJ`R^eM9XrwAo)IoC^HA}H`*bmDzuC=QRdY{|FU z{q%~)Xd)_(smM6|%llT(oij_acZQDdk83o#1dFl0cG9@9Z`;mCO2R70Lr}o5Iyc9Y zdg$aK24E3 z3+uqGLGHy=bO_LdB*)UpUN9IJN#n*1D1eQ)>S>@YB}(P1s~`GZ zLReGL^3gwwoa3x1+)5wS>z*|R z1m$Hm+aE434K6ky8gH*(Ipu_HTo071_Qe}Pqb6xpO_`Cv9!ej){GX(I1FSuTg0`m@ zUi+MLZ65OVI5m0uSXFhz>I@OO+|&Y!8bPlA@51Tm9XY2+7T)qjUyeLMU3UIW3sq%T zYdK3!GRy@MEg0taFKG#%bbX?Z+L51e?E1N;*HJFjOchgmNy=4L6JiUkRcun`A(ey2sNZJHRhq;V0KVV@w9QWlAK8qQ%1>qBwjGD za?;c|)mKq>Zx>lqB!~cgHKuLfFz16iI-*ZTaQ7&YUybANpPeP6dsj@~UX`8Py20Lb zMeM=6k4faJkg%6RKBm$C=^9G0MAB%Sxk2n2tOsqSy6_l`^4zFaquPr)H?|Q5%iXzEx$a$#+=fGGdciO$3^sWQ zedEG48XAMtYbl;O(xzMmd{v~$l8IdoF2(J?yt?ZCs>V|I42?m?NRwnF6-xjxP;do0 z4NvgvDk3SUjdngMk^u?+eE7b*W5GfO6Q_b~srZ@e9} zqQ{fZk8oMYPw-+-v9i(jnE;lW(5c9@UCe!fo74@)2o)?Q{(VGJ_7|B)oW;n&@$ah~ z`YikVx*pHiEeu`XJLGL4>ZLDx`14dr&Ji%Y+XjkMu(tM~$5>8xo8N?`WY(}3zBN7?OjSnVQ%^!Jq<` zR5{&Tf>y8Xf8394a5pPySYSS^`b!aI2AX4rwdl;Jr2u~hBh~#m3y^wv7;}Kc5^=}? z_*Zm%#||&4lZd^|nKo`nq86*;I%=+xRF_DqN^?|IM>){4>|`dD5r z&7@!9 z3iK*l3I|TRr#kDq!(^S*>)<)jfG3^BVRC?*d@Whwj!g%@ESWa|hS8V?t~< z7Z9}_c*#ipKmgibPbQ5|xu3Vqfm5c)X-NViMpzG)DKapd1N`AbRbJc|qt2XTO!GaN z&@*_p9tVY`3;RZ<r zBprML%WNyM1n2C?lCFwd140eDh^p0Ue@ZDC6=bQK;9W35(;`;8fCeYfQ}VX((STOA zwc@;8;WcRY|B-Yp@JxUITcXg-T}8R&l4~hcaxDpk%1mz4MHfO8!`N8JT_se6LTSUI z7-k!C2}$xDifwYgWJ6}y?SK6K^Xm0t?eaOFbI$vm^M2mX^E9`PHar=9my}^yabT$( zSb~=Iu7G5nK&P0jMyl?I{xe23D`iqQWT3-iIvcAL-i9R(=a{sv0KR~4H@V`od>1C* zlMOAG)GVRP&6+FEa6at13Ob?jtP~8sY#roo*-h^h3!MhJE^$M`x(@J00aXZ!41q6} zK;za45=>*5a3Y&40|!JqET`C#kp-jhe!L&t9vCSm@pv;e2=w5$i(N3wQpSG^`7oed zl#?SUC~JaJ_Tt_c0@-LCdiHZ!x0;Ien^GQwK65M#Fxi?DTn=^ajDF_wpO)-{;ZJh@ z|1+?f!1fOU-i=!>G8M`)044OaEV9qV2z9`a&>}DilWTT>vY-qk?E=|U<{Efz@3_Q^?yQugECl1e8|v&EbGr zF(!jAwo(bMLk)PT8Imy70a%#d9IhA&>8t+-41S^p-?Eo=ff1Pdq0NLpiH#GvMV|!~XLmJfO5@1&LrF;lEavtKnS9c~E3cQcc>5gU+ zisf>na0VVYPz%ESAh#Y&IRHFJDX`zRh?#+`)`uQ*ko8~~6@E1|5{HtuMLmT9%Z+w4 zs3CdAEZrcTRD!zK2=*tvvcd?Jw=AC9_qdOVUzqd{klYHziDL?Ha z&SH+9ok7o^=VVb6>D!%?$!mf(xwyOs;%KY`2jvuV z6ykO}h+8b35@`c9;vglPC*ZqaNqn~Q4XH=SzxOJJ&GIz3yUmN-j)K!KjR{o8T=Gba zDf|M{PU+#o&B~9vC4|;?h>E}o^mD?c{A{3154f!NL!PR9Srb}Iv@6{GA#0+p{;YXb zm$$8Z+H%U-{qFHsy0jdQ=L|okn9!0%;t@YLFj1W=<1s!LNDDq5$+&a3G}3RxhgOp5 zv9FAj_;NcVrrUGsQYmg%qKYPiLE0T^5H6x^q ztoIeEF22EU9@pu>1ykLu;Hwxe>Npbp_>2pmVG=C~TMCSk=4QbsMoxgtTYBsx$fhylLL&(z4fZC7e$Hg9q!= zZH;6t^KC0Xp0z6s-uT=8{brId8QQu&si*FmR;wy`Mmvol_i8NPPW@`A-@)Rq_6n>O zStX!l0IqEWYPV&@x0DW4A{dObCr-593c6z$d-{hiK4wl zc?jy1mCcD({j;AfbM&OBD*?%e42F^~S?;YM86NXa?dG?SIuox75XJ0g1{J;BNS5W*0hDr*IJ3g=4e0t zhGgHqhLfg8K?FuhUF9whigK7Hz=F`$cjvnQr~GFjdp zt_*9CMrD1NZ-F9FI4D~LUctBWjA6rPN<`2G9MKui?m7PXLqL!wb{IQ;Z2m=~bM3KO z=_o&TBU#B{t1~(zm&CL_Q~6WE2Pk$h7~|uy<5Hqm#$^p}c9glit?~pxx31icF;=w{ zD->UN*danu#cDK>G1jpC{`gyZW=od^c^=krE9=F`QG9q<+XOQ|q3x?ox?d;$a?tcU212C3i6Haq`1r$mcFY zg8cd4sE;jZOJ_qt>#5%+#jXuQZ^O{;2Rg=OsZ!5FRVu1d8j&YuwII9F&lwl{AAb&1 z7U9x2_NP90vcvxFNYLqu^xxs8Vu;$4UbkWx!|m4^4x}vA3C#T~0;0tI>r3j&%Va1~ z6zm}lY7339{6fQHm|Qb*frj(>$|>&3Ut7wlL4Nl5w9tP3x1Ytxkx^bDlRez>PUMlC zaZxr);vnG60to;+1h3f?A|mzBl|(JfT}yBdPH3c>(e91#E8WEygXMmN2Aw0({aFF zJth7M>m3)`x%+%T&6xA%QO!*0rfl_w?G(a)eRIpzRO zoUtPvjM!7l!reqRP`wFhZ%YcKI*7N0?0FA8Jt!vONR3&)li7{lkHLv%%Uh4aSla33 z>2J-G)B+*-W^)970gBcDEwG)bEjn4$E{jZf6>*%Mh= z$Qn(90KuSP>b^p>2b4fQyEdSA>iB(FleX{{u?_^iNc_zfxlMl(KcQDD_8vb%KA&Th zT|99X-MY(2F_uJSYn|xZgLzM4nVc`!EleLfFjS0w6%2=G{k^UFe*FByU#W+rb;@ns z&ury9HRC;qPF+8_CETdA`vF&Ao0!B7Rh7bB>FBq62o#*dumz-=zYb+2wo~oJ=*kcC znxE$qb5`bC*N?yqVxXD=`m-RI$$2#b?Jc(QsT5hm)62@xp|?*N=b#^_@DuyWy-2$V z0IlH#D~HueWke($;7FFW&a?S@PU!t#Mw2A>BBrYX4fBp!IW_Oxc{0SDzemX6{AZIr z@)r&C63m{W8&$-Dc>8rdw2THCyJn!f+&&8s82Z2p8Jq|=iNV!^(%zi;YC$ODGq>8q zbiTmq40MBKd>5*UM`d*yGv|@%h;FwfRF=P1aY<#8&N9EuF}m#AN}TvX#?(tH9%Hzt|2;%) zx)Qo!IU@?-)D4bKGiP+0Repm5Px-6H^-n-(1n1zRf*rT6^ ziHz6ntW@WWgBZMAJDzC*uC?>(OFawgoNaggHb4NVt%T=*)R)HEhq1ofzZz>!Dh7j3+u z8OTQ^5K}|S047du%pFuD|9cn{QC(r?{~_G=9*M*UhiyeiJZ=;Sw$J?xwV$k!oyUA_ zR>)<~(R4gr$*_m>G}s&k?@IDFx$&b=*~YWqH5z?@k*^MLwe|)+Yv#c<&C}#;0qUA{ zst?e6!DYY~6^dSLfMmpynCCLEt3|B+_y9Rc@on^xxPRf=Rv@DH-pR}~Lkw5_)I?p2 z8?3lr{#0nx!*1X10@*A3N()etc8|~ev3B#rqq=+OM~CHGw2c~wDn z%WBqu249d3G#Cyqe;Lz_Uq5NkN-C)4DfGWxuc4nAeR76I+a1?C11kH|5Z5Q2JLZNS zD|!BXev))}$5iC@ABmi^cH=LqGLTkDqDoRph`#V!OM(&m(?k@qkv?de;yh-gFbMHU zi8g57`yQ2X;C7r^Li(kxwc>V)%E?h>$>lVuODc&LH3}tLGomfxm$j$g=3hFr^sTgb zT-=0o{K*+_$iF9b?N;iu&Qt5Z&O|UDO0ze!l$#!{_THWh;OLC32+**so+Dc&5xc^}gm;b-FURJtO&UHN36;@7x{v{Y(aa6A7beeuRvyD-wwUh6>- z)Za?H(O+I|Y>R1288|}6NnN@m--U|4DuG;kE2WL!*08(%#3k3Y_6Id;>4(C}NOaE> z`bgg0JA%zr%rLU37z4pV17>SPj46%Tca3E{mi_b!DV}dw0nfFTWPGacel>xvf88O# z-tZ#)z4iOT?Wy};5>HvM1;|AkX%Eo-Z{Fk}y+sv6Pw&I+Yj06^NVRB|v~T57Ym!G3 z^XPW#{+R>rWvVGu?zKzt`#s3t#U7i@OdCE?O>q@FJ1vM3O>$NmOEx&iZX@)iWN6Tp z&R?5r|5QklRo#jYUZcm5)0>!=*l~$b;wBsS9b`NPK^3ywXH)}zj_#Z3fgd~cJ&4G! z@To8$IhW-LD99v7`qvD)14vR0{5&s1tG+q5@@u13<9T)J13iY7Q?OW)^|pzfg^CB& zN$n4>CimY zaPY&Tn=MF;V7T|!&VJ2DjplfUYYUn!Vg_n?o-w|ezzD%(jtDp} zn^pmpaZ0~l!*1rXlCS{+4G*IKMeBVzKp2z7NTL_xRlL@RNN40k4*XOzRGuTT&$W#n z7IH5tyzy!L#mMUyIXC#Ho60T^Vk#SvBo#@De+EkQWK`Q1b9N4vbD>1wNiVv+~k$=jjt(fdMC^L4(m1%43!lHLOuLP``FA6@6i0rblf z^!1=7m=NT&wj^kqcR=UHPB-Ip$ra3A4KU^D(K{CFTtIFegQ>(|JNCQ=CIo4^qve}V zV6fV|9yec=^KYn#Mm0#%&WW|0+Dx7m3qYYK2@(tz0G=lW6kT|GE_i%~1Yh*@x$0lD z9ssL>m#UqKXMe#nk@(9|=&C83?CG3A9Y=^aS% z(KIY%TnN*mmJIBGm6{q9YAQk@{{{_V3(UoOkvRF+^8yiQfP2}dkFR)kvZUxdA7z|ekPs*8oKla6edEJ*1VIHx&=TPL^A#g1kjY|bMydaO)#Zo z0nnL1S^sEa1AfdO3-6huR7um)A4eGmu0OwwJ1?#1I#)92Rd`v~M@lk@hRLL@d_ti2 zS3V6BvGtmI%0G-&quiN}c)C+X+!#2jDJh6;6*}vu@I6j-19-wNg&=Dnu)T8b`%2Kj zQ@tYE7qa}^3Q&9-aP6XwK9@LK`_bd6S>*wc(b(7VAP)~@(KtsggJRfXOmfTZj;&MI z9J<=t1^~y>M?zxlG8;G(0AR9R6fSD)4K?*i(|srI-!PC?d9F;*90K$JU6Dj<6D%b{ za7X6Zhi~s*lO@D4}w`d-TlFk~e^^6F0ll zlm6xvR$#~4bE_hkL#Ya081#*gqTGPKxtNNq3Pugw^L&|H6=cA_b66jgOW-mdpk`MU z^r40G@~t~MLxOhAzM^5o!XV@MM8SN=3AU6UNAN>ubHITXJ^tDZ@{&M>Lg^2D3oXl% z7eJR^=uHhlcGMl9DEbMSZ6N_Tyc3H+aKr0V&`6k*4^K&&|{>_BR zyqbT@p%V!Tej8@0B-UU&_QP(z1)$uSUYH|&pEr9$TM?^)DXpv8%mXlt0&$&r@cN05 z{uftev$2teH9+(hNc_(q6Evf+B^%-mvCkiDmy;jYVzkvQn1265%S7u`MDzm;5Hr_! z4YmsaKolsSf^|8k5fD6>$%~o&UvBy-Hgd0eUL_4f!~EuX)HJ?44TC^vYGN!;B>Qe? zynowWq?o-&HB7`9;$4V!X}vD>fW)FF@Qa%9e>lq1LWy9*8{AZo!1xuDTtoGmn?H?L+7dyoh)tC zRI%|2s9=vVBtDI)tg+)6f>ge8(v_s6DSMV0x8If9>v}ylt@qrj^4_E!`?ZJK#96&V zZCi=GY2G(vMfC6OvCfk$QclL&Y;7*kDM7nFvnni(a zT~uvua^vsG%3NBZ4J*&56O&aFTngp~1q`uy=3r*qVX@N@rQ?M!BLvc_5!0-3ew-$A zyzt{0%H|)1%?E#Osu>P=`&j;!0xq|IrCfixqF#xuZklAB!YSu2`3z>RTig0?j}5xBTQJSwq(h`J-p8`{C&0VcIaF|Sz@JI+lI$*!%K!TcU{MRfrfLV2S`f6|Qw z!t$c6=IYb&^-~x|joY&IZfrm$k#(5??moElkuMGbAOY+43jZ6huQB)`bxPox76u1E zv1K&a?>_w+&LKmYk<|C)Jbb08$Z)2%2KQ12;OWd6L7+h977I5;)%GSry;7On69 zn+3dds<~@cufXS_b#P3|$ClWO)CV;UsO2d9GjTR1PnJl^t{K;PYEw5Tc>j+nF`76e zeW5`4R-xdpJOml!*#j^vHvov>DAk>O-KXNffT_08_0-L+;S2vG;Z(BW33>-4%Cri@9y0`zZl-JRQq^Q2>ME3pqaL0W~Cd5hs|2@y()^{l(L`|Zb~}{0J{gjCcnk}G|8X6 zB!7FDE0qd_@vj1X0VWVAp-oS+3B$zD$LDth!|$#Dk)%O*E-7PqG&L%?%Lcm))V#sB zBfVj{(8>FD2zpMOY&H9rM)g8?Os_7}G&oFhtFIVe6VTl~~J%U&~9%b0qxxxt&aN3lQwyb^wsTa8Yon=q-u7iruVx+EsNorC--Vn$sL zxk}G9{mOlzm2kiUMQ<#K44&k)GNXCRA8_w;We^N*eIS#ZCDqMfcJjqRN71V#WxRP* z?om+%xSj!f&dS*y4CtkTPj_M9!f4XG>lsvsq;zCHK@S3 zwB>+6oiV?)yG3GFA?pg?3=9OuGDl4i_nS!!@KI7Hb@aTWn)jPbekY5te^cp8-tS&h z=No0cH-)J~n-AsA|0nCsMpUh7|AcF5(3i}phhFo=rbs}37t0qz5SD+F^|63i0J^;H zkXe@FuJtgahluAdO9Ncz>UoE}X@Ozqatx2htLa?!r*dDKlAB!}X(M$!@cGStn*%t8 z)4YpAj?mJl!^sgGLN~BGi8y1=jG-^R21>7Vr25(z&=g`Wdovny5#>7D%57xgOGA|iE9Kr_rMWrXe7PLy+?a-3^#r1TTSxUz3Byr6Q$?algjH72xDmOt>T|-)L zEu|Q&rRliUIlA7#=JpllPBfpSG1$e8wL3z;eB&(V0ItC@5R)cr;%H7uUyY&(44Nkb zK1_fw*wUThzlpuPxsey@KTn%5-4>`}Gzrsy2h8YW@%hn*Ho_MK3;$W!;QoBo0JL}H z5;P!&jZOzdq@+|J$2I0hWBYhgS4{sy2Z3H+k8&P)tBj1LrlrLaL}Jk4R;%h_{&$s3YZd?RvT$__(v~ zMrZ_hOZIcPm;GMfGi=ZZPr=p+*<{csa3Ds0Hq%U79roe$BW+C~0Z%lZj9jbV{=-Dw zGR5Wgciq-mJ2k@I604@SU8il>1__o1@no&eWiDYdQzvFnJ&8C=e#+sj2WL&JTP*jo zX<|46`x%%~utr;E3|}4oV3KzZjjmvNM;;;w_QAmn1tTFDeE^I-HAm{mI*Dg<@&S>` zcRxD_TzuedZ4FS1{Z}m7%}7Lh1b0Vasv?5G9us-92Zw&6NC1MprtanRxPv%`#HOPH zxLIsbASnwT4QBCjgDd@`F_@!}&)a6M9M!_Z;b8 zp=Vpm{WD)bf7uy7(epD{Hy1nk+}6DO-(=-rqaD0AnaNzBuHdoZ@2H&47{>VG<1iwD zj@}cY20{{3fk2O$B2ptO`H7qscrJC_(xqeBXP7<~#Ah^KdJO{d!Pbnu&Koh&u}OY3 z))!kvLTf~!RQgYKQQFlcxDAJS*#`ZZy;(cVT+`V^%4jS8Z>4sHNL^ z$Jw3zbp9GtY_F7buK)+aH!N45U7f2MY2g97l+Wi6lJPTzJybT@lT08ZdJ9DoG#Eh3 zo-mk`&3)a^V0f)1i#rK`g8+iaqzvphOJyT|)LvoF2n!2uk=oeyN-BNKRGnjie6;%j znG1{Lpo9b(~`(kEN<hj>d6|{RWI>+-Yn1 zbGMA&WJRAoq{47oU8?e$IrPhY_Kpgx+o2*-y`qkbs z1k2s_ z@br1-(6c6x!y5m%^~dR1f0KLp7d>-D%-`j)HF=S020UiHcntSE*vrubB5Wj^Jw>ID z65!N@wL?@YVdsXVl-r=D8C?oW^&e>IKsb9=lb3Rz=k4Otb0EQ@vUAChby@ z$AvTFPkGlhY=yA@DTw{|WGA9A`oTe&?9eB$$UZUIy^JOI#LSd20%1n)VC=zqx3n#7 zZ{9MKmLx{b{rh0FFU0u3iYHY*b)+cYMmBRoWD43=MF_RLS?ySGnNok>*1%$<@L?c+ zBAdn=O=03Sa(n9G)8F#mF@Iy>@fA$MRZ~lD1KuFm0@Zl&CxXZiV$|D5Isfyfu1FEc zi=GI2IF~>wKPScYV=(>$D+qkuv_Yw~_($4MuYn=w)Ho2d1F{}RvuHnbB{v5sp|1=Y z`*fRCJf1M$R3a=Q`IP>aen(yk2r_|*S~v4=T9<{g(rwbYV- zofjT}shx+8BNdd}7@= z$Z_G~S<+w0$sR(R)Pf@@yw)6VSU(y$-di}LgJb8xD{Y)Xf&vb9PU@S!ae_=Lhd^XW zi!l*MBVg^rB=RjdBp-HGmY2hZnxsIn;51z7Wt883o1N2tZ&~~R8U;=ubmdY{4Qb1Y zwGnEZCI!u-GI6R0Xt{k`KtSJo%#0`5v{wbhLQ^C}+`OqjA3ngCeii!gUCaqv|3efa z9H)3Q(O(~^Wj=W$#~S3$ptp(0V?8Q4Xrz9?W%Q|a53jo-nV&ccd9}wBdvue({5Fbx zcI1%Se8=ewa_D95M3GGxE^{JKapal0DNu3AeZ4D)N%U-Bx=nB>1kT9Qk^&$tFq}2f z^A>rb9>x+mHaD*rXnpGNgdFHSl;kCV`1+_5GRNmH zi^1V@(@bW!n+4hw$woBvir7%uZR2-jqIWuE>^9wY3h&#x!=VuQN-383*U1@A-M5#i z)_i+&k(wD#%@jx97w*YzowYh_vqgIvmv{Hg@v%;+S!JOzV_=n|+YnsZb3ap{a#-5y zDw%URO}UXfyW(UMJ8IV_np zt%fd?13flG<%_vB-V`?&qC~~Ja+tmjM-tp zSSAp|MgBz*(Or^33WTMpT zELtMozoIi{G;w&L6C3&)A5=l_YJ6TnWk(lkI?^X)>MCgbW0Q^b$UADJh0^3J4{11w z7EdC3BDYX^iUAO*6M@vtUA`M^^hI+2L#in%RGPiaF`OdMi_u0F%%R(~_^J`{81$)H zW2L|=;mT<1?;L`J3uiUuT7F@;#3#rC6F$<`Q4qbA=DPKR@X-;sNBpMSJ4H+%uGnHO z>{9!94jS`0zf$}{4ICaZb>fjD6xgu?EfxT8EVg;5_r>jjoRTcvZ|xiI|0@x-c5?@e zz8dIsRG?0V4RE#MmBVA0Jho_TlB@sg?>{s|Y>A#1_1tyMHzlG-PQ%R_YgWe0 z&+kqhW|l-TdzzSCjUhe?{Agew9?5UO>nwZV4Jx{q8}O)zv|bwb6<%|lzIK?90>r-P zA03VilS3?u64~r7B8~>*A$qtEi8P>Q$d&PC6B~2kd|h}~H~Wue8QL6_gMAi1&m{c2 zLV4*qarXAdxAGH>y1$4RS!$gsb*A^w>4bM~|FtB=-;e2PEpF|4)$-BFv7%=s^ybP> zxt6`BcjdvqUZk5kXQ)(PbJ=$HgLRVHo>y2zi{D{Gn9(-j)M2^XypvnB_oPhNJioeI zcLH#q?%DoDA~JJmoGyg7b-|ke<#uexo|EFPTcH!B+S4} z7P`GOp*bG|7u*3~xt0QGj;{PwE2`*snH*a(en zzG*?2q^=VQ59@wjaj3!gd6hL^4r;&{b0%;`uGrsIxG!GhrmfQ}vk(4#brX-T2%n>V zuK0Cm4%Q%YB{;~|Z89wAqGuM<=dab1ixIgDXXK?Lb`;)+7{sI$*o}=@ih~X2I+U#Z zJBCUVXobKIonu-G;6!M$t^J*Mvg~==;HRE)<5xY|9vsEYDO!ugnW9Hk_teA<7Zy5a z^cdc9Myc0AL^DjsGtkM|YudsMW_g1Dq+T-mPixKYldR|~dq&&leu$5*V2~DDB|&-z z_RK36(NDLX>vUUfLF9%z8`3IhB<4i(L1vIM=#Zj#r+NWl9wU~dzskx&a3$$Tu4EQG zkS}2v!=T55{d&OMa3_^s!|6Zg`|-VWTjt3ak9guvRE%nR@(r7eT*Ei^xqA-mBcB=m zE44F~D{5S)@&?(>->vetOlwl;Em~@~JcncYy>Rh|8;`axE@Ml6Ex7I}+6m~9R;onu4HLscFHc&wAo z8rMf(DAkhuTRlPGQIzM^=rcCB1^P6~GZ_MhsDFzv z@%2X;{cUZyDMocerJo=huA&{k+?5Wpqn;4}2&4{KmvS;+i5u3_|=S8^0{B0ge#Ru{F=KRAxy3edO8ecy5SD%8$$UFH1nH z;`0WAp9bD)77>BaR%gdv9L$`nPf`(>uAHb3=??55mYcf#5(J*6x)r=Xq~(o*PkzC5 z-!0npUVyIFZm58SMvjSA>D}oP8}rs_6p0YaI&xMuU`%(>0YV8Y(6*?s8EWNKPFO2P zVXq9r5YQ(Wz(WuVxdm;0zrXpfg$@55D>-FL!gS9b*=+ORKcLJ_B*xXE+udF9lowlCRrABvI zo)8;Xb!`3TFgV=-Oru)tj;R@|3I(_;Q;?->0knbg=iPn#Il4gXvGImkeuCUe+>ug9 z1vJ^P&vV6xx37kjmbG}!0vp^c|6v$~!$Mg80Ntsrfa3^ZLH|j4uTDx+NS8dxaM$ah zb98NWDt&N{0{aj~YTg^KMm(xWWopvrOPtAlF)L~Gx5g2w7_MJTgy4cqe)9FdZ#Rxq zTB~H4MBkrZJPU!P3FAy$-n?C_BYRXp(Xl%BU~=bn8b$xggVZwvGjRWcP7&_UhMYDD z?L^ioa!hnHhr;Ik10R*9z_M=Zz+vTyEl)3odAoW8=()K_emUPu7ARy?8-_#( zw$D6xMeFBA4XuJcjD=&vvCL!y8RnsjbYw0mlHnjJpk}H+s8i#x?{|~8(@BMWr{Da> zm&BXEi%H>UZd1sxfW`9w$C_X9IT3QN^L-0r-#Zj9z%jKMXG2!b_<=3dtnYBkseWEKzYK$~iggn3+UiGlvL{#hDZhU=?>n%n32Y*4_VZ#k8A%vj zpeU3LDK6}bm{w5TS9s7N0KxT9{lfLxFFUw2QLjrE0D78__|{bkf6UvtI%0&%Zv8L* zH^xRHjn?qH;g1IamUn1?#Fd=G05t7@r_Zrfu@C)jJaN`tERw_n_}L&GM;6JW;wYH; zMO({-Ni0Mj(0hmr>!$FvLxhEhI2}rb<1(ux-S}a}Y;@{h8`Y(UDYTz}g7l2TV$Wr^ zsvS#n%cCe~Ae-d@p%V98Aq)b`*v_)s`bs%t+kOLb6ukWUF^hKnUUj?i$0JhnJ|~cn z@j{9s1id@GW8>}8cMhe5a)9hpo(Tdco>rlyh7ZI4^Ljcdh_4BL8Urq#Ks9{o(I`bn zcC%K+O;H8TX{R>#kb1A*>;kHV6)S+?1zN)Xjqj>RyOQL91Gsqv_c}WG^i8^B$BDMx zcm7_FqdvH1d!Tzb$az-q((Z=vTiK(}Hl9ek!p0v@fc};c#=YHnJ;UN3K$rhlL-6}; zW*^Vn5DU3$?#a446XsbAN?Ybsq}btP1IC85TA|@sB#PXaxe&9#d86r885UdvwyfSb zARGd!#&#m%jM?jE4VBg>CVNhSlgmoQgg?!p zHn-HD;@R)EtPzy(^3rC(p+gk@=aRrG69P&%0Q|hXc{hNUn8oO`da{y5Sw3n=RR;(3 zO1(Q%uuBQi(v+~ljId>#5*OQHc_$x0)&Ob+q__ocr*X`tfLlPggIkO0gAQ1En<*;!c^#8AH4`%7R+MF7^H{7TAvK{`}wltO11)D->mK9NFi81DNvGA`c}};4_j_(TRO%x~2t=W4=N{ zF2UBXu0`E9QIPQNT`krPXRMA@dH(U*a!mI@VF8U0KCy9=EGe7PcD>5Ig4%Zd6a9Mi zI9Yk=sb^jJCGc$ZP8#YHr{B9EMA>H}?DJuz~27O|e;)Fh^Sc%s4q^y;@>B_?28%{-< zEo7HslSe)0=Ysx@L`E7M&D-PMMEt@BiC9KnO~QRKaRafq@%6OTac+#=?i3Qh{3Gw|?69nsNnC5au<9NL&N+~VD84ld!oh~@WK zB}dr%vbmW0+6qkAvz=BgMq}wCZVcUA<+8j8s7U#le`){KpNfI6%q0t9AKiZks4Um3 zyl0i8Y1wB^Xzh#R;Fe21G2gjVZrO^o?uh$#SkSM5e_Q#Qta2qtwPhGkMG(0`x^i_D zixFJ zv5W}XQYHLEUqnb_baaPGN;u=USyq3ea^`NK7tO9~?7)Ywvt~N%t*yLFB!Y>b3xdh9 zsFv2rlSSRQRI`w`>v->|Vv)wq z{1%Q}lDm1ruX|8%dy%e2q0j!h0SoK4>wD$@HoC3qt{RQuLAya~uEx~G6_w+ca^+Hv zx_i%8d8g2H;d1NS92fYlr0(lVReKNw_-tBATj1(gI&IUrxvt_*zF5~AQbTz!^o>gE zp=yr?Q_}sLMZX<9DH2Xfb{KqRB!# zXZAg*@oBDp$_NzPe{flNxfI$R0(Fu<2mRPP#zOH2{l?TyyySib0<-8%U}9kBnS``% zQ@LNQr|ZL+L5tW&?CY=ZE-Z-TrEU};{)ly_jJSa&rHs^Wq8O7oM!J$sj(=;%stoZD z*!si$zA{^$%yiQ^L|lkx%L|!#(~!CRJHz-D5F?UFutD5A=ZV_Efh|eRb%!b4InMuX zRmVuhJ&vmtfvHHvm1)jlD^`X~x9a)o*8i&rLrkzgJhFQ5$K{G4@Cv;f;C?{m=C&rn zgE`mN@4sDcpqNq5AD4M*WM83h}f3p9>1)nz!GrzB?=6 z8jbEk+|4`4348aHzo*{tlcR3E5BvJv(=YFu7)*Bj#jB;#VN>v?f(cyAXdKNli9-#o zrTE7Beq=EdUAJf<7kkBvr&n12*vEqRkpH_K$&GZ=5C7=wbM^`npY<^3!m;h|cI}Xm zqXE1+wSkM^}+1y#(vSrZH45s07gcX-@?j zZm9EeNpR{0oODg)w$^s7Po%_@zRW$9^`XB;dKQTR4HtA{&1b8Y-#a~B>;1s0d4S4O zSA!o$^ePy5GD~!$pWQtn`Z~TTfwX8PTiv~6=t*6Atz(t|qW|J)9!Qcy^tOLauc6s< z%D1)%yU2A1Ur&Qdj%Qu|jf^{gOf(`-;=6q`v7ryM)^eg^lcfgG8&IJE$Ag?H9A2|+ z%H@sg3z$nW9NAAO%;}%1n}n;81`S}P+ierR%vWC=7@L{WY*lU>H8uTe*@lC5H|X68 z%hHMvtA2E}S=LW zZcKmqN2BVZYOwE*O?#x9ElQlTI^9n!oauG1Y{XR8SfdQ`F9i)2WnUU^;MA1(i{e4Nul0rtWFIP?Ldl21PwgIjS#X;e@*w(FUmEKO`eSPwzMfBI zQkm&r9`)3Xd6yG{4bJ{co*q}jhj`W%gMa02+H{Fgt>7bCXbA83`+leNEd7vS)U>-; z)8WkRHw;S)CVLaf4_J8R_5G+p@4FnzQb3Y z@#OPLUu`reAZvB;MfA>CPo=%0W@W37DTl+3*%#i>`Z?M+y#vH}(yqEg+kF$WW+74N zE{u&*V_h`o&wH^Ew_!Zq>2*zT^x%XOjB)Y5s@KO;a|jNqo%uc&ceJ4uD69`9GzILK z<~PzGF-sBM5x1a{40P>ko!{3;(z$L_M%`d<=j^*-5Emcw??^}BywPw&7$knLx~>0fNGpL&TpJyThR6p>w~QLjH#lsK}d z23o~*%K*o1>GH20*58Jz9g_~Tf07sH@{*(7UNriA{Pj)(_K)`-zRsf)z9t4SbipLr zEDtCF(+ccFkmoGJ858MsmAVtx96{9+c+jK2+Z>o!hX0@k&PohhN=`x)7jmn?5x%Lv z8PeMeRutLmgtp+9)KT4INX4m^0EZqMfegYaf!6h3lfIRWnCQdw`Ro#gE@4V7vJR$Y z-sm=e{?*T*U3%IlcjDG_mgKecna-VAX_=9E6ZIC`wkWQUKR>cE?09UG*G*knq0Pzo z6=!fy$?lU}{&nw6Gb$7*LnP)<1;Iv7_enO2e;Lra?3i1)M1z0(p_r?6sQ(MSwc+p0 z*&90N%k4>*@`fX$dl3x;Ke)(RWc@|PJ=m+;Ul~r>wN43#gkCpaJtwL^3C(4Ecra%+ zdTPsy!z01HNjm>2?(1ipX%8xR>x3&by>^mDM%IgNP zIn^pprG}-iE%s4b$V+%{=QVHm!B+u5qJreI-zpz2+4Sz-dUkg+Md!=tjkJAc3r|^P zZ6W`7i*ZMc zPOh$b)>VKGf`@o=Z*}iYl-G?GPRVbo)wQht6-X28I~f<$rdVg*GUCR(Uwm%*(9Nk` z-FW?OKt5#ab8oj@>o{LkKQCE~9wrc$=;C?XM^Y>!S-5>yraX{CT|G6_rXUe@qK&(A z`3okVwH~cgB*U%v86=qc@A_|3cc{=&|8)WW-A~t=>R!-|{KHm{&zWK+e7Bi#1YB=| zATWIP1GnuVf^8~cOZ5)WVwueq-YpXUv@7j{N#8YxFJ}fn@F+tPR&r{A--^uFslGugnX55xQyPVt-e6!1>pG-#uGnErd)*<~@g^;X*F)A{Z9OI)sRnIh#? zonz~#&=`z^hXE zXtR7I;k}R#uIIn3-rlu=V}qAiw*x(=wEE@QTF#emhAQPwVaZYsUBmgpr?)+QMja|P z_x%|oeJWgcPv+I&D)(||lc81q&05b8_wq}dJ5R9xEQcQJMAbWod^1tTu>^DR=M1)_}T@yXA+xk2R{NQZ%zx|!$@-W{Boa_qNdYkXhJ@6Mgu@4Rhs|q2d z^>o$nvaDZQ&}7vf+3+#12l;wIp3+rId6Lb4!TM3pE8v9Wn{)lTTQlU_u6xPlbc5yB zlfb=M3ucLX#fW2OcyuUT@8*;OT#~JyGqPCaS(w8=7R2zxZw?>Aa=Z5?)@}%o-hnz` z85B&&{EvZJjQ0aPuOLt^69Lw5uw}x-!LjiYq2;?M+4q7RYUSs}S}@@gQ$1MyS|7OI zt}Z5Yeu=KT_hmBVv4gVrLbZ3h@3mQvv(%+^xiNVE!0gxEW z>m$D^)r&gNmW}Rihw>pdJk68;JAYJ2mpbwOn&fg?Et@z0?Tb@5bC`vp5H1+aEjyRE z?oup$VJda-^_i}osj>M+|D6KLz_b1Yc?^-Swgw!ugc8wdC!vrX*Rc2g~BtCSl2 zEM2!;&-v$hTM~U2yy<#?u4Yl-K{B;-GBc`NUl0LAZ;Nhkb3-tTzUPi*nYmB z(n{NtoNC1O3mXxz;I??1_J8rUK_6p7qz^;`LJRp;F?*WBPOp!^tSZ#c0YRi}aPXwSlUr8l1o&Ts$qu4`>XjPQ#C z<7?jPr209Kx(lcHF7g}veL+dE*DN}V=H^Y*m6*Njc6eI%4Bb;&>Yy9+@Up##OcO8U zfOqRGC)k$nQw{yGs>L_nkA5!!sJTlmw&{FMBkvaZnw^Un9~3o|q;B&v=lUfSM@y_p zTH^KX+b(=$#av0WlFgfBq8-472V9Nrs5wM$VcR+d2D(rC>0*hsB_<-y_CmSv*6ya} z=#+XPhj`Og}E7(=im$>!|v_637i9RJ9zct#DQp0Id{F^-RY8VGmVD6dxz>JX>vHV zrFp{cWAd9{wVl|yyYtvxw;e$`pXsQ9vw{t&MY0{6)|U%JqzF8grRjd?9;j_ZU^ihI0%^7;VU9U?4V>JR>G4g4 zy%hrlzx85FDrI?3u;ihQ|0;&(2HqPTAz&Gaq;>($p*g3Zx$&co{{&2?IyFc>&+ePF zfnq??p%Q+u2+W3H6*K!D+&phDPf;LUOKcn>eBh#f=zd#1B{ugRmu{iBJl4wd-j`#q zDBEXY!9xcmk1lCMZa)w{)k^Of;~vHfMPMcz1wu{C(o-EM{q`cOU(62cU!8TNsq*dY zs9jjxSf|?4#l?^3dS~3|A+C)~ShwmNewP-;G5=!yKD!rCN_OY2f3eK&O`mmY#A9zm z(G3nPg^XVk5oiL3JZV-dApTN%C-}VddA+DMDIELe*Lxq7jntZyE~NJDF;pTCb=C+e zt0}P&H;u)OP2^~@5obxHi|16R25&qS1$&(mNwA^x%Ii;0i+{AUbJ6Ekj6Sc~8=?9c zfAC58T+eJpsE}}=yh)1$H6Wp0btFsR(o$Pd22QiG5V_-8PRdu#rZr*^s990r{_YvrbjSGb)Q0-KFjO0_orvjNaVy!SjPF2>Ybi)*Xa;hO1;^G4Css{9jz0xup*tkPk zSBY+$IgxK-Px>B8v%he)=9U(6F^yZ&KGrg+?f3E{EO@&)L#ZBF^!dle&3jPy_}5`& z*;l{okH1c#;n7DkjjWv4oKdl>j@d?3UVUQtrNI`ykHUQGb|*2KTINIP&pZX|M1Fmw zjt!876^z8NdLAdUT=LI%x@+^Rhefxfj}8nN_rA>U?(YIRMc1BCH>i4El6Xh>ZbHJod7FI#I^0vk@h5o6Q%zZ_=WZUm zsCV)lS~D&T9AxRmc49zX1sX+;urL^r&boHYu7R4qw^w)gS-8yXJSA=$8ocSp5+oWK zP7huyJvN5`;APYLBVSZlSJ$lx(m+fZ zjY^Y22;>V?yzk)+N!J}(5bh>@HPW?IOK$p+K7sn-prFvJqj=nCSJ3c3?%@OORqNMl zONI~kP7PY1ZoUoj&Aj|>WJpD49W^9=xV8Ay9QRb6X<`C!$}(y@OOjC;tC4N9MeI0S zGt$o4%2wBHMTpsn1KJOQq&Pkae*{OQ+VI|xR$Zd4+7gwM#7Y<-5VIfJ4*I|* z52XmdB4DwcLX4H7;l*tlP`(A*i@!Bbw371KpPC_c`<#sA%L0o%rETl3Y{(3l_fX?c zVOB4*cpa3B?mV-sY{NA^*X6D@XfzF;ZjySKJmypwk|=Tn;uwI8kGeqd-8q3=|T zu)rh4y8^&@n_*iS7W@+Y;sRllm)FzA^R127;wttqSs;a=fCRdfIyD^!h=S?Y2$6wZ ztoGo6|DhM~{{%odFi7}v1U=!(0mknhzV_z*bSM4H*NV^a6}v(dDDXA}1^>vnK^|kC z@*mK``BP{R9I(8>HjsA^LbKl+d`<&AM4%Ar1W|S7?Lj>i$v*EFuB&=gMmP%EuPKrC z{kT)K#`tOFAB*qT1Sg!s*BNJ1I?9vycRPQ5ytiYfPbWKiD~Vob7!jr7G8LiJw~i9; zzwoLTqcY$6?)J9HhpY#EN~;?`Y~x|8ho;8*_eNw2p{c)>nbXgege9eWEVMd{p_2n|GhtKkeR?kiHYsXSzZKn3 zd2`kvnY=_?CTDGEE!%IP>!=yjFrC3G#5V?8xP_BgTl8TLkP{RBL1JUz?#h<|nh~&)Q`7|N$6aV5xime11D`_zRJ%g@pjIfZ zB)=U|>;e|&*YV#U_Fp2wuf5Ae69)LMttEkV!fb_0Bd#`3=AXzub9VMiKv3arUy=4U zlZWk#_}BB73=(3F@|<+GqXz(t* z=wErSZ<7ggsl=n|`gh{r)!ApUsP})1{;9?V@H(poB31DzeuamkIXv95s*k3zQLc-; z-UEvV%Q_Exj*Rg|)G6G9u8P#eONRpG6RqY_FQeA)J-sTBTlv#?DrZ?>RnqNOiKbdA zXA=(n(GeNEBat@XYm-nWb+#>x#anw{$AZYC%S7d!?e6GqY!PRBb7T)OL6np zKF_-TVP7(jBWXm~_^Eh)mmG7N13G1|a~Be#gKJ{<_V4g zthlgqgn_XiC#$NqXWwGE=@KX#Z{$W}`aXqzb@dI+ed2H_;D9~maoC=P`On0(^>a+H z#yCF~EOZ28sseUBP^!5GH2TT{vnYAUUjcD@#{iF}fl6PKe)U!w=ugXgU5Fqa^daP| z6x{_L2`xStV_nuXry*#NW;QRgRHR%g8% zFZQUP7wMHmvL*JK6|!}PsX9yKpS-0SF}{K;Hf$_)zL3@`XtLHw9-BIz%Adm~1&i|O zWLQhJ*z)VXS;{JAI~7PrV#4T|T5NyDLWZ`BQprD?Y1iDvIU$8}>MU#zY0^t+`l^@=wiy|NdGbI#ey4)Ct+tsS3ny&GL; z!8H7EPxlq~!oBQM)E+FZ_h0P{_NIc^ZP6qq)4TM^4Zp@O0dI;oC!nmO9ii2>){%9R zTc1;S%T2P%2e8So{*59!8*$cIIw~p>swpMvKO_j4)5EFw`CK4#O7_)I(qM)=`!4JAL+T0teka!58A&6;mF_=*1$BJhnt@%j6ekt6~rm<665_T zE+y~a4+1!d*KkQ5)KdGX2O@(?14LJl+Oh%Rk`yw7VK_3%=equS-e`5qyShCgHAS7u zcD!8NS~*@na^u$AlgznIwdB(KJ!wcPQxj|&w5#d1JsOhVm|z*wJ~)AHc&nHe^pqqP4V*2gLMij^|o^W^k+x$wa;CTpZq zol3g_)J}CmoNs5in9iXx#cP+IXx=edryidk%`dM{C>=tHFU!OWNFCd8mCIVB_r3hW zWL^a{B!<5bP3WDQ+Z#s5PPDEj5@`*OA~T4mrdQQdI2#;VN&eq!YtBZcW7Y4}V2D)q z2Z6}RJt%^{e|>oQl&}ywlY>Q7ebQ=&8wouZflG~pqN;&4-Km)@g3ecp4am4*39Kn{_#YBOzA~Am}qw8FRtHJX< zoE277S@>mW&2+_v|1)NC zlLKP=|9Mw1@M56e=!9OgsIs0FSC|gK2AO<=IvWN-lKp-BbQ%Q!>U@xfcwa06uLuFF zyuHDDzTrq^Apjj^H7<;Agx^9sYxaE}A)Rw&6uo{TcCF!|b6pu*R9WIe-<;3;?hrUK z?dnsPd+VO9o`=S34$ zY(`bsdAWA&3F~SJVThI4>09bYv>>oTkW$(Wu1j0LVl>PYB7Q23?dMpsKXQk?k<#C2 z47N5;to6XC{QS11ZQ(*fdOV>`-whrvpCz-J-)lQQvQmcG#bnpc zTq}%_z7o9Sn6=HmTcKWk4>De}R`IDlNv3UNRdfoxauZS#fMveO0W4&x zNbD1cwUE`gPu*{0a_mkxJ^d`!cj3~bbkfIokw>g6j}@-AJ;V-%3=(uMe$7(kQr9ci z$PLHTKAXAA>>5-e0{T<{!kL02EwH^O87GVN(?*dJXb2sjOj+*`=UW58As`MCWdj#N zA}TE6iAl~X2HeDsJWG9mlAeSmkrygJeZgM={aRr(D{iaNEux?TEjf7+(|;1|9g9U! z2w_{8(iFSUU>lGTz}o@aCU(gJA|XZ$pQh5gfrkMMgWv#WXfr@|RRY}#A%Sc-jej;8 zxh+<&m`jx`KL=uIzF@bVWTQEo^!i-*iAX_#L~+rY1#GsoK;*`9?|mYC57yR~C=U!Z zeUIGdD`&X5@}+@+ROMp1)D@1E*A`(HrqINR@3`Q5+oOkiCeaf{Yoa^t z{brYbxw2RxSk%wu&Q6QP&q92OYN$2$B-_V9PNr21{0RP&}|^TFtw4qIn`uu z%aOHsH3X9z;-qu%GYC^pmB=YO1Tj7%?LlM zwZCEmB0ms7V>5tL>QtI^0!5I6c+1Nt+TjFZ*LWkU!T&H32%7YT($rebB}CGa_OaiE zc>S{wLWVaT-&A1y&jxj6MVathBMh>I8;lPs9!G^(R&}h;eU)>`0VNHHmwxy}H0{0i z%;oR>JX(vlcTUc|xFf=Tft-D1gXaCN>)X?5%=`ky#-I0zFGEM|B6e>Ow#E5`I&%L>Y253%7Fph9q3@5$cFQQd^SWuKGwV?M>s<(4ELlWgms8Q%Sm9^A#z~=?39Nz( z5vtpg&fDETLf@`E5x}ySL=5T-GN2;Jf1-=hFyCwrF=3$S&u8LVCwO98hvfs(d&*J zcE{n#ny;h0l6sY^1=RA-;$ko@CLgAaG}>2)@=s`JLW&LG!QUXZP1I_~UU+d>GwL%$ ztnl1>5e&{fRpl|^ooN&4FN@Z{IM}?$ZoSE&zcQLXUrp8R+4-|##BFHcaJy=&7Qcpo z&^Ez|N+Yy?37VLPoxs;fiUL3CT`2@ylZVz!`?yZnjhq^Cc;r z6uVft(oDVakI9g&0VJfvD1nLnPb1y*LQ9PDKPy8-QZR5ZV~9B^kedQ~j=zRR%vI$> z)3zpi8?}{i4}m{hU~6z7bAo_HHIzjt7qa%gu;t+`ZT4mBWhZ0tH`d*9(Ol0U7N=7B zj<4o>dO*a`UgDBN`BdVx`|FnKPF>HWgS*m|@?}o#%kp-1FwhYb8+%WzEsXI!^WO3P z;a{8}UhChSPZCjn_rzm}_L=!Y2H6`7AAB{wk^8BpO0(Zy^1*M<)-GQERS}0JdLVV* z2(v8A#UQ8ew&ZqV!W6I66gk1Jj;JHGwC-I1cvR}Yk*{YE>x)=k#Vi$KZ(MuQSk$F#xpho=bftMX-v$_ETp5gkmP_ z`#3&vQH34){t9NJLEJ`JYV%#Q%hT)RG*C73Q|wx{l3*oX*2zCYO(%PMb_TJ0hr5KI zv&-F8IteOG?pqIih!!dCV$m{m8y;V#!1ywW?#o%nqD{8Xor^jroBxh)**(l=ixe5h zbF`OEfpcdvms=T%X3}O~sZ4wiBuLwG_G>I$IC&R33^*J4<67RCxwfl^F(Ps;s{F&+ zW-}Ex31gW$}o__DYRxRcH zADYTg+8?3anbz|ZplbjtM0c}*o}wxZtmN{< z4P8;nGqXz#^E;X>iycBZH~I2*M-i|U8s1duS1MUy9QwSoJLg2Oaen2wx9<{PJ7pyY zhC|Q@q#BcL2EtR%PbnWJ`-bYP$V)va^4#l9kcBp_#3GaD0FA{zsR3&oBG*1bGGZ=LB11LL=!TonVo-j$BJ)YoPDJ3-)kMOD0sAz zqoVIybG17ig2j@IC028UCN^Bj6JeOF*wk;Y>BqC08V+l|+XN zK^pTwIu7_QQqKbK`lYR0B7Q7T7PISVg~iv3Inbgjiy_ni9)%bcWTq<_5H_?o2BATl zs8G2Diu!;Pj6Wi#3_vmPiZ$p%v4jf&h+SnNC2NI>QK=LkrV0fytB&}*)>=6;pMk`)2_I{}_*Qh=@*nYj)U1DXGm}oYTeC|h8tdSR z`*2X4%RNuT2foIm{UhfE)7E(WeNOl`@5#TF+}p{$G{+^ErjA4l%1M0^u9FZyOBy%t zDUrS{6nL{@l(2~E0N@r(PpAs9ySqr?BxX&80N)d4G*z+8gu#Q@p^_WHZj+oQfRVqt{ zX5*iSxZx&Wd<>STo`QD`4RWu8Or;PuA@p_qS2|%wU-oh5H}MvfP{DO-0=wZKH<2hK zBEul)JidKO=ycLxe1KxFqp8!@#G!}hm9KeOT`?$Z432E1$;#{H-#l?%aRamv_#^)8 zo5#$LaY^g7vcwu2W}y7ehn6Nv3Szsp1r*iAs8f9F9o7ypq{KG(FAtOi&KH~|rct?N z57tfWh%vPJ`Dl*)u{y&)(m(;4!XWAf!ozxPeygwHPg=!y9xSf1@Ix`y$6g(t2Uq6@ z?gu8Fw{#hBHN>{yaPp?aXd&Tk0K2R^O`u|~qwjECof1B1C1OC9S0F`b;-xO{*r*=5 z)*fbmpu@kjNR|Wybh7gUok1nYk5uHn54S@CRwS!K0IoI>R8MX1C157+cNg`Z&78d0 z4l+w@X1@<;WGg|Z91%tAjs-`p2DFSZ2W}XlmTT8+O<+v4Po{@8`Z(bd#z8q{Ao!*Q zr!k&$4;9hkt^u3%B#d1=h88xiGwf5j?0XmbA!VU{M7FGT)`*JSNt)&!-5(Y5g^Ab9 zjp~aNvKMcX=_m_nlZ)yXmsIF_o*%hyyYges=dJ4$JwECA80)YXx<>nts@k9U zah}J?_7X@mvC5^fgDZcy4q|=YyHA%GnUNpc#=aRJ&}cqbzH7@S%24w``)L>ZuZegP zG+<^8p1yneu;6SqL2levS(ty@Y~NJF?iQI#1^3>;20Krsg*VoE4Mxb-_jiSxD1VFD zx7g)mSuA<6mcReosl3nk7S3Pl+Du8GHc}PU%?)Xqc>OW?U2Jy|U2QqE*jISfsb=wD z8TkT3`u^;V%SA6FMElzwnP zu6q8vk(1s0b5lRvMQ9m8{`=6h?_&P#L*gaJvDm@MFI0a4ShKM6)G@6CR>ahQuNtk) z5~unN?zT=|oMdGY)96qqybts5Bm3$*Uce09OOkMgUJ`c#fV30Vok9kVYTgO6AW6A_R|>v1`W3V#l{a4CH;4C1dj42VZ4juYl_wbhpqqt(`?Tx16F7KK%C+)0XrR@P|)DYXSk%Cbr zMBIyu3`iuw&;R(H2W>)hRz(VsGRoPscZNHMI3|*+0`EmiC$)*RpX5}kqIj3@srdRJ z2LJ7S>Oj=scW_uD`PZ@Q&b89Mwgo)ZkPMaRte0{PC34jjX$lg;k;gt(E|7?OLXNks zvP>RL`0o8GW1>hX-H)C@Ueb=-db6fDwX-J-ePf@{Dz)=~EU9W;*wCD;zNgUC?8TxY z|2pbn{Ta>8ejD!kj%of2=+P@p!u)fM>3sX3#a*e37gc(D?1HfVn(v{Wo5icAtLxOh zE=eX}iTOW@qx|)li}n{+Wwn+^oL#%Y`N4VWpz7+%+sp2JRpR4QChf4Fwmb*Vm%Lus z1lzk=ww-8l%Yppw*Otc)#to)IBci)cO7Ru15@>SUa-mLMLX2~2E;(vhz|^eoxWyWt zc7%6CjmU{g_o!0${s?^b*Grp6Z%)Zf9jyBHwM(0|e#x3M#usO3F1pYco1|zx>6H_e zyQkYrLg`SiLXpcUk*c@9C<7wSXYxY_{Wu$1%;eY%2{9o6A|w_-O)gcQM-kJIyb6;E zK^`zKIGn(9RqLlUq&R?CfLGggv$tYo`P>8b%Cq2B%le8~C{CKeOEXY4G+?pFGMLjz zgI6$0@G4NFgr0lRVh+8=jXr2U2W0TZTLJRs5Sapx(0%fMW(@KKwYSQW=Pfre@W(!YoeEcM31> z#rP=Bp~Vlud6S4A4ytZdN#;3m>PA-Oe7lj`jIOP|cR)?=94wiB$z3$F)-K?L-1y9~ zQxu7G&XJcI^*H5X(se5xOWRzxwut4myd#`O&*eOFYw!#%=W@b(vI}E6N-_nk0|Xkm zd$|sTd1r~?<{r_eRWaMi?D;eau`YEJ#k(_cw2;a9mhW+T%?JH{2dI6zi91q*@j`37 znDnY|Jod-_uF#f^4VOJ8U)gL^k5)(>J$bUkyyI}5WptdxmfYmgc|A2%5qslCsk8Kp zKIKt-mZDK5`GXTp>AD$nB`0@mnnDSwPR9#xVqZUb*unDXd45^-MZU}kYiCq&q>HZ6 z{+fFl^*VlEDgt6ZZs31cKO=GHQZHAgyTZRHzSKmiiQHXgr2B1d|3`hh#E{BgXJ+{Q z4~yw)NsB<{`v;E5Nryo}4tfxy;XW`bBQF|5M&8x4wr@2vkhz^T+hcpNO5eXt`aj}4$7F*iM3OE4LDa7&HpN>mxE`Dxe006m zJwsJpM4H$WcR6Ud7Lcfi0f~P{B=-j{6X*{xIIxDwSVWuxql)oW?x2-d9Zc@|%==&` z^t(Ve`~+RsEJP2Vm>-b6n zHO>DxIL>|*byklGXH^p-I#(N{2ma2#vUpGUpo!R*K@`DC`FPaH!fMXivIx1_rRAQ* zp&39%`6y$@@iL|3h9a?~n&azy9M0C5>W_R1L6>5@9A%`NODlt3l-|!+xa()r-Nn7_ zF66WTn|8HdlZf6}yYEefl=z{x)H?MNvG)?u<&MHXFRsdWyAK^CRK+93M{;-QIc;1c zN)b}(aA5Tev{-#BxsM9>Q;QXQ%-oZ_^al|Dusu~Nz;`~rDp>dCo&YwsDuUH z=WQ5{U9KDJ5(9J9wWjhsmZS?YO)_JbItipRChJDHRZl zDMA1Nj^v-&YIP??4T@kNHyBYylMf4vY}LwsOo4ec$fGvhF?00SmlSfCHT_+J`E6o@Op4e zU=!fHdQL$iq|bAeNnxn`x_yfz+P2d@T2U&(GPPbk**k>|QP$y;g7qlYT-oiMI!o)Z zZH^O<6otZdz4k^wE20+_WpLu$t+d)L7RR}P#Z|@oP;4yT*1NoV)31SM4@!=C+sW-J zRPIpz)yq<)M+WhwK4;7qMYeMd<*_IF5A0HG&YCyT)lYo-t3LEXvgqOSWL(2Jk+(-9 z*<>+8#l6mQz7MBH!}-yD(v{AsS6WMc?YW?oJ|1`G^K!ZU#3cvob;Y?D`o28c&iG#; zvHE=JU#Ig$&TLv`Byy8ye&nQL*TZvmBD+Pui(gpnX`oc6dgoK6kh6#yTIWiZlT7}g zy`PhLBrI@L!j;@`wnj4f%Pd)FgNB0=C)f)tD4fx73sI@slQ@%KT<#xT?CE%p@eJF; z_QEnW0FPlLgTavkvL6o;a1<+oL1kb6zN<`F3)E2>%rhoLtgW~o zXxdwXvcWkvF)j>T!Q24BTPjNYnpn{Q0f(hl^r%^hZaS}RNeEcHkxA`&5F_RQ130h^ z%HMm7q*wB7kW|(>GEmWwC$jfgUzSf1KKXU~3I|^CLG1qaWKGd#>|`ZsM0*lSi`oZ7*!6J`u9|o`W`Ru|id-VrEot z!bB*-P;jrnZYPE?Y&4ng@vHko=}b@uQxuC0`yp%#5di(N8B!^8Gi)*hHW7Z>XTYr{ zuluf_+*tPH?!2;y<8oJ=WWw(y87QPB8LAhDbln|1DTUc}al5(ZohIwm-2YCFZ% z^A@0+`yii)?Ji%8z1kCNLm5OV*EQo;;w_@^ZORyg2)~ z?Qg^GN1Ec*pZk73a24M;<|xKDb4*|tc`!3(f1ixO>64zW@#~V$%_{7WhEe34IDQnb zc0B4XlU*lm^HJF{C?S#h#!87%y287VQX;1+6C)^lz@U(c6@F+$@>ZXYYn&pucaGaoFH{EhS;27 z59z9Q93rfNnPGQrX@vquM=%&57!WF;f_QR{o`>1IGMYz69nw<#CJgO^V7id&BKcNuS?hjfSIA^}?SN;cH5>MMnIf z8O(Frq-}Sg)z4(+dzDWC>9s%FoS~l#7O$(ZQ(x|Aktk@-^Zh+`BdXhL+3!dqFoQ%u z`G%Q`BCbqf7+^Rw!VfVT(pUHxGR_)<3%)s;aEVAuZssY03#CCHnUp!JW_=fMpsmYy{;qwcUsG9dytzI6(Q`Y}_MR|Nh%z=_N049sX9A!6E6l8;Kqi|p#Q=H3B`K3uW z|GhXfgPCpg`gQ+VYDoG#^GwiS|5 zFRTvXO>bKE51YO8_pg1qXOCE)*?Yl`Ks0AbHG=iUb7q!C8xrD|+c;3}KrIUi6gYZ7 zAXab>Ax6NtVjODM8tbj;xW5nO%SF!CAB-h2$C+Zfl|^$SU#*c_LL}bEfy-aHh2tsQ z;(2FvEYk0kL>68Jrh{#j@jXkT!Mji4zCA3Ef|`vR_|wuvX#W}pET%xs78};P5Jo@2 zjWRwqqB^ev?d=D}=2eu6@+Tu5Bat1!>xvE1^52k~_^s1->Th2CLntuy=te%<`m@$y z)*iLH`9w4ZRD_l942*Szo|K%5+WdB}A^)t2_wrlopzQ1-R@k@Z=PAL%FLu}a0D#`p zm-(>0Z1Ka^Uefry5{aKZ>kJAuj(Eepc69eC+`_}>*Xok7)BbOij<6!z)tzsv@c2Kp z#3d#(>YX!e!G#dZ5g+vhj)1-TPS3ZUHIcJoP`|q18ER`Sai>%qQevhcd*qD7K zm=GWhyoj*Q|HvVe+0!D*FJfn#uG&0AEqfzcPnKLu*Z(Dx?|$*hs8$B*Pvb>xr+7C7 z*bLR>>#LOxJpaXS zd*;-)yyWJ7!b8@)(^2wgUW&Uux}#%Rvnx^lg5yCs`eOJ-sls4gS2^^i)nU7%VM=kVYHP&UlW1y>k8McQ*mm$5V&CtX2OJl#bZ=jC~h$GytI1OHnu)IAFjXVvO1uuj7( zO9&mrO{JDTt&vIr60xkUAq>{b!k%KnXIR*~iKc7xC@ls?w%8!rMTJZjjs{CTfXT9O zbf%S>MDVVu$O=&miPiMfMaTb-qUAFMBWU#t9flT*<(A(JkGmWUdo?(jaG37pa(7W% z=|TYM^M%WEk?WY_W`Y=}K?%V#?*?VU4n7tU`NHy4TD>#e`9$@%wQrXsnDjAY3K}B+WPx&v~ ze>f<*e>-XadCtr@`LZ?N{BKYF_Ks&+Gpi$%qNrwL3FEp7icu8S$D=iD@-jopX8xW- zV3=pq&y4%=vwaop+SKcVz9;+~dM@mqhwU7&gpW~5N0t{1*U`Q8)u8JvS5G_&&Eyb* z6^WB_?7Tzs_l&XguxZa!-tMB`lhE}D8X(EJMBl2c&`CIYtjB5do3_QtFN#J!%U#cc zx&9xai(iVbyVVKmcdgxa7SMJ{)V4{@E@b%8C9=`&V)<|9CKo;I9uAzkW-yHnTVqB& zO9;Qv5L*p16JRpw=EbOVKSvg91=4)bmd_odv9utF=YK{LBU~pA2_QGu4Vco}LMax-Jp=qN0`@|kaxQGPi zU$*BDxsc>R&-FesJ5=vD%^^WBvD@^7H&wn(?1aE$JerR684|jJgBGt0r*PQb#6SLY z@{%M5)?r9E%;4j8LL;)lq&GZhLuq|t>2LDnYR5y3YObn}gBzYphgc-NX{bu5k{`%U z#S-F*N&2lp#Nh`LOg7z+U*R^3eD9jN+r{q}rg^pU;GcNV>Q7F_~R)d_11bkMX($200g zMl%7X5#0U*OcuC3>in`j$^o9CB9GKT*MvuaO1#TYg)D)DehCC1q z>xrqgF-io#4OkE~H7X0gE$AyM{1D?WS(jo{s5CfGlX-kX!r=aaJsM#@zB^C{s^Suk z|Bg}3rw92|{H(lw;n&e(VZM`6(SkX352;`fF&7OFh6WjWa&8_;BZGT2P3QmXl>2jO zDf7^6Um@~^r=0zC4nZZpPUXPQKZ;KU@P93r+IbdPUESve{KiO5xrG@0=XF;Mw6|Hs z9TMIGB<8m7DotGR@aSCo^dh(9wSeQ|!uuaTxQDL~T;OZVe@_VNzo#7S_iBJ}hxT*d z;Ha%dId42>x9FCFaJ=qPmMVSyK>eAG0!3kH63yPnDlP&no|5b{Oa>CBtMA$%(g1Ua{0&0BCOU{B{q^U<8q0V!cML9%fQrII2pJmuS?ZCsS!AV{*$q;5L z7rOCr8P9dUYH4KEvhZPRkqO4a;&;kpv3fvIFV`5T5o_6DetV#bj6Gnw7KRoM3Ox^! z`LKcmO{LC#eWw#?hD|PnwS72Z!u&%@R1cAbN!n(Qa>CN}f``+EkHAL|Nbr4?Pb5}N z10)2!&&5{c zt;$$Z50Gau=T|HG7JLONeM`ESBO4yToNx4gd)WxutNE~kK^no)EO!;J5v$*HWn%!_ zwjE!Asx$rBHsVU6o*I8Y&Roj*9-{?oo>w?rk6U^oi8hHQRvr5y?JKEkHplho3F*;~ zDG{CZ4R5YuFpDy#0!Kgo*}v9V0zY#duSy!b1m?vyf9=RUFw3dCv3{)y<~;AyZbP{c z;|=!4^xM8D9O1)a*zCW{PX&cLnXF~fGr>C15j7I0;@69olCDzS%FO-aPMZ=$Jx&*9 z@jVGO)h38bZzooH*=QiQ`vnyLKy5ZCe^eT(W#dbSV_e?;xW$xErAY@8=a1HLGxk>s zHRoaRd%~0T3|#Jr!|wyf|2mA_SCC}kNOFHtw(MQ9*B!4lzkai{w(YqqzcqUWTo;6* zD9AOln&<2ksY||pwz>7khRhd}!%G}s2b+U84No=>Mt+ z@c|P7QZ3RSf*LPg-9(w$ufHuD0>8_QO`#sbWR@vY@ zP;>C|FvlR7jc`prG>Izz#8Oqbf0l)+n&bZc_r1+~`Ujn`rpw}5z(QR7$priAfU7aW zW?2-EqJ_fu(sxilX5WUs=DI9>Pm!iW)k23aEp`;_7}zHiJ|MC@Mo1zZfkmV)Vx{(~ z$VF)s+p}tPi#*%*XE*o0;Hzk%Xy5AM8w{osmXB{!hvAcmtoU^70)8o-9H+tLrt;D| zKCtk-^fC4nW_aOQAvfHmaJhcnSiq#z@GyNjO`BY5N`gX^9uk$apysv|C%?uJS`ly90|i_It-=zGkMEOr@nvB zbW64lyD4^BmHhdYXZNl=+Z#g- z{=I`0CUC!&kXO{hxEJVAOro8+cjC^tqi6XnYW0z8w$y1&I9!%1uGU=|G>aG_7DwK!VHUZRZ;?_D@NrjSm(!kXUpopqeM-H~^Jc)Tz$y_GQ>JD|c_hnsO^IUQ7- zCh>&c@w9^Il}fLfU8GkYKP@mM;;hGSn<6()8TI{-r;L9u5{#ejdcVJ3X7@(O8YMLj@8cN%+1P$7y%80BX)cXbeD6;3E%9wYU z%=IyI^pwx?eQ1RW{e;5=j+6)G_T{)N?dnJa%SqlOJW*qX( z^}Dhh7}N6^8g%^qy|UEcXHce2 zzFZ5H6vN_f?msEiu&p~w_O?33TCjS1uzY055vZ37>D*~bKkHa5k;3|7^yw3?S5eEF$84ZTj|dabPkM|oN4s^eh`8srP6g|Lz7DXo>A3T-ZN!cP-MKD#P4OKnQ=(U8#mTlG^*^wy zw|70S4b4vp7c1->TDpwmW>ilZ>C%-Kbf@TnL&T}YrL1)9tI_D@O~?X>Yh4|)N{>Qo3fp*8N`%Mr7|ecpG* z6F&ToqyKZczwYiAmyC_^f2^L9d5q5G!m;8zHaR=(n$&{y+%~=0Y(0A>%Y&ECIX$1= z;B!_V&lxy7_O1AD@!(hG<~){JiW!awJvf-|Q#TLc8BefXs&k%uK+AglltTGvu1a{@EwpeN#TA z#?bY;tl;y5HYIoKW$cfcOEajruCBmHqo{RXB~9{7O5Kf}zE4@jHntOI4NvLy8r5jW zUf9Fqv5~#mMvS=v+n9^fUw>j}B!j9B9ev@moL+7=#AO9`+>j)3QdZZ?WAUGH%Y{=K zwhG&sg=B9u%q)+IQyu8f7w~^Ou^k~5uV#JBQSx`s%{5l0GVXk6-|n$Hdh?lzefK5U zZMSg~R{L%8orE#>pM{90j-Io<<}f>@*#0;A8@+S>zI18ggR7sE_S)Zw93JLMY+V$( z0$Z|)_^?d#Wbb%#m$21*;Udk~NyAK^)BhU25%8@rGoUGW;UD;Su5e!Y@A#rP?KJEh z3eR`DCF}B3d7tlCefXT{KfH$`cuvVpD#~q^6s8z2?I{xJ=-E6rqb{G$yI{&)H}k}< z3Qr$V;6(*ceV8+U_dmFa(@*@k$5pcbP=#J%(sDwQUUtD3$XKwppZFtw zesQ~JCoevO{(F17M|q&>&+Dt7;!?D(sF(5nnvHdhZ{u*q@ZY{A+)=ncpfwSVl4Qdk zhbLCcMrJ;EXvVw$-Z$L93Kny+UI>Ru&~eMf=={ZVHzZ_mwbQJjntPc}%;h`O#SG%& zOf|jtq%4BT1#uLxwcbT^%sc6DM#sx&N|nBj95YYaO&C4m)g1IyreZ-ikP3 z4ZEH04SS*67hN2pKLhQ5WmQKq8=GhxcFo<7`&8{v^RdtO! zpJMv+qGF^uPL479;NpQP)cTXpyG_)YN@<^sE0;g+@2e6qx_s>WP6_RC!X=NWQ+i*s zY@;M(svRSwuUUyFTYuBQU60E7!bza9zBT*z2^+5NdsM_^*Tm_04DwneC1G8gj?s~3 zy}kSjZCf@qo)js(`g%F*pTzxU!kg_R>a>ZTOKwz2RS)Oalt9@LV79CmrlcoKZvCeJ zN7J{!Gxh)fBWfEe=!!Qz8b7&_syFr zv!v0U&l&PeN}F-oL6;=ayCk?SoGoj@N*q5v|C=1_4(=xq5z+n{wixa}iPeJUn>aYF-Tz*RiL2dGxFKNLH>?W4)tUMwe6nJ&U*4 zY3cR?;ASF9hZs?I3tn7vH>`Qy(*CZuP^I!&Yd9`0X3XU5*2<9WKP_!k~1G}*cMN}Xs>IN50xgyOI3 zg66wM&E}771`7!xowZG&=}O(9($}~7E6bDg2TIN;$5ec2e|Sjsrsf2L$-)k2s8%u~ z^mr6^J$;0gh}W>F)Quge->JscY`*>3yH7<2%nCE~aIddUNwEHz?c&!x^EM;*m~-JO zY2j^-PJ|fu?-Pzjr_60@=AMvVm&|f)m?0>Hz5YGqIU8BXHr%OtLwJ1ju$``Gl%v$h zNFvDy6|4Hjh&O9;veh{J!fot_t(tldv!1YnqA#oEGoM~(^II7Frc(z++vMnPjy<77 zXpmUdj5|{EOKf9AUal-dqdGdaB!`@((y)ULL^v}xMFTysA&`B6^7p?YAJkQVooZd( zIs0XQnqNP)byRk1QfNkV=Ie^Up#BNZEA>APWtdj)d=_{m$kMi$>qYRPBgY(`)0ana zmt5##x5~W7?|F%V%)on?=h>p043QWpInV9Hh*bwfHojE~Cloy-o zW1m>Y%UvyHgQ3|n{y+xylY1fhH}Ma-mrhu?Uz{Hl zHhKl~<~`YIew!=hY@M|GVh_=g%2Se5)p0lLQcvyZ!F@{4WV8#d+x)Kpo<2|?|F1?_)MHkyo{q$+1-T?dZ)P< zX5u1z4q|*<&o5RGA2`(=YA*UEp1>H!}RR6?@lF zKP=h_TR+^U{%gc{W5kZ_vnsC+GOs*YjglHUD>tE5of(pTc|hu8G)bw&RP2*l!cnCk zlei@MaDqCTx=4&7MwUf-{xB?SXQ_A6Yv+9v=^xHnO?K<%MGxP0>Z!}HCv^4&^$q=G z{^E@)yA6CrPGy!sT{l*`hW59-a=hOGMw{9F7!ahzH8$=HXx(Hkps1&c!@jq!Wi_ycXiLi@@s z)%o`2=qsJi`_3i_om{eXW4ovj&))hcGUe<`h#%G(%WJ<(f99%j0H-40CSOK%`4gdo zBi_9#ni*Lk%Wa!j3e?qI=7HUj2jXgQ>8RGbY_3hXjr>Mrm2}mkQ&JYZCno$oE|K)J z`(Ij)x($i@^0-caV`9}OR83@n9s3O3n*214c&A3Dr6-|;wxp%&{=N5JxRa7&0xKQxd68DTNuzQu@|VpIb)}eM#sow z&l;CxHf*EklU#ODXw0GX6~$Zzg+3R{k0;a-rw(N0t>~;y4Q7*HplZOvy;rg zZ(@;I@>-Z@kFgm?^4WLnIeJPa^j71hHv9gG89OEDUvf?lDY?X<+zM%)*DcP$iMD&n zPx%zsATn`d{UjHGX^LuhxtP>9ce!QE=KsXp(|U znGeX|z7pq?kYqjf#w@O9>7(2IQLVcUXA=7Fs&f(!g)ZB-2~Tjanzo5XyABIuR=D@j z7%}pZhnp*|*O;)%twqs)#(pIlVW?>00(#~+fwqA5u-yT57V>Z{w6k%5pPWKpCH}%~ z8yZ^t4fN$0s=@n*SLi+XvEke3S+=ce2W*k;Os6HspeK@K&zSs>&R5DsEHCGBE63$V zVwsFHIALP~FaC*ca~|W;`iM&HCpUQ6rSN-?K7OF1tGNwjc3(p*VM>%wn`bvJ1z#Fc z>)j9-WQ3_3Qo`*lYp~4GEqXP)hK^-K2K;K|p7V=&b2>4lsCXwyEw+G@Y-ufQ#{X}F z1V4j7T<|HFGuM2=Ku6p#`k^shWrIrLg%-7|iH(A-2XI>ig#(yGN|*F`#fPVqtuiv{ z(P=rD@k>$^CUvkR?lwAnq2Zh-G^kMIWAt1Bfg;@$Xx3JZmzAU#QO2v}?yuAywiWqf z*__tOp6tkN5yj*5vMIXJf)m4uDhc7-vI^;Lq!v|KbqJ_P>ac%KM=O>t+`#`ddPgqW z?M;oQIgU}%);PI|vz>R!cFDsHbvD~%ayXQ?xN!>X=?!*## z;yk`+ac9t#=+ow7xXKAAE~^MaD^_qN(N<0G?NAhTA4(4;NqcXvWRrmKbteQr*U)S!0@Dc$hq38w)QaKSwlzQMPS{knaFU4*pWB|C~*~vCozC(cw_|4Y_mIS1D*Vp2$ zDVhKcH4S?h7$V03JtztlB%BUyaX6h$oKq%Vjnq9tm(U@)_M9cgxZ<`2Qeo=z_R?25 z$jx|qOJIk3NbCQg=DGK|q;8R^Cj`^(_8hVS8?EsXeH)lh?Cwep_}f3z?rkd9eFY}W=8%23hza!gc|GJExw zo*IG13Tlv~+Qi163&N0S3n6`7+S*jpudQuhaVAmdTH`sK9W9-%&PN~wGTErN4C>5b zu+ms)M8cwpx(z!#n0>`;{yDZ*_V>CX>XDzndJJ#tI<48=TvImsse&mZ-6v(=p1%{p z0c}(!KPu5&cdK=6=l-<_P7ldyN&nbRYFUZ5vTBk3q0ggXPj~mR9?gCjRNnW72^58W z>5+TJR@5APeV;^3+*=%52^(8cajx+f3tVDJ^J9o~o7e{F(1nl{NqMXTdJ)^RjU|e{ zhW;apMlY@c#yfd97ivu8Y9&w(v0BTePRufAYUZ((`5;knrvHgv314SPx`>B9{%(94 z^e*2}f%f^e0Uz!aQr74e4 zFl)ZTyUjHtI0Ha;?wB0wBm5BjRNAf?MBd3~1!561l)fK(O^eSN>QPbq=;M3<%>$8u z646~g*6c8mI&=Ck1N}3~_!rLAl|-*2dJc*}4p znJYcxf1$z}Q|xgB5|*o#tN3nwQ9cK3BY)9rr}x+bR1j9yaUS7WVo%X48B=ua2#({} z6r`4>*{;;?g$~S`vdP-hLlkNupAi;KpxW)$(*bhXZNo)SN`H|%P zXE9L7x!tcqTnJ%_(U=tQXQ3By8+EvrL4)g1CH|pTt5Sy2SIyGt`L{o%q_g5~wkOdi zSLqJu8Mr=ouUqJR@)3^Z5WB&eK29e)j|nbfUtotA<3lGVsacgPU@CUj^&BIW{)Gi- zGvYl+QTXLYEhDOpt@V&SV@Y#;1A{x2T-!Zk%E+G4(CHSth8Yy|SYoK7-EMIjHvgpl z;^PHKy;bMAN^FA(15N#;WKS)(feUEZ!LU*2k;n2rp=|3LzKh8x<1z`vV(PV!HBq2& zhiVZ#v#0iecKK^hy(7gO-9zr$LCA(Css)=BZqe}jj8*dR%~~>zx$4ZiKeVXv^z{3J zZVr*k#bq~W{^%KICgJ>18k9RBuG7k1&JfAhhw8{9=fG9FwR7@lhQ8 z1Ao?=Z#Zn>Y!XyxWu~GsT(q**d%rd7eZREKc3XY|p>nGJy?}*in1^mn%m? zF>0&lnp%Pnq@$zEow5ang(FKgX}1|*$;B~|z!)9knze-%JKbJ=k!v5PvyfUieUvt2 z3-u^)oLnW5a$-aHrlSBIq)uRchI&3SHUhOTUk|3aZMF_Fq=`rFctE}O$gqX1a~SU- z)poq$i(6a`eSpN}~0%GyYDr2myf1`kKDm8!iiqZct~FLx2k=^xCchhzwMTz0(jtm(&|K zkvSWH4O(aC!i$XeZwdD2F%%x#mv^k@^l;lzX4ubRX+>2bKn1b;6FOLOW(;r|0B12! zYq^aEXWD(gACA9y%l&G5XYHSHsHH*4M@XZ(f;RF|wsTHh&#>#b)Icr4#D zK|)GrHp$37i>P--vQ^Ox`YIs zPFmOm9akurr%)#2$AwXJ{@R*hjcMxb=a|(--zes52P(DVL66n>BwRE4Me!;W+qdY-AcTpD6~K;)EqA0)kCoF~ zR*#7~23#M!+->fq&Qq_=Gmx`#KEGn6EcouNoDAHH z@c*oAA7-fNXkledJ;X8uM6A`Wtc-BoJd;GDmsnAlWD7uzL^eE;mSmdtDFymIkc*~JIv}4dDCmvc(?qtU1UC2w8 zMaPa3>Q<_=+gaNqENIL+=a>RMuGCA`G+GXWRQ?ir20-^M%`~Z?fpp-+5v1W&E7B{^ zj(?9!^A`EsN4nh4`MuCQMS3vfpzq$#xvFf=^Zxa;s_3Mfq={9dq);8>lq06V&mD9+?2kVj=Y}%SLSIG0ZOPi^ zrEYdOj>3J-^fhz3WBc1k?Kr)eUBUCXoVUDY5kZ%mRa>;Q>$KucBRLE4i>uUQlkXN5%v*;@CBBVcwgZ5K7`O+BppPrIC(9E6 z1P88T)I&>~0m!=f67UD@4Jy4^iCe3Hj8UGWfMNQt&I^E`f2loV@CmYrj|JZOHW{dl4S zs&B$8VftNE?7Sa&mJfPJ>R@CCZ~}Lu#m11fMfzbg7YSadg9Hpq=_Pg0=;I9lMo@Aw zbc_VOrSyVwUtXAqXir-{1?NUo{q*u(W2lHgmpydfCTuL~Z&8l9DSGJag@J(}j^}Yx z7fl4WB+9BpjE;_}?WssHEvyYb(|wqV{*qL%BIP$@ceFVuHeesF%Zc_IkGc3Ua|g$= z1Lsou<6VgB-pdlXCkU@%X=CBWgrSovt5wuzaz8Q0okt<>ijH(H{@I$1e2i6V<-^AF zmhkk&(*Ky?hA$tXEj?nZ6DrAVM4)W}ne8U3lE869qYFC6kZA{)W0z+ZN?-Eyb$*NoyfuNsbw_AK4x9lFV9_}j$wGT6d;}Q6 zb4_V79n%2~jYa_JM#+bC{!eDXsh~86%8LJQC9~t>-{ZK6{^{bJnkBJ+BVn?jmIskN1vV8f_gI*Fk{)MymtUE`>2D!IH3QHtm6ATN{m{gZt zkQ1p1sTGxmHo~26c+4W*LAxAfOGwbG7-&3kEy3qdL5sC+U&lS{D*t^dSuX4N?ZFa1 za*)H8DAeBG7V|xDi>ALW_wEuIi~myb!6=48S*Vi<%cRl6yc$mt2!G^0>Co5>8WtKL z$Ys1IEybZ%&|6hNOQfLlwmc|t4C(K%_0`YLL2~>zY@VbC-)H50e+z~q?D6?SGHWg`tN)tCiuh@t|3J=XxY63$6p|Q5@uqgH^6kvxOt*|*7U&6oPKMhg}+M;4Zx+~B{7!v@GqYKbF){P}B zF#?{pzI+6r3OJ+#6S2t{KwvwXzNEEa0AMtrQc|5Ht`bCMUEVYy$8oIz*A)7Qx4UX5 zV=o|3x`=lw;Xvv<7wC#kbQ9`-_)SapD|)BZnbO6V8LT$N?Mnt)7&ubn7eQ|V0@5|} zhO3Qa&wkhys$VMMbGrAX&6%);v+)(nrvTt}pgO)CBQm?iJ!MMwdPVULLACKz65@p7 zb?AUb51l4K1XA@@y~AjNw}a^Btqg%-UT}Ho2ft_2up#u-d*_{Xj0vD@2W!sgZ_uF) z)H%^yLA2&jvYwZRFF zdKq9nLgd9jW2#IHt2upg1ESgcQsjH;%W=AW-MEv`Er9p9CN7Y!EG?@UT9Ny`TJuz* zaOWMj(_;R!DnhX9AaB@R!ne^2>D)G*+)_ucJ(p@FWYCl9>(Omy-w@b$+*9(p!q+oO zGS8^`DZTo~@2Az5P06%;+53JfuHw1L_y6&Z^N!}ifJO|4FMo?^E9qYq?5z)DLjmaZ zJ=$LatL*}A`dr_27ik!-Au=u_E+7zjxa}C-VtQfn!|``t@05PC?!+`!^9H!al#Zt# z*%IE5d2qnrazCEBJiRN`x5@SF(%-6EM{6awy;8ZuBShrAvl(F2UEcC4%=FNz_ft~`x5f;&Y>fLp(VjEHYF5#(mQ+Jn>+6mOF4A20}?U21iLt`%6 zEG7w@9Q(a&39txA4}B0%ux_KiJIp3xTLNhlEes48VAxxr$yi=Y#Lc2dL>vSoKkG(v zlNFOn9>}AyA4|&)F$vydN1HMHwin#loI_im1Rn?_7u4oUqkHX*>yG6=Y&w^{#=RgQ zle#x%=0PhOLw@x+@HEmt%!p_*o0>Y0@J)8+#^kHieFjz+v`mCb3Oo1DScF+x`&o{>^o-x}ismWQC3!$J2aUDQA>`Er z!0ieIG~`AkdL6A;M570Q+9=Ew=I2N8rjjYs;Ltw-*1Zn*s>^BU)I}1}ewVCJO{aDi z3;-N=zgf4s=EVCJd?mzrC~^K4&{?q`jRX!L2C&9eh;)tki!KX$f8Ad7_6&dy|1Pt? zPxO*R{**+@dg(y!6gu&GcZF>=t5&RK7Xw`eb6!V^52}d zC&m@qhM_4rrTu25;C`ugZ;3>Lzs^)+wyq@N6vPb7=D@w4Xa{(4_d((i_zjHZQ#7qS zBhZ=@DpjLB88gtH4j&1)SS3IF+1J~Lw_&SE=>3QlM1k|%nG-8PAZKfXmj=KgO^HS! zuCR-lRUFpEG`E2nyNg7+|4wry(;c3RWwpUp=mBvtt=^B7E$5D0 z#Jg;jQ=^QA{;u@5bP4)ORK79FgN@{HL>jQAbX-F^4AjxqEO9wt``|GqDi$iF8&pMQ zuJH-L>EJ;}_wj!cXiwLz&Zg1kM}3Y2Dx2L`dMmpBuUP(3IZgD+pcE-JLu7Y;`P&3p z<1o&ut=pjauy!}SyFH?3E6xQ&VzLZbTfDj!Z?H>G^2mWwC8~%3335i zc5P)g2O5D1DMrZ82!i{%3?NP=A{p-ijuwp|JHncS>o9u<;jU482DtF+uxI7k3lN^c zdyoho-WjOm%{Gvb;{&y`E;ewI?CO##K={`>2(%3#lOT>aWjBXB5ChuqEJt z0677&f3dZSbys$p+D*iFuk$yo&+{jFX%QsAx0n`!H!LCHPborwU6b_S zh?r((*0eXl{!>n=MvnBWxm(f3sYKw?jF2+uF98!HQ#xpHz;!_aP_+RI@92iCT@^Lw z!s&CV)g=r{O7pp0-5^U@@VQ)WDjncWWvDP?r&yZ0gsV(#7}lguheF3NSOb4&G`$tB znt@*7c38>Y1~9)7N$sE+2!otoi8|B95L7Z^Nan^w3R_WXW!4BC5N*4Iz>uVnPCBCr zLpju+EKR?=1bPfDXD$U(TWBuT;8#IOHf_c0PwN?P|9^xwDBKDxb0gSpKswG6$a zTcv+Em!%1`Ju`dv=Dlwd8LAV?oc;@D@ABF?j3CtwTD*O*#oL?F*84uI z%KTdPb>(zyVl-BZI=8Sn7?$#W5HSo}@6vxZ0NHu#rknc7fTq+g#?(fr69DNs_=|Yg z{+k0kv#t%dD825wC^^Z_Xh%!{vQFT0NT&Q^jwkQHiMm3lfb95egj+#mBmfA^-wtFU z(`WyQ5fbJCCpdyn2(X8+?OY|-3IBF&Zx8wgDCUrwX#wj3yAvFY&jsO(ByHVo?}yT= zhsIL=FjihURFxS5oR z_VE?3=bLO*c#Y8Ef222vK*fNA%cWzSF|h(cM9O&U>vyltDHrz$_(^Zg@gurQeUUo7 z`PPHX@USDsRvqb|I(;0Bez&yy>pji6hFw}32#@6H%-?T+&%0QrS@!($_*Q2hsozSL ze`RcY73A`s|5LfW`v}>nvELYgoJW_#Vz3=lq@-Sz3M= zvTNDlhM;C1=f>X?{1x?*Vd+LxGDk)mHYEgfCQT;mxb^G27)ZbfVFG;P$i5o_n}~QB zpc`l)qlm^{_c`3O;w(%fVg-_^eT=*R##>jv+yaQe?OOkq0jUE-gIe7&fWY(6v?AX4 zU5z|#GLd~|kB6SmVadh3fFHAWbP=Bq4hCqIBEta0s_^lK73|aOl;(^3z26Q1p96lK zck116{#C#?ba5RHrLfzhWK@C26c`*qm;g%-VXd-HIVgo>&=K2$BuC&_1SKw+q(BM2 z#}5}CjQ80`7Eli<0}@?s`^U)HCPVEX#v#LzfnZ+H7&N3AD_qG9?f|k(8<;sJDUL3{ zqVM*p4;M(#L&paQ?E?+Nn*0zb44Cb%-g)a~X0~)G0}~y89DG7BCjWS@37UJ9Ns^`< zuub$2-1bHTgvy!3(xJKW#c`bGO3cs1r9~(GOTT+t_E#FDu^Vj@9dejsp;&MGLqoSL ztMm`ya@T&JgLy@a;EoevOn0Kjef9!EpyVvpmNb# zpf1fK{wBJ*Qw;{1?OwET+38uLc%n@kBt#Sa7Ko^Q%KXNk2-BxU8a7i&Z2qp^KPT@T zDnrMf&lnMY*5xeT8FV$a=8gkf$6`$8wzv*j$D+iHx9qIN0EcBDT+EdbkK#GT8{ACE zgq`b`Cb{x{KuDQe-7^%+*+@GlEj8PjEWlfaCR8ynz)y<-rS4)3<8FbFdBq~kp6e?E z)^&Yx5hagodt{}Mzt{u+%YUy3*(DFZu5j%TMTu%Z`u|ixMt5H|N0yrzhC{|&S!^69Mg>vD9L(0hUKz!C;v<`Sm&$7RBCZMq_@#1am z$Mq2IUL8n5U@O5@0Ij01eO=;0Y}#dx!u;5O*kY?K?jX%dOEfJQkxprkQaulVhgu+; zP>D$cDEZ8Rntn;3ZARo+k{bU@AYVuFk{i)?iMC*U?QC2EUxlk9WnkvniRKvUwZuRs z-ep&w8ca*k`p=rFL>WeMJO--#I?6=&uV7A%Rn43i;!5S)>rnY(!##0P*BLQR+w>Gq?W4!K_ivi8|0RB(@^LYKOSR%^AvqhVN=6PP&O<7{JpltUH%5 z(`-QLj>4-A3#i(v$}`DLe8w5?hy-Fb0czZ`Qrf1E1UDT-vJOXHa+KrzKB&-`#J&3U z7JZx2y=R_Rc+1Y7ZT2}H#O-r|cPy7SO~@yFJu7h3TOI>jep-74_ACLSEdrpefvTX* zMel4*DO+Q(^^`)Hz!glP3bn+k&zH{k)Lm?UL-}!HX~h~$9H1W~>5Vf62&OGO;LUV_ zYOe7wOh(KAR#m>vXTMcWSQ|u&;Yx9k#y}wDLA@tMXP3sQvNwA@-of?2XD7269W-z4 zZBV9qBuOh)3r!K0_}Dn6r9QW!$iD?#)MNy9YCNq|9&5sETRDO$?8K@gdq->mFA+oEAHrGGCZ!qk;g}0JG0H#j*^jaH}LtH!e z+13U_qhmF?j-rWL_@-Ng$sD!>5xmssS@*SZ9C*A`J9Z)Q+2%4?rzWi5kaE%;tBTRI z9XhA2cfkR`%qnSD4m_WN3bRC%tT@FAk~D* zvU+>tZ|=nmcC_FYhr&>QYO9V4dgX1gcw6Vwq@BAd6g-hK*M?n5kr+7+sVKzfq(^D* zxV$wz)kU-`>sg`AU==GoWo=XN|E#Wlln?>C;z}480JMt{^DWkw2jEhN=#R{7>M1vb z_puiV1`hgw}Sv)twngRlsBXNti9 zXeNcLbk;Vm+k9is!PYhV0+O>t>;+g|LW(i6)_4iXhmF>}3UqKj(4E$j~C|9RyjDrLFh) zX`Ua#IK2a-aRtKdlx5op$fD9~=|ig5b(GrXO3{*cJ(~76*q+p^E-l0iI!g`>2^`|8 zVv<+^SNIMN6?cqZ%}mvAd^j5nngjL9sSaoCa4=jV=z5&a)dhS zv*_Rn$6M4idi`~mf!RIgo_n?geHJycTQe7#qU3zn^To?SuAVIW*CxRT zG!{C-<3Nwr7$-|>v^~G;H&D2UC~m_Y>AiEzH16BXDe=S2Xp*(gyZyL$B^Xd9Qbx(< zDvABaM6_ds(WYnFHe(n9muZBUx&F4ruooZp$>ZA!3poMri-PW=-#^*7XE;DXz?gmr zcYQnP9Hi=pzUEb?cxu)aaq-6riHWOZ@zrGFNC6KWb*la_t{s_#~Ra%gt@vovUAud$uYy#XBIqxGR88R|3b zSpi%PR#99nG2sq_$WHpvMcwS29{QY}^w2uvneg$lJpwjk>U(0>+}}L^D&MM-@Ur#A z+2={b-&c~btKwuLm8kX2MxD>O74vab>0qqndws@)%f_7tZDMs)1@|oJc6@i`9I~=B zE{I)QD4rVU+V|kRNb>z9pWSF5y~N+eV|v2AMRpy&m*PLPSY9DbQDc5Q`^ND`jlBCw z|3=StbvdPN4(5Pf=Y+dI^USRq16m8D;!lGo-wq5Y3kVff95fN*ivdbmQN#$t)A92& zb($>kcr#^T-1SZ-h+d?EHgz^T1hE^S!X{d)ow=l`v4q%VPV`;&-ZIjO+3f#0IfIcwqO|3<8N9cGZxs^*oKq#vKy;phq`DA!a|L)l?lm60WAVlznX|$< zvB=7yF_Qg=7d9=F6zN~31@A!u^I^o4f?NPkM(2OOUYE|sYFRR6E`#jOG`%Pt)b-IJ z3WB{Ybs)}^!CkKdsYs$1$pS+phj`!M`~C9;G$8p+iQ$NXPNCv5>Q8|7S&Ubdh<_>~ zrDghSA$e+RuuUED{ZmU`FO_;%9?iMu)5A6!&E7!J8;Ke4OW^0Jw-g(q&5zgat3OOY zP-;%ZUep=NTgFUd`Ox4^58Ym)(HCt@^Nprj*OW1fHY-P7H4=*j8J0GqV-_ieA(m;o z&T5pUjegyn+mf-+UWI!ca4o|oU76-sfJiTs>M)Mvy zDag?5+wgYdr%e6C2V%UhDQ`W)xsF({xutmOwcZ2PD6KNXa?{v@W2$zUz8@Ro&Ee8V z@emm?Fi$VaHz|!M{884*F>Wh<;4@VGaFgaCnKKUG{&F}?UiY7L>`vSCVGC~WZhi?Y zi$GCZT?+ckZt!Y*I6!ruO~6G)1~Sn3*B_YdmNXI4*+C!bz$7Acpde5b%C@1FBZBHn zzhg(`G1}l#)$~^`RJapz8N0%1^hED^VOmyyP;-ZS-wBr?69D@`Rvx|pFJ;J?HIiq2 z$c`+6BLU%BP@S~DZ^vAJeu!(j+h$_>Fi@9G>sd%~S(X>X{vMhHpn+q@IlwNk zew=EM4iGcvJrujZrq^Fa3--sE&a~{`I!jSM|G4|t(qrCxaq3+z0>@N-+R~x|@3?9o zOjRsxs;dH@tbaX4>{*cwxqKHSAAm=aNEBcypa9n=9oX*HRUrHgto@p`)x}Xlg`jXC z)H8vvKxhEeFgWILF-V;g4hQdSA9M~9_+TNGa(S8SC-% zol)6P{$TZ~4Q4GdSeZ>N#ux?^o{HH!pk8`o_<`tOZ^Y5}c)#tvc59%~Hwf`h|iyh0-c=AtnE!&6@hLz-Ty0J;Gw&)i~<+}boX`UV@ zh~hOo_%^DS`@mVRx6zE);(Cm8^M*@1YG&Ql2@i!N>rJ~(sXO)@UaZNk%?LbXu4him zc%v1nr1XZH#iOUJa5g`EH_GSvk&#ri;kR#`wX_EA8p9S{Aq^8b@Ax0s-#0t>pXQvU z&ApAtefHSJOwkADLl~@DRM_Z+-gfD2A_|(_DYVsnQ|}a^7=4gKPky?){YO!~hNA7dCk@s`k}$3|cv0$) zC!~Kz5z>OU{n=TdTtJb;+TVQuN#g%+Gm#tvl#dWeLn zlL{3Fz}JEo_#&SR&JWC`@5W&tg(D99p+P+V@X@+C5E&*m=2U|6M+RxJ*_X&N6EWAO zFe(R@&mUtb5a*(X&2c9Wx8@K4*lP)fEE!p-%bwHF39r1H`tQp2R}(!#V|fmC`&(2} zopKiJ_QihPH?j5R-5F1r6KSvBI`)r>iu)u>2YBsQykz!NiBK73Q?DME$h$0bjVoV9 zS%yyjRGRRdBFUoujKDp;eoeSv88x)vwewRP>XfvVhp?-|$-s>w)`6Q3IxJW1ayB{P zpRqydJCBzrm%(n{>wK^x&$n8#4hZ1NFl0i$qqL&?r-}%bZBfyO?y5W%o!FV|Q1xAl zWr%z5wGofWnxF+lJ1(B2Ex>T^_7|4Z9q7g zhk%+9CK4Xk)Lyeyn_IfG<@|kyk;&DRttZvqU+49Vj4S_3RQC|nK7 zQbx2izmMu9o3`pVvE~>b2SsW410qp#0n}a}V@=V33fha7pD9vyzn!Z-HV#IEO#OgP8jfCXt2znqsH;za0iXcYCoQ%KmtnoQZ#hEz_}oub);-;#`??iQ<$h4@@-iR zqN>*I;(y_$OBpWMQ0V;~riY~84?@LaTDRCd6*@4&mw3t@zGmKjPT%@Yr5kOE6e5u* z7Lh-)2Cv?hrvxY>y{+$%;&~wEwo)}ea{^P;-bAe1&37L|I6FTTCV&f|{A`P|dZuSp z=S0Qbs$yQtnJI9>(zGZ;3)soqjWizgQcMYl>Q1((W}V?t|J3~Zj#JFD$DymU^`o-w zV~2OVY!zSHl@S&`#1+S7^Ltjv)YgWBRN$G-RPjwtYrW zPnXx+nDCYIU0}@XahQ6PU%)WQBFx`a@t=*vZbZ_v{=H_`c6ba?k; z^8=ZDJi^&2`-60If0;EZH|4lO2{{i}Ir&s#_^IW24vi+t$(rXGfU;u;&;4Ik-Z9kR{Nvki z%x;M|=^Sxkes|KZV`q|yXAU?U7Iil*CdspHdX!F1nj^DLW&rOsTLlqc)64g5U z%W=81CpRbjQTTxR^134o-L`7u71rzN1+7OXu3Z;WMF`XmdhQ^{S~ZzFo1%#We$+%=H2SUwv@Z;26A0LHlHmS=%7CR_a!_At z*|W#7dksJ1|2WIjbpTJTFKyo_|K3*bwg7{4^)Y$d+)$t7m#t!~%Z~M*gDp~jJF!l` zHG4eMb^Xv2&)bYY28r)llPRb;?OPH$hlPUD70VNT@3?AIn0~WHaoZMtON+0`B>yK| zg=X8i&fl!LyAVI9$`hyGAaZ@darEs^?Y1d$+>IN|+O3@_ocE|EtLFz zJXk!Ap-105Az1MXdxa`A!yJh=wT%83-&z>_P1`tLBKgambcwSXG$Y(F?WWZ2z?i%?Qr{wI72j5mV466!wXhnazuEooJ@M-Q^XKlcHhHCGU*#Rbb2rw*2R2>@*yH{yA(o6{@d)!10*==1Str#CCE}nf-zBPu=FIlL8-ph7W-E}ce;&6G>x!~KMvjixMBEs zJ(OZq)?TSh@{ZBK;&4RS){u~AKjpcbGqay? z>H7`N2yhATT7KI1D2T5+%tM+-W+%$2s5tdPAZB?&chqUyb)Jjg_Z26d*@}D8+_Z3? zIC9DWr$2VCS0j=Sb1zkJQ9NC)qH`kdDv!O2HU zT;{(~jm6R*TQ5~_2-?hJ{-|)r$4U6@NiDg!cAl@6UO5FKcYBMBe`!Xa;d%O`MJna$ zsiHWEXcxZc+&-FL&)@v8g?{aczK!I901ros&BrBf_FjcBCb4plruF3B$&k-K-4v%y z7h7tWV|w(7ru_zL7D}wy68x7BX8(Km^4Nh#&7Y3-pLp}8`ctmJjO*|G4G-PE%*tiI zr~abyl^yxiV$dRa66N6(!`Ms48xE-79`NV9**Gj3?0i6bA`(*X7FT!gtSE4M%Ksg4GJAg8cTrYuewo&MmEUy&q&4_*@~K zosAA10y4vF3K(|Qb!Eub{^xK9>CRnE1)mFiF)&`BfW`^WFZnk6F4bNJbwr!v@a$_R zBw-324lZeVTG!)2DjVNyoV*k8 zCP|T4qN#)d`Idyf8jSof{Yk2-Z=R2vshoZR{`2#}ntx}ss}9^L3L!HR$g;x3v7|$> z0V9`Pl;wqh(TP%m*>Pl8U@Jpzb3%FX`r7KfD}u?AH4@<9y#f(TauD#7_u++9q4 z{_$7J6`|3&kHVH!C5vW7Y87}@4o}6r@_za_8#8n%Va2jBy9Wkkqr#*b6{EW{rzIt9 z%_I`!T|@j&LOoCHfUB4*TmN2|r_`6&J5A?E_b`t%6^QdBr4QSm3?#nfR#=QV$_%Hf zvr%stHqrG;+?S3XXP}pOR5e5;VO@|IN8cq|P^J_*dFKt2GTy)6JU}qM?AtN6-}r1r zq-0;b#x`6IzJ!b>$<}XDS*xVSj88dC9!D80IWjvudbsj8bn!~S&AreYbAJcr#p%e8 zs?IS)ol$vh|64n2C0<+*SHGIj(}~G?afH@aI{Esh?O@JrNTq{E4`FO5Gr(YB01)vL~SuPKM z-OIG>{Zl(!xt!UJ829pT3H`(|4x2~{-m#&}hB8nt*cA}LX-t%)*V;kIJu1(fIRm{q zpmeIl>-nO-E&X7G6Q{}eWlgBbl3Std056QD#+oizp7Z2|rZ!Vpv3*Mwa%;T%CfxXc zUwRyx!#TYqaBD)AKHA^y=P1SYcg-g78@Q}pap2C4GrpuDLhGIPR(nnEJ?JDDQ<8lP z^D>VoQL5sTEMcR zQDS^+{3RZb1UydBjl>eW@9fBwOO(&kH4|91MFG2>xt^EfZ=z~73Y+{e+mF;FYPgQAp`}N?lM8_1#64qy*B5r{oPZmnD2cztFl@B(+uBBfyPIt*Ls2^i*Er@ z?&+_u2XZIv{*F#A-wX5qd_wdJ+wKXayp&uZ;UDeey4eBUZ8epqA;z`!fj^%{Fsh*; zt8A1wJ#V9bB;=y|h}5gJbSvJNkLYGxDIHCy`#Zub=tm$jBTi`O+&w^~;}cc6vrzOm z{TF$6U0}cNq;m!$Q1xQf%0n!yxxj2^67CALbmVh*ov~@`n?`qbntuFxYE$3s_O(@@ zUJ7z~EBd~MdPF3X|Az5oQN^Z>I$YCUkL`+z<8X#ts0(F9LD64rD|-qZU+pf|9^RU_ zz>g|Ed6xGq--mm;6)Eoi-Wln(m3pe`Mn392Tst#-#6-3Vm~*L+1}oxFKV2-J-k{H% zcz%noPm%yY&{i?g{ATLy!;wzCBJnbOTTS0(dTx`_y5sk$AK^1+ND`sr#+~o_!@aeTxF2WrkNKIy}%9yOfB@s#%x3{ z9+V?3&)hq^MPWWB`ANHx7U?hHhm`8iqjen@=3+;b6IFC ztqq&4rYt?^T#my^-0tqa9mI-jH7PFrmNlb+bRT2Go5W1RUyF^yK@kQ*o47BUQas)U z{>v*6=T*EMAI0CGs8futec2lKDz*9s&)Xl6_gv4NB1wUCsd_=DA$|Bqh`Oo*2`cW)#Wu~DVO#W=YMOXA1Kh#9P6H*)MF@8!O&eDo@ z#wsMv>~L(s4-~E$UjNwDGS`>NE*;&Hew!s46e&M~N9i|O9Ijz1t$DKM>MjdVS*hZ@ zQk7x(8%70gw{S`R@-XDux5B0F_`1E}$fsH&Wr@owMLb8Qdbt^2WN`5Pb#9i(WO2i$ z%O~=)30&7+@HSECSf3vowoPC-w-hUW@m2&T^tTu;2fV#kAhXT<*k%W6^St2&MK@y5 zT6S=`B^i~>3z@^O4Rhx9xeN@XjJ8PoSTi}>IR6?0&tT=~rSzGntpgMz!Z%F5+uL(- zSJ;Y&EuNMm5XMTQo}{^7*sZv1sKW&Up#Ls!$dYekXD*%rBkN~%$@ zO)j}_CT#5T{=Iy@zx|OO+SdF1dcQ8u=XsvzIo7@7mW=kdJI}G!g`T32a88|yvmJFe zD_8D~@`DS#+nG?`za>;MBJ1@*m&9Eq^g8-R-}faNEAO8(BE;2yYQJ=ngQAAlcKp7< zx=z+!e;@Wn{yo0uUCxg`OK*2Zt=34%N11;qu(bL9XsboszFmH1p#RLA04`@?x!?o) zJHEaqJ|5E-mDvBuC+5&4?bg5$G3vv9DU7wxJdI8W{P>vhbXfZ9)<*M+`HEj*8S4i4bM)aIi|MEK7}($fo>3Esi`(0(?RmE4-=NY z7S@PBVUA9AL%=M9!v}t=FP>}`xsgFXc2TMLYja2pgONErN=p zGh(|UBXJi^Hk1y#J?~=k+hWs-z}_q=+=5J!6a0$#{?*6joX7H%4BlVa8$1fz3lB72 zTnidm!eqb$r7QR3COIRhFq7|Io@Y@k(JkIkLZHn*M{T=f?<+7GR|3E@{OXd|cy@~r z97tu|N0VOy8zoN4p&I%B5!ez>R-RrCC6`MZizuZvGDszv5H-qS3gx9K%4KtX?7;Dm zTlscxDmWwLD*&6;OFDpU->)yfk=ex*a)h)yYBMic9N{vEGS(gFS;qXm><<1gr!dOD z^iNajQDM*ZgXn8+`D7dS&INjAyVUPcG$K41o^==&a&l9m|J?wI>BHz| z`;Ne+_=Ul_dw?Hx3fwZNy^&FB^j80>WkhM2gL2j1WI)*{qTx0DC7TTTc|frmh=hZZ zUxr}+%66l!d#fttfaqVh;PC~wkK5&vemH@>9@QgXLIHGB4r`YZV4-sOJndJV{}x49 zcFNJ^jY*fntfWb^9~48=?R`I#FEO>~-aB8`G0|-YijYenB)+)GO-#gf^Z2ilGzW4Mk#w5gt<3;{Q+@SZ|jHN^Wd3S@x znb4QnS|2*GUF?E&2Yx*@Ybv#e6sptJw0xmSAo+9pzAaQ)(~9G=Pb7zFgNF-Vqoq`; zDB-`}O^^nIr^n)LX{p_`6lbFmS)D>!>TS2N{$LUUXGqRK9+_K!%~UxuehC8L*)paJ zk{LiF2E4V>`oXbnD1cHg6MwIsj?Dc0XEI>NttGD_i3nX^V0G^HrxiRXm<)XHYj$?j ziA?uP)fe66LlD#F*FGWoO1nrg`I!!eX{nacHPX?XtgpD@#Wu82OT?G{L&*5&_QJV1pQGHgGQUj%uLA)h$@tqO!ytavv<17c3}hMKq-U9$f|V7r*%! z9is*JsLCBTldFQwGvE$&P-R>UvWp zyXLOg_?A{&9e*V2DFCdSsi28td0v)y#tNJf|>RM)mM&=zRL>iV&@fH<$8 zvfPE+x{r(9aSsaRK625_Ejx#mx2S&R(_5<2A~LUL#At+=K#=dNCXP;*kj>4!m!&-7 zB(DS-%9i;#96r!aUq0RkfpOT@1uh60+8`lKlZ4+q7P@33UU@EM-aM4 z@%yHU&%5AIr!REvWP@E^p7U}!^-offf@a2-NOI6AdF~(A%RU@~reDFT%k&dK25Kwp zM178lt*J;xg{nrmoCr?e_m*{0s9=1IsCT`>GJoMaH!3bc`F3;03V(S;3;6)^2a@*A z=*d5$qvyAWpH}xf_bt{Ft{lmOlxz2^x0`10Mwq|dn1A2-9)q2#;f^yI zpYL4ef!@6TNJz`PeacKc%)Z6O_I02s8}E8P>QX{AHdu-!6j_4XS(uo2%wVF(^z=#TzfD}tFQ-F4}!!o~MA3&}W_Y=WmrN^C5FJ|!* zPf8O2g__&zBmcTS9K^V-pMw8rC22QmaZBrNNLF}0yYmmr!RhJaCo^At7ZGZpq~mG3 zIM^UEhW_qHqeOxE)!`M(;sxR%WGPv(qoN+;B<67?5p#_z?H@Ywi+0Gv6|;T>Z6o}1 z;4!5xCdMFZUYq^E(iiC;R$|PW!iPwGw&o5=T)ZACeUZe*-yqgmJELJ7fnI0 zz+QD#*#!J4`?KUA1;^xcwFPix9fyEq!eG`)-VFk4N}hRM?B?N~9sB7?F5v_D}W9 zd0DBjl!3C}J%PyJLk$R|^hM7UP}&1+>&ssb3Vv!o|35w(BsWzjWrg~uQZ%jSM~7#H z*Ni?4VA{);SmDG9_hpvn#xApB^RDdwI_|4m|GBkv*wzo8zNS_){>sCMxMsx~*;5-^ zQx~w&hW~=ir#uS>IC)OjE}ThR$ABu&AqF4hte01363e9@jI1T}PL;%2N78%3Sk+ zF{m*dPoX3Xr1rjXnUuQ{bYRimkTW(q5_n+IM?Vlaij+T{RaHjCEcUWZgfp&8G4YAt zh)=We70$|}c$&`PNynhn-Qikmj15V)79xn7b)rMivVM0?mZw!kLeTBi#ZKF+o)v<@ z<@`KrH@gox{)$gGw!3)3xMi!U4XFJ$V2*A-auSR_m%IDK2CgaJ2$u0m%WJcK}Qx z1PpHeYccW45{Bf?KfIa`@#cZ1zt_K6_!`)E2t6{-99&oz)ibm(de?~59pDm3fAs7FSf;#&&Z~!N+0F?ZdiX- z3Lq;?Hawy!&yNmBDcqRe9f##9H1L-u9L&Nc;ua)^V$WFO!=fGo>87#{rIcOP({ttQ zyp*tB6gd}{RgqB+sYXZi=7?00Xvzzu&mvs+9}pI{qV%=&T1B?;IPTseg1TtGW5yw%@17#eJ{p0ha6E0~ zV2yB>1Z9}LK_ALxtLJ-1RTPG~>CLhNlC8P?Jg{siFr#HUZ&$u#MXPgYtUQg%;H{J2 z^OQT-EF%v}0pzOy$pc|-T3j&5wH9^U0j3Y;g7b2#UQ4*-)Cu6C3hJl<2oMed22p~^ zAHp;DGVvARaGh&{QEKFg^@76+EBq1Q&9S3V@$8*)NR&Zrp5?ipPrWlA1xW(32vIYR z8|9CNg9Gu@8&E=36o#u?P57@!&slbP2h(P!vjLQEzNpdJzBcRLhL!f+0W8yuUsR7T zt4abVK|9rkqW>mBP@t(=AN|jf<)9ll^!8VhL0%Uj_`KW+w*10k<^9C~S44rWZuu-{ zol+X8SmrO`&VBn0cb8I1loAh6Iv-JI-X^&QB<76HVz4(OSGo{lM_#|7D-?!f`j_Wm@5Ee;VLht`I>Z(H1xuNZM`k^8a+FdLAlowMumi6sRK8|_ z*3zsg&qapHM)99WXLE$Y88`~v!;R^Lq>symbW!_ePNUG=tA4_nli)C>FuNs3Kx7LV zi>S={RqwOZeK-?bv=FYGYr>kp{)FQlk|@1nmm)Z@gw&ZlLrT4u2`qf5;^GAD2RLng%cypp4 zB-P#n6~|+1+f9No}$M8xUC z%ndL6ZU3mJYvFd8^71R4$xonY%bpP^N#7SmtVubgTg)%5%h3D~jIyNo3ttr(G2%FB zXX4?g)$hI5OKYuASCD=XXPF+cwIvjxMn7ov(6LN^yS#7tEycaP@X6ZSwO2-i_u3o| zD_WPb&eLE(By&V5vJA}MRrPJkmspv=`{YEa4G^W z%JNhPe>B?wf4Wc2CvH_)lu@XuIcv%mk`Hnmsg_b@Yc8BQq1wcokrbf4DQQD`m4T}_PB=euJS|w z%|BA6yZu`DB#QZ7&?_-s3))@5#s?4ylp=4vHMG%29za6N3C4mckdxsA1?{_(x43qm z$#7$OKVjdPIiuLe(P=y#fdY~n8BF1F0>^C!E~bwtRB+q@pQ+{6!$hkAT1x!QZ#}gEXY4iB=-!e&~C0c-xUX|5rIg5od zsX*i5l&owfg~ff=tO5|c81_V7f(jpnQX>!G)f&W$v(ta_fNHuBU9&OL<(SnZ64>b} zn3ocv_}&slwQxR*KO_cj?4Wgxd8UNl$!!~qwEqRAcW7@z(YRpJl7S8;nhk8Xl=)W# z-gchkIw(QH1!8UiY;>t87l4I)Drk-}`{BHAmm!d#)b6Q@wBUpZ$_f>B~OAjn;l)bSVS=b zRyFLud4Ymv3M()K(Y3vG8G(sTuR^~Jox_`sat|-fHLqLCkL*a&FW_5KvqTVN z`|WjFT6OO2Nz&=*xty}4klobTPW^8Wof?Vx1&N(|MaA8%+@7P?abfp9LV@E3SKd85 zdJv!R<=ZTz@nQ7AgHyf7&%MjEn2w0>3?b}e&c8LYY|^&hpwtwrsJDEy`z+MEX8euX zCgXY|kznXw?(;1(AbgI!(@ybY8r|(tycZxVFl|Q1y`R2YrFd9LhP<-efH}^|26(wS zpT`%6@$y*s_KljxW?O&~2xtnAOS^G7RbQy_zDM~rb~qy?e5TH5Lrx%RO8 z9KTp%uYqYrD|F6<5qVZrK7Wo=BQbn;@FkQV^?eatA4eai%{LE@<(P3#7pLK|i)4DE z5h`rPk1@VCY{mi8_b=}3jEn1pE06$`GY6zU!4e3D%z9%S?_LZE9!WYq6Yq|qHGn+{0o%SPTZCx1;_ z5mBsqPX`JmK6;2tVN=Ne!aB9wgh1Mn6UOX4PZKwX7oiq(`6x{6c9w|B$IXWGhgn=8 zIU2#BDlzE;nW&ijhM65;z^vF=mV<; z{{;vAsAUYK2D6y&@7;c9AM^eO6v0;fWY8qg?;-kYXU~b07-Po+(muLD1bQrc=i@w} zo>Lu?V(Lfk@S~5Yp=sO^BCz5JU@?Gs&em`4Bc*7~P5IRB{X(_TPjNQ>ifNQSC3UVs z*hqZ)tSf(lKd~gCDwQ<+IwkSzqcLCEw&xE6324sb{1u7pbRfGFJ!ixkLy?!Pf)$H` zH4j|PVQ4Ms1#Nb7KH%>BM)ovC^uYqVC*>=z3_arlhvU?T+@6vgvF3a=RN}ZukMdMy zv^cS3*WxHjRELK2^D%K1P;)qExc{jijVw1#<3MVlpSKUVgW%uBiRT~Yj|=f}VUIc; zL+3;1BG4u1xtP|LtdXg6xGDb|9o*hKM11g`yFiR}-uVYK!M3Cq#a%qP=$1A=7RGKL zc?A4rh$DEmGfUEZv0{8)a2!~eMF@+v5(Bb_`OJ^VW|0yEvK{Qe&x0awT-OTWeYw3gvgpbBTsr!OiOiED-=D5cF<&=z|JYeUA4r_lP-pdpL=kK zS-}odF1rLevH^UegKui}ZQJH)B5O|eX83sbu8~fn4wHjaLUT9`WHu081!A`iq=>Si z|CD4!r2qXa1|HsX$8DLhf94&BZUcqcv=bM4v*brhu725@v(-D`j?=6$RUiu55z&6{ zu(4r0oe5KV9JbZ6WDoIqV1DDqS+pcgG9jo@QexP zLBSPb4qq&XnSZ{X7@I{8pA|Q40qTR3sLhB=02Jen099R>FA+RQAb<-zavA_H5dvbd zpP3RAh04`Y;m^jfd>}y_Wu#fUWt*>wn(v$FQ1BB7g1)D z>qI1Cc1@%=x^y}YIb_~3avM_hvrjl}Iu+I5s(j`0_h$N>vRZ)7MC_04kJw_Blp70# zqwjU^Dg!D_vS!LjjZ?7|; z&}4c2VxK$zTU!Ue-U*SXl^eF=Oavg#IX=qM?jtzs3C*lpDnri z3Vl~Lnz(s=fu3Hmb*#S7?gb8q!@~uCdOOnFY)%>Vkccu#SI?xu(6a?b8NR_5b7dxz|`gPCJ6SXs3XL+3&^P=&d!9w*sOX_ch#kpSJ2rW_SZa|1(!S9){t?{};>g>7gE2sO%!t({VrQsdgT9M#X>C^nDRGX_2>9 zMT*@zVj`~YICcKVn@@~T+WyT4)K|@Ke;9uW;#lulyj^P9ZE^$FZ{fFl2>mfre&f-3 zz1^$o3Euvpx25*~osel ze!VrT&kWkO1$&IHy=#+f>i`wiXT;dYUrACjxufl>U$sXg1+bgu0J znE(XcovXv`E$N5=c0QV}r3vIZ=zOMxi~W3^AgXyzIbhY0h8~|ZK0f0xhR{kss}kGr;4~dFye)&s+5XrhQ;YXy@R+JD z(Rb1WhqKzeGE0Y{*_yxQXLUlv0iF0ZW&NSxG&}0a?RVwXu6$;Z6H<4$SQL#eVh(Ow z_0j3txrvC4elto^sY*p#WBp6j-g~b2wKjp;e61@bGGzjfy)K0awq;E%j)LS%|h=xy}ZDv(sy=NLgW- zn8FdXT|c>Gu&kktoKjL{H_(u_)X}{W9&xA5CCK^)A02R$r%gflpj!$d!9MuE+k2%@ zwVp5G$C%}q?|AeR0j*oJK5^s$*Uq-XGPe1c^FPxy7SOGCwn$CEZ5JVMDd9a1yCL54 zH^zC8RiysWfSY|`_u8S}%x8JNg(`QnjZ7vF`JC#{Ff_`{-qt^2T%+QUXeakE_mnaf zWZKu!dsaeI`!w1#a)?~gx+cXViiGd6u#prz)kqDPE|(?^{&Wmy@wOYQf|Kik3czto z%KS!Gjs!Hy_F}p1M}(rRz$`FfJnT3DN) zC(zv?(C!TB|Df7nI~#?hw`` zAHd=uqeA{Jt=ia9dEW~sTwJlk3nc$$WPynUxXdgiTyQJ-bDlMT|$_<3iaW8qQo^lUvx! zwvweal{XOO2>s{unLmEw5U5X&}k-A z@2izAP>^m^2nfPl8yHtRtNnB3H<)&1J?;bs*{b&58FIaA|vcAtf1cm@9kH^%Z=qJ*c zk~4Q$i3JdXk78QW8lu@zkgEhZ@8L0~NIW8xonqa?`c1|O{U+N)M&7oYBHSC+kDs%w*mgaIFw8&=yKa;H@8a?xUvUgO56zhAUA0o}86Yh>BV z&2MNl@01*Y4)9?0bfR*nQ-Z7j)XKYjifvm+3@GuK0~&8)#V!ogkTZ8et`kBxP@*U1 z|5AsUzQ4to_&(m#xpIw$65wTATobDqLZK&hrAz|1jEneoAS>6+ z?$%zY&t{J0zJ~% z`T5O5Q4xA}vhmQ&Kj6RmBw*5=o7fS?YRD_#&*G6-U>L$yp^-wFD(q6>oCo^(Rz9p3 zpnO86LPX?|3uscP?6D_Sz+pq$)t9QkAA3shTF;9p^KmNb4vIjt6{@s3O^~nXTmAb_ z()VG;@}8b9;L|0(w}K7}wHC4LcG!ole5xqd0X+}=EOI94-`*E=2UbIlAQaO;#ZQOK zrFHOvV!i{T!n-FT68(v%o2-6W^W)p;+s;Exn=6_9!t_b6vt6B(ceTamc*e@enEYOl zw$C_*F?FfY{NLos>2njy?y9b39O8*h4UdyT)|&1N4;0iyzI{aMvp;+iv)s*CnvJx* z=J_u2^3+LjU<2sH1|>ffbp)Amg=-UGc#INa&GIiR8q_cc5%i2R0!!_-Hgr>BbsVOS z591b~K$<-Y!yW;~n$+19<&&JlM;Q?sYBGK_B$~PT+G^2CDZ8Sw#W&leWxVPozBsNzMCUa~sKaj}48t3>3CtH<5c7Pi(3KT}?gEox$x0JqmJ@BKz4`8APLnJ1 zi#kBEo)DCb>9+-8wOqJ>08Dz8T{S3NU@VbOc@@|QiiX??;KGUpJ}fy)z_TKRO#wpS z0v$aX^n3>-V?_aduDozd0c_Pb+Y*tCEi;>k=#sz9W0B>E|2G`E=n$!Ic}i@-n=RQoDa8g0|APLFZ3Qk0o<7l|pqDepLw zE?V1_*{HD6oG-H6`u(=X`lR?h@I=`(AcahTV2P&K9*8hm>55_ZD{@h@dC0%OM`RNC zf1u|Z>W=uaryen5DXia+B`ToD2pK4>9}Zy(mYqMbsX{8JC?$75%$chIRjpNwKgt2% z272#uMP=yvITxV}g^uDLNH*YO8-eD!us0=~!>675>nMqH0LvC21RqsWwy?4gj4iA^ z&T=DdMMht;ZT2J;p=e{=kFuzED}g?st$?t2@rW#$x&=77nM%^J;L_-mSm5I00NhcD z4LJyLAQd@JAEAoNh|#YPSRY1QpY-iC=L;y~)ZtFVdjQC??H>+26?{#%&g(@M;^-i% z;zK76Jpc?YBnn5MDg^Jj%3B}mubje)&-$#Od0OguZ4F5Lk#i zIk8KKBSim&EaA{F!-9?ALPSVn;d^%@$cp!xNIVRI-x423RBzmZxWu9l?v3w#qtpxh zrp6hsS$Zrv6#91zj}%dWVJ#=Z+&qkvh+>3UaY_{smoBqYWk6%)EN^8hSF2a=`SI&Y zrR2BJ8}h^IVs~Oj`@A>1{LzH_5|8ny=ftDgm@7UcGgmqbAD@rnKP;XpL*V+TVL0Hh z9TiWSO_U}1CCc%`aHR9pNgn(+9CM*|wq(SJ5G0Hc!lkLArd_yI@-Bl zF_yA(4xHqS@E&o~FCi0Gy zcWm}1{Hd0(R-J1VI~{qS!oP1E;pDn%P2={FFQ(rMVsSa!6?0IaNIUjxVeo?au$dR@ zu#X^J8l+gvpi#t((UD+i&3e<7vy-YlV*y+n{ zx_+LwH=?(%NY=YbS)`ao@B)C)UkI{)mN7qG)HmqbGWn_GM{S-9p4`XFaI@LzMKe1_ z3Hpap3cI$|J&{CZu5+=jDL2gwZa24>I{meEoI&m0l6e+&EgyE+GTtiPiyC~*owut@ z^;1Cm3aOjjZSv1omLMU|0M@YRz1GgrKpM) ztWLK2>Tt(2o=2kkZFo_-Worlin-Tvx_epMCFe$+|Z$7hd>YDDcw&SPvsYOn&FM>qq zmg=?m#m1+q`qmAQnDdSpzPk_$YsTS-+}@uE*hY;GIuW|B3Xcc2r>9IV{uth{_sC%- z8+W z0|$0yXvB+>Dno1ZVm}QdHScZ@N?=1%hS8Hl{+54(yr0H6lt1AoaZ=r6@c|cj=05^e zy4(19SU<0=heIcikC7r^e+U?h*C2=vYZaxbzWJW#O&C88bS+$emWY8uNi-HUDfhu_O@1**Fs>c*{7haUCxhB>%?cb4XOX# zsf4-Xe~h@N(o@kFJQgLnV_P*>uS@+=jI@pmj-#n89hc=5;My%?^>i5?GE!F~)3$#b zsZYWV0lOXPgsrQUHz- zhGDYfyBdz}cdiax)8Djpmi&d(-&!Fdeexj;q#2E*Wb}~8PY>4Pa6L3HkgWMw!sN%o z=Z9{`n!z^cR+K|c4+#JYfx|C~%v)ADt)C|{hkkAYUyLIpBvz_E^iEW4x_MXfbVD!n z@8VN_P71i4@_OEf>|lq`$By@c7w=u&`E&cwJ*LdZmerU41~etFxO(+!v{y9TEBZ%_ zyp%qBOlRS0{P((&{4r?X8m-jw73;BbyBEpBv&pPy$WVE@=F;22_jN>;!F@_5aHQTF&#JB^-SjE#Un{6qy&5YzZQA)g$E zTe4Cc8!>Bi^|QTBEGQBMR} ztkb9x*HCw?786p@2b+ic)|6JgUl##~KFT|@>CCl9wDaGEGu5e$Hh6;Hvp~;h^Hd*F zJi%WKGkDh}eO>7(>FtK*Uqz8yY}d><5F!SY%Z*;|X88H942LP+HzvTtj!=}iBk=B9 z+n>7E_WT=GCN0`3;V1AsUUYy{4~do8HhWx_jNLr32%KO|l#ULRkl=9sPgC6MT>xe0 zt{gj~7PM4BGDkOhy83;1p5*L={8@8mLtSr0#66`4VZ-d?COHM)nvHkX-RlB7)}ihECXFJL5F-2~2_x9$w7 zO4!#@f=vLtV%_=EK>7fTg2KITRY=~ZPQnczE8W;=PMPkJUjy#O_fzvwPFtf={M#)V z<3EC*9uL~#9PNC==p3AD=*zJPb^nEmvYd~oMg*jp@Wcs_1?pj~ zKI5^YyoZFa03ZBNYRk9zQ@tCUCKRfqc8-b(n0XZrQ?^C`l@_jJ3|7+n4v>FOduM1qeZk6KB#UdVQd{YWplY#Xxmd0AwnkY0WE($a zOK8q?Z_c8$8Y2uR31!RwF;^-U*VzKTKo~by>8~)(QTj0a$soL zIEJV#0u0Q9?H8aCWo@M{UZT@F#ZyuayZA3?ki>W&w0Yuv*!%O^jduy&htO#m$fDR9 zC99b04>ybVWfxpRxYfy~PhH#O^7gO8rkkxP0Y~O)`;`vP|7>?%ux#v_ecm-!aX6xL z>AOHr#g`nbN5N__iImwvrmIeK zQf|31b?18K=Ao^EULf6E)x3-Rs6&12&9*?EzeBT8P-Oatsj{uvavy@P2}h_IP>0Q) zV5aN8*rM0Fn`Oo-OvOco8U&}oxje)aP$T}{F)Ycbx*TWO`ZfUeV8jTg-1fVq;j#&= zX#Xc4_Ulv=T(j6IWf1N|!lvHr!Q&QX=E0e`e>UHO5N%X1Z78jKtK)J9KR+tXkM(0h zr1;}!|38bs)4LL32#S15TsU50#C1tbm`tz{-423}H@ct(W#_T%(bAK7J>B!0~y-#j0al zzoweg#{2{ib*0u{-)8mi=dDBE1v)q!IVEvtQ{n9yr*m+D&S=QmEo~ch)a!dg0-Ue7 z%_K$0_S6%6UUqF^z@sY+6csO>%gjyV60YxroUz@=O)W1zombkcUpojFt&enoFT&5p z>IpX3dFmQ?=B+a_F}D_<*)VXfW2>x>inOwG(z$={w`Lu%zd!CYZldZ`LX_d(ywQ!i zCsiw@qu6j;T=eJaan7NV`ewR)rg%hj6LyQ*o%ALN_I2e;-kxqGL(bXMPSrKjQuuuR z;N7+Pk{ieQRWH^Ex3*&^1GhWlaC8uU3@kLfupQim=Nwg!4)5~e!a|tcLQJDUnCo!9 zFRhnu5G~LpL=Q&S1LIH%ZMSCFl&em|iQQ%!v-Iz?V4i!;MCvzW%HS!d63a=>x@+FL zXX!Xza(f|6kbddGUj>vkr&^=oL2U**3#9yA)n^yxlcnI5>RY_nZ@ z6#LBmXcqr^P(_uu@7}Tbn7LNQ{L)s*nEw2j1%0w>@ec0GJO@X*gKu)H+?$jMgEKS( z+a7>z8u#Y%pJ5tCVEDs7z=zP#P(U2GMSKZZJJ>|Uo|-$qQlNI2CGmy&NHg6;RpP5R zZa2>0U5+K{)i#K$>V5Z#*0_nK($=RWKmHY6T1V&2+kcDbdQ^{n1R=lj`F!fYffctD zU8EL|-!S^_k*#x^CklF`ydX7o!%cWu_RK?Bui{EaEtn&`uac+1gc%3GYP0AQ5}f3& z^_JfB=eU5KvqbfvafnnzP@~=F0Ot60)>ZBMpyQ4uHRdMEuiww1Dv8$K+bfdu1hU7x z4CGOc!r}E8&XUjts{-4Lv@J}v9mC9Y-V#Iq;;a^(nmK9E!|;0k=x9HaZ72t57%as? zTs;<6fMk3$!Q(^^!UD^dR3W@ruMCM8JFR%TrLEX2d`CWNTy0%mTXlg}7U4eiY1!v$ zDYb)A{IR3cxiV5~W~48Zf)$T+n(El;TVqN_4<1(1^SAf9_*Dj3BL}F-pilhMJ008P zq;=(nf**%N;Top&dO6#|YzQyBm@~BhrxSDJ*-gIqpDuFtPMjzP=@W=6QanaeX5s7h z9@ZLDVu@W{(?H<(MzM!H=^aWYYIBMngj1d%bEO_%RD;LksG>~6G*O9TN_+*MS5UN% zPt5Zwq3lx5UWaUz#`^9**pcICACVcFy%-ANms0#_eEI~Ga`>rGSYmtUP5gL-(m-v% z1dc3v;_LB=!EK4tb9>0`AdNgEiTdbv1L}fI>Jk$%+f$SmKNoKlt!tZ@HQPh%?Mbz@ zyMkY!e!N8wNpY~E(6u*R{QMFB#;=&IK6EK_u;1jHU@@qk1etlYp?weTAN-m8Lq+H& zpt7nNlh^%v%DcYS)5Hw!b$?TD6cs+S-*`S64*z6=1_SDiT_&0xVfZ?+U^AM*A3RfxW{nLET*U2P94jCCxk5&UxG<6$nvQkLQk!uA_4H+T z;=TaLJD5XUf)s0SijmXUg^IG-ri1yuuhk0J0cjwZ7|N9Xk8H%xnJ zMNDKR!dNukY6R5U!qF+&!24fmEFDh!%;6L%27hfE-dDvJxs!mKu?1lJj7fN$<-t5tO)yC9*(Y^*MT z>PzXmx>f+2R|EBY84Vi0G+U6RI6Jas)+gK6*p$YNN0d@TDsxZ3%oxb@Yrfi>j$LnrIv0{tx*r&*S0Vj#IU5(^<)De z=>zH+J|mT7j#0JQAhh%=g4H;`hU0jm+7mBYhJV2|d~x`wLWMV9LMi7Z5}Kj8`1gFr zinG!)+jEF}dyfcyK32K-c6P!(hsrS^H+@VZK8cX%Ca zafeSd0YUJ~*MQ@C3k?`yMKW;T3X)&cKm`e2fe-grVZdES;B}Fw1ENo!>mZxUPlAMg zqW^|njU1Rn{V7S!3MM!bj^URt!w3iqKc*5&h1bb8-MxS_@MXZSvY=MV-FS@b(Av zydCg@@^7CAaKGHgra7CSadoY{$J>^1iJAgL|IL(8K+N#Fn^FLh43I>C>vVzRqbB;( zlHJ2_gisP$|NSiz*<226N;umhP(`o6y;!nUxq0^Z#(S1w*7b=`Y~CG_abEF6ddGH3 zA`Vyc%XOX1K*o=wE&|wQ8DG7q z;5=|Ry-mU%p1!H7NQ)+u$7|TF<+7xKi|>4Or7M-*r>>fB-~80)#2x81M{>^_%2vhI z%0KYYHCC3sZxANNGCb!b1?p9oa;MQB&$3298rP6r?a1Ej_Ir)k-GYm&A zluunoSa1NI%TR(J{NifACA54r-!a@H|FB=~%t<%{pb;aU0H6mihTp{`4abXmtm)2_ zdPXP?XAyqF-UE*7sTuL~09h?*NB0!a8aAd>QaTMs)bGM8KGXS2*nh9|{5E9fs@8JFM1I<3{>87>^_7 zJ>S%a%O8$ngyk*nJcz?B8mlu9aGznbu-r;lc%SAi@1;6p6|kCWhAY7nA%yu1=c=C| zgyLWHzf-`~ga7fYdnk?sZbz#<1p}_4zxJf>f7Bbe*3C$V(TwrF+a8eoK>pkDbg|!( zC~x4EF9D9Y?3OJ3ZO^^S&z`(Cx-Q=cg4WkND=Xx7+a~OpTi{AIHo_h?Z-c&%FL=}( zO&axA4k+UZ`V}wBi65z?$n1EISfMGBs7!Mq%^L@6Hh3j{V*LWG(2v$CYk3((djt zAet*g!@(^LhQV>OLwj*x8T9HnO5-M$A5#CzoAM+uq;Q{diDRPySSTsfFfgFrSiPGj z^|&4?7=fFnAaesVHfOl$A^1u1CDdJz2XDDw|JrJ26rtnUOZ=NaFqDk}p`_Db)oq5u z%M866?mNJ7lkoOTF!IZ*uLaBvc--a?uyMH~aKnkmRF5y^tNz;6e_szgYo)jy4sh_` zQw#7>3B`u0rWH6a{(a%c)Vu?HNMKdV`}%?lCK(kDH#&i2>~gp&1Q6p#D=Xyk@eowu zDqR4skr3@`EC0u6L)US3yJ%aIeqk}Cb+~tWTC$;0?M&Ru(3g}s;g$RT0mD%258-*v zkA2x8-{KcGdKz7PFR+~+uQ>kmlHG>B*Ad)yNev_0KDg1Y44k!hOwQ7wul+q#@l~bX z7e(^MtfzS>DC=ZkLQvnjZSD{RaQuj;a%nhRUEsckfy(~|wq)Xgqp|km%LTelx#r8n ztqEK`QK_KEQ2rARAYRAnvSlam*Jcp#9`J8zWk|bf5{>}BRG=&{2ES?ezm~29p6U0G zqp8tl?#g}V)~S>$S16S4rePCFQAo+zrbW4lP&yPTZDu;=wjs9;s-fh{l!&p2vE%>j z_xgW(NjbLf_wzi@=ktC(pZDkeTs+gaBNxfUx#eT91(O_0x18iih{>qk3cL|Q4mcRs za5O~O=bc>%7Te4|6C|@a5LpKtVvTl19Vue$7P?wTA6U>$JFRV+R30Pa2Jqhu}VKQZE8!@av$HS-6);}L1YLJezwWKf0;Jtc;s*oTcll5eKx)I z_XXs-gF%HNZQ71Y!~<RpY`=;+FdnB*S>N|~6wI1s zjgyk&_m?T(#8Wu1~LnQo~WSPlz1FQDJtAhCoslHL(p@&7xW;l_! z!0CM{uYtIfSbA}OXVYC-B_h$d82Ap7!otJLI)LIX+I=OUXau+!wl-k-Y zPI-_t?@;~lC%NI#=(F$nvSj3iQ@+p@y!vTZ5Ns=mW!x`MQ+$Sh#WD2Vboy_dH|$ZU z^XYziLh}B`>^f58I3#kDkL?H@r8|5#NO0<@?s)B{TQzireV!Y7P_cf;twyh7Hj?*Z zX!N1n`OppFUe2YcdRo@|arV_DLIAWJm6lO5UwAKzjAyM&mlLyoJo}&7LMEJlh>DyL znhji-J^K3)CQbqHBlfT3t!^ppZj9M&eR$rgxV1y&gdpHfQF<9wTjmA5 zXB9_Uq9nI;^fH?^6+ojO1pH070Pf{i?(Vi3F(BaS6T#$8ls)}qJLPk$-l1gRa6=dn zz0(-T zX8oj=^hOYYYq}bU#I#)fMwBrE_-RIj+(Amt!^kdwfI}mg_lXtIBnJl^5DuE0FE3Fq zsH;9!ca39u5{wS9;``kb!a1S7mCGo%6m59!b^2l84cIw*qB| z=U0vASRE^7qIMgPf}|C%(9V8E>W_TRnw7nw#$fhM^zo1;hU6yj<`CdFK#)U`sMpq( zJmLSrg)vuJ_-QXiQY?x4*`e1`3mX4RFW9QH)sN=l1&?ndsq?A#6=oNr*=*XNdjyf# z4g7O4*DuBpU^;Mt)PuNatA?TfYn-ceJ_+ih-qG2E0PnOi==jI;W;q7&b-;hpxPIHt zm->&6?2DJhC5Jn%g=j0(e@t2F*u3x8TfKyW@;r5g*_DFK~SeEB( zXQwQ%lxHu1kF{ob3?%8qHI#Nbuvob-gwozbB)%$t6iJRay}h5>UmI~dxc2`;z`;37 z22&XTw~)JRoWTkOL*|Lskp#Gx2%s};bpQ;6`A%|hBkB)x=dGzk8$}Co^p|0PkggRU z5_4GRBR%~x(gSy(r6%=uq4|C6npu`$@7ZOYh=yA(&i_{3u9=*EYW?s>sz9(dCAS3a zGbCS3^pSR7`{wo!D+verc3^1*1qBGb@NwL!4$K^3O)ZV0WX|5Y1?3~~O4_(;6xy!8 zKvg;_c=@#Cf<_6@p&3oqI(!R}irBj~`Q#t$RX>5rP;A> zJqMk`vCHF?`eGF%H2K><3$cr@c*lgX5f~kOkWu$gO)ld4RN;(8Q?_}us+?778($44 z)G#m?rd`ssR-pj6_1-qk0Ewe7yNCQG3cx1Zb2Dttw%CiwOM|4TUO=);AX@V2(g%-k;vR@Y4B`nA#;ZC$_msF4MPafRD zwui~?v6h$#UCLQdK(imnZ5s*UFZr&Z762D zjBkif(sAk}CkkDGEnv!ry5-d3(BQMHTtCf|HCV=G);iyU*w9vIJGzo3~dT6FkeoH;6lJXQ=)V zC6p|Ls*v|7sFes-2R;V`bj%n8_+}2W{>rodpwp_1S|&At+`sQW!`vY8g)&0ShlxCI z#ftU==O3K6Z13LnN_p_p(T39=sgj&CHLZ@F6X~M~I=f3fh7B_AnEeU}T)@N|2B!@h z+}tJD!4kYo{JQ?M3XNaO)lGDbU81bEgkGDW^R++zx*VTMaO>7{+Zm_|`4s6KB!PwA zIaE;_4tz_64;1;O$e@nMMrp7E|2i5)Y}_wI4#YaJydBx{vS3(*L+p6y?gL8W~r#Wn+=OUT*dbVx_IAm zw!Rez29lWT7*>D(&C+v$&pwF)!M;7&wHPZUXYe)pu1qbre+Q&|cQqDg>zVu7GlGj3V$+D3&0~fgTi^cMk^AF@ zg4;sW2!}41*y?40t4utBO)+&(%OgjE(%N=$`|M~m{cpC+7Bq37UHM|ZBnZ~TH+Z$< zvhv^|g?R3DuI>H(i{gBFcsYiyeM-#I;qR*l-{+*hPHVUKYj^z^HW>FA74$b;Ke#R4 ze^kEh5!A&NZV%CEFB&GhkD+wC5?9HnJWdqERaU)QFFaMlG$?e) zj8*=8`o$erm!8J?>sw>6auQoLx<4yS)tJ_Z>O9diGISYl#&x>LDH(8(Ed238BDv?^ z&uxeb_nd(ue$Ce+8f|lEZK$!KmYmNk)GzS>IS4p9UuZ*`Y4l`Pv+o$|?=|kIT5Op0!2w@qI8p7vXzuh@do*|erKhsOO2hBXDDF`|m0$){V8*H!8S z|N0a4H`fH}PACfmSp03yE<|Kc3L^yL(&K(Y?yRZcvVmKT*1-2z{8PS=mc~U8W7R0%VbzDJ zn^Kj2!h{RPGY~1dWqR-kJkOE-?16vP{WvpcNg;}!HZEQ|s3`96@=dpSPIOjVu8G+h zH8xDy(A-Hx>)X-SyY$|f2#T7MS71^(6)Kivjj^F?FC?sTEcWf#?VtPQ0XcB;;b~ve*Z%Bp3CRhf4)*Vg(oX#k!xiq%o1hsrKMpvK92v`DzYh7K%w)5=XVybv+56< zcx`BSP;Zk`?Y24JvmL(uzpRJ(rq%K`&pgdem9N_;p0KG&*2cQjBa-32Vf|5hf019+ zgAG^mW=BUAZTyn%ut>SE5;+j1z7BehYCg{6dK@ryIt*QjvPg%3_7U(SzVO)A2J(Cf_IVr3!CkwS7z{IJSQK;~S?*5@R|`>K1~PU%I}2VPa>Z zHAl#lWLW+1@VAR&ZsG|?;e_MyYZeI~{Y*5%vzwGyZ`DdYR^Q&PgyKSuMbT9!lVC={ z#3}|A0GG0zWKUlRNslvkPZf?A8Z?p%-@SsE@#5MGj;I3_J=A@ZA^aKe)rQNQT7!4e z9glIhl6l1G;hEk5^8vTCmuFHcJr8t9rDnbV)4b?xI$kYlz#Kc4aO}~@#doTo58Gs= zQLu9d)UJ+Tlb@x1FG~r&c=Iy({qL{$sqcO6H$wZZ<~BE3>{|Ax8C?9i7X8~P{O<;5 z)&|tt{EJ%crC)iQ&Eg9xsCm92t+w3Q5u-$#T@N0YT|_-md#Yw!JPpXTSVg6#BEjoC zU-P*iimZ>-YTH3Q@EqTf*{n8H-$x)JJ*6&QOEC&TFWKw~ZWMbPOr(;%?RupjKO+70 z-@&~et<|4nzNIcNoj8?hQ|XBQT%HGpqmZtX&dt=*AdykpB=$6yZWO_#n{@d+GcoBV zax)Cr!Q8uAbF3~sga5D-Bn*~jcn<4>chIbWt9xC8ceao<`&%GdEG8n0!uedFofN6f z_Om1f3M39d)Wj}=a|OtsyuWVX$i{Q|MF~f(AHc6X9NIyc4&0w4+G|X{43w2Wi07x> z`SvBDTsjq`h`cv;sy;8`Efqgpfgg=5-({UV9W*HrXsiGL4bW0Q00`d-W%(m5awCuU zQ8QjOh5GHg3c#!QI4sQNT48o%i`elWUbcLQukyD?_q&+^27I=sf?RxmeO&8<{UN4% z*DK0A52FXA7j$I4F1V~*cfMMUx4hbZXPb1$^VVp~zltqdpAL2ROHU@)kC~0-$RUJy zbYAvJY$`hXhwR-m@goE)Zf;zz3q1;dq<-nAI@m((Zv1CC+NGu=EgUZ9L29O-)|Bm` zw}xJFo<#ySkK6mW6zYFPg}lSk+8%;TV&b0ff=~<9Z&33T3VmxVF|{5i!5yInX+DwV zjb{23ym887K0ss;No)@i#u;pnd@xk7Pc1U(E;0a_D~fO}QErAG{TZ`gSHK@EfK5Nz zHGg*Z`6$Z|q@zP)sqo@zLBrYN4?daX3+!cX&mD#zKn+dtZ0OGsQLK0UDtY z4i(!K7pFhGQ!uYHmxlPQdGhX z2|EL7wzWi!W_=2dub<)lg|4MdB)xCQ&^Kq_o%-12l-231!p(urR*-zEyRk-u!@=Cz z*a~beGc1?Mv2b8rwYSvS6u;j)N zWd1x77JUFYuYbJ^fklF2iVp_`Tsl6y{Cu_P6yX>0BLT_pttVJ?2Niw(yS&_T!P@X? z5~be>Uv`4{Yzh4ySrwuStLrDefFk`50$g%+FKh-)nfJ6ulVciXX@0Rc*A#!pDa|e% zH%#0@+*fn9S*kSC3_<$3`p-Jdv{~Pc!5l(3li1HGZfoPGtZy@y zafO1<7&u5|WdtDz&J^^QV9-9PRp8H#hBaD^F{Q+=v7qXFEhLp3@2O={$gXp5N*m%{D9*;L_~;x1P%E`P3D zXWVRkq`savQ=K#!<%JW^mSBkQ%^7Bz~ZMd940c>aOu; zZ**<1?uMp(YCY>|vz}q1jbqez;Ul&wsVe_3OH>+DHA+RCve zO@?$_kzfh(($xn!Q=Tk(H$NRHC0Nv%dLk81Xi7x2=j^NMkioB4(~?j3JgxSjJ2h@| zbHe0+Zkp2_?xWgN5w9a})7DtuAF{Hpw|akGR@;2;c%l}{%K^UX0=kcFiW~BlkK9gO zHBts$BwOS5DOvrIUKh@5!0t5{`BvletBjAleie z(LyDDwn;^+~oIepufIj z<`g0XzHQ*CQl0)JppBx}^uOdrZLSek~k=3ig_{a~oBIF>g=`z+Q`kI>6 zvrrnK7pYLYy@Tr-1c$Xlu2zt608)#nmCcS81j2B30`1iL!(mDeYsoUFWf^8C>z z=XGzcR4BTO05LBTO)Z|zTlD&zP)U$+KIx!ueoF!w_&zWpn}FESh}erIA1r8&x@GF5 z8&x8H4PMgde3M>v^YB%;J(2h_U2E}p!yF0v;LM24?5BFwGzMKZ+LLM%{-83zpl-+n zpVGV|vhKEWNG@(Vs|E;JCjwZ)DKaK{h}ukZJ#HS5XQNXT7D zOB6YpocxQ-zJ5WnDW|^5K;-qm4Y}5V@RVFtxq26g8bs}C#Q9P3VXIBfVp&IUzXiTk z%Wuu$mb2gM1q1OW*Ck$P^6``~(Nr-T#8A_`OtXh-B*QOyn4Q4d(N&8?*RN&vMu#iw=LIjcb0<6YU)-l8z(4j-h5ET zQp~-%8A>arx35kQi=5~d-A9m?Y>OMca&pIfl(eF*&LQlf^SWMVijQeHntXM3*x#A3;qQHccXyhr%{vbGIAo z!N)Y9On1HJ(D=on(B?A*=qXo)OBm)*nx*K5t8WW#o15Gaml&6+v!YPO)SEnh%xnGQ zCs*IFgr`$Wc`BLaZ9DF?@0NSoJ~8^oUr&XO+`YH>-PO>v7?GEK@W<8Z9?zb6q-sap zoljHU@=1yd5bE+6?bAEBJHh+7nvnP;cwweV zqb2?iBDBs7BL+ug#7(iD!3lC?dD>KUyOi#zLJ|Fw_WUzX)xT?$RNnew2&pS^Gt@!| zaX~e|hYKH()ZzudVj97UZa2dhSvX)4#E?%>Hq8|giJD|^ENwYB8%wk>`O3kL9qjU5 zk@*2S)tIYI4N~)er1FMFb#FI1@|i7_4^Ypsl<8^&#G zT4y1ExU=BkQ?ELa?&iIt;84tpO=Crt!PHp_K|Kxa6Mybj@hE*&G4r3oxvU}Ql_|$~ zjKGZO3$k}s#}@h4q1s!nIf=f%d7>085@siyQlM=bGbaj~dA2T(P zTY#=2zzdpf0@7T@(vQ#`^l9KM=;7bUJ5p&76n_@8@_tmYc&g1o33 zf4e-cT`LJ}s^HqEc6y{1OKF98`jwXvhZCe?Z}vKwCn z6rBg$ZmO2T$F5WclF}v9GafHK=UfxU3*mLb)MCju17Qa(gLDOgIcv3>h#hBE<%)5~ z;&L%ej+SGEV|&}pk$B9GxLQxCL@G8yKFd+X%jQ})@49oAjb^I4`-GhSAJKN3&8SkJ zMbp0GMvcCXCE841k$g+g;<*VqtbBRVqHIxHvLjwPPXN<`2A_nNMK#b<Irsadr^gF~o0|b`4J%D@1XdR$RR4PxZXEzG2@l0Cq9T!B-JKDlcFsih z^=QSq8Od?Z2`hm>H=A@riQnxWuqC_##(zE_sF-DMSf@>n;9ebp2k|(j_KeFB8ex$~ z^jLu;wsT{biI%ff1X>DU@g7QT`L4 z1B>ZbB=m`mqn(e_DRJx&u)$_FZ*!T!xk|C)YE3}dHWG)PE)1j_&f0mq3Dm8k0#B)5+hOh; z_Lg(*OVpiX@Bw2oI8ssBvWe-kiJRZQ@`v{>;TJ+9cTfB^V^BE39QxliMI9RN(gjS+|8RS@{=mYt zaU5FEl1B#HATs{>AF2K~Gq(x)#8K7M@DOaBVYW9JNuRUHPL~0|Z+<9EzzZe`bjny) zH36pZNuFNuZPDK=Si(o_0yzf~J3f=rRY3eNO#TP97@(At%fz@Mpu-$@*`)rueqC65 zHR9cqwWX?*$_O#FyaBay(OL^pOpiPU*me+!6X9-7@ktTWV8wH*;GnHDLZPcTB&XT5 zuAkbIGhd$t6n)W?y)PoTb<4($J%ru;4J5Gmv3!-DuZq;6!=SEokSGM#4h8NWA( zCxgEd_2EabdiDAf;*PhU)-@lf&JI|B^8)yhZ`wRZ$d zjVzf++$Yp*DQ@1LjIB)sMRJ`ay3RD;(GEE598j4DFcLhOHxpwI1BDiV0cN4;>hIa| z(HabB;nl5=E_XC!q?`c>B|w54;U7YzWFJ(=<^WME3fS-Tu-&@i>r85aVQAhCbI5_( zz)6~K+<&hbd9C14*#sRDQp(tsZfGL0Zxrx~MrS>Ub7gZuf~?-gNEYP)gIjrojO~Ik zK;JIdLZB?!U9i0sf&fqh1|XHVu<@5PAY|t6f>X&6;!bdvxDvX&LcLEW zu@+}v=@01Ca7^uVqbeM|*?zh8bPW<41vayu!u@PsCvZk*$uZk(RAz9t5J%!;Vn=TM&sgUaD|Up!pm_ks}m3HG2rks0lFtL-LO!Zx>HS{s*>O^_=SU)oo22 zn>Q~5-drlHZ?v?8PB?~gyp$>(8w_NY%xt}7O$r2w!rtp-v_*x6{k2QmX=X>@Dhiyu zr7lzTzY~jcyXa_I!ELNmX+B}%AY#h;(J^^|88nMWZzDlUWaObT(+B}VCkUTzIe8pQ z`<)Q?9;pHhRU0D&@+qVndKvZ?^GN}K-4#2aeuf;g7k47&tPZg@&!@4RwM7EE@onHhqhqWaU+~1=~pJlJkzhnEVxj!?x&Bnp%;{PV5IY z&`Gjz1~s2tikD19F<{3C*S^gfthlDKfU6Jt@t$^mGm-1~bGohvU18%7TJLeT%JSTN z_#6-5NN|4uPSSy+KRBAh(MJ6rTps#1pw*C8e92mV1P$QQ1wAyV34jadl5%1d^o#p96eK4gHb^FpR7&GDi-< zF&bvw?hDEFW~1pk)l4cF#$2X)%zko&Go?#y8l;-@9Vd0O0WSkJnT;4gO;*~0ro;W4 zWLlh`vbmB}c{S-TSYK?8yRYvIs_$rXxV8q!Qk|L9fDhx~HkFLcE|VJp2ZoPi z9!gKBjvHBw%7tkI#HmS89(7dCO_i1SVNRq*x^)F-vi&lbM|0f4h8Kh++kS2miM{_n z*aS8v=Mp_=z=qnO%q&kN?Qe$h#;6Y_thN#uG$<9A+l$%2F6qY zz08@^Pv=r04o$0WU|=kZSpAcX$NC4tXsWlr1DTbuN*6%x8zop0g@QXES;R&36Yt>{ zcMlG_8ta=r2_gh9G_CwgX)lt&99THNBa7T74;on9b%?|v?-*^OKmpK*1g)U*C-{q` zuhk8(89;tu`eMie#6SrqNN6HN5#*BuqXHhD=gqO$N8$jV<4ojZNDJG^C=8Qop8AVl^gP{Nf~q&Nc8zctnZ?^i%Bi-k=^kIv zkU^J3+c?~d;E(>11*8njKGFmNAvpK`-{~cYJ%XAnByj;Ps}%)(!8sa_R!`;=U+fr; z#4KT3A!8z`c`FnK^aa418v$2K8T@YMTqW0Hb-3&AHNnJ{HR+?Gx9zetSG4wqFa}en zsn~NPTtp5vc-{j9SnrQOk#YV6LP*EMaOG!wAyUy&a1U9waG+<3zSH2;AwZRUvD8>U znchQjaBX-@5w3g4v)k;%AOE3uhKLzVAnuaLgKiRW#bnGVRT9=;S8jJA+5I}%__SIv zxSuz2YcPTV5XF?#2XSB`Wab^Mq4_h+7gGHvVYG+TKA;R*vWVQCI*q{J=<_yj*T_2? zv=D$L+wF_gJns4zx}HuJ4(X8$_*b<(%uwr!Vt1v{49er@Rjrtx3qAZ1(5;CxB@@58Fb_WGz) zM#P;H6|aEGMMT8=1(hSyrSiXizYINme1F5nc$rjSFp83ub$Ke03jR6q;EKkkXRZOz z<{c;FtsdRDLFzDGNqx9N_ZmxwJ^qiprBB7COQxwUsN8dq#tbH@1}Q$doHemKFny<* zR#1W9ui&%|*p`9#L8NT=^v?%JV+5TMgmnCvXjTg%=9GCfXUP119>(9^$s6FUqGYa{ zyz%Xs*Wr`LgWsgBVpp;#UpJ#UXV^$u(R0L4W75K2-w^LhD#@dSW5I(pw{>Jx#GI4} zW(+LtI)nloxsGwg`H~!cEKOZO$;F9#Nn#?pZR0Pk|qLYBsD{8qe4guDm zkZ2OAoVmew+!@@S@B$Q*w&xgk7l?7?A`&Az4fu9|48_sUYOlQeC=Q{93wF!Uup?dC z^ed6AeXu~?HSoC)o-Ww5PV%h){{APk@0z^k@!AmX@z;Hi4DKM3p`kB`>8g1v5kde1 z@)x{}E*hg5=KV9h@84x~;0`)}<#QVHzWkHFfH`sg?yGZcuBwa99yf29(3>OILgq^$ z`!)u!9prgca@{?36~2<8RwIwUzNKOFE=5E#(A9`8Bz&s?cW60QNF`rPOT=|JA%7NtNrK46NZ|!m+$? zs#36~mp3!BCjWHmbJ}Hr|MxxYcyCIyq-h;n@0IlZ13L4!)nn(i6-MT7YYt8v;XfGV zEz2rz%hH?8zdmGYX=)zySvpE-;=_9Zkt^qVBVzL3`!CB%HhTuyE=(6}g?WJB=2lHD}E3>{C;ce=7??8)W^GCXuG!)QeI{#$I2~I4fSq=)rQT7{5 zmwP(9*J}voXzfOM-tvq1>6Pm9I);g!VNI?gM9)htc25bazkhOe#~sO;cSWti z0L_{le|lMbJ0rN^@|di-*7g_u=_rvW?(IECg>EMnKq}e+a!pZU988Ni+J&N^mW6Ub z7&d-yi27ICrOZhF-XXlGFVgJHn*7?h!bRPgQ?!(MJ14_!zB^iZ{FKm8KjBtbpm?tF4&D1A2aTx{WvXkcVtJ>kGD^q3Lp8% zygXNj8oO@QW;+=o-ZZZ{zj9dIZ&9wNVO2q?5%cNaj?+@d)=&cOkqx|%MT>N?;=zUU zx>G?wNOz@u&w)?T^xW29>KDg?;OC%wNtx#%Y?}gq@fkB08X4y)&NLQ~Oz>~?>Q~{L zt*YF#TwrdO-7H=4oNeoMEn2gJ9&pz#a08}m6@G}rADz!1zIqGn{efl|=yRe63;VqI zF7?!<*d7!Q9=A>9JbqCc4>?}Ca1KJXWxpX^Plx3^l*sd=muN#tEwT3`*%i@OwX7BV z4E&Oj>dosCJ@R5~tUc2=yLVt~fK!_LIf>3^vXp!2oevwV$Q$RmhN%c1+p6Y4|Z8{xU zX}1?;SD3Eu7-PI!nH|)8_}th;vhAekdY9SC%CT*|_gb{2-7yE{J9T@^Vq2fUG1&FU zGqZn{4w;W@aiv0*hi5(+hw>2G%Zy!ov>XK^^k3?r zkxEKHnwdngsd$E>!8Z-_t^Q-lvE=ifSLXsGwlc3Cz~;5f(7u|L*qochMrEI`cbAkJ z(-jRzqzw1&XEo{d+S%tlE;=2pu0dq0F0^jng3-mp0#sHdly%iGFZ$bi^AGnv=WUH$ z+_{=4_2pdIyVGR-)#p69@i05Q5$I}zzaRKn_1y_6cU=ouawWnlBhTXnkC4$H-u*v4iwy_J$9HQyDci(q+E- zzm#IlRL4=odC6%Q;UO#A@`sb0)Pa|>(#}=WynJ}a+@haBFuWs}GD0vJISm@mWK^Ul z*#`VHyqEVI^_la+Ry)w*hWhwG0Bo`lG0H$F^Q6<2&YX|Di}BMC6yXIsenxDVLIng^ zS6sa$~Q}`bXV9h=e6rOP5Jpj`EtxQFy5* zU!p6H$yRI5%2adJdyH3xHG^~57SCBezIlIGDg1$#nLEDKbmgYh=Q-JPCoIyETB6G7 zSK)+jqmY4_m zBqT*ROJ<Kdk_DyEosd;xczBc_&TQO|d_yQ{ZcSMZ0*Sc>Bq_JfG(&E2Cw9&5>g%Rin8Wbrva*Kygfg)}9NhlcVpNk}ww*7OCGyz4U|=fnV0y-30Z#hK zov1~$MY?UDV2MuDTyp+9*$UbUm!`lJo94%Y?o%+|gbT){nm(1xQo915qI6n+lH%qk zbDsqd4%*pee(=R^ds?u)#BfOQsNPSr3xIMxB2w-wX`9K48eZKa>JfhT%$Z*E;x})s zopz@z@;5~5>?@vrMaf8NHBVO+wL2+J#Y(60o#pwW_Ig^%aWtc(4rDs6*F$+xV6zXG znrZ$u!Xj-s_o?~}KGeihow?q`bSW~Wb?M+xy%D}!6)6Q;bq+5_dV^SQsg#ipG;(t@>7AD=ElQ|;jv=bhBjz( zi!!NWFdK=6VdE*W)CAc;>15iQZ8WyE-cy@f|J0<~m_2+@%90SrOtA>zGM&1P8y2NO zOXLDfqClhb^72Pht=KH0MOsndm2|$3HfY>EV!_fix=Q&6j7cn^muS}1yqbORIIG9X z=t)*a;>okSO)e{@1<0uHR$GVN_+*3$D>kh+zZyedF?`EYoIt!CBxferK~uKn`pId6 z6}^cHKgoN|*3WUQ5;=^MX8ow9oC$9#Zo+IU4Ui_&YSX<}>Xi7qD3mw*1f&sc52CIB3tNWMmDFXw!`+QI(mYgL#_nJJ3!FAxs3-syJ zYb`wNq8Ui#qEPd<^Z0$(0$ap)Z%L3d_pf4Ui|esUic9FyshO5{HAmRy<5$C5?os;b z4(3lIUMjvYOZ<`zfYVqqkj$nRk1mza3U~h)^f4xywJ~``Z1>Yv1wPY)$M^fcpa&dc z`_A-1GqU>C=b7m0t>VM1Zg0j zn%n!Fas-c|;F7HB=tPT|#hpBl|NJ0h%KJ%AkDbeODu0^2NZB`k^AwC629^sK!MH-2 zUh2V7*nG+_-jl7yKr=x2f&-*A;c7P+AdM1?NhZc`SY1R)^X3p%{{D9mnTy?z+FwYz->n^K?Bg(&3sp})Gy!w6fiX~KOk5>#F30X(BaI60Lg;!%Nk0^p@b`c% zV^ey_beY?$tt+_|By0=dKty6ltiu?rQRF_f0UzE;qUU;p2l&rf6(0^Fai;m`#>xB8 z(lu0V>9cXZlnc7?hv=FU_T@y=fFo>T(Y!1x-Pf2d|+3X%{Il*J3~d zKmnppFBMWS!2=6&SZG=rBw-ry62VoZYmIaEb6rtDK}yHxVLb5@V4H9Zpi};5h=L*^Ap%NwgQSFXDM)w2Fmwzmh=7Q+lF}X0J&1&~bTcTOLl4X_ zbKdyfd*8e7|K9#__Flc#UhC{LXZB`5AP|cKB+$#*$I~4I!Uz2wahH&_pRJ&~mxv$; zMCk7B>FEaof!y5voa}Jpe>H+_5Qt(Aga`Wj_kY^|h2Y5l1AqE|B>#U9J_tmR1_4{U z;+U@>uK)NX_%FeKTf_PNpY4D6`TzMP=)%pC@gGHYdpn2^4q~)-^MU-^6wboG?fzqn zfXdy@*&4@Vaku-QbLZ&-f!>E3_57pB>tyE!{5jhQ5wTI*6>3ksnr1h_%zdp=xLpW}v!A1Kw-GAF(`rnHY zN3;D)z_tI-aPog)yl@;Z=pW9%IvhPo1eZN75Qw+|1iEzx1fos_f$lWpT;gcLP+aM{ zK_F%q5a^?-`3h@I3qGAAn?t9?mMnRwllsLQ*M>oXj z;CwM82qcD!l|B;$x|@OXF^l6{;do`Zl(^#3%Z<}-!^LWVlN-VL zA;R&uK_JzqI5-?2K&H63><)1k&aaFS2qcY*Nn8tO81Tv=sfh0sgAe<_Q zDh=oJZ{2bAvh~D`e;ckIgju-J#@7yFjT=qf?f)77@>u`-*2gUuu(g-h-@EgFH(uv| zt*=L=5YN8`ne43pQT|(S&~s})2T^f8aX~&o0RbFN>HxO42T^#My5pp9)%GSP0s!|V zm7R{wd9|igo;jBJhlhv&Au$maUKU$Vusw^Qhy)85hYPca+KP#a3;boM@!<&kTDmIF zctuzgjFoVbcJ{V7hLWdOpqsq|ghfa|K$urZKu{D%bb>&4jrx(Q8)5AxK#n#%!+E!M81-Cq9MOp0ZZQMLE+|x)!GS%SpJ75 z#Ny-TY>Nx!KLi055AZ)OY@OY$A%DYg_JG)f-K=p!IJ%9SFW5TJ($>@6%le;ya2diq zxSc(4CUAma>%TG%U~6}K9~|Uw@9gLV@xno__JKIItdQt`Kug>c5r?w)*xGy8+xkLe zMFjqO0$cx03fSJq38w_Mwfx@^|K)>iWo^L@EbcbA%>0cEhsX+v@CmSZ|BXjhfKLnu zdi^yl_zz$mAS)_}qxeAVy<|mLoV{>)#?=&81`$CPYj0c${}u!k4g!5@vwt6PZC#)4 z8TxbaGAh#KoosQzq;-FRg^X(YQMHw&-T87Mo~!w)vIa>beQT z_O}R{EF!-=bakQWqH>uYTf@TAM60JBhs#>#%g13uJ-+Zt*jEA1N-4FF9R*Xbd<5iN zO@cgTF#Rv?MCs4hSVB0GR1=LPk8J}CKmsl~((Mk~g1wc$wlnJeea#eahkw}~yBN>` zc5|MGgi~6lokRWP2kQE(;Fn6ETbPT9HuTQot85(ynl6>Z>ed?%1mii|0ESR8#0K}F zS^DQRK15C$ug0a+OF+r--fmUO)@ZJZ{w;JEM)kF=$NpXF`jaKLP0>P`H`J zwY;rBi+XWOzjeFo!~N^_Mk)eOG6nK%9?+r9{&bqIoD~Dhq$>$HhnjpC zKy~eEw42UTL3qf%66qcK5erRQxs^!qS3cu0N z6O-M!hKQ^(6W$_ti26fP4+*>NL$xJ-9g7I&d&?UgC0Eji?=ki2%e1hz(vh|2cjb*iv?q^yLn%!!nzzneFo%RRx&!FUOOICj{=sMZ5gZ6E|GP0Ixg!GRL5t3*4t!U z*AKS_b(S)JSwdAYyfy7;I_9gKVG&8KAM_>}A0q|u!*&vL07HhYg;9H&?Zd3$XLeA< zxDMF2g}B!Q*wMf{Ye{FVRFZ$LOlO(7B@eom_ZJnkO7@!?vT8TzC6WeB-)yXTR%qml zife}rHrZz$nK{pt6&qcSY~Izh^>-}Ek=>%=W!=r3Fq!7weZI+$fP)isgj+?OVqETG zR@#3ubb7U}hy)3&C1|(ii<$aAXdZ|Vcpp|)6Z-6MPY_Iq`f?dksL@%e-)r4gG6cu1 z0eKjrNghqiG@6#Dq%|O8(8-yt`NchYMCJ+Hn46c4+?qDTADgHbCR)3nPi~X|v7}jg zrr*}`(CO@Eh$V>H<>AuZk$z+G?;e`o<->tiGmH&M99h4X!XgByT9MVPMd!ET%T3&v z#p{YLUT|DK4iBDQMrLV!D|n-aHO~t<_K=^56u_f}XSpk6R}CDSuP@%e;-;Nh-+c8r z{By88ifgM6Hhnf!DPBy&^_36;b>@`^hBZUX#B$~wZ@4$fCyer)EHx$5n4h&z=}bBt zq7<5H^spfT*LcVo@qxZe=~oL@s6K$&RpvKrmR_I=Ur#=5t=1H~*%)2Diau{>Dlq_Q zp;rJham#~)?yO1Q=Q&MtQ1{Ub%u&~|7JD$CG>J+!LV>kIb(S#XGfF`NGw>kQ9a$ zSzjme58h4O_#7d_^<>g$P6-ry0>`wc`E?dCBuDVmFGYvQ4*W{J8k01d%~UDpQjofNrOM)bm#-wscf2- z&gplv;&LZ8wFr5zt`eJ~=pzLmhAP&xa`xhmyZ8vOlM)H^yp z3N!YCa%LDj-W1w&kKRNR`Zd< zs+$!e1CFT9;seouTt+ny0=Yd-ohD3YaiQX8ypaafLt%HC zUr?F(7hA_!!LzTIDtcZeZO7MtF=*?)jxSqcfl9ND>IE+)p4E4w68I)c{hiRkKWqH# z(k*72Ubh?_=q$X5nz~o&Yt8wf4~gX8u#6r1R%bad65b#)d2xL1`w0E5n@gF;)Drw_ zSb1LT_FlXOx6_Nxb z>uV{~Ww*`+&D>j}2am68qf9*`O+$KGW+qf3PD!mujW5%KM_Q!fhdNKGu|7p|dpErv zRGyJr5l-u`0KjGTh%(oFLu(|QseyiHyf&rzPK!>^*;+lTTgMnnXc0kC>F290p5WWc z=5}`=2k#L09~(KRlf;`m`$Fz|+)1~P&D1!567nKH@wcswRQw4ZW^9Z)U_wY?94n1? zC`nN8T8`C*>AKdaQ>@fu^@qFmgiQ6~d~W`=)`bY_kMSoYdnUy>?Uw~@>m(D#F)d~3 zh1R>hs0h*xFqDFrQ)xnFc z6X8F-X)5LdJ=R2cykmISCh@QH6>Ge9ZPL}S2m$&A?+aOcc^%H8Fz8HaBy*$yfl#Ph z&c&Sdi?E8e(D-(t8G%B^eg?$IOGP@mi=UWnn=oh)WIU$cv5KbaY3m54aB;FIr*Tn{ z?lz?^0~%Ho);7|Feu|Eu0m0y?;QV)CiP#qv<@!VL>xa2_2Xd;bi(mPH98fth?5W$d zw%;lG1RQ8bT+N5s5p~vwMEc28@~m@`kO=XU#~j4FSB0GV?zfDOf;7z*8`V+4(C5}> zxs;&6CT>n7T54=32?3B=(GCbuhUHY)HYp`jSk0mf^SCe7$BG2EBxb1fKDh=Pb0CB1%@Slq$qSmOs6W% zB{$EDPPa4~gCI}DyL;hSeMhGWh*?9PJUx9z_Yc0nw~HOHU4 zY9@N&gen)9GB=OQ`~oHn7onm!&l%2=54U3OE*iFFMQ_D|XgT4*2WPi0`S9|NVLX=K zT@6{I&gMJ3Peqyyed8k5L6NTZ<~i`*Z%i@htwWn(V=KyKac`mt+K@>n5_fY*#vV!seNh7DQ9V$)?rGGK82T-z7Ocfq9V@9Z!N$rHOj-VDfK5a3I zxyrlAeMZ0hWqc(s3b6NrM{{m(dZvzK2D7;j_CjpL?%mEd_0RQ4W$o^1p6h%*s9#)V z;!lQd%rw#8kK?Ci9HP0SJ=|*h4emd)|KMdyt2E!@w{#z#7{117vC+>RY|bk?;`b_t z9U)QQbo|41q)%I#7q(Z+PgloXx^;GlIr*F66~-TD^sD;7nK>fN?eBw>CA+8)*8>ny zTa%a^lyyAz09MKJ4ust$DA8m}KQ#FIRvQ7FKX>bWlr$8gy0iDYO)cZcmghB(OX0^v zSq7W_zVgo$@BSQwc7LS8q-dz6OsPwlWwsmE7tUKO@)7l$>|dKrtVk%>ln`Mul=+K`#& z97^h0@Z=dP#C`A|oR2VvJ?`XP%FsK>KWP^#eLo@;Bi?A>KDbdsf45=g&8%wD4d0Es z9sQU^hd6zuvqgu;(PL2Dx22Db=%&ME9lA-nX;U$kR49RqM79!DrFn8?TWt;gXEvIb z63bPvMiR-F`ngOu-Lx0#Se4tK9Nt_dwr|Tm5;3h0BB9WGz(_)OR8G5Zapi$ZlrmW` zd{h0pZ5N`0y=c(bWh~F71;uJt$p9^b5~Eg#c?2c2@w}}oWhv5Q-QV%S5=X|E;D?6~ zBkA^s2bvD~V*XIX8Ldej+{RRE1Z)g--@G-wZAn$vDmpFJ22T0_cu#6I8xWqyNs6J8 zeyn4w%~o#d5@14`sFN590CJeb1G4=#* z3TPQrWLFPtz=*)5;?u^EStTHhnV zW_591&qDz4h>ecqCBS^~^+=cl!OtF+9@k^e)1z2{%~p(S-U z@xxbDzI3*Gh9Pnwycx5g5LBawoQfu)`Qix%k=)b)tL9@r9Q{c%v9jJzy05WTXpmDT5=dT<@kB%RpvjCA%B2u&=w-&tGm6Q z*~&Lj!Mr;Lft^GT4Bzsa*s=~13_j1#OTtjZocn8@z@0uQa~$$76_|K6pY)|SWp2Fx zG4Wu{qp~Zn(l0ya*l=!R$INbFU762Rsg04=Qj?p&DxMBkO>7 zrt}~sG_bDs<4MVZFPh$n4F7?C3U7_ycI3sA9RnL*o`_Pv3zfjgLTeMgT)GNrm!tK- z;O5t_R!AriFf|raEzh18(?Va?ek$%Y>=x;Dn{*ORsQw=15BCvXX3kIJ6j+4tu!cwH zxCdXj1#B-5C3VXbeO~$eG>5`8$dL8TqbxLT7eivcZ}!JjEPsj5n2DK_YT=&cBt`Rt z`97TW(+U@JkRs_z_N|h$0gLh8pGE^MA#r{$YGdyVXJ*b|E}jN!e$@AuL}>6i!Gj#y zS_%!#8|3D>C;dK6o~kb`owhKvSSGjcw3^kN&Xktc29JsS7@TDJrgmAotp4Via+LRM zrvAAA4uWELQ1a6^#yZ z&$(6~YJcIOW04z{xKy(?a)mywot7e%0Ckq<1+M3CJ~Au8z&z8CEBxihElhqBW%Z0f z>YdzBPLL})cG;P{&$x=av?kEKEDwhoBb`|X=`~c-n?myoz?|bp(sln8XO;W ze~eQW0RXm9)rijbY}jvHuNTG?m5b59+&f_t;4(5aAAn-?1u^r1(@A z|4Y+bj7K0xN@-90mgJwG9fo(W+JA}ZlvWCI3DYuhJ||w2U<~-BkG)$)&z{HyVoWyc;Px5#X`NxC z5MeJRlgIH!Sz0XY2Ej)OrK61fru#rDVV0}f%9Hr4jgy^9B)4=3J$ePLCf!*GE*NF6)pkWuv&OHj_&R%ZB?!9SQNJhu=4Cq^$QvpQWDsQ6qA` z&%Bj6zksidsI@p>p)u6fdK1*IT+4su_28r=?u3Pn?KPY-=+a$Pu_AGPs>4Q})MirJ z#w9Gfc>wG3v)YMUY=7&}u=4e>ysVz%Ps24nJu>ew1NJ(GE6Qri9Pbo1@$_d!zOj3q ziQAPaMeB13Ms4#kH3Q>i(&`qWqs6&T(VW6CnyLQkAEiH|7Z+^q=~jMB_!_){#%q)D zQgQaY=V#3|=Zk+6Z?7|hGP62v+0WpkB>0Cw&V5q%2eQbv6td(d1Ce5Vhht-LzjA*1 z($W*D9)Xxv^+Jt{fl!4i;k#T<;o&Pj9W|#BZ7IcE{lN1zv?DyN8vdlS8#* zxzqV@k0(hDtpiwxm8JFFbC?MxB?ytAa-0}z$nRDZF?T5kpP{iQ6G}kI`F)tKzK^Lh zN^wLg(&3lqOq(}e`0m8inmm6NK|}PU>(W_b4Bei^Am})YeKHv?BfFr=4_>?0fM})( z5)&EuY!+gw(|2`O(@dX#$MfhskzS37K1pgzEu1q&#>v| z=am^?=#6bd-BrD#t7Pf}oh(UxpdGCuZu(8>_6#=tB*$wt$#lV)1tKs@Wy=J6Y^ zS(Iqvw(aR`@@c0?=1Nx47w5g_WkEzohB3QThzu#?+yFX;cTYkY{>+wHOC**#VG0f! z7u_2deW)*qgAXnFfVE7q$5HVB+F-}?n;deiwSSP72zq|Sj<2!!Ql*uJ`miPp?9^{IPYvxS=N0$6ZVNA7q)yTH@7HjAWuet5w@*l3+ZJZ zk&LbvT}Mrip84~RG8_jdxb`+Y^KE)@-*__8$DwJ50~wZh=Z&tsi2=KG4Q!)ER^`Fe z^GP-;&*6jmLG6ZI61=_))BYpNE$(W&#u8yGvqC1-GUehyRO5!6PBdAP-Me$GrUCam z?uSCNHK&i(bEM)ZQL( zqNZ+cqU*G{+)0!0)DAwYVonQYt{Di-fTi8;rTQ7zpiXZqy#a9@W3Mnti0+ z!1&u!r(``vPT^ zpCYrb3rQBMSjRqtNVO5FupG4>MOOUndtXnipWpsG!r!EW9FhipNqG6#?Ivtc7|nK( zz-Xic?;x0uo1awa*vF5dX3Xk@VYs3{ z(Wj|$b~6=?tSK8|zAIba`d0SLFZwO8@PqUB$5;2h)roH$_r_`-QQ}LrYK1)mS1Zw> zJ9$s_LE03|9nI@SFO>`X|I|`_MQFyM=78IlWKrjqelYt)UAgMlF`u9vy}=lcH}zcx zl<1)YBp-d0Hu!g%^ydS8bHrxb5sBRaVr-QTrC%3^4R<>FVZp+n_Kf0EZ*0z3Vx`1DpBv#^o_;;K7M@}z=R1ce17?vBVy zMq}^uFY&8+SLlwuAn$mnfUj(mQJOf(pG{|Q@I}O&Ym)WQ{ZqP4t-ktpRxZNw&XAz` zwcUszczXTNqUBsK&k1fnrB{6Xb89RT1-vJ#->-&5I=y#g&5}rv{wnCvjd;;_oqsMh z+rYRTjAW=C@;R)!9imzD>+PEdmP2i!TTF)AupvtMJE1PaLcX1P*;65Um>gvCa>3!M zLhG~SwlOhRdOfzT#@3JC2mA}t8fu#+0u*dd-txt5i9fXe)x5n}-kDA9%B!TgUnRsw zL*QC8wTkx0^T?D&&S{0+cxu09mdR4^25g;V8MBo1Q&UFZ8q(0HR2W5~DMp9iZ*Q#4 z<8HmpAue>^X~tp4&lcIo0%ldQHH<4zz~}hf^s0VW^SQ2jRw@7>W59tS!TQOhDnz^=rY_xpv*+#^^%|m8azUf z%s7<3FJ~Z2 z7Z@cM)*AuxYcfX7TSYRDo9}#*6B=o1vc!HN6lhTfCNGr;s{%PYS^_(BdbEW&TqCTp z5apl59#8Ya(+n(8fsbxt0JH+INUCw=TdDn<-rSI7)AG35^3<5-yRgfOb*uh)HSFYj zF2^m>;ke>Cpt8AK0~$vS%tR)&=M~$!xlM>MkBQZdC5-hxD1Lg2IyP6j4PkoqU}Cs` zR77J(Td`IeA|TWcmSpG{h+#OtdfTT6T_d zY}1o}o(uMeNnVnHpzSn8(klfv302$FO7iQ7kECs3s1sRPz3I>H*SVK|;cNG!Ky5qL zkSt^U0GUX!D6Y;j#eJ!SM-AIVVV7w|Ue>1;{0imuv)UUf10G9ssnmjkQ^|H^5Bglc zdi@rz+fEXBrD@0RD?8to{IhG7?^A2%`jULrBK@MT!??9Uj#ZArSc34Ad$tN}yd-|* zH-kRezX;qHKF&07+b$Ay2CMjem7H?8c%D3-^-F6?h+G(~e`3;&#!T=kRu;}n)~qAg zf-Ul?MjxKTZ|s;n_~n>ZDebD8J`yZBO5{Z)yurmC0DV@RIWbM_8P5WzPK~~zznFM_ z5a-dT@E&!mi?ulx$XUL=_lRRP>SAH&kBb)A^4(0lfEK-l#rr@csTHxjW$YG7%WL@7 zVyWG?maD;-1ck(YuIMi=Yt4Rnw?w`s$BZv)@*KxVk z#c#49HhPf#J#J`5nlgBH>-YA&H3?|w$>bk+c~S`Axn87$xcAyW;}JT7@2jQrJ@ARW zO6RSvhs-`&zr|-gRo4p_eQ_r?S9Moxo!X{3onz~-e&}J$viA;Te^Y`mRVyxpyM=d~ zcP`8hJ=ZcHeQ`1^LM}c}Q-glFvd{s8Z$l2!6`oNogQH+q@|q@T+0Kf~CvX<<@-GQ* z??omb2eY&rH*M-a|0F<{tO-kj6PtE1RntXZM%UeD{?l#N*gH?|Z)2h8g%xV!S z=&{_*PN1jn%|m|{+8ddwk7nMhghdn(MuoxB`lFGkZo%-A?r8nUqZ(tNQ^oz?Si>T0C{u}6P0ZvKrZeBzOI$`S0d9iTeX`G z0q9rX72guci zx(7*XGQ%znh;;aI??Za|GlHjAX+2NBB;SP}O8V|E2RBnk8NT6v*LHPlkxXana4~mf z_cZ&KZ5L@-TRWn1z;x88wL5em_S(f#TWjnn=9TfTtHYRDjS1ULk^B2sg2t+So}S!Z zx4J~`g(9)ZRWo@lAeA)eKnS0D(!grpI^`x(MqRti`For>IeDt!#m5(QV-G(*-9+9N z)MX9t2afx%-yW{P%+Ku`*haxd4v(W|HyRqRvwK^ikpAV}iBPJ`&N*h6=wo!XpR+mH zQ0&UVog4GwA#oKN#;khDZcNVU;cW%>{`Y@*y7xgsoF}B)nqN&_%cGVvL|xMvs3kPn z51G&0uHWAbAeUmJN3|S(na{a;UYBgt$>H2a`@ZQh?EtxIywT#W`y?#h%!o<>e#~D%ZZqDwo9p zG4DG4AQW{Mtw%f6_EakDuqwal;$lAOY@nNtn3soa(tYw`+Ght~Wcf;I(we=GoYa!? z2IM(HWYp75n&F#MTooV6;cbyLghiFmf+g=MA8d|%6OxmsO65VeKEIzxT1T&QYrN;l z<5$)}9}bJQ)b9lk0bU>yJVN{1k*))IuhdY7aLbh(#WE0In`s8J%z3~Uk%Lw&!}rw- z_VlPqe})G9qHeR`J4ifL<<_3XUw^&NEUlt(t$VPpa}`E@{Nf!g{uIqu^&_h~W^&g2 zs$!VsOqJq`JMsolApNNv+gx!Uc)^Wq1tF|h28RPNG~dOm$Eu-7oA7IU>JWIr`BqsUC9$}4;VO5|+wyD8ZsQL-+>7ejGTH6TjqK!%ny(7=;lhUE)YgkS-7z^Ir0en7PX&$(*GxyeQz_cE39^)EKdC zfJciW@b5>jilesV(jSt>8x=x_0ENefgZ&oB72G0bFw`bM(FL9IE>y|Kt-%eB<1FFE zfsgNtsU$M2aLANoamn>Mw)|GmODRQ5EB!3vWQg^9o+S{8QVsi=LF#Ie4%K_;Q&{TA z>0RF>M%x#LX`a8Issz!6arvEoG29&XsPS)Q&lVr#g|{`0Zg`&q_) zxy{1B0hEUjyFK055F_GeFv{PLj!fkzpH9$xkB`_;ywH++3GjzEwF~%u#AGt@zT@@E zPY;uqYMIN!bN9gdWo@(_v>AupIz}#fGj6}Kb8`{zdAi9PHB*W>&Rx=lOgn8N>hwqo zoc29ziL6AKJU1MYq=xr9_2oTxiyy){gU<>w|nVjAB~bYsgX z-#JgGtrp=e!|P`!O?BLZJ#)p$4?1&Ih>+ocE2^<{hj%ruFhs8>eQ>)JEu@rvZbQ>u zHr5dTapWOOn{pwOSj9>QE8rS3&F(cD;`@?>l@bqS0c*n?FFFCY1IvDhKF*tUS-wft zV0lizQ<7QqYkWo@a!JOBn2fe?nJF!>V|utx8PsV|-`96O3naAP>LP>gq9wKr?7e>f zph5*-XEr1kJS9Bw4)%}DNXYIpY;K}@lU1uTyX#qiU}#GkL|+ig_V1+%enu6!ifpRX z2KAG&Ml>k3O==_2fih^teASGT4h%|reMLv9JvpU!2f-&4G>tI1>Wap6HHk1(4yV78 zLu+V#$}4ON9t+8|-Nk5`X~v{p;?648KWXSTSOOSsfWMGB!qSpZyUlnqm-8V;Lbw3H=20ua&my?Q3ZcMU zO?`zfBJ6}UCAUs(k=a13;A3`SpIYO`uhKh>FLvz4_*B}fwV9JAQSbKsH-{<}rth>T z{L~A$Hb})^7kf;5WnypIwM#SxX3uHmd$kzhMZB!1ZpI|Go|!Ez%F!ai@QIbtD0ba6 zsl}g~tz$Z?BHB+s)qdw*$+&#fDf^lWW4Dl_gv1GFn}xW6tdWtdQ#avc`qDLP_`Qam z?1vpOi$$ojzF#i9txlHAnO&^mg2F&P0!0US7GZ_IMfq5?$qwJvz{{ek{%FX1p7+rs za_dHVUXR^gYaeNqkcgAqG3AOnXpa?AJuRbwN^aJ5L4s97)P-i$N?&lDEIq}}SuPs9bYwd|~i^hZ`Er@$Gm5oAve(eK1Kb|xteAo8inPoaY9~X8s z{N1rR`a$Z>Ip>_>O`dXl{=NtSA`|~6q2P64118g5SM;*(7B!o&^{JiR_PWdFhIE6; zluo_}1GS%{hq}Glhiz)u-P%VVGj(*cZR{NvH z`JS4+i<+@?>;SQLn&(ow4eC3Wp57vxJ9vHG0^@w3?_>gE$EF4U2`UU4^v1n_#n zE(jHsl^C8PYwDgM#fb3PRQ*LvBC;q;(O+boNn|@07IepsG8h4TWz@b`Qch56`}2dE zUv|)KU8Xn=6Vz;Al8s}2jr*abK{)MGN70714_(T-R42{JE|!fcsa|WNopVDzgz>xB zyViHR0M}3`_saDc{5mV*l7y6yh%oih-A9tqfNo21SGn`-(TgMO4=7MBd(>Nf)%reniyv2FspTqIxKtnxqad0?`jYa$kqF8*;XdbBP54;d2_ zLgX(-ynlv4bjnweKW#pixi(%a@B-d-QQqlhu|$W=$kqIvr~di z%h_z`DuW8!2lH>Q{Sr@6HB<`UrD1(3n70Q%KGW?L;yq&7y3yfBp;!fD(4Z!|E?^K! z#S@q2wyn}920!DuEep*N=}}(?0f-NIc=)2PT_2cxrkQE91#1y~ z{sj6UHp?jjJIYiU+q)ctG6PE0_lvpnW?oHkbhA)yI4e?jP*qFWEu2(1oz7@$%&Z96 zuyeBea=&q3H?8~O-ReLw)F(H_C%QFIKd}2jQtaeKBiUY2Y{pBKK=IRi8nI|I;!U0M z!BKyIj#?)FTR*Q;*Y8#9HX4VnFEM=3vDYsavWZ|MsDhP*T!}?ZaQ^WKEZg|9zInSV znF)7za$jfxfMSIhd&JRI=HKS~QZ%Qw+nNs5dLkR2&@v3q%}0uJgO~d0 z2er7~SM>4zs{tOyHfX=D^LAzk{TF)p9INj_ll57J$0Hko$V257_XDPl4s`m^%Q8HT?N!$j?Rx7&?t5wtO)a0SXX02_ThTY= zd;jHTV9n3XsU@hu9St3%)Lz3vdZ;6#nTE%GnxcEb22vJAJS9sT@9Z9g9!t=*zIhg! zC21+O|4#Zc?@PhWF1{?wT3ao5iQpo&UURy5$V+Z1vyRm5M7a#1+}8;r>f>z&td9M= zm*vM;?Nw}wOSFW4ghjt~FrD;+0pe{-mp)qNLHFTd2iKz&%H7{0P%+%^CH#4HvfQTm zejx>4J{g9z*NX#UqwqfPxkq6yaA?`RYw>)>V`^!<`!ngn%eX0T1gtKrGO4k60n8WniaNdN4rl=p)|2(1VpPE*YNa*1F3{e%y{J=*6shFf2n$%C2eu`rLlK z#vF4cJFvV}XeWD;B5T}YGQnrm(Rska#=1n$uhtpUrU`-PJ}0%U1Z#KhUR?t^PI_J1 z%^z-rXzjqzp^6eDQ@wRf#Yy?+obHM*ZpSM7Q61EACKcwR8kc)Jwhy&+{2CsSggWP; z)@N^>EMeMz?_fT3LW5e6dh%+CUErtW61+y$0OEVd0ITuw zwo|`X?s>-R(kni`k(_jL2VfD}qZ(meLFJ*5XRrsu;x(s(Fm+Z4)Pg{ZV!PaB1z z%?>(gVhKSF&kmZfh8Ay}8qOa`fRl|RP0=it8{UXIYvJvU<8If7p+~vZU+B%)N@;(p_(0dbG*!3H zN!^XBxD0wv0ef2=0jl$8Q38(Fbb|Nj&Cy3?oxq?1?xj9-x6=~U&e9)#-(m;2=$1{L zKNp!!s<02E4Gw+4q1ydZB?BpU?J2f*wMUG8R5Yg;vLk80miz|gnbu%ZE(wp;yOG(E zW9krbLR7UA)97b!=FlKc;?{kg#D_bMA?;V+b4Vy@oTsmhL>y$Ndh3>V@TkEn}5dwJEO^XJob!|W= zG_@W+qP+JA);Zt(k<|EU;6Qq$d@r-D!-I;0h234uy0WB=tP=k~x$6_e*7B}ah-EGj zp8TK6B47p8{0t<_P@Vz&o@^9EhuX@|?_Pjeg|00Q-vw03ww+YeF@@hYnp{Z}K{aVF ztmF3a%%QVDHK%-2RascrQsU~<#QU#)GKU1dtjQ}LY)E2H#}qCfj`kt^o1pw!*gV$- z9ak{C=FPd;2Z-)-;PKV(lXgwAefc#9{JY=!_g&v)J8V*GOzXaDu z;?vkln&&jiD#}V$jmuA}X9C~d7hJ=}`;HZc%y;QcV4?_}##3_!KfszWIu7Y1-Kd6g zw&`#itNQSR8865?Mu9pr;;eg9A{pN(lsG+@52oPTzb?F6@~#7oc0Rq)VU5w^oh%`= ztj!rx6vCJ1+yeTpgmVz%ufvtRKhv11-63f4HWKLwI{0C${2^Pf+cjtQJSxzv_>hfO zF7re6@dcRfDOU~Gjt2L1qv^;e4T7UBKYaOOETaILp<6Fq!Sa=L)*nxcw|7J=lA*NS zdS40ffaxKi4Glp?L>Fzz(GMDguL*FSG$%~}y$a%y$|+_{a=G)vkvu`tlzTK^i6)Uz zdQUp|D3r|M&Y=^bpa7IRUTrslV6{&G`%4m{o-X{Sq8GHB5BHPbKZq~JJp9G*{mn#p z`Qskbi@XITL=q4}LXQm*hT?6~Wv)x}*LOZxbw6N$di~sGC!7r6XSO+Jkv47JI?JPp z1HIMw;o1=f?C2WcJuHOD1I1jLcsV;T_)gK$7Z&Qq@>bxyaRoYjZ-ZWSZ`#X<&xjQ? z;hTN!)*u~^nHEJIyx)}io@W$7TB0F8oTs^*E$g^-U3J&+0~ucY5T$nr-LfT((Fhh$ zTj6f3hVv7(zvuvwpssQMxm)p4BfBPmgcR=8EXARyOtY0;6cvH5+-gtO89b2v_r~W0 zg4u5BDiP?Pr6963%G9<-YBP83-nwz@y$k9-cKXh|^eOs_5Vt)fK71F8+Z?isS@n1?JsZUY|w1|>lJGImg)QB7NuY;c^I6vs7 z10g*&ICpUGNjh9tn=Vv4@aaDr^hLpd%cle}B2(QWO8!^bZHFZTvK?)Y)~?4YnqOsa zoj?ksG?H1hY{s=FuhM52&}>Ux!6@J5trq+GM9*(D$wo8YGp`yt10TOIEHwQ_Zbg}W zW(!2hTJScyP`bY4J=bEC!2RN)yK4T%tV(MBN)?pBWoaih_Z2>(T$5=4m>e7UUjUv! zVZZf`b20Z(&+^I2WaT2cZO^-;5JsFbt&dX7%w2Tf8N+c-={GLUZ=%RDd#N@h>R3&* z>RYkhNv(xJOaOoLmQlU(Z>F8M(|>HbwHlK?`@M9}rpa>Iv#}koeG9i<)-KUME_Sf; z@A*YWR9E`JwN1DBDlQAeQHQi)?{?QxXXc14u1wMED|9VivgYL{jgTD~HU;4eu;3hz ze_`&bEAymu8~kwPq2#_wXv$mHx7+wCOv7vtJb%smY}ngX`4oOk9`Ua+`}7MlZ^$*Q zrvDDAUR1A-A6a+Ka>8uw{#G~`S$eCiofJH&@VMBof{~AcuuBm+wIB<`9OFaxyjq(| zhflY{gdh^@OFk>V`(cM96jO;~F9UJ&sAXoflQ8Pa+N)gy!;gU?zv^SF$SsYfcK7@? zasGnC^`9Isuv_D6{pp~e2tQd%D`-xpvl98XOh{i}&z(Ojb&cJ3+t+YoBEx&F+>kfl zzr(}hK%jt^S5K~O`}==?H8sgx+|79JH7O!dsF>7OGIGI(znU`eb$^d6H41Fb-e0*P-sa{@?dT$)AIo$! zgeLC5DwXSm#!HvAufRVBwqI*xnC|^nrPR^h`HSD1#4wn5$kPf;0v6GI{`%MLwqLX- zf+56{Y5(P|*zF7eNUnWfu5L_f^r8o+;W2lR-615);JxD;pf7jw`<6SptQz2CGkh0c zUkEjo0Vb0Ha!5gkH$_Q&N0Scpzaz{NWh=fe2V}6K(nR1@*hCjtU*r6SVgFEKwI6!n z?Kg19?*0AO3A%xNYZVCs)C#2?98T-_a0RL7SE{r+r2xf{Awz>_w7zymI^nha_^_Q2 z60R2THkiec4Pr6!Org;uwZ}~>KH;%Yz)d*KX06C-Hs`K0{XU=nu%L&B>78ot0V2`L zdx*9XBpJ()s~_VhSKAD~7IU$ZJNX^NFT*aIV58Winyoe~cCgD!nV3EgCO31uSWTNA z)www0NjdfSoINKwk?v3#Bn3J4tNEQIMQXD(euTR7!wNbvz6n2f=DolmY{<+c?tygW zV)~wQ?PVRB=ZM1Fj&IU@0GP7ZmDZV(H6nFvog_7=l;3T~VJ8pl=ElGstAZE-eazID zi>r-ecHBGicKIer&385}O#tk*R$fWDXu*%^*A4mD!H??2v{4SyALh z_WylqGI_PCc&q+h3%warTTcvr#n7i=Aa^IfO`46;ZKNF~eWVU>wJXimJz;F6+%J}- zLe{b$dPUJb4Z}tZ8@Lkc)i;8a*?njliZQRn&zuBdn&xgtv;J_$1t=N zy>hfko{1wxxeVK<1EcO2Hn7GPW^Y=@&t|e#h5FrSiBcL1&Ok^uxa#Y<`nD2pYkBz| zr^){|%vOwcGiM=2qpw#$jsfFxri1sH6S@@2EwW?nCiyxAcD-(jy=>I`Oy*RngfIoI z)zr5C{yTdl;T2WJVtNZXX`z<-OD+&m-*+$NcYR|kceb&WRF4Q?uP1kFZDu=>T;2WS zs;gJxKVo^8594sQ{`Z?J_9v4)_fB8kA-@kS!sjb<1LmcGs;ILFLCi?5P6>6Fq>0$F zvPVVQ`adhhUQO(Xq(t{IHzT_n3k%k(q$?cCC*+R2>?o*L02IIevp?4ppSk<0KKg0i zvsT?@-QN4$9<78UWKN2eMm^qr4}P{~)J@bP;7+QUzu(hT`+J_x<7I0IUH z%bV}^m;c$tUOJe^d;H)2PYxnEPF`$?^_2Nk+y05<3&J&c>lCy!XSmPp380uzoId~f z*jgfRNH=W+v&%4CwTa4`FHde6tsBx_|3%J;4`TiGyVm%{!uzxN{O9+Xi#B?42?|$_ znSBYe58l83^x}3=Jdj^E|7TMWLC}Rjpp1zDejOxO%R{ysfBuU9lZ^05iv+n|YiXw2 zX{Z0eejfl2Cqct+RROV-YC#-;?2mC_{GZYR3V=?*eSJf74y{9H@C@t5dkY~TQL^~g zzTV&Ce{Hz`{Y3{wU_3Pwf#zcD2$SOOUy47gsfKcx z+JE%>&_n&GR!|jG=>OAAe4#Qz0>nIMenL;p{wb~Z5$zoX07cdC_`Jp1~8 zFSJ2@P}b@Ce_r z(i7qv&waBH4xzMqZLH;mdOApxH!H^sFY<0ZqNz}f6)Y*##y{=ofN zq&KR`hQtr{ZN8mW!SQyJZfLQ0UP61RlbLUQ)u>rjB|1M(p}F(7mldv2IzF4Y3p%)y zl3MGw9ksHoEwS;XAlm)0|D-DqR9Kxl>yJ5?_n#}>LJyc;-mWz`S#n4ZAy%*cY7Fy+ zhrDlk5VPJ~$uZGeSt!V_JZCXiq}CgbT$NrY%fc7~oZ9!lU-w4a8|V3K+4lFfNeoc^ zGk>Uy%0doP7d!IRILsRyIW1D22>SS3o+~MfNtuwfIGT(1$tgDz=R({gLvP(b5pWLHkJY&WBs8 zruuFWzy%$(K1P3}+<(v8j!rPQA}pMXd+qXQQPau!4tokKH3jRDZ{9AmiKbY2a;;;? zvFJxHTl!%|bl&V2(=PLfzu^grq2jt3d;HcMJhZHlg00m=6|D~t_c~BYZRSv2s z6}Qiic!#8Z|I6sbe!uVl!T8PKQRNE?!{-0ki>bUKlvq)_Ft0Tg^ZskIfrSC6@3()0EI&ZTRy9IF^{Vl%2~H~H8~81hz^iHXx5M^h#>e9*ODy)>(7~sYzE|kU zkgr!Y_-P7wSWIjI#7`4XHZ@b)|XpNYFsW_Rr!Yom|5bv{p8rl$PBO+kC28 zcow=O7+3bbPEN$cY?Ym%rh#~E=Qfa0)7h3QJeHKLJGM!;`*&yKe{(>aEzcFU7dMHp z44U2Vv{>LucM!0z%IJ0{t=VpcZDCz?^5OC?ch*+>%O>{5>ho0~uH+}Ai)bFV!op=O zrz@q^n%!$l0^CD>zaClt5$_0K5#IKFTlts2eIdiG!(UcvJE?GNwt{skrJK=Y7q_cDqs6qK)Ob(sONNeV%LzX?343P3YEqiQBll z>3A8M(eK(L2+03uS8wgK7G&LD@IE~O+h3LOnXX~nQHM9U890L40an~|Ec{K| zdM6`sdXAj7Wz09MtK=CimeV zKp4L|+I_y$AzDYqnZM-DSv=yT_iBk+9LaUtEy|w9mPr)|U}kP$eF071tx425OJQ@1bfn(gH)aR&lsyO)frzjCh4!;fV;;rFk|QC&Bx z^ZEaL&aWJNGxaz zi2+r%1`Gb*{BXn&fn_tJdQQY&^coQTC;4+n+jZ|{`04iF43OY{*G)hF!k>ANu{@|L5B`Zn)0I-}E#)bcPGY?(YVq@pgV+@aDg3i(C8yjx)NMFV7O%z3-A%f7|@BANdL;-uv&gZUn5( zPci*rLO>k)r&j6w{r{*>1V60*`qv~-{!ru$I%nRP7FtR!1grRIN%|rr+M<~|yY8xz zglT%?mEGhGhxaV~L{)o_{e0h=$NA}XM0NXZ-`5)V}>x?*lL`OI6!y=^K5Q!yPnk)WS z7E&je|i}R)JmqmPnk**~qjeas~wM{4x^vBvfaDjo3Ek~dnX@{6x|TaYck3s<#V$nq2A z7iJPad>_O)*tfcJMkXSbp$OZDW%w5rtWRYv#^BG@{*)Z5bG}hZimyBAP{G$Sa`qMV zAo$i#S@5q$gfIw%`(fU4BrwaNmuW2cNV9gI!|y9GA19zezz_cFPyXq=+kX+Rn9UuHEXebuSQK?-A_ftXXYKf*YB+ z&fyxJmx{qvP}wS1AS4<%%D}#nNWrS z`J2?=_YI-#Q#kI4IJU^YHBHd``o_%)N&yM2M(O_BJ-Pg!e?qtc>Hq#2?h;Sh=TA;5 z+fI3ld1jQlDN66%w2kniayW}XqNrb=lL~N_057DaZ~XZ5PG_QSD#mqx!-qDc?4A9? z%6JyyUaiYs=w2oLa6`-Q>~!^>XT z4C@H(2hY{h_rG9TLzLIC^u zH~;(y2}qz#+7DO-DE?Iy1~#-RA)xM93ZpWz2%S_4&5#ItuiU;0J;UsBV8idN^Y&dp z6d7imPNO}sq#iV-gGql+{I-J|4m+x}1w>&KikKA_jZlz!@5h!b#6o*?;L~<-U$iyY zZ-W!a7k?xM%0=6^4Ovh+RpWE^F27-t2>=+avQsfU-{0WdxeYcNZX!$jF0YsY!Krar z5hgIyX*fFu=GD~g6uZdybIacrr9ok?oEI?G=!f&S0g?|0dUyK&;DCSU{l*#_t}BEh zl6X`AXo?!f6;*eFqFpLWgw92-odrj{O0Wlry)_1zR4BQ6OR)#oZ0`w=q(1Fbp*QD| zN|{h>7xk@w|JZ?oa2{qZpXv|Cxwr@LION_iad1s%ieBH$X9M-x*!auEdj zaXp4d{4E*?){Cgy?W$?pPTwOH?W)9KAoS`C@dSdtq5r;L+9hE{LW=*pzWKcKF@-uH zfPyl_9ms1%={wzR)MWx5;GPSCv(2E`e0rmoT-z8J5@QiO4cE#10cXELh$brHaY-bSk)o#rN zb_c3L!{tS0<~M*Lvk$29tyep$A*`+Z+~Ka-6W44h8HOrcDdX? zQp5Ep`&_VjBU9rLgT9pxRi>&?gMi5W=zrEWe3eTm`VuJ=-5I*w8vj&uq~`AKRcn>` z;2e4|foYHaD?P*TgytKrll?61bm?Q<{U3%uHhV~wp#Bc}23fxzPHYSRi(;avqU!9V z?=^6_U+p6Og+df$K}L5Db_%6RFJIScky4csS8iubH}|O(LCSgBKXmtK>IJgf_EmbK zj>zY|%9^5kR~%cNtMlZyWDn+Lb^9`b`Cs{7EF+|lhrxx z^l!Y593%xf%@7ckdZjuq$0{7g8kG6g;f$xbE(eV`L|04xSllH!43n zw%Tbb1uz1tRD7GyveCBB-ae_PKR>T26OnFCOI%tIUEF_j7{w=SYZ~yXgpn%Q_w{S6K!Fs!|w}5bj zNdFw-a+{<@a0#Uc`TUOV)YulMh~_q^>am2M`sm1lM_#oYu5d72gMo!>0L%N0y7zmo zz2Dw59mx@w-xi9|QNJ(6F##3z&;92lHhG~Z1m<|}|Ly-z@&bcD8m0a=xvrE$7Q5zd zMyoUa3CT2UpI}0lW$%WIQ0Mo{@pta=q#8b{m#_4htaJl>c2wQpG%`x@)-iQ2=vS9F zj{Yp(>4wrDB(K=oK9$xp5UT#`#W5*;$KCZQAJ_#0fKf}<-x{S?OHAWbEnghPW}lx0 z<#An>UUd@%rIUf;w?Yz%dr1`OL}^z4P^4joeyzBmCj{|1XFj&_<{_@dUb55^yFm%}c32t$u(U>&K4v zd9zEGJI8bJm-Dk;Y^8<1-hd3v-~*;$hb@1G&pTZHA$|q+UsT!C=~Pmmz?4{mI)?qt zhQ~?%jDJFyM<@sX`oja5Py}M6z~?Q~=$rIYKLSe1Msh@Z2)C<7?#;Zc6B2gq$u}q0 z?%!mQVAm2MTHQ~{cxx1?2HyE9t;CuEbd!VD&E>#7JCXFAY*n>Eni09j9_@!CExQo8 zc{#Z1k5Watm8rP7Ro)MpgfI)&?Re$WJT*Uke;SXR(f6;)=BEqPw`rP`Dv7r=nj<8H zV(Cw}EKI%VRS^Y9wzpQk7d}?>9HQDYbtW9AdgQ-&rJW9rsml3e8CB^QY1&vG znuQg9zmt1*WDneKS8PRW=-Y)l96j8Vns)RDa_ok0y=pmB z-e%*)ik#~e+N;{Athjuy=tBVKwNvZ%zx(aN3IJ!{=R$Xn z%T>0CqOVhJ+MF$f+zEDfNmf-fgG|M1B9 zGXLdT3_36hI#&{|2ofiw8#yL}MkL5BX7eEFzPL2pkbESALg&K2%Z2ekkYhFLU148= zDlov$Ocfzo2dCS8!05Ms)}Ld_`tS>y)Nm2A`i)d`Q>cVedG3E=c{4o3fdgRaV^Ts5 zM53s;=3Jh5LJ5L|2+Af-4VMv(d+DPE<>Jcm<5PRpPQF6nR{YYd^YX6hp$|g=6Z$jE z15|`Ld-%rtIDZH(x@%yxpwnd>Y85jGpyw$*_%c5K98ZJc-w0Hdpr|S`k;e+26(EvF z&+`PRuvq?Ph3prfz67HtO#9vZ76D%0kIM*tD;>YP@EI`7k}Y4F{|*80T1+erYCtl~ z+66rs16uq^*p@U1c5a0KzeHdRtLgf1IfMYVWoPUCVMY@G3%Zk=t^dEXO?c+7 z$)_(4~3> z%cSZGRJi8T&sIfph1q(E>oWb5m2)+D#GTj-s^4g3MXNs$u-I*|YpA*sX;Je{xf7MI zvWstnTLB*OS9mC`li8A1U17YOrcRwQ{Xy?Fd|a9+d(<)FX+p{B z6LV+3@fkWi^zYX|yy2sMvTsVO^sRkF{(hffo88C=fuV}`#9Kx>`z4be+Hn6F&Cp$4 zq;k`aZzoGJf*E?Bhf%pZo69PskvCqrR{7uwKj${Yb-zbIG%*qbHXA3YJ_m1Ptp7@> z4ILzvyS+``%9EoqBcGlec)e;kZyv{lbk-^)~#z;$7m197r;8dbUY?c~<2Y zChhQx4Kyi$5dg_8lA8EG#n}75vS+(q|C6SjyX9;$z>8}cJMtm@B%i5L6mSfzuJylH zgYQ>Aw%(e4gfJH#fME{ax^(%w)FFTf9qPJ!6RG+8sQ$6p+5f^A0Uf*YN2}w(e|qV! zC$1525$kB4d*8dBnzF1v{&o`-^09yMu!;G5{(7BGrz2=ugF2wU_npJfBNQRWuOk>y zkOH1P-xUA7|LL3(>l|}2BU@>S8F#n#hxCU9NfnBUQtvYypRCZhz%A52Gm!bv>UeHs zV6od}=Y8*UqCDoYnw;_2k&S$vxwA2$M(luY&~s+#6I^xX?nBQuO{CVd5Oj9Hgm9Ej zPg^;l-G{ff+mvYYHy6~O_09EYGL=sAao9dCD_#ysxjnK7B{ViRFtyoP+q}0hAOH=J z0RRGVl7nw>Pt2(0&*LL)kMvK*%)_3JUQ%3)!CISpD1@mak;?aIgD*wdH90MYy% z92`UY*WI`H-_uYh;HU{XDe~%9KHSQwq@tH-Sn?lW8XQrkvF&Qw9XBGOCQ#1(aX!0* zIpaNt-wEsg$N$^J@Mh9!~pRH2LH7`DBFfAs)8wf7jFb zBMV3qUaSAN-{0yvg#dQs_vhw(ci9Yb<`9HH7nR7)a^C*z@An+lH57A8vdPsyX9&0g z3;y6Wza*_^zB?GknrO%ugh-?oO*z3(w@6l`6?zVYWK@i)!PR{P_aw^di= z<<8B*g0IS}mh|cf;1IeU-93Ny-&vrOfO3mIH5d?%JQBw(-8lKAUCn zyvuxLLKp#W=au^}|C)T=T~#ls*;K?{5WpX)E~=Nc9r#PV%kRZ&68f2J@|L7`cM;R_ zddIT}(e>0VZvI@bh6CP8{@;_PWS`qTB>a0%rL6aNt?u4dN0iGb+Q!y#(o|{gmETG2 z2LU4|_6zQKeza^)yDf^pC{kDN@lHi8Ne9i6uewqqM!B;(fgz_Ys>5Kq=N`Jdd`=b> z)K96cCD?NqLjb`p%Fasa^Y%!|oSgl>G0yI2NO5;Lwa#(bM>u$D1UUUB+3v36_^{;@ z`bEgy3ao}(LGDP)Z;baxZOQs&>M!%CdVzb6jtBe+g<$0+q2GCqEqberuQyepI4MAfD2V$Z-4sBZ2i1`Pq$8?3(8xQv#t@qIH&)YfA8e_ zf8@4z9dgpCne8`-9_(DVYWRWsBbb01&p3*U@TG^s$Y?nG{r~Izv91|@Dgghs*o_x* zFX8wiyT6$Vd0Y3mtO!_+Ft%^$%t%N5Iuvk5`&|E*{)-rvM$&*|0$*u&@eDuoY5Vu2 zZGVS`geE`6%gSngBM5k_e9Tx$=Qz?oO?*ZuASe#@$U?sT_upR+lCK3u9`QW>pA8hk z8I*HB_OB4M7uQ+09&}7p$64cqd9C&7Tq0y(zyL%b4zC*SSN7oPaBz2n8=x6U^}Xv$ zjH)*V;m#W#?AyaK0HK~b*;wf3@5LTplYbw^Bz6>V11nu`9)C`?`^2~qIi2jx-v>x>H$RD-LHSr^N3Nv1{#+8n_y*kxxe*HpUF^K5&~a6+5KO-#od0jl!?nHj!w`-8l`n? zlzd3~jyfr9DC8?v<+Ixm9~S^hseHVWsqrr_Khu?)t+b2Z5^J8}oX+xGn;|>0#qM8Z z-20ll0lUrPHsto}S3twVzPspKDEZs2?3(Y}ou0^9P`9?=ox;hExn1{UPL5^n^4zXl z=XdvX^~(t(Ydn^*@Y1ZOB{>}Rb$sOKBC=0#H+UAz+m$Kq!*Tl~#yR#T^>e-;Ms$_? zbWa;C##ULah4+l9%Qgfvcb-k}YO@|_8Z*)xwMH{0R65dxFc1x@yLm>1?Boyo4X>wH z{&n-tbs~2nXBHi~vEedk-e$&C6)XK@|LKoc`!Ask0_2n#d9>3n_S@BA zM*!5W1FL;oZ$FdA?+9QP-mS^I;<-9%k4D-5+X!H5UB9xr*H68*g>Vo*GyXJFpVzbh z^$1`Ss;R|Y8)u|yf3Mr!RkAPm%fEE*{v%Dj7-le~z2Nu$geF zz}9SyHGad%^4fCvorpfkDYbivnK{L))n99`SzsFNV7F$F6n2z&XXxeZ+PCzx7>eUD0ro z*B24N>2lG1*l&oU0_V!p`Tlg%1+lDh2z=c@niVWV?=OfTvhDvfhx|M5+|b$nUvY8) zJu+nwd;6E%Do6lBGHtY@GXMSz6XzW;av~?bz6Aw|U>^^n$xzRWho-qm)ObAjd7#TR zC$?$}YztNE^@{rHhM4^mQ|T^IeKTDRw%zMn^IoU=(uzQa{@S~y;+9eT^@$zwsBNC$DQ7%a* zoRFMSXg}{FljNP+gqP~(=8bc3!;1y-aUQR~7jRX|;7dV+wbxmn@6 z?M+Zldu_$;&5?~rHKA-<*4k4M9$8_>w`47nO^KlqBDa>kLaGt2_2;3SzTfq1R$b3l(YAxNYne_?QoA}`q{f*f zZdF`CRY}yWOoeBhrGzj9Jie;k^Zb(iSAhwNgJ&mesWdY8+%K_>=F^&({N=;;Ez80e zaHC$y9~N?==}B?hmh}TvuLxivAKa()>uj{Gmd!mnl}T~cl7z@4MLD(J;qdgvbB?8! z%O22*6Z|QFZS9+B!jJWQf3t3yH#O#s*#L&s*=fHW?`-}*heozaljn0ugdLo_(jCOr z5^XwSt&A7(%r;JD)ZpEcjIyY{z>igVy78U0nN5W&H8UUC@bzK2%^DEEQ(BjDOz%uw z+4bSG?xV(PF3EOrr!7X?9Nd;?t#auv)noi{(KSZqU@xHZ?25U6M;Q>APde?5dQss^ zws9;a8_So;2Z>w?-R-#C+#8EL*{Sc4zeOLxk&VW@ zO0?gh1pso*`svC3UY>uvdVoFn;~#$d{|G1nG^_jbz1MBIJfD#>*5%2?)9TXHL!7vM zBkIYsdz5DxIl5Se2i>JjDdptbUcF~3I0L+<1AMqE)m@YA!Z-v#Nm7F*59H!GLioEiTKRB7b`yQ0=BfUtgc=Ryfin922K9%wf7ft z?l(FriQ#*(-#1}=gR2deEc8z5j*?VT;& z-H0b7nZ|nx))0*iDWCqF{X))a|N7U)|KDgcH}vB*1ybqc(Ua=?xv&q;R>a_2e~EjM z6`lD_o;;%C%76KV%Ad`_qybU>ntP7pPGWUKb6Z5pZFDzZw@#RQ*ZD8*^`Cq#r@@np zD&p_HG=jq~4WYI6+lVA-dL)wR|Lnlt(4gRl+m|Qe;uDJl(~=Wu|SvMkuMf4f`)Xn>edDULp!k6T9R@rn&yU0pKwvk>9YK+A~0^{)r) z#EjHrDgMp&aIoRIe7Y}e3M^BL*R7x<&ZFcUQ)99Y*;llq%MctIVSdMzyU0ST$9Tk zYrB@D?Co+ksrQ!B3)S$?v0@%btNs@%jC8Uj5YQ+aknY%Cz2xNekH@I3(3}+n3pbNM zyhHI{xBu8cMkY3tQ;toqXMcql@B^yt%DTm&YdxZlle!n*A?V5LmoI9QE#qBMWp+X)%gej15h5?6{Pp==Eq~hv2`>7u6 zR?f}ZrVn`it(y`@rkWtY3W%SNd*~FvKr+7F|DNc!=D5p4nhSX zPgNfA{AyhBKHHSRc|6`Pqm}O|YrynslV`rG^xw&%A6r7M03bVm{Gztn zpQrWv*8jc8;tHP9lUGukDvp{>!U_P!tvFTtH?F(=Q~5$Xsxeim`rT9de9Dxx{@r%z z7uUfOB^y8(zSX^JHY+!s-0~s4)2dVH^Taq$wg=N=_Zd*5fR8;KJznqj*HV4qECGj- z^AKWupI0aQeBTdpgTqFDFHE+#aai?MW=NshqK-1w)#Xp;m2)6ZcA_ljDt_>}+}qKt#20|yI+w4<=o z2R8hv@!Zzw=5iGoOeYgC|5~M0Krj z2}!1cw!^C(tkC719L*uNI|t$?3nuITP2)W3j$e7^@o{@;c6)DKKNB#EmxydCHZ9=y z)7K;$vD}xulGCS8cRjv3OugI{h5e)S&xc!O=_Ce(jinsP@0f= zPF|o{jtbk^uLYRemP^waRk4^iV6bmBQ`>G$^ce|V&_eb18CX$KM*F@5rMA5O4Ax+4 z{2>XteDvB-RAmHs8jPOza`t-*k^mI+wH{u}|Nr=hL$T%Y2y|D8G*^pc`Fde=Kmd%@ zw3Ar>>8dT(f}ZLB{YLeQ2zS~Vteh$}?!@+qe*g4D#hpQY{kgCTI#%1~=JHI+{YAB^ zdgdckogZV$7Y$W~oFuW*;389tZF*}{jR|o#bz<-u%oLhqJ)7tZG(#dS)L{)$FKK4A zK$81l2h(fugpF*1MO>=iV<0tgL3xb&-2N%a!Aegwq(Hlmo?!qC`WuOBpCE9aI1 zdfa$Z01j8UzD8dDT{Gm0)$y0s zOTx?EMRL8A5X-vjgpNh6a=k55JB*3_@xLGUyTB9}@10^C&-YVxYw(+;gZ#Ja!ng+C z>B2tMw%Ix3TYPa>O&-zbWma+~EBIBL&nDfh+T~idg3c5a>rnCP0GTA~4)FI>O`g+o z+UA6^R?q5Fz0$;v zD<)~E2^R?roxCqwn9ZQot(mpIyE9+vo*bQe|*Ek_ZCJ~pT+We@vK2njBv4BS6}=&q2#|jI6MlX$D!+0-u~F{{$Kn$ z1|IvzXx^s3|NhP*zc<&Fn`O|mhNZvf`}MulW2^im;BI86{g|@mO z!Dk=+4inxxk)lg~E;cg1!wL{JRU_5sKUM#U@8CDjzl4~8G33wxo(gzM2w^7%BTP|I zO>)9mPHzi15)C`jDlei`OSY+IhP&Zy%m4cCbH%k}zY8deh1cs|@mzJSYh88z(y9I1 z!8UmBz5W9Jntl8JzJ}R$i!6Qoxu5|`-8OtJFfA-U{`(`KQ|!JHa50)^qI6H_sIm!S zoIb2$@5MOVdMK*3_*p}wkk_=lor!MokFXm^yq@FFQY7Gr$3yR0a!hy1Xsex3~W z4cuM#2|HV4u96aeQ~sa#zh;2S?ZP|aS7O~o=j-+_JS`CSM=m)(wse~*Zc%!@xLdo= zxh;=Wt<9Idb2V15139fY^2eF6c*@G~yLGtzNlWJFI7zQ={NmY14tq*;j_)Ru?$dsE zy9RdFPd*Ih6+he=v&WWGkqhX9z0+HKPL&nJTy#R}tCHoFArj4w?+DveS9FhfU*K!4 zaBCJX>T-L1>fB0sz94b3+p@fhNK$fo(zv#N#tGPgK8qvl5Am?;KD5bSCw6@AGThR( zBe57iYcHDqk@Vgsgd7Am-lXq4zTIO)N!PR1LQ;*Hv%M2VWOw#mkEvN%l#yG7llh^R zcaEOUXr`#{lC544pLe)Z0Qq*+RR4GV(4GL>_O|c-|4@T~jh0|XFUj3IZrY~^;0%vd zHtzQkg?~S8M6xWUt8TYe`jg9nMO)DNdBXj;r2Y<0KqEiFP0znt zg%k=$+1*9~@hr;y1m>Med_VuNf!^vOk7|Ns8tnC1)#FhPP{>d1ivyGtt>*yeF`~K9ZTtlAkfwCVP733@5z_}r$X;uHYx*3Ix znW00-X?WnrFCU*JwfdECs(fnx<8@oX$!4do;VB>Y|G=|5X;4YTIrsm&)0v?sA3?9y zzklwF@Fh~Nplu%xPZ2y97PFe;89i(nKCNgG{`dO0A%JP4BQ3H6X!^>roV5Vy6XTpK z8Ir29agoms!`?>s;ltbw(w{6=3-53Lyigpoe17Hs@7AjSnUD--o<9%6%V3{ZP59^k z|9+|p<`6oADPT^5LF@xYEi`ZpyPmeyGpIA3y4j#v$tuFUDhmw~dGh_lI3eiK$v5Rq9^oQm-Co@T&4cE*Cq+)2mubr3KCFvvQWNq` z_t4xd-9PQExVcUl7g0M{Qmy_zt>GL3E=zB7@6^wHw0&%~rfRZ{tNhCKDN*iEUBoai z6Qt=saz$2PKV57((}%ms+q2Qu_cuVOuMX?N#1DM&Pvh5$-`j-sfe1=oMu&Tz2Xzzr zo6)*4k~fJOl2mb+Pu}I%%%4Q@)nPYR5Iz-pqS%~y*rMYCSRm2+m1dt&^_waB@Axa>k16is?x{-8Gvn+czqc4d+^k#65DqZePV$UWITFmw)Ffdu`MI z>{^i);Mh?&pJQ_KVtr=D(Fp!(D>KL+D!kqwsK+aNK_1<%`yg5pC*0h2<@ta7su%+f zmoFac@uhqgZf^Y64t@cEE(vbT{`fh5)%{b4|Nnqs(B_`+1HEZRa_Rs7`tNfn%?!sG zy$WXCCtIyOcVGYL{?$IjtZ}9oz$`queiil~0 zqd?h41`rp$mR<*+1;l;{`*7fPgi3;^p0_oyc_&_fQ-&( z`h1~pr&;&^;YN{3)+KnXR`TRa)V=NLHMGnq0W%WbKn-7S8lnCvu5fm)XpYca ziy}D1Ou6fFqn~Dpf5^StKK;nH+f1olxpHGH9XnEKFe>rxx=)<0PspNMq>5-OH(qAU zBj00`?hwEiT|EDg&xP+zT$k97*boUEN%|6c_{ySt7KfIpi4Z|R12gL1_4t*4J@TiY zB42#eC29m@44rvcl4%#ln?9SVW+^qZnrU$_O_>(8EVV2%HKo)S5(TDGOK>R*O%$22 zRjn*ZNzH6=$rpiA(LjN*$qLOZ^@Ut1LrYLm6p{VoPvE+^-V2`RIp_JE`ZkfK6oqnLw zFyy^g&r_R!c=Cdu){)aNQB)yH?06lq>9bX3as67~BW2k5;YOX!-`g+sC8YF=S)}l! z$!g_xGu!&%W>9S}D||#KZu@X`k-NI9!xx$&FMJm_-7$}PRWUiWW+Ni=URU!=rRUe> z%WoZBOjdU!8{QDf6z=KyuWOcC{ILA#Jv3-^(w@C#cI_6+Q2vTVd3*Tokwn$$4u|9i z4ZSZmox!||%qc#}UejIb^HF)PTQk0jIkITob(@O$`v>5q>#qAhgrkY^2A(Z8sF2o` zTh&&qH^i^BGABS`9KFyq=}x9v2q*F(>)v-iA%bU8hsw!&Of%8_b`w3WcQnVp9>^en z583~-2X)*ya5!6vKl>|Rb79_4ZfMf{A`_hn*|+v5&`Ua3m&Mkhb3qydtllxTyKn&kxr?zF=va^Zu91s=wwNFNW;@BTUCvCY#<37;Gdr zG0?u_A42xo&=ux$Jq=DK`4>wr8q!jRlBCH;PhH$RewG_-d$#7=Zr^*HrDXU&mV^Am zwadSu;(CJ%zW#h22Tl zYzsdUzO+h8ZhQY4e3POJbJ4$_bK?H8ND_HMb>2}B0p?H#-_+m#{PFDnLh0EEp zu@fozCggyBZgqCnQt< zVBw;B%%RA?-ZQjPR{#T25$D)TQZu3dW^{@Gxh)f(Wm)VFv4_P)== zwFDMnqCE~$xaGmpm1noV?TLHej(|5eDSMs%w%^#?tkmdJCnx>_ty8WR@1BP`%cWNT z+VcDNeAz=Z=)brxLTW!)sH(|5dgoNg0pS)h%zUA=S!yFc?^!L(L zouw&1y%MWJK~mQUHlz8_+Y+2x(&x_++|wUN-xSq2zSaWV*_P|&DK5|VOuAc~#VVir z?^~u#t7sN$vf$OrpPISyQWfX5OckYZW@K^t-*0IoLjs;w%>MmuMvK4eqpT@wkUu== zrr>?;VGUuRvHxP7&Xfl_w9r>#4t}Y5cp-5w1^xtRiSyVn7 zBUk&h;9bSJl-vFxg&xjS!H&~W?_C1YvafgvUMg8Pm@#@y!u?+d(-PlxZXWyHX{xaZ z%2a1n5!reC?arcppBz;Tv-Wz0pLFWjN0w8A^rwxa{!^P@>ka-nWB1;?&K!GNKDjPr z>C+#V52dL1)dCS1-!=Y*IAeF_ZCy{!7=<%nu>Xi_u=V?4$MUD66d;O+2Hw7Kcrm0 z?E&x47p(&OV--n92#W`%Z938-?y$~xga?uZg0WwZJ_cuI?|&_f-RaR9!0<28*6bNS z8B?Ur$T<0yw?scR{g^pee#&Ei=FO{C-pYo`sp$NbJ4>19dt$#eX3YL`$M5xBGGqh> z&Kk^w2|)@@y7r2(A##0iLRiJ6>cln|(NS*6=YtaK=MEz^-+vE%vOE5V%BMDzlbDUoBkEzn$t1HMyuf(5w^P`PIm6+)Ml^$5amEXU zB)j?@|3uS&uz)|X0WF%^W>I*Z&!_Fsi>cR#U1+U_?`D52PyKBbi~lC6eD(a)s-u>_ zf7D8e$4F)FX&954b-;lG0m~1Wd;Z3H%8`sU|&Pt6kj39+SAPycx=8S?E1?4pjKe^@kgN}9AFEZ!_ zk=C}mf8QN1Mi2Sr(%$TNfm}4u_~Yw67mOKmeamhCULy~|_i7~La>2lEOyt4ZWn*n+ zLpITN-^CqWsx!d8!UuBe)8k^phwN-=Alc}&?C>HitFKZkEfr>UxyH4Y|iYIJYeB%ar++{xgieXQPNg z9AAibo?5ZloQJ5!IEl8AGrj~YIy5yqp}ac8a!O2>4klz-Q0b4@U1zSw%8e z#fk5g9|z5k?tAf*OsPoGML8tN*WoWw?nt9dOBP)hu;i+KZKOGAmVc}e^W4+^8B6BW zBvTDPivB@NCfn*K#bZ7t9*UTaX_D8EJzer^xs#gF)-n$fiMhLM`NV**8d7{onLLD8 zWIg%;9u;EWgmv@&)Ij?;i1INC0Pk~$#sm)Q_u8QNDZHsSEet))(Q*JZ3eG)FX^D!? zJt8smK7b$3RvxWbaj7`+*=--+t`V_1m|||-ociDIPFsKOQE$2eMc%*v^{@NhwvQ}) zkuN;a6wl?k`TO5(jOb63rm*wg@JaRQTE*f!Mb2c4bhXNIvOfAYuBhuyauu!VN1!!8 zoib_n8(TO{8ZxGlw8PwS`#yAF{_FjSD@FRjUEaTs1vm{PlO4O7|9oz;H&MU4?^I(I z#_SK+-BG=BYw*A|LuPvW%71@WVrFIuUI|Um_HbX4w`BO>k*FNY*VfVWg`-x#wVeN- zdR^~>h8!z5VVydC`P*05uNtsc|H=wS`cvjEuaLY8l8D&Sjn39{EiW{u2!2NECN_J+ zkAEyaGW5oo_v=+tN0Hyz7&rE|eI>7ADPl(ViRA^bBW(0c%RuP$za?-&x|feQcYOJO zi=TJZcNW)M?7hB0UwL8nyF5R+bb>p~9 zPJmNWQWq>&1%OYFMl9qHwVn9oCnm$wS?uIgqpXfDS4a*V&B>5%BA(>dBC$2tiq}Wk z%_I>jJcC(b-ujD{NU8nyO={Ezw%`AS74bZ(95?AnwP>>5d-&mjdB@>satkE~El%=J+mW6c zRG;XywX9=}=3SSZc#wlUH#)S6wG}0dkFfdsZm&_h0dd|tHH=qkn*R7?Dhxl#Nf3r)pZg#I6g1+^r?K?O~x9$GJdlv0dCa-9w0_RmGeU9W2Kg^EO@q3Y~!AKp{WIkAR zc5$Fx>H&grd+N8@$Xz}&lmUaYgT%YnAB(W2Z;e0 zXCK!nc&m_|_Yc@TKYTA-bfbo3BG5Jb3+Gc7{iFBJ-%^)G;&DzaS=1y+&Q98M9_d=H!P<;N{QW!!YGir$scJf-XWAyUcy`qFvHl2u*Mxhj@Z(-gTDk-p2!!Im-} z*v?o0sk)J?QPiRS$LZ4{ zHMe0VFP-6p%CXhkrsAyY1Fe{M^FE-r_%YdzBkUAucx;*Y&c5r{nOXDiu5Y+U7FpO$ z|1_|CE0W)Qz4Kerd*`=i7gEQHE7?`(y9y>kw!!C<{JyS71;DD=fVBexp>KmxjE1l!CAJ9eQ!)+F1Qg zSZeP{T)%UEHnAFLk37`2 z%PWo&yU^Yo*>ZbBWa?}RdEafj5(0U|Dp%HevG7Uq;HQn=qglzn{{6c%d;Wj{CAer#jDXiaoexRsizK9Ja#$}(D$82>f-iWRvhEv?k&-4!i6wvU~X-04v2{4TmoO#ObOWa!X0A?X`jZFX&>gX^J$ zN3(y7JfS3lPn+9>U;MGTtIqy-S2-|lEFXlr*w*HogWI6~y)KMT@E?}uFCb5)mimD()~)VRB(&qXiL*20kKr=0p;;G`jOj7ANmsc@nEi))Fyi4vSUf3fK2 z$#+{h!58_=44dD4gKx^4-HW$xwtV@|hQYd$@O?t1r|im~t6w8#=a?aT%GE~1TkhZ^ zn{Mf<2zJ&^Wp91xlzrqOpYDx<#4&B=0cHJLn~ zcPZ3~na6zHegXN1NOWSK_Lgy-Rl;hGFPTB6r8QORmNxx6=L|;IRJ;)RZZ5a} zgHo$ZC{FBF-gw?H8awmqdPUR)sf{`j`%V2&=d792&)`+vb5Fv+i0!KR<1SryJAR5K z&6dt;;-$%grv4|$^A(Y6OIit;-$jRvDqp=b`2=Qr0IE+hpzK?}<|r)9ebrpQovoM{&*8iQU7%; zWh+;EWl6ZhTHNeC{#s-+Tg5h_D!=TriVSBrrMEnu9DVS$n&#Do^-k(PG~fMnkAI-- zO^X2;_ACtYKBNFs3i6YdKsHgTqHU9V*zQE})r5l)EAr1x3Wavxik_41mA1?fEmzBj zp6qw&w3wG1m~UI;6JjcI`D?XTj`tkb-O=KFEt2*uoWKfC&QIH1BCT0m$?Ab!o=6Bd zH-J-5v|{Sb-Sh>X<-e@}ewF5T8V+{;Ss>XP_q9B$!@IxUdkU7p*XFy`dwg!^H$o_$zDuwx{}~aate(L9Sc0XtDkNl3g!O**mIoYFRnWyhmY{R z1H9weriJf1Ia4-O7j`-3?LVu(o)bIBQ>zJL$0jFN(c7s2GbOQmX)ra1GnqWb80%b; zIgFuYMwE)vULqln=c}K1t(-m)gkk?X3feznW#&01z3wemJ5Ofz)3Te7aa_f}jQn{;5h{-$@)S zZg!!+e6VuNF*@gM6q8;ZC1H#unm;lpL^opO%@WIuNxKu;B^Ea`%J$>eD$1x$lcfFbVUA98{ufX+`9=+ai6xwybo=g1wgT0VT z$YWVMT1h{yKba#L>LoGCQl_RG4<_z+3z`TP{xZHtxTBPl&9kKXFiOw~PUz+qI1HIt z*fNMj5&)Q6lZqQSOhaQbu*jTh%QkcdH$v2lbV#m`rAtlObb(93bnBx8S|PE58gXRc=^+^EuKiedzgq*+Qg`4 zr`y&U&HOwQX(b^w83i)@_m`(1vP)WPMpg`gZM z2a4RVl|AJjvOS^n`jh|t`Brr1y}Sm-5o$^UD2!gwu44_4kV=xM zy@)0fyz&KqxR@WSILT6tffB4{YjGg#wc^$3odE|GuR@4Cu9PPd^7Y-Rk6SEog%zxL zZ|ka_yv*f648`cA6vUeg*y7TMcXmYAt2-aHi>ikWT8x-NK!?hvMk(I}2$kopKLR@x zkDNlwN72bbvElFhwc+NJuA1H3Tl#xsC}MgAMQh^V9E3p38fdPD%Sy7E|YLuw?J3J62VmFG)E7)Kk zw^W)HB~#=+z=pb{d(rt%=?wDYoTP4*SQ$1KI)ifd4HVrDbPc@Yy?9&qRBG+)vSyJ? z;y5m6ICVnXRz#Npt_h7}VzKAc-4O3sikvgi#EsJ&yCT;{vXdc+)b26z2(<1&g=qHt z`yvY8agQacyH*2}42g~rDombtm$y0HPDH>J4imfv>D3DluDsY<;09FT2E;QP{D6!! zNZs5tYw~!AWU;aAEz*`|&{b5RQM(8P4?f=tnGB~Pi2G|28tl(O^}Lwf;z#VqUN!`jfkhdvY|kH|bGMThI8y`ALRmq7c(6lh zI^WPaDpAFgPfFfvX7?y$eY>*vtZaW&YjsiL>nywhuj-97}+WYkgqwm-Djr;B8XNX7Xiy^BJ&l^5@e_Ugw* zDMFy_7ZPLIN_PY=%{rc+uUWs^(yQm6tw(Q0^HSW5ok63yvoRg;S4o=fx-M!)Ee|)$ zu|ih~$~)3iLaedE?}+vOUP86|TN$;^c6Yxt*gsM4vN&cyz1sI3J2~m#VQ0N>0es0~ zLZFg5!ofii4^$O9Yyy4tbx3q}5dYYoDE-n;but*^IZ||(<+-mM1VNRw3$K)Ru&RTU z4NIGNUWyIL^YPq4wOnCdA2!kgh*A~7k>0w36k&w}qKL};h%4`Q$IQmNBrD|~Gn9W; z$cRK$KbOHT$TM)1sJXmKv)E4k&M^qz$$`T9+@r=t;b=UcbvGc}=lEEuquoa@&!^(j zx9FjZwo<)|OdM+V=$Q{EFKW`J0)iz z=DtB0-3O&*Co6lO%Bh-3A{i^_(3H8)bJ4aqF=ehRjhBr|qWSW7KIk1r;}}|4YEfM} z^Z2`xo&9}`C|6g0PS;FV zdOE?8{IF`%sM%MVo9G#Wta~VZr?4)wZm#TmSy=uwFMbQH{+focI>|jH>Jp{uANN`%ETLItRp;?42 zQ86(}4_0uer>_ErYzE&-p8x^qUb5z znGS<1&|qx^wX>lvpLE!0227i6u zo>TY6!^YdckkXb{Rv|MgT-lUFjF7;zK;1AX+>ojpJJZ zQ4CW#1t8}`gbI+O>j(9ahhRlcWzF_*T}u{!tW-A0^F}@=LgzTdjZP{|<@-FP)aR5l z`y|}!v3ePREsEPC9sErWR+1nwKB72>% zU%mH+6ADBy#c6hu#j7u~IgevuGRGTV7?XeLR^GwNgT(w7|LoS|C2LH^+wWr;cUjPf zojbNOIGe6up$lAfD2LJkk*KJ`)JMO8G#pLIcve(*=nVdt;RqcCN#xUEVR2NakL$eA zJlb>=s=Hr)HW1WkwM#KIiEahD5@ufwU>$m8OdXi+PMfoXj;&Ju$QoKg6XVK@b=q+n}J7@-9p!T+qm%SQ@#Z{d$#qm4O2^hyC&;?pA z&*dv zQ;8K5rSfo#&L3<8uza$Z;xk2qm2&uIyL}{=PHK;MooubI?SFJ@CpP^G$c&=LWv%>e zH)rOTM~`e#b#t&nEb9!qg(#t1!Wm$YE20pIHyY$zMSTPSaEfo>;>s zxM-Xr;>pqFb^T$dj}d&BolBmAsLDzOj-O4!QLtG~0A=^am`5wTy*9GFgts3Z=sdHU zoE;>Mpk|eA+=BUUwzP&sF56GP(zh&vYg{&_gfciHqGS^GMhO7)%zP}n97GvQcWgZR zAfA8~U!5c9LeJp37C0hL`{ucz^q4#d&wo5mZ3>Pe^s(REmUJbU;Z;!<3A1v7 zVvJNEc|-;zW<6T>bUqAh3}+tGR{}FP_?MNXCIv4jywRs4$5)9WYE-NI4$w(>N+qo? zP+41kdqDI-7EB8U4a=l7bjI{CG>)fpz_k>^eq8T;GYWhbh|>F#T}*LywfUwJC_Mz6 zP0pAFfRlH5B!`I-eAF0~+$I759s4=C6s0ReHo$;NW*R^@U}%HUDzH*z(yfTU4;)go zbe{}5h0zN<^+R~|X~+W)(NaVn&dkRmK*C6#4#1UHu6|WuwK?v<0j&{+Q!Mwioz*8` zXfuAr43O2KxcF+>kMv~qHD|iY?`v=oEPeMcK~Oraf&1E8)<(dbNpnr;Pynk{?nrf9 zmv|O;nCyz54-J`9>8-z|wQgX^?adK9g^u55g(P5G9Yg$G7Y=~Zq6Fs4wZ4w$3Bts< zuYdaWZ_vO1j1;7WC2@V3`!{}aUD*>%M`N}IG3sJ3x-z67BiMAnyEU)f@>ewS@Bzcp zBeJdc^w{ac?f_7ewKp&Fn|r6x%mYeRb1LOC!1IYr@$@wmJ*G?8!a`q^5$HgSawLgI z!#rWp<0uZ;PCD{dH_HPcz`Hz})MsdFX9$@qWT8H0{j(mAo5it)+2OUhwiA{)rfmd} zk3Wc-(wW~tM?W_3mYULN=7)Mg&p17!;KweWj)DTX!3j6?!SUprYrCUmt_VK)vR7pU zgy0?&+%Hs}Y_%iLCa8#^t2#|YrMsXeE$Nvo zvE+A+U#{Au`n{Y zGq3HVb0kM^v^rvB*)u)OB6mn<=BWS#`+N;(@qZ%9lX95JvjCw$%1>NttAPc6&fN`v zZqYDfot5kXb1cicA=vx!qJp{X>!&dpHgAf?Z}o7`K0wE4t*-6%Mou^tqbg1tEzv1& zrf(eq0cnW3<>rD$m`*@xmf?ybTx-NTOGIDX5`;|)JdmaEM*^ah#p@AbDo9LGhFuH> zz{ribr8Q2yvR2k{DZKS8gM=EwENzPNx-%_`ydyKLv@Uv3XFd69BEfBo3p7J;Pd~izfjcdR%k#YRa}zZjL1j=3z$uG#uFvuaO{wp zg?+i>KtC-FkU+>ULDI&tg^Yt^2BSg>Ntd!{#LYMEiJ~|UZ?OPz7v1)TK$Ln%A-P#s7{5~nn_C%!zJ&g3_|g`+{#`;b#tv1(pG|j9wFG4m+|@Lt zB}Imx(IaT+tnDjwz;uM<%C7(3G-yH(%?e!#!e!b=N z0~S$zVrwZ#euXArxVOt#7>jZ5#6-)PJ;7i_O9}n!)8MYc><|FOriJCAXxOdrzixxg zA&6yLkieJ&0_z}R`s+fxG|)vFAf-Kbe*!Y*pHYDgJ>yv@CYI`?HK4j%%3=Ijy)s-P2Z77I6y0HqJfl${&Y-B>a_@E$NqOb3>urS zAUFmeL&RmdSzQWkzTo+$z~CQ_unlTY&QfkwQwn=t>6w(t_F- za;V0C&ZqoT=%sdU;lW>VK!XKE8Ay%+4fKqBS40^Oa_X3hR1&6&#O?l~E>pANMrV2{ zVE#QIIKV!i;13EIXpQ)hce3aszSD`m;Fnxgm|3s@FLw?QpGObl+M|{{EtxXEAri~Ii4fI)?_3+1KzfQ3m zBDN2uSgX)7ED+v+et0#IKL7 z5y9*vN`*-S8J|LZ=`;|Qs_dV4iGsXGzx*24&qQLr<$tfJGK^r)@n>#=uh~jz}{Gt|%)hycdO)*H1z$WZ>2T(;Uodp5$ zz1$)IrP1d};U4}nrQAudOv++@rZ`o7^K%55Y!+59l#v|-W%S70@l!AW3di7O!nvbx zkbY8~?mn_T4oWKuNkzxg{jAV<94v%9O$}3GV?a>RA<(~)a#@pjfi)e$>vLzeL3ptC zR?BKBD;`NZT0{e|{;(Yz2@!5b22jd<*Fe503u9@_2zgbX7A-O_*k4j$X3z(N@LEE!v zJu&-)skMl0huvhM9NAxe)-{uSw{oK=(`X~*0elA;xfBQbkj*>8A%dcWr4!QBjnrO$1dB;lzCApN1lmWn zF$#W#CBuiFNeO4(4Q1g<=D2=D5uhz~SzycP2rjXifc>-?RE7@i@}sc;lx|c=s}u5b zZffJ=VQ&+W->n0=X_Ly_?yPPKi~qSm_3_Q;oV7EYMKi2F9(~{7a3u4r(~t~1Io+D; z=|2AASxoYS;o(r)#7haIF1a$el*O^ktRhSBUyXu zZ#1y%^!Ru@dL-t~Hz6+%#cV^y0(xl;!Je<#vYJ;8AwuA$RpNjKvS#PEHV1VZuAnMd zOlQSCuBeZIeFT6({2_v5%bw=hh&qka=YonM<3awus8vgo-A;Hse7I}PqWs69|1Dqi zUyFf6;y3j^ZBJgbZ+6$i&;JozerFtCju)4{JoNqX-_~2ddVdC+DVB&o5l%MW2FBH4 zTP*4;&Txs>wPyeL#PN8ozY@sQDC3D;^ADa7W*2Uy|KdE~e5eCfu-t`_pv<6$G3umn zEyfh}W36BMB#gC{PhSa_QSq2Bt}KWIV^U#;hnEYn*di9w9l^UpWGTqY@IWBk=Zm*R zH$Bw}mw@5wZO^^eY+(VsI(+b_P#P#|cjSQ37Kh6f%pA#}7Q*+G}k|U8XjN zwwgsfT6+_Q^Ex#hW`A_e!?quCewf#hMK3G%?P|VG!OIi~Rzy2ZyBYwZOQ#%ZlCB}V z6pd)68_mbEnQB}i@q`!%t~UzNn?(LcTs~Z5Rg<&B z+;=P+o6ow!cVsPO3u)0@BWJy6>~~Q8hY=iR`NlaQCu|^GOZf~@aWwljH=2!rSH{=Cm-JT#8u_rCnzmNwB{Dcjo8 z5Jb;v9~?@0u`=_opjU2AztmZW6tKn(@SRy9_#Rm4@JTj@iJ#zzM(X$!pAl(Jh{%_V z7np-k)V!XnGWESYASu=9_1{B~{n$`k7JN!$Vor3~V=3>rt%GpRi!fDjNQC|L4?dFI zJ2qxKa|)IOFMWA34HjW6qVZwzk9|7;Rdy1sx#@;$24!;onk>9&>;NWkC!m$`9cla+ zGq#d|QoRTOglD$wP+8SHc?_y-R`X4FU>2xz=)H%;X-v4w9Y0S;lkS2bm+X$i=oE9|o?pa{cJ z@{XOMF2GCvxQ(Sox?~+cfC6RASEB`EM$92JO6=$a2v&^spk*g%`0%W{V?XbJ$QymC zXkqmwN^Yz<0M_hS$fYRYQpe{+p{ZA^pFRpyg@lPvN|@VLty)9D{?!aNPg$zWqUet^ zhm&*=^VXA5%z^M|K6cY2j?a%Y!!=P7k;2D z<2u9uTRzBtD}Ne9i}becM9>Cw#oK9NB2&F^Z&NTJmIF~@g?97Xa(~%>xBcnSuU9sN ziL-6`YmYtHJbNe=8F}G~v|+Ae*ibpa4Tn!ZprN9MJ5buoF?u|TX{1K*9n(oK5wwG> ztVC%O0ZqU!ZnD82$3}^#1p1bim`X|pTC$yi-XnW>&_Cg%#h-uCoIh^f^5;yR%-Fo1 z_MH8=5Hzmxrvqn#R=er48>piYuouL>1V9JT7#$`+XKC;%P}Vz4bQ%|3W>-7LGt+$) z1dLM5&Sj}q`p4s=X4cX1gI5@Q4+anQIQheafqg`2`5$kea*BRrFo4e$EIJOP4NSc} zP^}e@+}2c!1H(RzbCG{Ftu3BoUK`1@ss72GZuCpsf4@#uCP~6EQ(X}jv zV0NKJJZ2bXsqq^?#_e_*LD)#!Y0bOyP`4_uj3DoG2P&dJiug`a9J50qC%;7_!xl@W zBrFeG)KXNKSt6ircVwl_9k$snY{gG8(S}_iI2tH>=C=upa0z8?fiCvJdA<$+aAD~U z8bX{rZ|Q*Cu@+lrmfP|HFvv<2sqX43rKrZ~Rt?^O6U`DZ4MtNw1(5PUop_|dlyNQ@ z0xC7CSd_uG27ZOl2sueVI4@*jHdCvk1`Gx{SM%3xq|+(-it)si|E&gjO@94B1A%|ODF(9@f{0f*Zkx3U5ACUWn5+qfZ;0emr2ITn9?^=%u_AfQIg3uEBmU*~ zxFHjHc8VSfEN?@wH>DYus%=0Q2jxZx2O+1-U-r8l!9y7Zv!07U?^na){!esq(~*{3Pj%HMr1%SXnSYL3kQU6Y`hNazjM#*Qj1bzl-ad zzEX*)()D00q*YIrzWMgW3w*28QeSDIXPS|a68${1R0^f=_LG2|G=s5m$@PSVS^T?|6Ck5*|zEJGes1YlJbrh5mmr{vSBEsVh0L@;$b`8_d83$PhIES(K!B6 zVF;r|JX-2IR>gmKlOsYA(3fS$Uq?3$7K&<^s0=biOUGA7uwK~G6a0BUkw{dd>_fG~ za0?PBpotY{D^tV{(SP5S=*ru5#{el@RtJ#_kkXda?#aRxK=Sc`0E7h#G$cA29TG){ z=vjj3*^z!-u?K|+4WToo)E`^wV$3d?EM-Qf8cm>= z!nh(>F0$Kb6~PTHGPT=Yo3TO(`O6?8lmEHACe|@=fs3m<&HP|93BwlkH@4g%(o?zC z;hE479%?&?+PbMYhfIC8GErEmJacvAF<(}!dA zxiDLQdxO-mm|rJB=)r3a*FR+&9a+#XPvFpx@g#JT^(-2IAIa-YiBaO_wsj8z*vT|i z+ro2M5KC9c|Kdd_5JqBM0U9glHi3He02iF^U3>( z3L?{tAmT3*a+qJdaS+MS0qV_IC=eKhvfwXK40kHd4kVt>^_Ni>nkkb+xar1&<%a7xqE8HR7we-dD2LT4)qJ|z zrDk{5O^~jL6Hf5?2i@_i!vB%9XF}02wxYgcE(6Dh{-OYd9?gD%C?WU5y$dh6mMBsS zUk(V_J{6U6bhp+&yHSAD!o(U$t0PVHxqt?FcknGxG!*}}DISH9(OHg7bbJQ@pf77G z9ka62AK9lrWc!7u76%$%nv(*RfWYC4@77Z0Q;^waSW2L2i{RMSkjEqw2A58RV8@J} zVOb+GQ9Q{ysHQ z85Fr|v)6~asrns&&8;|)b({zC-+b*ThAvDx+WbL?H`i1Hx>Zsxg5{MJSxM1Zr3NRO z)N(J~Q4AXMT?q0ci)oia^0^H%Sh`}dR*_tdCZ`i5j34*fv^U~ZY%;QwQ0^0EgocudP*Km`9{o8sCO{!T*k-jg4P8>{jXx9M}2d!RncG6`i!01P~DFY*g() z`5P=?nyNjbu|lrfeN2f^D>46d|k6e7DL=WxRf2I;dhqzSP>03HOVqi87l za782kE(@)W$InKTJ5fQW4|D*DJp`rkEO;DdYZ496m@cTuunP%jQl-32S_o>g?-!eB zQI_RwCYJnHBMa1zUI$r?i3TSWBOPYi^TYQv42~(w&?f`f>(UZgR(dk|tUsV_N1s-T z<={NFK!`yPhsa)uP@*vgt<^h;Lo=X6im4-Iu~I&f`O$@Gs)accB(5nXATN>?QN*OI zTkxZxD?#H@fC$p5GVx_v&8UbYo4!9pLa?_HARw*%i42X5VlI<{EP87yjc(E{5D!Q> zvdDXLsCkT_WPSVqD)&n?JV2Z(RM2RApw0TmMY&ft=sR%*Q z4QLl#bE?4&1fP@LSfvEAeId#F9Do!)-fNBEKt?lnfIr$m<6C7VO9&wSjdCZ_$^q=r zwV2qAH-5Og&Lm{WUI%J>Lrj_@RHD&L$rvKGoX&?i*TGOSq;(@pWU5_YR2a=tD6j+^ zqfMg)N+xM>C{1kRf^D@T#O`HpV3~v(mJRz$9ueP1`njW^K#|MDFpdO~uY(v`w6-8q z_gay4c(brVpE2r+9Npw1rJ+o}jlEb-@{n~&6y|IIs8E}U!pZY6M<}9L*H=IO zbMfkGD9@O{b_)Jr-;`VgXB@Q)I%4Om#@38A{hPb*uG+#OnAm}}UkUkgw<$=t6YC&m zEAS3ZaToE;2E9=qp>&dMta>}lIE&*K(Xa)(Be27~n;&6DYdR9UFAr3WG5AZCfajwQ z3~O4_B1DdFej~pTffcMM^c!gbBX>7dokXMW2asF@PuJhQ5Ja1-@%YCbSz*vQ2+B;0 ziT4s<&?0R$AhXvRo`-H|uC<6e;gsUt6Vz z6l6=%-5}Tjzmfx9sr`tqN2R`Bv`9| z;E^WnV9T~5IbR-K+{~MRcuW(GA|E#XpQLMnXLA4lr;g4KU5-oA{i0A4igeK_T}TMI ze78*7y6Lhd-Ih$Y+MyKPNQzTR5zk|LB1^_Boe)wf&nCAim$c=YWoDQE*ZJ@DDwjQ; zJ>Spw^Lc;npRdL=O3aEamLbr8`gP1#!*N+B4%R}}(y~PO=@Hw36lO!RLM3G3>{>)o zyb(o45S{#**s_%_J_+-od4k=Kjz1@@UPD~A$Nx5+kGl8QSOe1i*bU7ijwv@Ty&u@( z0+?YUx1Wh*Z*MI-UwtgD^Ydx$N zsHdunXi|5+*b=nm6^BVmhZqq;C6UZ8O_6rV(YF-RR|WlfQNP+`q=pV_uu5i`Z(B~H zdJ7U?Hvp2euIK<+D~snbFc|%{g=v+##m=msfHcUmmOO5t0aQo-elkKTGKERKXW;)7C%$q1>-L-@=Au3HjYubJl`c^cwa)- zuXp;qdvdZ;$-;83dfi-obmL2-Vn@MN8>2qkToYB%_1K*RK>ugXfEAmh#>wzXe* z(U+tt{XBZ|V5up7M!5j_h{96FHNlE%O3;Ha&HaDRf2AsDr;NX;6`4{h)xS_V;1Rm3 zq`qyDYF%4r- z*%-qAG=!f#Liy_-M23>K^RAZj`ipWIt;#JYsj(YQ#}6Gd`XhNbm-WO4*99JI5oShq z|MV$=F|-}4kFd1t@Gvvg)m>_=3LZYNNGWyC(_Kwh#3+;6L_Rz*tUn%+_Isn%pcb07 zg09R+52@8+(ZV<;_`1<+<;{bYwV3|RKF62?nx`@PUseLC_xH^nOxs^}Y*@r;r7nos zY63n88H=l%KTG(&%n>;URwNf}T)aqLRK3!9H;9=_00f`$+kT zK&qfW6L;d^!-VG`ld4Zb`Il>IFeAl&3Y$ugnJv`#p=2qCyTMl_dM(Ep6f;!m(1+I2 zWnX{Y7WfEy#7Ss>e|q^m0CElkZEf4f8W z3FTBMB2x5gZUbIw-7=2vfm2L{17rr;ZDpGuSwW4Ees#^t;25Mx?4xWD?Tr+UqEAnI zu+0iRAtx>#wMt zb%x%ioiTcn#+(7jRqK5%Mpt+OSr$pO^q-^|NJceLe7n$g6gj+H&5!%+a0#n86}SWI zuG7bk7UJC;>`6neW)ZN7Xu-Yokh$?b^q5$**%rV7rT7M{pAh*QkiGU0mek0T3F!Xw?zAVdl%Gbe3JO0DJ1yOv^*Au{ru&pX`T zek+6%Q(vw%d{mlir*wK$DipEAkyOn8$w~`NipttDFovuaN+G7$Qd^^T@`wUXaitQf;3T2ckHdwHY02_pJA(rt^yHm# zZv=TRfMqK^oz@Y7u6yyV zvtz^8_y7)fAA!f%9B_7tL!b8WLQTBcg9P1-){&UG9KRUxpTB?D5qav5{F{qfO=$GE zfY!1<4Y>P;>JPCiR=B&gQm?Ij^YF#wAw>L9OpMo(pY*v~j{@W99@7L7tF5F^TwO$^ z4(IrJrJnn#t=89pB1fg{>u7N?Eu$L9_7(bvxUzM63l>5aJKSSC$V)z*<3uK=9wmz2oJdrSUv0)^-OQm9qZ6Xd;X7E-nhuFe{-_^#v7NK)t%~r*2&(P)r2cm zPR243O*BK3ChLvB@G)E=VsVm^IsVnWwHdZcq;mYLEA-5Maw-_!0=}Mk>>nXkTJp$- zW+0FVj%_mAbm-mYwI5>=7foLM&TnbzHS4ItJH`u50=XBA1p!RU_!UcEOxZMR;jL&H z`JQpX@WjC1YmEQ?5MnfOQ+a;VdCnSju5|4gf#S>Gb1og(HL&-bEacz8yQ`XBUY~fL ze>7n8N~Pm!v9ryNdyWG)Oja4*_^0r}u9u0%4kM-ZgEykOQ`I)NP3DN!{(kIQd@V=Z z&%H5yLD7#yi=*Z@TU%S({`O4nA7F>iG7w#}GShaM2!6~8psly7TuZv7*v2^>969Vc zLc$g?Y5VN6=xOTPw*_tCj27!c0j(oD0+Baq+*|8@>IY*;L0bz=c+)84Zd_-ApDIfJ zIP4`HDeDba8`bF3vDb$p(zWc#f3=1jJm%m3S{VUUHhF3cWgF8=6hL{hcx1#Du-8LA zjv;+!__Wv}IQwe}%t8^X2)iR@^O^hRu73UG#M`giH^p;aGm^aW`NtYFE!Q+H_;8{8 zpElug%?IAbAZ{iRambWA9HXxP-=@$~hl%m#_XjuLRjgJlF1^2SnZX3tO76-z&z;Xq z2;A=#1&<|ZTX>?m!CQ#52&Id*{+3pfJyQFMdtq&Q+u+2PtsRcc=zle4RQ89l1MOU+ z*k4`0(r>0OFr8mJ5K>YUEqeD-RW5`6h0thj&+y~%IF)$(O2 z9u+lUW9;1YMVn%;WLQxL!YYr)&wsV>){-w|RpI$iuix{Q82T+7i`S&?Raq@Y6;{zh zm0NZWm^|3TUFIgqpEq^KWATFl6E01KzB*0$8A_Pd_W+G0gZuAg(E`9k0Y)BCbb zHvXTUE6mbL;LZTq7uX3`5M0NoBkC>U$QJRG7|d8{hsra3`%%1Pxej^QGJ!tGiuWX&-d|F3~}%Q1#xnT z4Mt&RwnT8$;oa2l*Y#)|>x?~q{jj+q87H1L z%rLOE)$AoNn=k|Ixc|+d8%7;hH9X183NT0?F~ET&=aF*TpVw#S&z;aYzkK6LO4o4x z`3)0})615}MOK+j5frzyKi*nW(jOUlvs_+ZUxf#>;ifuw@_I>5f74=3e1C5e(j;C^ zaqN0;Elo~K7?QM(3d7Htj~sjEldHM-JmV+DHB0X2m-?C%tfURorHTPB3YjX94I82u z+gQ1~1dov$O+}sy1@g~^WND+76@tOo6Ci3#a1c7Y?c)~PwTm~RRp0#=ZC*qQ-n;vc zP~$nl&CuHe?f1phFn85r$&0BN82PLSmMSwJU1vR*x#2-5sf6)h@cVLScQ&`jw6$+6 zm^FzcYHd?I7-{0Bmz1=rZ%*5=D&co*Pm)sBWROzZ(;vk35z+Fd&r+~ZC91WO4eSmL zT<6>e$3=&n6G+hh@;{T6j^dzDURYZv|8W<;n#VTB35vXIq`Ey(E>Nm1WDt+y5Ewfl zyD8}nCh_EEc6%zBcf_oTiFDqarw@+A4Lu{NInoEt7cJ%utcb>Sd)!T#^|B9_t`BS{ zOHtk_hU{`Lk6wBY(7mMtzPoRJB8`>BN<^VC6X&BcJIP~-hD#9i)Uh%Jote?|<(O{7 z-U54LQ=}I6?El78i>O%dB1hHIKK^&vfH$c~*rvHi#&A6;zQO#mvs#X~XE!NBy4s4Y znX0sg=9`_$U$BOQLcc7@ICUt6?NGo%)UkyDKZqHv5w`nW5k(7(?O-zBtkAZi6;ntRXfm zZrVH#7TPK5D5NWhB7JrrefU6v{HCM(k$$y$m)=Tz(oTtLuIRaDZT;e#hxpjFh z*Ud&&y^^33f9OnK;iEQf?L}b7D>p2?lls<>F6bTkBtPOr?;P7U+{PR7P>WwI7DfER z)M7a_>yGKt+InBHccWSk<%-+t<+PL3LV@kj&n}e@3()GitG*JF!0t4OdSnggTIPz? zbt@b)-j0f*7|6g@R9#BVT_6|3XemLw(-(M!0zs63)^=3AJUuydC~Uw3k>LSNN>2IQ zD7GGzqUtNv>5Sx7hKlE<#L~?8O^QL?(Id+_F8~QYb+1WIZdX43;X;6%w zyGI|2QTZS|aMF^D8R0}U5>vEABfO0}a7r}Js3pOp$gFXWsR(c`^O0h~YDXT&AUU#4 z1C~7lLoqbSS^x(8Mt5~b@?;X&ezrulE@FLXcKxz{&s^>7tIQ4TusE zj9cr^r9Zh^KJV1*Qymk0Nr24P>lWL9v6XB!*65AayUCVK`Y6V3RdsT(z;7~{o?NR- z(Hnpb{a6r_UDnM%rJrQ}o@5ur(rVe>KC+Hy)nRwlKB{HOf2pcuITXl~Udr{p)Z?qBOJe2rR!%p$~&$!z>m}JZatHpKgKAV2w96>3J$_>e%Y{TmyrW zeyEC%JV|0T;L=<{uueoZ3`au5RLyh+RB=l6ua)2eYg>fTpGxtR8+!I_zW#%u(WRVw zE<$npgHuJ#=-5LC9^uyPW$Uba(y-j(&p`ae-Xf6GQQ|GUTP72XBEqI8edL-LQu;AL ztJYO9K(SR|v~6XA>R1#UVGEKYZ0#B12>}j*tI}@fN@z*GrX#yk@^D(FXiDqoZZl@^ zcY3UDNG;dngEX>!dO_HZyKc#&rOD(roYCKB^+a~fHzrg`?+vXp0HElDCjw)LY}lqM zL3>)k*+u-aF{0Xq$*UGU^#WP_ z(4o#Y8f|;}vgg``{}lh+R*UhqbxCPIPqjuB1YvrklZU`)wD)~~K5P_`HxJ*l=kTBU zBQoL;E?(q9RvNJIvYVzSuO>|WhOIL3{GdzDQ{xew8wi( z8hb-$e0i77%zSQtGgLVY+W?em`vLBBOee#&J5#mu)cB~2CmWylx^vL`K81=yJ)x@G z#g~eySS`&T9&C_YePHn9q1<_5ivy*3weS!E#9U0x6IQEf7F_Qlkph@{~^~lNE!Yy+w z^$q_NRjaHBa@nS2Ki=54d+MU%XzaC;B<(rGzBH(U!|_>f#dy+4mD|;hfS823W;{T9 zUN^gVkiafAWspQ4sxX7CBc~$=+}GP?wlECOOVa8yj_)vm#;ry1;!R9K`yJu2zW&V% zb@Bb>){IjkqesOp(|bJkd+J|vkoD?EMe-3WRb}{5A`KVdXHH=&qGmdR!ao! z@zg&3L$5y^mM@&2@vpu;c7KUzws9?YPD#&)h!2G)6v2B4YaH_qHtVoqqjd$>M$F5_8;>aI+P_=D*DJw-U{EQP%v^6_T$O8 zv*pb{LGIc3#dz z;rE$nN00des3+r_%6g~m@BK64^vcDm#k`2mjf-Xo^VKs)FH%M3vlnm}pN>fv*0qr5 zUehIbE(kx|p|+k^k-u;u82+jTZ2XlS!F3A3#w zYC{#u$d5%fvC#uwZEji0+-Ie2UvC^w$B zy$Y4-tg?ok@6z5}dQ928P|@lr*FRiZ5o74_F2lfNn@j(r-$);}=pWT{3!WSH^$j0q zqTG$F4RcTJ)&DZ85R2D5`S*^yc`(+0w5jrre^#F`;eEm{O~N)~QQq{hs-nnKi^`?X zjS@>xyldz_I=W8gqd!?KZYvX&^gDJAn>W0x+jv_nYxG%Dx6u!kikS@cb1e`PHBC}s z5i(uoo!n!_AXZkzp1wBu&lij4k%uC7`LbXAycfe3S2tFBJYV@)IVT{tU|!>^xszSj zUPWbF*Z!-rHxtNn?9|RA?q&zA@|Tgp`r#9G^PBXKL>Sxknd(JKkjl=vq^v*kg{pnp zq0v)XqY}kqRQ$)xm*x@QBHxCq4=UAXCkLqrW4B-WZ1&>8xJj#qdHBPLyC)jou6nzr#iBAFd(fw#_OcZ;^lH7?5o=-=!98QECP&8!f}}7Gr`YvU9dKcc@s9yW0UsJKv3SfBRk9g z;Ev4jU!d1%r}#iWV|ZVgQ5~ACyS{hEUxv%C)@Lq@0TJiSr$x7^S4^N8TZ}|TIu^FJ z5IZ`4vuLpxj}o=^Kk^*#kBlrSOPsrZw&l6Tx2yiVqgfUiUG}x4rFehG-)Z$WVaqp` z7ULsmf5J)ZZJA*#B|^1y!?(J&Yk#)ixE`vT{6ru8Q^wr_okc@MXm$IQGjgR|SD(8^ z+npVwCz8;pJqZYf#b~Q1h|6c75z^NAMiq1c;+9(;LKIL1=f4ej!xq;em!`y zW9>5}*zC{VkuoC#`*TME^#yw}+%m9T6KfJ~S+88`*I_hu!;cV~%8D1^Hg$V@~bo^#lD^0MrdMF4z|M8FZE4@8V8Q|0{t2qW+4)$lk0|qw*9(?@X zqNLTpZ^Eh75sAnJ~JS6<)0k7a+O zlOx93?hib1!~2V(dpA$3Y ze7rt>3AS}dF1-G`mxzAVt-5VsO00ni!C>#amK%HD{qDZg#*jW9pz+^yO%Z&5lb?~n zi@Ia0hpWDC-h93N`ub!*8t^pOFaK3b^qa75&&{*T4Ue7U6^S>Yw|{aS4_q^Nb-eTT zikbj7mHmMveBolwZ?|h-I-I&Y5$m|;c+&y>El&Jk!{%cn(V}T@eBq<06b1~|I{4@4Lp*qQoq0D$i3Dd`J&d=zG27z4U#l7D6Np8Su5u5oAHO+yNG?O zT(4T>ZZ_&?tyj;~A7y)D$NcS?)S+6({!YViFTX=6gkNKr6c-jX6;(HgX7Gkk7VHZH zqUjbO1~4LaZd(b$?_JlJ$Ai4qFafeBQ-C0YDsa`rZH-MnE$J09+05;A zvX!r~Idw2v{kwacMs{7xxoYw(c6l7}20E=-=!Z2&Q%9|dwc`Zrx z2_YJN-%8z}u3N8P=uVm484+18TiGyu8aFdPI`ZrU_md7KPx)`I7{%RW%}ykVPgr)9 zj4bv1E&bgA9}RU${%JqM{>aRUFFsD5U#pUkjylFZKFZN4#$2XwXhq~-XNnG4bl>wE zk=t!ClA!F->uwJMrb&HXDLIkeLHolD6sKHa-$S!#?p&KI^FLyGsXcd7rd)r^CPtqs z)GV%iv`T>#ZEt^6jYsSJ9mWg;d$(UGqp4j2wG)L{_z|hTLJ`jJCmARg(-5Lv#oMa) zI<9Njn^FE*{R|I&c-HRLGe*X!@*o$culNu{=zJfTTPzaJY%Xs%6l^^!%dxhB?PLD1 zO701D!c56=8yVfBv8_?MVSqN%n_7|d*WGg=*5C6F#AbS~cid!+Or*Zp6PRrIT2;EK z!*S5{dWZYcCCfAN?%!Q2+Tyt=_$;d3yFTc|Y4Rt(l?R$XPSORe9!jd|;CRA(c=IjaRR zhPg+`$z21fsesXgZ8;@uJ9gRV1&cs!UzyglG}r{bHzX0{Sj{6BoxlHZbg(9W zPGwRm;p!5O@1Uw{x2%8mmU;g9k27_Vy9zA(&fC^aDf2CKCr@|295;~Nx^wsS|K%1G zs3I}h>{Ty?VSP(8FAsBNv{lJ3HwL3BVWFp zKI0r?TlID26j6VFYV`!cZ?VB9eG89oy=DC@A!Svu%#!PJ`%-1WKNX42E3E&yM}sBI zD{sZh=EM?CR%y)o#vTtJkEvhU(b99OpJ}ky%qvoJD~IuuesE*_ zXFr|!V9RkO31{WtQw&)L+O*{%7EGMfaj%trS%?qZLL)?j@eegcPVHt-Au4emfYM*z z>VU1q%h`XfPy)3)n?5Atuo6sG&2!TG9wcNaT-oVjT1%$O5BAVNhw4OZV) zp>yBS&K)!C4YJlwdD$=5$*&Rzwp;m&d!qZxO7Yt3ewu~?4hCZd2w!WxUrAR+2qIEU z%Yup0E(CkA1P|}y@#mIXL`2lD=BJ3VMijj&^PH=f_IZo-efgZy-4Bk@7i=uwSwZG8 z5T}w&HcX#N>E`cUh+nrnY?M znQWyt$Mj8@!2RfPa-^jG!NjtJAECl?A(LZD|6FT3eN3Gk-09xU4GrkiNc!@Fj85V3}TTJf$cyGFr68t)~M}uzcnjb0hZr4qt{rgXjiS&pLjz<;UjFvS^L+IjHr^Ivw!^;#; zJxbK2=5hh0Y|l1tJo)tH{`}oT6jJ*GUmB|@+t3S)4ea*D!C`&@7X(vZ)}GkqbbKDxUT$!4OxfUZxkyY zUHchqLSvQnKe#~}h#b;P*UcA6XpUF3zd!vHo$+bzIyay4*v!q_l&AVC2O@WvC-wCm zZd0H8gFeZ07q)RjPjVntujSUZeS2HPGPL+?>N{^}g-1+%)xg%)_En_gS>u+dEc(qw zZV5MOJ)9C$GJKf-A+WD+Br-a zsBTJ69gi9(8}RT_8_(Un`S_Fk(HpZWD73Giw_2sw+qF*iT<{@bEHglc&(oS}C!Tj_ z@^x}8S^UxNb#F{{XQy5&i-eV4zK%Yfnt$ymm${puJtp3oKCpdFYSAkhcT+6*fr?)9-EHqF*Y^^NI>2n~Aol9ZO_(4(Gnuj+>*UQQ`-VEcz$fxL2>)VTV zA7Q{;U1k|JEiwgDj_EMD;WO>8GDFNyxyyb7F}Gi}ybnVZxVT%6zGAYKKGu5slXTd9 zbVO^6^wX+w>8ATaJfX%SVM7k~(_=oT|3jgfvvDqoDC`p9$l#}f@&Z%kcQ<}tpmVIUrN zWaK(TM{Ywsw4G?RSSSiNyleWiKwSRknqd*Gjj|z~$dh8UalhsW%!tAHI$3f(jZ*`X zH>`&N7q~V|{YZ@Ja{7i~a>3^L%~m#+mriPHGaUV;Y!V}4Tw*0b1uYFcooKB3?t)fW zy!{{`IY>!z8yWRMBty*3G&JvqCxY&z{5$7gOovJ3ZFhxOZK%#riLxEwG%&R(S>}`a zP11fHRM_6mWk~cW{7`sn`1E?Nn?3xRv#76Hhbziw2% z!%Tv3PHLQJUPb%#1 zGmOmE`;0!{5?+1pQDmUwqIuHs!pvq`AUB*u9{I9Sfh7I*n{)K$wDyb-@tmfh+XpaJ z4Xlmf5ygf$tZxx&4hjG))utuFde4i2UH zDP#e{M>2I68Ds{$zs|LlC;&iJQz-I~BhU6}rb`gsQXyZKn45nP=G4Gyj-e7>2Pobv z(#CIwAgY@aTqXXh_&Pc)e`l%|&m)g;jkpYIP+f_5ir$1S@l-FpIZC|kYqOdm4R*G3 z<-ume8+&oa{2{rB)MLR!5|Etk_4n`8WPtl5#S)7{Kf=5c>X@*Ce+t6$faR98j&X#e zAt?YvX#q)W?9p&N`GCAfEh%PC&w)+EbVTs0C91;(L2@5D^BC1;-VOH;!6Z=N=0lxNXqA8{AWH-) zH4aFXPI6Ni9zUQxmud4Z`1AV$V;*q9Dj8%R+?EO)<}qSnB|XB6nF8afMB$h@l%p?B zaulod8iK=~R1^>ClAkSMM3|`eM$o}D-YF)M$Jdq<;Vdn_<6=WRoPbDVJD-fx6X4MU zA@F|yqU*-f{(>NQ0$`eg#-_4*<5CYwn^oAv&jG+cjN8 z5G;dyP8Y24P}1U@0}eu^13>S|{e-7BceMtY{lXtrq0ZiMmI0D?D z0wnV*Tcmo29LuXtySClq8!b@|e+^&aS?-xiGQ#tficiEM{Kr25h17u*2oS}&JAo*G zV&|Jsv?~aa7r={os#j2W5F}GUOGJ>jlwiTG>;=Qp`%cK?%+AKVw71V@oZeM~A-Vbc zKsxNj1jJ(@t`?xbl9;cUQ}DQ^IzJ?176|CFIdwM$Bxu42$jY_Wb^)wxfhvfApsSZ4 zNaT#@!FxnBML|8QmLn)x6Gj57U4iZ0TvEq6$RrfNn7U_S9}6CXCi(SUA2S7qa!nx( zrbq;qkOH@zUDhB{1RKb*hqZz@Nr16%^Ox} z1W%N+Ac-MIYW#Acge`ALAJ_^SwX^?h82Jhx0d!@$C6T4FJewFm6wh}IzAYl^xUkG2 z1<)O9XThu&8TW%(NF2ThHa+xd^_AWvj z;6e67b|6xW)Zk+SPi&Cad$JU}8^zIa%j^N5KU+!I0cnPk87T-)zN@BTcN=N>82{J)%+1r2ta*!-bv#hZ#5VWfrw5Co?J;5BJ?6x-Aa zLGp0YVA?o*;^5rhc6K|ZlOX;AWIl6F+>Qxh}e)g{wcJr1lV;B z@pRxJ(Lq2?N^nYRZdnG!W*l?cc0n$N#C(jPA16V`5Xqfj!ZCe%atNFSQ-BC@U_J6; zTZq>&I!Zt}iBPcV@SdHq2(p70orsjwq!ZxGG7^+wct1Y0)M)Ve5bPD4$uW+7FY zB|vAx<)^_kBp+>>wfevv)NW=~3ii+i@@Y;TL}O@|3J)IwYwkkU$r0zLK>HkyiE>4r zd5>=mO!H!|X5+AYU{5x(eZ5iA+j|UItU5=iUw}xLkTbq)@a-0;e8z949>0N5KP%VT zB@aQQgUS>hbDS1X(7JBmU|g!@@DlMWCTyvl#Kcd9fzW}=<6C)d;si4mkC<=lQZE|d z-VfIn@$h&66c-ym{46dL=m!O3r$w6$vncP&BLMkVl(CZ9_|Y;|vowrCqB24q`7fh) zIM5^8PnV%**LZNtU3kY(mc0D9w}6a_rvo0d(XjuEsVjsnL}}@z zq5aJZpnL*pl9K=~3*nJE6!1Ulox0#Usvxii5s&9^#(B;Kq|ZDl#9jlsaAYRbDX^zg zpbC7f=&s=%@(~-}q{C<_+ZV7+2?*~YlM;PRj-a_t?CIkk0~BZW^lW(h?4K?)S|Zdh zP;$UfoK16N}SkzTfGE?~i}sK5zH79V-&& zu;_Z(*B;A1J#6=fSuD=fnHkzMc}_>kWs5FP{oe~W&aEv98|1+b^FgvDR)7C><~7@} z2}Tu8mivS6jMn?y{7lzPUU$yq+zwMBLe-cjLZv8+b?5DXSYM+^HUtO9$M4M|^N5e% z0S+`W>TIS<{QRAvgz_L%2q4qcUCG^G1hsq&>=hLtI7xMd7fgYdjvFRG!(`Oqz+)^C zXC%lGLFqq>ptvh(vC%$c1naOH#}e3b7YpGUvZe;|A|hz{TMlg#f&z}PUEWIiib%>n0Sf6zG&h-`d5_DvryMeQS?VE57O|1S1>G@bdO(t7*YzcWwJOm9>;!kLm5Oy1cgu5x`ke2O&4 z5kS00_242ZzF;xYijKt&%>497?`6NF%p2kFoY~@DsAZf;8GmNDRB6W&4NgC@9I2M( zyb&TfhiVB9h+w$V42E97$8kY~FC|t5J$N_|5pF!rF@o!*C8E}3p6{gY|KM_5gy9V- zfC)Jm>K^R))p3wTcJw(x9s?m{>4#TPp#6ga>F8k6A%YNT*q4k|2IT&QAax*^)`|nR zgTQ9a3@8`51z~{I>WGgQ``wyV z>ryAWx01->zvJFa{5_sHwy*#&dGastasRY^uShNGOVqvj3w}5v5ihlY`~@^OEqKJz zd>tu0FN<7R%Q5sYgk!7nOdwi?ZbFLQryl(HDD~HT*U^nntv4^Iw;Kq;eV|k$tS`R4 zMvzYswC#}#NQ?i9@Bo~wXC-%lQ*c5a)ZPCw3sONc_ZNFQyy&R_4n+Ga0k-juI~@Ro z0}{J~a1^o`G#SDe^g3Dj=!)-8`bBDrgx&j)Gus-cYQIjUST4i@5yO8@s;| z!&y9?IYL$px0A0x&rH-xwA>& zw@W`ZPu)7*G@jgwN!(u8&PQ>eH`r=HzpF8aB|Rzag-RY>*@X;-80;%hrP{pyVs)Kx z$?pP%ar=yS$+zP~U0m>lOPqb2UX|&FOC{PH0lgafQqrXn&EEVjIq#VzkL`@)yh_|} z+Z6^FwX^O)4uvxk3!TPUOoH|q7w1E)rH*S_q*E^be~TU&HxQtfJ61qNU{f39`%1us z0Qj&^mc1~6$9R1~QJyvVmy37p zw23pP${Ulm&mUMkaUcz8 zjZY2iaDl4@ZbB<&Lq4ZgBcRR-?AKr2uf3e|Wyj1${aqWKmN~$9^T*+(Yi3vS)75_n zUerM$1WmLm$wvsQ0q|k|!IIz&*;KC`7x46OTP3%XaT z08nVTv!HlEq{F==#C#^y4@>}?uix!WksK>_njw_^ZL;_;JYs;nh!NeqMqT@3yFemh zt4h^(x7B=_SwyT=>yNL`v;pTcU-e+YZ>P)QW21lP5L;HzQ22+~A{lbnF3^q(P9>o5$)S5oM+zC(Vdgli zjwK>W=uVFJHsGw}jb=FUptP|jW#U_eAF}MBg0|Haf&;uKClTRi$}<&~h67gb6{hS=fr31bv1@b2Rst%U1fey%{6ow%)imJ3klN)0VjDE&E{b zlKJ=cn@S!@(PDB9Y0=Dxg+>jzM%Vvk(Z47>XjElqm;fKg!2$V}+TS;MqA@fM5ZHtm z)DT?^u6q5-&tK@JvDBDK(B(vf^_i-)akktPpF&aBXMl;J+^w+toI2gkI_DLBk+TG? zp=y=^%H>^OYaiOBpz1i6+y@0Hyx~}^Gu&CIDGuDVl0Pyoc&u;+ea?0Wk0`_f;633h zcjciDsQq5|xO}Qfz$!tL?Pb@glYSDeWJf|`L$-&a(zo7a{L+zGu&@=(EHACeoySSc zU3oyXwczNgk zCD+F?gEoV}T{r~kn(ic=cna!D0bGxO2KAv3TG)AMhT}9r(3Y@qHypC`Z5#k=$f^IY zF%fTQOG5(!8obZ8!oP(Pki2{v40k}UNkH3qHEi4keGms2WJKqbt$=&!Yn1W}x|e{Q za~()uIHX(Lr$5&~)Pg%FtOQv|x-}qzbB`Cm^#^CDmcgiiL^L!B$`}KVf;ax}VML_T z8(9o!&QKWPeN+DBTFC{UhMfd(;5w7SHdZ4rrq%z?3?gbw>aMt2vM0h|p9`b8Vd~*1 z2wXf;im??!P98L@T=?_hA-Kn*lCnL)_H-|};IKJM<@t%UIi!~tc!W!)oBV&>Z{z4j z^a@$=M4#POuNL|@T_c#9jd4z1BV$K>gFg@Xf3GBA|{-4xHdYR?LI@2Q5Z;PJy%oN&%CW z0Dt5dLJ%V}R4|M*u6M?agYPkUBt-k42^yST&PrBZd}VBmQhEWYtwRnUY1coNFk}TG zlo!U?JG(By5~KiCAhb>Ihn?D26zgFqfuo3*1Y&^Zhm?Jl!^Y$GmCicyUmDLwi`WnF&x(+aHII2Wn|UaQ_UUG-nZCh?!WB6|^hHb86&b zcyNVe-St&#n-Z1`9o=h89GIdx3<>l9FP-y;@=4qoXfr!4yh!hqH^01d*HxlG6>%j} z=lpq;l`NOS7Otre&|4ib?axlT~~l3lJp8B;^W1>uDO zGNHC07VJY1va~%d>H6s!rQAty7fwVnRzR>j3er;HZ7}HfBFN*4E)QvqGm`6CBS&dm z5fm^4scJ{bblkFA@@;Es)6cRK-<6QV3 zks3(N4mo53L^s`@1bB2u>d=F1BngKiLWF@*08J!7-4%#J3n^&d+a~JJW?v8ZyWl=3 zxHwc36cBtzmWc3x@0EhgA#t254Dn6E!9kuX2!1s2QLPiNalxzK_;*K4I+QG%+As47 zyQ~u4Qp77NurE#$M0DV+r&l&~9>%OmR8t-cK|Xw(Y;)4C-LKpdB_gjJs z22^iow_tDvhGtHrjpGFjU5(rH5Js@Zt)Lm{VPEvcPsD|Qpv`B+ukNm)fWTa53aw=s zf(P59s~rGsFo~H0c6Mb!f4C*aqss##G7LL<`Cbv`rCXC!+n#|C;b-aB?QkMqKpap4 z_+hHV|6r$~@mZ}g)GZFr_~;L@=8?;PDq3KxBH+OkXhn=}4ufe4uuBZpza^wSj6!q} z4ll182Sc&3?Mr$v_F)feRrM2~xy;W#V&TeWj*fW=OHRq6zesq0x z{`MYqTWVvuF_I0By~V>wAA-n3OgK*Ww*N0D)cHUHZ(j@%f|7uYNHP`B3eTZGfoQsc zq&e^*Bp`1`A%a+RIfEx1BCneWXIMtDED5Y{s z)GTAxg^Gnzvl!bsPFK2GD2fuwXSPLVY_@$lUCb(_X1Q#WOxf6nF*CdU-+upkJVLhj zKJWMY^?qHRujl*qLRAA&C5!BTomPIYkqf0dH{ZE+32bL^{?U8)QB>2?f&vt9JHi{= zXM+O7p|_-^@hLCdDemQRh&g5H3}R=5W@&T{s5NC;3Ia3{0{BM&LQ2MkAxm8$7X8^M zK#oQ2*5Hgzn9ITHE(hm`@w8?Q!mR)i0d$-6IzS|jQKOJ!73c&6*hRY-Y}OhGdf^Tx z8TX~{(^XIXSzDk35t9Dc$G^VXOK;g+iN+-p=dnP}4fxZ=e2qjS5V(be=}5PrlLPX) z&^VVE?{ZXc36GIB$T*iXhXU-?2rc;Z)b%sfeKa z&0WaBOWr^{DL8P$bxq0QgF+;Vl9`hPHYcVJh&DoYX-y0m3t-2N+`n#GTpUJ0AbYV! zPFG;vOz5w%W@Vq)CV%xurW@t2{a>wrPR${9)~FiLBOA6pzY3gPpaiL3Pg!xl9LSs9 zDJpZ?0VMySQ58O!HMnjK;??KG1ms=c+SB{aRooBE-~V|XS$d4W(E{TV^Da6;0iU~$ z`J7<$R4(@ zPPpp21+5q&yI{9}pPKJZ0bx7E6hQ`bJRm#t#&@&LU!WH+%cf^Q!gy=7!;A6z03nM4 z2*KF`5b>v+zK@W8wU466xd(t6ZWtIaOqaV;u~U8&IRm9%H7luVmjq-Z2MatL2+9Y6 z4~a_|2kE)~lZCK)35q-{0t<(^o(J?nk|zW)rz1fbGoU%VqyR-%Y=xk!hA7UZGiE&g z5kDHY^d@V?+?@p=Yfb^TH@kj*UGT)<>&Ia)K4tlLSQ0B3B=hz0;)Hlmh6SR0@yKyZ zFvZQi>THAJ{#h_~b=5DuZs)l+;I3}Qs^Uq?B<$}cN;&h7VOvV+;7M!xI)AZsoD|$| zEiu@N@+4|H;lipP1T8f3(PT8gMEAJnwxl7$*%s0g9SVfgyYpt%D|Ten=U5ED8z?6BTKrSXS z&2%w|1-$L?0w|v>XCM@_8446R?|scGNCqUted6Dr&!ncz^XLL6WYKjnG^k}qdys$w z*ccG^MM7|1A!;?Y-+B|GGE|s(O!AACcF~*S-(nwJeCLUKDdH!eq7^r5KBW z6i8JBc5w(%XBLm@Y5D+(a8uVr7f%tiTgUcB`5(IL?e zpvti-3Q(IuY_EDAFcfteuK*B(+1w6Q~ZEfay(}0Ujo!-xY z)Q!aL;O!;O9sLm00klHufhq_KoAlk2P0-*bB8P=jreE@xv>P1cX#VA)=G zqJ>XcOeSLT(t2ghg<|W^#^1>{X2%wY{uvJ#IE|}0xVh(;$N!eFKkapAIRq#)=sfE} z7I0Kk0ag`uEf@e0h)6XEk4@ja5s^4L0%hC^gRGfo>=G8JFv2ePXHe(WRt7+C|F}K=cuEj64xGGKnNO*Bq%H_8Oh`7gLhsuC@gmg7dH%`4c7+<}f zwCe?m?v1(L1px@i6H382nk?=B3n)8slff*Umj}FG1fqG#e|~4-L@(cIEB7DoAHv*phVk*9MZqj~EG_w;#kh@RQ? zB|2cM*+ad!Y#M7utNfkWD>cwpnhoNm=LP-G3#cFgIyE^K1|ocETz=Qo0km09S2g|U zJu=Uv4{y+)N*x1`OCi_6)_(Rl^0kc(8#rZE)%5uh!=1943UJZg2&bQ==BZ^DM5c!?$oVDbU~CKC-!zBBG#VAfe3+tcy_A>pwagq zy>M?X4Y#useGL|dsUSuqu2g^0=@=0Sya3?2@#jGlR+~!i90+xh%oRQe=pD#btUVMA zlq&+WdIT)25IZi6c*{V9`Coc629{Br9y2`!)JR`dLi50OiA zVz7|$U(cTsKFwqac^xP}?%>bAnK=ZNr1!i!8v39KB!&(S40UIVPTMYHK=OyDv8S(s zL_IZ$q6)~t`XIaz`Pm4f>a{g6r2u@ncnwsuXk09cT`B+mavn7XqQ8{a?OIJ)aP9d4 z@OWpUx)fiPeU_#?SJ5{^q=v`e|7*UT?YU16>qrEn@vU~1nzDF5IKtb+7|Ku|3odlyJ{YtBvKqW+*WDoJJJr;7=ePL<{s_&9LMVV z{p{Smbc3mjx1iCNXd=wOT8iyT)#Fx8;|YIa!9gSZbFW!9NX|x>arVpuZv?^8n`g3v zVl+l?3ra&Gh({T&B!d!?D-`{*^OXMUNg`9rx_fl84=p17C^w9EOe*4R z2e|nUad<@|iv(;OjgsN3PGPtFrl8Jz-Q+Omr1I=R5{5qZNC==#0M_}w49Y)!VvQf? zHLjweuxjRWCq1G7xNUV+SLm(9G~iT0EKOs3Jq5V7uKL=CKrt|Y;A)Rlu}a|C2{5~isI&J8yo^{e1!w`Ymq3!MI1<5We~&XEV2T|Tej#`ZB&HwG2K4CVO2DoZYKx^gQ@&ZHa%tu$VhOUurf;RyZs-5E< zr2q})#tZzrK(oP3p2)Ak?~*8gZlOP?nR&JuU+_$B;KM-9R1MLwKksvo1a24r7eMh4 z`7HZ3iUk2}m;{)EsR$k*T0G-YVjJ73Clmlc8Qej;%&_z6su-OPNZDVF#(qvPHD;^;^i8?BY9nxLQCc%FGvwGMAa+7*<6pDj4AihHY{h@# zp6LUwL?=yL^_t~>W0SL|mzvK?1vqd2v9fc23H`9t;H6%Kw38S|UgK&Z76Xces+YJ# z)tz{Oqh_uS-zv`k z16r}=XYfb}^*rzo8vH_KTDR|NJJ>9Ml~Cg5{dC(2fhfDRd}HwG5X4EhnMa|4b^xDi zK71+lm4w-)b^O^o6{syVkgOPx1<=+a0tY)Tg;KxX`H76uTr@j+X<_?^2PV_#vWv4^-anI@fspnb(=-?mm&xRP_u34vYN9ys!>HiK0I9MlmfVAfOpKeW?9rWi3ThbC|(b|5x`*wL(-ZuoBehnaT3 zUC_-1=MWH;b>l_=G(vhJh@wH>25LG;LZFFOm1H2a1RbC?bOi;AFc-JJ>)z;bsKf^( zpifCoKw$+Uz!sz&j0Xj=#wyRA#i4|_IDJA`o*V#;&Ce?V@el$O>>|^ZJ)kG`|7H0< z{ugmf4Hi)bP|zHpVP@ei5Fh|l*c+$(gknDKe@7kIn_ge)o;5>Y4W%W~cMzZbx+A(D?I&O1UIh$R4p zcUbgw|7irk(_>@N+?)sZJk|A}Kx_7gwsqh+QGou7PRoR9o&f>_L|&XI40^pdVJvgr zsvW~WXIW>|^2}$WI!G{c6I@KXdTH&fLI!Ov0B0Leqh1M-cFoP%AQW)J(;ur3#sfOK zX*DM*G^(h-E~^vN%hpFRf+>9f3XBTJoQXl8lhj`#8Sg4yFV_(!*d@NR$LuqDDI?&Tl@7O-qOfr|iS7z*D&AG}_b-7Tk34)JVftHXmqW(9ZFuVn=( zQ00K*3!Fq7V4Z1$a+GNTtRhyp zkq4Y#1SrNl4d6O})3#3?xbF^Jv4CYl9N%OMyh<71<3ZO3A*cw#I2aH}J1FmM;H{@) zli`cU8n*taHf3wgt^=_EPn0yvs{={H*<_#(;J3eer3T;(|Cb%7%#*@5P(B~LbY=m1 z(>Z@KS6b;&P?VaM?yeI}jn8eO{BIh8mahp#8RmVWYd5zsjIP~QOM0d6c z6e5zSBd6L})F>$B|9E9kg#t_r#7EXZy8z2cv`B(jl$Ez=rxAGw)y_>-GUI9K*p1m` zLMZ)C%PDfs-l6vhzp9Saqey-M1}Hnyqg^P9w!aX#sZuX~T7MOb>dvPbt00pZLZ~5A zj2kKn4i&Q$?~EJx&=AB48$y1G1XQr&Xm125Gz?hstQ-K8WSh$&-T@G|$+_RQLM4lV zCCB9O5&`_pYqw+oEe5g??Q-8+!c_TuZ*&rsYMNU>#kv`$-UZ$#*<{lG?pG+8kn zp__-m`b2|Oh!L32T0nqJTzq-#j3ohq3uttFL%gTSt5K!uUmlAP zHSYbZ*8Esdtl*L|)y2^^ch33FoA?$@=dD{~7qQ$6=uDK3Zx52Tc0g}lFh9`W4i+7F z`V8=ivl|Ya0FWl}na!mjAPR`i!NmZS;kQ|}3UPN%&T1m^%l@QC_dz9O1TLD_{}gp? zP!9M;Yrxi1B9=cS2<*Xk5aq%Ra}EMG?SAV#h$T=wP@d2m3}VWG$i4^{stJ@f?85w; zWnKVKiOgA90|pg{LaHBw^c)nEiBTWjDndyu?*R`kMy8Lz0+YbyEk)Io0xA;l392_n zH67+X--S}}S0dyLXzFr85=$5bNC#ki!8K-2;F0|ODGb@E0*#kL4E$-E1y!=dz# zL4?bomz%i*{Q($$*Y9zw)}8#k2T*-YE%CT?5hCuVpXPISmi#2mTaykN9VYB1XdUu9 zAA;Tn)o$RjMX*9)T4xO^Pb=UVjY*#;2{36)COXM9*v0!}Cl)ptd8+~;lF?+6{&+g{ z-gqAj5~9u<{#?kb6S--fi}Y^Hu%w3s&=MW2_kM=!i2rmkAlSK-qg^l;^&c9-^{h4i zr+Ua8q5IcIMPN;j5XBEfi3npWuIGN9e}0BZ#M6hCVv7xa0r9G#oDR>F`(exI&o6d^ zJ4C4>rU_hWQco9;CXxATs!@X?#9Mk-fCtGt&{WJalr4$9Z!b?l8-^5gXDO}Dpgj&! zLz#4jE`NJ3D6AXcu}uG=Zgf5%2?xZO#+R42k-MrGD85bfk_Mh<9ly{RisV3a+~=k+ zddmumsLdh}al)WL3)3##p~R{@P4*}`Yj;ljNagTYk)#VQZ(;G$`!g}r^xci#PY3+X5Jj!)>ZxdT|v+Et=~?$DSlk!4`(LO zs!f^i3fozF)>Eru^Vjb5is8sx`BR95^lL?&HJ;h%YsD0i%ySd}B7NK!nQs7$p&4)N zC}}?=O}%kMy(4?Fv_2i@xM!?pnDH`UlK#ue9X#- z8cH^%PPpwO=nOI!zZP!o3|Vr$LnMJ(MVWs`a%S8yZv&z0 zQvuob(O*2fSQH(o6|O#cbt{dbrbTDKZCj!$r~GeWClI9kF#14J-Gx5h*xjkdu4RM|9zE;iXvoJVr;a;gGFIw8;H`5?4df@*YK9^X6(O2S?pD&iCHKZc2m@~SO& zcGA*au#-+1pqgC7W^Ue=PwU-$E#zaZCKED9VAoi9{+N5xv6clfD3v+h=8t>H!T(j-{pg+-=&v3O;nFh%XH5 zze$f%U&SoQu%67ZdM8=9hlbg_)TG@?7nY)BTD9zRX;AO2%;R*|)GqcN-p3axPm@iDeaMW@Ay8{u-k=f4ay3z0 zV{`@%nG;Nt2A1I_(NQX6j;%1r7&`4VadI{xPGd0zn_hn4pxyGUQhHdRM>@%Dr!BDR zypNjxdjgb*b3;|b?%hK=v&WrbWVKl8B|2T%JCum$n@I-M^>I$fZT?GR-w}i4jOo=v zYY1v++R(*2QeIzx$c`o+UJJbBsw>Ev7r5{tA+}Pkl5-oIAHMx#zlKWc(WX}W#_i?d z+VuOAtaDEYvz=Wj2QDRW_qgU}jx@Xw3<(@hYS4uElDg`wPYDS} zj4QaMM~$j4f-`gcD3VhlC=f!qA>{nZ-drnGRpZSi_qFL$jG4Wc{RKYp^0I0HoQ*tY zrfOi&hc!KFa|Q6~r}vgQI&ecxZ0dN63FljnjPBqt^->r^>MZ6Ue5KQiD8 z4M+0&(dzS?x?(T@BafrB*eZ^cwa-9=!v{bx+Qq7xQLirIDUPe|tI-xVWL2h|?Ny9P zA0iE?g4@!{!c}R~CeUfD^zuRNNJp2fi|3tIHoZrTT#H12x>>jx256AMS37^Kc{KlZnl zD0M3rn+j8-#{2$z;Xe!`2Oqbd z<_X?~_m$0D1U-2`9o?9*5b_j7x+9;WVQB&v=>6n6-NpnK~xK)Q*@XyRj|=yCL!CYfQ$2{&H69bW;>klzM_MlVbT?$g|7 zAz>e!q0v}RbBITI*;Pke&0A%dCq$t@lcVdv?tm~FRC0r8JU#m}^5QTirB`gPF#q`b z*Mj4GB*Or^9jy%s=00E%OBJp*ue?~Q`6#1%g~6Tfjf;!P@P8MLnH=_H>D@p)sXrv< zZ6|r)w1BFh;OoInUaNF9W@vOx(cNDQ_$R@~P){%Amwj}kRY?r!_w5WfTFjGTsBvZ8h$3D2u!cwAB z4A&xA*VBy6`^nk)#^eJ+?bfEWtz(501Zt3OmPsAPMyWsCq;4({KK3P~DQm;~%RraJ zkV>>gNk?Jao+NOgv8xtF3Mx`6q{w&Ct~5@5Y(5e>1Q~AtBK~{&gkIG zMyBA7)?PKo9W)`y&c?(E)u1PRf&i=@9W*ykBRM3iKN;xMqDjQ4VKHOIJIsqe06KY3 zz5-x>2Dme(MhN5IJ@qU5;3Vf{BLe_l*Ub3bgQMwW8exzyT~1L?siT{GMLchu{?<%y z6nSmQZZ)V19wi#=YQ8z+ok$0*H$k&u1|>DWxd561MSMK<#Z+v#YjbgIF%Q&xMSjA{ z6*0!4E)L^3XxQ`4_)4C-7BQI5_}@=Itvs=_#Gw>6e+dd-!7m89)(rT&E;0-wv8f2s z5LI@#Hdl*tuQFT>{G9zhhnR`KXU1$aQ~C5@*tOf}&V-hQc;%4UmI-Bd01DBWT`6tD zxLll~cldsAk_qr7Wds^ry8y$i#&f1u(Ru6F`g{rC^f>U}C#Mva$Q2A24m{UvogOYW zd!!3p=U&jEayz>aV#CsSaC7W&QA%-?o9WSsz z%36Nw)HU>#(V1(ofh>y*9eb+3m}rFanv0qyF086Bv0sS`gR*kXM-_d!DZMZz4J&?O zA<%UzMQrYP7gOjVy->pQ^snQFb6_k^%r4VON*Js?G}W+w3a2~UGrS%%3Azcrbxeg} zOSdJMNdF5i!X#7L;r%^|N|xD1xfh$E9Kn2(Ta5u2t#`x+EQnKG$l z!#>WG&pB^>XP`{Cxi!NWTSSpP3WwI+;HA}DtNe>&?m2n9l}pp_Cj?}88%AE(pNJRO_m>y# zXDAiTpe2Je!vn*6q0_bODbf0{`I)KBVXhphs}lgAA6>x}75*L$M=5ocN)3TsIh?hb zafM}Xiy4?NZJ2SG`P7@uOL6L0Rz4U;Ajb`A{|LhCn(bo8LduA6++b><^ZiZi+X=Ta z@ONc`34Tdtj6|8|>2!U7dQgzdA1dUlWNuC>rXW9DrHEw;R9Q^1O6qC;f@H|Ia53m~ zqK1!K=4rAGL)=&?|0W>poWE6~4e)Aqq4Y@CT65t+?XrLu_DDA*6w%hRt@i4bUus34 zVz2D$z8QXpcjs8m)%C-x$()aBBv6RtPDJLWR!u~ z20o(;CDUSxJQnYfy@=EEy!Zk1D#}Qeh1MV~a@i2l$45u7)iPK6Mb+_2BGbgH0y;b zi{}~OO~a63iyLf4ndDt2Re3~Y7tfb$)|2A8S^EAUT4 zJZ%4aq7gsgk3pdRH|n7!mJuSUo4 z^41jQyTBep^)cFj^&21Z-8;Wr;$N(PSW(K3>-${PU98DS^k>cOP7Ugb8l|DL^Um#j z|Je!`HWgUX_rI%b-p!uDxUk>Ol6NT>-buCjH|isTueW2~6=W_S4SAr+Z2Wj}Q3wz5 z>t0oP340KTXOWKGyk~9y-e0K~){EwxJc3pHCt1k!G+6c(NSuN+`1TL%Xah1i{&or1 z7+QRiR9J>+Z@y%SWy-X~SZL!)wwtoIhg*g=`&7!L)HciNH zJI~jxI`Uw5)5P(qYm{7W-~FtAdR`_zkg|oZg3a^TJWqP{j@-FYvaH2c0t=2jVJow; z_H1YVbNjC|%SzbrOKkOgg6d}{k+?+gE02^xGaARyQZLyHv_3Z}1!h>t_8r_bOlbKH zCiZy4g2E{@Oc>Ozb8$N7@9Aqr$r%+8NQ+h zxNDmR9>2S46zg_Ule0cG6HymLP_HmD>Bwe0mTpqdB1b1EWPcq-zfnoFWH~L%%i6*UM7Jtte z>WOdJ-SLLQ9h4R{{jt|M;dtM@($R@G$%{WBdv|(J#D2TAXATar80!e(f}HHmEj{s5 z`o`dmMO>8XTa%2xbvV8ysyoZ|;Kp`SqGI0J2Mr?T_t#W*OMFSzNeQF3p`dBLnRj;6 zaw}2P$ny|3syu4&5%7x1b9*XoAvLK|spOhiAyx+;7msgyjX!m3JZ{yVtv$QAz zhZ44!+F<8Q+Cl+Uye3!G_sW$!u3Js$z8?N??N~F?)}&5M4_FmmrMG$r@8PumqhoU< zaLxX0dD*b_*Z+tYf0@4m6bBl}+RbUX=V1x62?G!EFa2?==;Sw!9!q-pErfcViodzC zEL~aPr;6_#VWu+fyzD9JyOrLtZeOIbb10;7lGjF>T&*ECNB1sS z4`9Pj4X1U@>@wf}+d@n-g>3QO=Mpp{H@exr8ZyFWMdDf#8+6cis}>i|y8wEyynlUB z)byV}X4YDX!nz!dg3nz+pp{4Be{~bGRVNvY!y6&2Q zO;kxp0*yDeej^-7g519(`1Xq7}WGfj99FZo!OhNkx34YG=oVm>O_lD6n>Z@(3etsCc+;^5<{(2a%SQj&rW8h zE^XdnHd_JWGq{;ePcnx?#QnF5?AetmIW2L6uGRRwqB3G)GJ!a@m!MnHE}c8k9&`21 z=1v5=YaOa&`ODRmOEM=CPh#wG-+Sch`SYr}e;w*CS56R~@7X|Vx^iI5(nL<>7&T7r zI^vt#|31}x`SCm#X7~QHzS7~*KkOI@!v)T^$T=s_NtMqmuX5VCuWwr~5KHZfHZDor z6T{iE+N8d54t?xU!h?-h63bAAq}SKln~jqv;aw}4bN^mc*VZW3G7bG#Qt0iubU}{C z4Tph7gZ@4fTd=a(h4T&%&;5iPOiVHw8l9A}#8{idss8WqGVRhWXDU@Kd*k~jZ*>4M zS%eHPOYIJwzrK%IIQLlC3*y)=kacfy-A9DY5S1F>V4a}rm}bPVls?N*=fi~Ns8|s& zYCWS8i^Q;EYSSNqpA)kC9_98lz36*!v7(5{)#F3UC$+VkNzUopX|LCu5>HFtmQU8MA3FM_lpG?v5!f;L%2)Sj*WIwmZw-e$^l6rBU~ldrjkU!drH+dOW+uv6B^sTgtxt9bT(roe8OJi0tj{hO!t>AC5-CtftFtX%s?x)SRFWkL5 z+x1hxT)*BIOP{jL(rTskf19(#4?zc0hu2e^^!Gwi4tYQ6yHtjiwu!t18cjms%H)M& z?WrG6{nBTpWUoKmF4xoMrzo>H(jqfmvsPN*u(zBtJ!HuR>Pn-@y#)`4a}52L_nGiO zV)B(h2W%6wTM$UL#0Uo_*AF=4)<3Kl<#c)$2udzcEH%9s)Kc{C_ty%K5qKxFrz}-J zf)k#TMt&5FPP(0-q~-a$Kkm@}BGD8yUEZdT%zquRgkNajFH1i>`nVdmY)(M$K+(Rkr^zASX zlg$fnldUH7Tt_yaU@|;?Qg6_k{(JKMw?B-dsTNw*@XAd?g$rfk`wwOAp6}`mjeAQM zdWoopPgIQOqLS>03=ERzX`@V+G@Xg&NV-1er2>;8Nx}oOYzy~Vm6Pw<3UnM-B=GZZ z?e1h=@F>N1Q6)9-Xv?oNO+tzJGZ-KO{3A>jd$g@T?{LIK`W}n56=Klcmm(MUGd=iM zI#R= zcKMyHgr}XCZr^gb8qW4ViN3ugnQn0-J9*wzseR50rJ-)l?_A}e#j*m)Ow%8K|1N>? z3SJ!hRPs(Qe~zKE-2cL3+ReMjQSl8!yTxcvS6SLoi*MS)gQcJBGVyymN?wgu_BF{O zY*_mI!PZj3dE+qOR~u`oNz~3evbVUpSJhuS$)>3KA;qd7xDYREEs<`o`_2Jk5{*xP z0Q;fePH1GOts{W=%tK2{fBOz^YdRopw&o8dE0k(YI){Iid5G@4A^YnVpKoj9`s`Ap z&rZRx8NN=Fm=oJsuV!9wkweun^?!Tel6X;4l&$#2j_Z!_bHphJWnU4|d*Q`GK<-(cLJ#Rd((@rBY|-kjFdja4&|<=4H=hmzsxaco*D!VK$fE zvib%n9e&wy56XY?)2FbRt5yW@^xL0(6rT}s*FRZ4nznLf$h zy7FtN zV77L-wIs1~>33;<%L>_XB4RE_yy*>+h@9)UPg0nOxpU99V`hN2ol+37ve}A1qq*P? z7z%POtQ3MKAicRlCO)=U*K8#vr5!0kb-Ip9%)blLXOCLL^i^jzhjO)R?^;WZE(#KT z&zPra9Y1oH^E-?&v0GNzi>1rL?)x%-wlg)cTkNIT+smIFU+Lgl@jr$%v6pxt7}zS3 zrY$^ao{qQ4eM*w?=t0C;5ThHG#jv^0_aM3K?x#kXgNE>O-?9YSpiZZG@rr033gZn} zmYrA~o^tJK>RdA;J!GNPijcrTZ~Lf9<}TQ?p8lbQBkUIj3n`{kd)dwu;o&}#r9gTCZ`|f z5TtBr!6GZUPIUxQo@28+@p#xQYlaKk@R_^>&}v)9@b=N<#B?LWXXjl6q9XP?59N^L zYOS@{pb+1gLy)r=7I(9MQB=9ry278%{*z0;wQ7OEpdngpV^0NhBC3{rH{IBkZeL)Y z-qhK9*Zs|7TKcB>ml50cr@q-*V3DnLxE&~#Qas?#LAUT5^e-tn&gqnUR$ZoH|A3{8 z#}QlZv!$4Yj}r+kmTx+bH(LtErLPWOJO0UK{+cU7T8J6)y=YMPO66a@vTir_Pco_5 z#i?5t&NP!?2&sJ!8gs>n(0>Fuo`Yo75EK_{efpu4$F{{^_Gx%b`Q(*5yk#ou-hP>m zm-zjf^83FAZBLH8lE9z^N5ONuoj8Wx4VnucReGK7?r3&T2}Ec~EfSBmuY0_VoOTkW z>c1&BrS&lE+v#b;o=5gz*`kbGr6FhQ+GCg{LHHcQoHMv@h9fsM@3%+se$2Q%HT|lo zEvTGy(cf;Qu*KpFrp%bK$r}8(!@GHgBYAjUqzxrx;~M))NvMqm*S;f1(Xb=b>NEM* z`o_+p3y$|kv&Cugy~ktATrJ$$qdfbOtayOF?)k<8!C?koH8WLc1=w>K$g+KV6mK+? zW_+uM73G@vGdHi-U30-{16*iax%VFA$yTgSfoa9W7wnI^9!i7b3!hAlXU@XD3Jcl$ zP|MyT6Tc*V5AnxK!b%Sn5`@~#;bAI`#9YT#$=XaF_!7#XQ0wEBpnSKh%tqqm4`&+~ z5+|}UTZ2}J4F)}nc{UtB!jB=FMSE}M1bm<8?dRPJmA?K_F-N(h3@;w&Qw)t*{{6G{ z&>y$qUB`o>2-A=4Z#*aS>ZUpkPv!(DRlW3Yi$!V`z}QjCW|}P{V&PA5O~+=Ag@D(r z`aQX;hd?}ZFx=(-jYdikM^O67xt8RbNLRM3t4rW!DG#+S)-@3en05Yhd&_>GUocV_ z+vrxUw#DL2PMW({OeB{=owA{ADr^)s>nh*C^JSm)A!^z?+MvGs8&Vkw?CdIcRF+O~ zBlwVsMgcvuo3y&;#?8atG z(9ZOk%2s;FaULJ98tUa?E`&pS|2DC_aFTghw+2Nb@mJ53QhM{JY|X{t!Q3#yBSay( z+Kta?sI|PQxf07ufyox2nf6F?gE_s7)b{a(cUIYjJQm+Wlh9!>42pdu^N!xph+(%2 zRDt7=>|CPMqo_>Pe+5bw16KvkPTx0Bmc2Ec7;A<3{Uy*SY|`)1e;mYju@bY}&p$KH zxETJEsm=PQ?b4>Jny3*sem3vx@|N{J9O>e(UFKV6EX&Z&=FLsi^Dg)tYxi;76OMVH z)^;Y;7MKt`@%e!;DPB-%mK6opj~xxfA7xd&@%?#4u7#QM$1mGst$*c6$1aIyRqe=M z4H`Dr>q9-I(V5MzRq~+F#krgCWm_)f4&ZL@_3u8mcj#i1%0JzldMJsf(VVCwIW{?H zBpiNhBR5Q@zvs!RenQ7z%qUi=z9Y2zT9T#bxv=@Tu7t_+-7qc3ee?@a_2)uNS>R!P zqpRej@03i)es`#-$gCht+7uZDXG>i<63!QaSelSyFYR4>O~`gKLv}F-5;jT`3(uRw zq-JL?e1&;#4;}qv&U#yVZS7O|%O24C@K%wkP_~y?9>snecnH3!9{;Ch3tA`L&za+= z)FJ=0l?*UnrQ)fWa?xe?_pQWEZD76zKb4lVme=#qZK>`5HM-<1IKHPRlJ@A{k?*8) zO&Y{z$Lrr_#0d`jm;|_TPfMwgH;AR3d=q| z&*VrEm>qMU!Z~7oYK5a-`Hwsy)oKMb}ZIi6Pq>Co^x9f^nNM)C&f(g&9 zWV1PH^343X+UL=De=*#X#5(Zw+^8~3BUV(+e0=h-lXu=cSJx*G^ILO~5u;?y#k1Mr zoA9D~&rX$i#_<(%MW9)U^tV@!_Cyo_gz3)FJW+rnd_04zI#E<)yY8X00qJQzdZI|j zXKOBbbjq7n#2r3Q0%k8&&XHK8;k7Q}FMG@23xeS2)vIm@h#h|6vX+%T8dvIvXZgOc z*C;`|+)At&rKwYlf*-f{vZeV_zqI~~PlYjQ14=z|k}hjVq)gjd2^X(X{Ib*hyw$?(JMlC9=U9#dOhNPLg?P?BocG}ny zQ92=GH*?1H&L=r=Ub-poO#3};UlSD@^nK?y$>alrjw++~5Ntu*Z*OQ%oc=d2ozfR<8A$!`C4P0gXk?V2cJZxKY2}RdpD^iYhpX&u? zqf>+D=j-4&7`7#Z7Dg3sv5$p4rs~S^8jXtXP${@6|0JmZpp6$hEq@zca+=LW$20}T zY4C#|eY+oB+3ug->6BJz@eoqOp=G=>yImQ9BHGHt6~Fh#~HMI zI_+%!KVQLAM~z%=z%otS&kj~?zx$D=8X!TK|(6IS)2V+ofaK+>sfh)BHVhqSX@yT?6~2 z^020|In-35zn{^aMT>olag(4GWY{ddI;LqsHa=%XsxoMPj4DiQhUb1$BryakSpzq= zgdMXK=%p6lPFAHR(=9z5FE01N^OM8^30JAB-w*G-!KXX&M?a?Fv%5W&x)yJ-SVYa* zr-a#qB6?l;6lIGG0RDEO`#N)0z~Xsc z=klSV3!c*uK|vu1if8U%n{C7ze?^^bH>TM-2E%@tQvXnQ*D4kHW3^jVo_IJFHhVDu za84S%=i0h^Mi{8A(rQ)wkb;cS6iRe0{@a=Kv;!{G?|sGk#{G#nW>$$jRuWR?t7r1* zX-6)@8c%a<@rqGxf@_Z^Ck*c6Irrt`H7eePRUlh%W*4FN?$}<6K|K4bGJN;F5*|Jq zTuUt0#e4SO>UfanK~HO3&4y#rK>xq6UI$0ExM{se^4$Opp4l4)%+7<#BexLDV|+nY zJ#(aOk#b^4!=7r>G3#2qC(FP>=-jRFGQs&GhlhWP2e>byl*NeaBknr?$IvYj~YQ2d_nW)Jx)a}o* zL;Cx4np(LR-jqlXh*j}y={j(47H*F|H}&1?!!kgKv zd9jATV{6TJo`9+AYS(6W+icU;yAM~rmJI#hC7brz!GyTHLd?=m~>P zeu}<^`CcfbY;+n{?zlAhw7mj+f`*{U@lzTGKw}4Moy5W?M)k~t>LHdEMr2FVhnsiz zz9Kj;PK;BIBCrJv;%5xL$dOYw=h!ybpO+C_pnH{6IM6UvE{E9~O4^nUCcL1eMB}hp zr4NAxC4|usF-O+P4K3h#dR;&~z46J_!V7%f^=U(uabjq0l~UC7 zM})9}k`h{f5cdJxL)47?^wWYfJ4<$y)%j%s7OcrrZ5rf&uWiv@ZHHIEL~t{;%&P+C zIa`fx0}YAUu<2!7san^=1FtDEoX`{yFZp~7q?ydpG(4hQ1gNd9-z97()y~DaR9Se3 z(MH@O^q(nlo)Otyhb4L*#=ys$O#Q{!iBcTc4It)3m*^ zE|YH)qe+Z6f|WCR;aO2!OVtn=NUqDU*Q?VH`!we~NRHKWh6W97tA@I_m#3yF;q1iJ z6>mI;CO|F=d2R60DW-bLoSDEinQPr#R71^Zn+~U?A$pvRhVYRX)=l(0iL%f&~A~m_MDz1$}+x+5jI{Wpi&ch4kYepY*Pxr)8&}plO=A*z#yh?|qXv!-w}O zVRt=Vq)Cf|wgT$9~kFHhmF&Nv@^W;dXyVg>(tCCk_U zE|7Yp2|i*mFT;L|{gan}wIrTx(-XeV;}oxcYxlzqhr%|tRNSIw&*5g$+;LbKMln9w(?4I1ZPFoxh>m)?g|g9Z_ze|KpuZ)=ufX z2KF((lf>!Cu)O)f9fO!I7sBkxYiyO-+reILX!9qXcT*KZOT)jH=4@k2RVQ!Q6$Y6N zObrT}?SFCHz;l`@3T9XoU=O3%3e4txx9&_Rj0$FuRO2$E7D?12KVass5!j+E|k~$-^C|9ume8w zhpUo$5AcJ#kU1-eB{Oklh4kTXR%^0uSdi-Ds|U-aUaXnQgKa(meoQ~wOU|T4{A4kH zhGnro{p7zX|Bs||foHmZ|9`o;@6la3B%S3fVx6Q^L=GX8%w(}nI#5=^Fx&2S>wroT zyQ9cCTeFf`%(iq!5}FmZNr;Vo7&CVI{663Re~ypM1N(Tp-q-uOUf1)rA$#aq!V6Z? z&%Y-w&Xll}#5}SpL#n+WGZVs8%8kxyw)st>_-f;RvfUT(p=8WQ zs$KxeiXR^DLW*Qywp5C*#DPT>nm0SMuXoJS6S1WgCHTOfn;J-#w*9RV9LVph(XqCr zrP||^XVxPjy;;BP{(5GA^cX!l2yOfmkk1BClSYhid4V(QK&Aefj(COmVoWI4XT%c{ z%`5P|)7zGi`q|rGin#h%99erUNfhZ*-s?p!NzaW3^BRAl?Kg`RM5hfQCYx()@Ey6o z)pd}i><;eyaJNWzH$hIWv8{Kx<`7cTTux6LZ%G0i*Z(V;`iCq~IPB>Lj@uNkZD0N# zbLP@@HGU#l#Ns}9I5VG}c9yKRYI|SATKG8=#ZHE|HWG&%lzz!2Rnf_gA!DY|IF_K3 zOdd)mCo|i;w6KKpCsj${%e8RH?-6qi`qN?mEQPw-nd8w%k zL;R0;1`l9}T2s&6RI0y=Fm9BiC~WTtO*@f6 z$DZz2$&Q%!ZF(#K$!d=dT+?JWzN5x_tw@#&pp-T^D3-x%gyu(vma?qN;75~bP> z5Q$kPw+EELYL;zCk5E-jjGKrC1UXOr0{Sr{*W(s2tg(z8X|i#yoUFk)il(=tTU}JG zroB-^37;t1^qk5AtK81a0C0Xvt^S|FNrllI;0XpqTYwIlzJz{Z z?4jrX1u_UG)geJ3gPdXa1z=Ty(4tW16P=;`pC-O%;Zj|B>o~VK`x{wZk^plZ|E(Ai zfVviI*=6=zwEwLiZX!hx0Vpu{N`IwcjyAfO#=VR0Mf2sNAE{b2H%8VjXtn=#fe1j54m!x9%fzVon1dcgcn zo?0GrL8z3Tsz+8$8PgkyBvC) zI!Mvs>^ZE*FIYFF7^?2BBbW46a6m4(WvQzOZEwK>RBV>_Mvdh=afoDLvBhJ75VxEU zrG0ro$i>1sab>vctO|e*?yW;+^})nBlTj9jp+_L%nuz|AZ!f_Yu9(ieH1@b7|NQqk zW&vLZXU8;@&k;wZ`lAcDGAdmpP{3zH>j6Fzef(LJ@riKCUXV@N?FM6O8>oJo|A3M4jYayZw^#z6d@ z4eGLjf7jFDpDqy8CdLZ(NJ!7AP}V{mGpM;RVeU}BL&Pwo8cwFZ1dzT z7o?Nemt&_L_Gd0jVlju9Nb=!>NY1R9)xT3Q7bQioNuV`$bHSw?g~|>~7B{m5DVEN) z05$+0Bj{AkL?6^-Lhj6Tqx!k_Nv9Wlk)!Q5AUZarmdaBXf~+bnd0~zi<)UvshuIe0)1w`%bFhR%P<~#LY~$V(wIT;5Q}>ldx17ui!V*i7!kE z3bAoFtz8SAWvTD);YGCAJmaX;b9A+gp=z_4ja*8FUu6RZkeKU|eOp$s}c%3#svPrF@bP z%`fCAA{}@#Dx?Dqe2>NoQmlm&D>IttBc}e!5L|DlPSB*wyaoFbyiWGJ#XR&k65|{> zhXT-vpvyp=R*^QnyG-NAAVURC)Vr3YQGiS*3n{?N1qq2bF5rAt!4eg9@_jj=5mrGY zq_U_}N8{3hd!%iWv3hDWkjOE*bwPB#elpz-F9pePe0-d=d4(tu(t1rQM%aW*vdaif zG{j)_s)^*z*^+U7W;G|=1L{TAXtI09axZ?JwI#}ioZ#;OeAI;n_hAmu&eFoD=!84j z(kAX3WR-L-yAJ0%!qUWjgzWPA(`11nk8B260NNFpn{Oa@{@JZTh)a)^V$T;Cn7Ms>unMktFtfAZ|o4as9@_#M?-0%A!Sv zVV*@NN*+`cprJ@3W(x|M+SydJPc4Vs)qZ}42x{Xe6A#35^X@lohO2Gl>VEFeO`PWE zAo%sB1`TS63UCHG{u;C7CLhn)0MJ=Xv%}9Ah(aM1seVWBS5z{%2U=W)9t{#pl>(w?E1~x}a~%JIg0XBI zLx&%VDNSyT4Eby`)-5)16cu)-6>Z`POQ1m)B0Mirso;-vHfUBNRRCF?gBtDIi|Oa_ zJUn`fCz#ovUHo=e(^j{T1qnwjH&o3<-n6R6;%s&b5VyCsBJ3*`Y(nFJmP2=-!t}k=hG;q6-x!xXq7=!Nh7jxe(nH z(iF|%G&bLtD@My)$*aY$3#qMw1#dBRhXAoT+m<-7w9FdX=btGPC7Y~e_Y+y!-Ne1h zX3W5>+){A)+AI9gnGNvlVsJe4!=G&0%+N3x3kRN3%*Tq?D;teFlZ z-v)_BM`eHjTEgozsaB&&2cQCZCNw|(G2nc&h_1_^9x?5T1L;!yLFtnZoKckZ8Qv(G<}pHkyH=N6cjsuw8J z`+CUy9#Kn#yIDot(04=?FnzpAsFm=rk)OIY!!>RM?q{n#8hjE>0P&GAdyqu|C9N)! zNG#!7?*3oLrsLjU;3dhmT8?HHyt4;z(YQ%j`=2He0#R(;&^^u(vfNxGia zz$I-Bs|&^OO6j>18MCSsHq7_{M(?Nvw=s_LOP3Fe4hHtWV~2LoefW1rG1gbzK<5eS zWwOV|Sdjp|z=X^(%=7QG@_q6yHBIEHGgpec(^o;Ajde-oi=Xd=F`KM)3sSPx8vX7O4jacMsAedKTZ%wJ3b-chLL-8NNPle`)#(v3YCA$J44x|$9}c`s2OW+gD#1lwo6tBdq2u+LyVU{^OCQOV(i#= zl$bQi(uRsP`;wvxLl#ypoKZLM9Q)KJh*$~J-j^o6vC}D|t>*EoO{Ngo5b>+{+se43 zNn-Z8pRTa#5qNx)qeK;8?(~O((~N9gD%#32k`%Bmkx^#l`Pdo{cT_% z!;{}S@{eS#jXoHG6ntIb25W~$j>$0hmfZ6-cK5j2a?AW{Z~ucV1K7q5%QyV+;jAk3Uxe;Zv{aD>BkEeNS7?^|r6obDE7D3! zC(v!7sEG`brzJ&U+sw9imY}(@YRDeydsK!QR0O;D+-ytBQhI@$etb7|x+v1+Vkp zG@HKJYv;X~ej-)(04Dj&@W>w(e}CQ4`day1AbNrUSC7>#1?^;uDaXyOHC*3Ft=R|j zb+Eak>$`jX)S*jI+P%K-+A8CNH@V&0$MNU_Z%DMO^$B27wXg~efb6*xAKX`vy%moA z_TY!3Ph?U^!jL>t)F+{bOTxv7qJ-KTII`}^^+Lu!XaBkUnnb^2{Q}%cuPQ)takI|K0`UG;I>WA&(b1;k_ro;`mEwjA*qVFU2UHssOa{`3_(`$Hn0eBJx+ z>#%eF{f21;SK=R$&eAei>gEfC-?EB`_DO&OSlc=QZG5W{i z4GhBJhbz_1kMThx7L^qxv|f`QOhYl}Wir`bRiUKfk`e`{%yS{^VZ4wcBDqOy1yif+ zPoIwH0ZxCqe4lcv+@t^9XyB@j5&cieL!T_a&skfV58ugYU}T)pefh5$!I7&oxH4a8 zx@vzO-NDw>XnMoeLyX^s8|CSu+`?yr|2UkFQyan7q61MsPYiE2G+%pl1=<|wJ>ji; zA{a(;Rwjj9A1p}wP8)iGD$_xv7(X{QD6wOm2q2H$(shX=S?*!=Jk4Ea)s&R7hHCQk z`^?}{_^tCte?1rG{m;$Tv79SbD1ttzKKozA6JWo&yb)<@FAQ5Pq#}d$-KsDdM{=`*_XtO`QYgtafX#b3WLHU$?eQuXgc?Z=BcG6b^iCIr^}z{ z+e34VwNEpi4_x>Os_4Y#3$Ue8#+29A`?*j(<-eQoJu&Ys>I7N;E# zPNrD;PR<)_*|)Z1Wa{wW`@d{Fwx#-p+7Xwf5{@1F>vh5YqgWP43i$rxfYwbl9gO&dST={od zU=NPjFLFHX4PUiLZ{>$|&R&D;xacj5=;UT=Pv<*y+)tg=?Qxf_5geg)-`a&-)|5#6~#-TiC?CDpeQKZ4*F!Dz0b{Wh*fk2+O-_8Ll z5zz+D3T!v!Anf#n36NZp&iQj)CiFZ2zqG4pBILx3aOXcT#$fxvF?ugd;f^trHbF%` zakGt}SCi07Bgmtl`9fHjUj4e}uXN6}OaAg6zXf|NSNBwS17E1#uBVd}g}n2O)N%^u zDi##bpe7nC;h?@(+B3z}!M~b(f9WtwVZYhz)~PACQ%OS-}+DB`XP=dE5h&O?}oiRF=2;<^@?ufh+%#n%z*7w2!JL4e5l z9kvP|5Y1c3dg6lvjGp<9u|1Szs-&on*FC(I$H{L91|7DiQ7_=lSN687=5rD)8tU3; zkYD)4E!VF<(_%msdySQ5-$VtSy~U4qEK&;#p@4l}=9AG3WG#aDm8{S$s_*$YAF@Xt zNHD4u@Jl>&x2u@KvIa_!#wpe2k+Hg<~a z;>-qLis0xoY0M`%7NM3NV}{}ewUHUZPhrVSv9^`=5yP@d7{17srAR;Zlbe*$Tn@Ko zB|u<+k=#CRJss}^>f!|;lD`wG<|*mJwakqLwC?dURUaqwb3A%WI#{!V+3^0ER6;@Y zVH6VbHVIwuAUe&$U%Pb-*1b{6tsQ7kiOCI!cBd-YJ4M$^T}M4skJbbS>9*{6Qa`S{YkU zzh@%uPNE`WXlXpldCN&#p;$y(SM(xEXW+j>{ZSkqm6pT-WarwI&QfuY3(^$#fdN8E?I+oL6cl{*<+rOA#T$98Alb`T#fW`(Pb z6wp?wwxh@qJ`1a<#LdCfq%MyuHc1DKA}RIxO~D?M`-lMwY%Pb5!~*R*7L-b59yYtj z`#g73oL!};CL??SR0N`%K@>i{9>yv;-sqKdwG{?nuvBeSY*2fo7NB2_O5U{PHsee42o+zq^B|o+sn~4V66?~03Um69BD-r@s4C!!E8fub`Xi%|b`@cN9*4c|{Oe$6-TsYFN_3GigqXF=U#>sF8-M)~SbAa)K<&bP4QBPS;i0B| zs=^Q=sBUhY%!l+lsq2L=cn{`43b9 zhwh-bt-29ybCR)FG#gGx!Bj|Qi;dHml>hFQStS-YsAH?MKF}y4#?wPojfpN{hCF|C zxhq7j1pj_OsA~JIv*@J$DLAJRs=i&E&g>`e~tUqv12b&{u)g>a1$9hsqRyZ&ekJ* ze4G)pB6^|Izd3)pV#4?dB;%}sg=%(O+s>YZ1=^(~vrf0rkF9olkJE`0LAgQUOG)&g zyVI+_o$EQgzMAy!_I zDRqJUcI{|!Klx6$(rc|Z=Q`8&x~pv#FV$z9(;9U;#$zOQ*6pdc^jW2sB`0;C{?j}7 zKnjuA|jQt#Oz;dv8#Fa9(4xh z2T@u_x)BIst3X!99L($7(LVgEo$ifsI#L|y?WeKa>9*J9?B$>{E&9&VoDWW)BkixW zya<@4MDA{<>?~igO}thf+grB{_OXWil??rV9$>vk^?Oh)RGgDZ=jF z8h~E^b6!bB(C=P(Mc9GL?wl7U={c>jIjB3Z(!nFwD2xDKKKL==L=LXp!F5LW%v0K= zV*ohW1@EWq>81D5oybv+txxYpT(!i>dnuP3qli0sWvK_LdF^(R7Pwu&xgV~x9MCV8 zrPt6<S(7);#DNOr$!!O`egk~4ZvByY^(!vs_Ji_)|K6Rfsy%Il-4I+< zdUyO={LWg<1@*&iJfM=ulf1BY#4{_&d;}MWm9*2~*#e_d?~pmJb3KB;z@TYJ0Yy9c zWIPp1CD3N|U1CL~4K=RyPqjxM7^4XuQwN&5z!TXq?&Wcor5oPAIDhFz7`|F5l=QEn zP0xP|Rivz6wBPayV@=jmM#@T~H8*H(t+6?M{wk-tdyQ5yJh$7FcJz6?dy=h*G7MOE zS8LFYW3igU1?&Ebaxhvftl!%{q5tIi@zPux;kL$j34`W_iMr>DCTyHM+D?R~gZo`~ zVA?lJ6oFJ#0+(*m&l9+cBw5J{=idvCSWwr%~mb3Q-TES#eN57EC{3Y1lE9g<2^pfm_}^+D<7!!Afc zKjXkYnIi#qT?%}Ak`XS|Sl{Hvly(Z49d}KhUfLbVEyE{L>vR(00sK0mu2Aky~>yY5912b+UYdXkEa?|8OkCwOY-l1)=1Z@H$Sh2qYD+6Hq%)Hken^ zrLKh3dLLzd)0%G8_z`fUkBv@t4e!5ry9VL z5E#UqtmX2m`&}hEdZrm?;S(hfxbvUgK5Du$NLvx8gtr@STO|tguAkX$II0+{cT&ZT z8DkSqQ02O1#TvnSg)Wx8UaotSHP#ii#mfTVQr6tnLKz=o%1JC16y476a=lRIKvn2||HkjTr17*Xl#EBRk84nJOr@WS;&CtEZ~l`bEsl0{T3sg2 z(Hv1~Qnc+}_p3kUuT~qIAqF3QTLIx35h>l2UY;JmWgn9A^$?kBbJ;6__@s7M?&($U zFKxSR8}`1Yzl3IRCHLO!RmL}?zpJ!Mcy4PngSSqyqu@V10S*8){nw@W=q+9}ktzib49QsTD=Ug>=$9A#a>=aUY(5xPT+A1CYp&>^^eQB$VC3O6Z&JEO@F3geF5u zA7Q5aqK>GhQgz?c;-WoPdO{!r*qbGh9)cA3=whGS8=r%L9G(3}WV@HMep4%-dt=j> z5mxI_(_rZf^B+s*tycgd7w)R*=b=e#P3Pf=b6A#CQU2=Fy``?}rYoc0+`vHtg*f&a z#~Ay~902ML_?frt7ARPSyR@(l7I$nGEB7<6^v&rm*Go4kRIs~pC|26LAj#<*G_+^~ zK;`MPib7TYmTxpXl33)O#{qSdc+{5VMQa6-_4!=L@;(mJ;?9WdQa4R&Ehrv&x>4I_ zueL=_mm<&n`_C?m<7R4;SO)#J2g#B`Tf3YDL3Mj?I}YYnfQ)%-OA39>l`9tZ<)Mxr za-AQhe7IamTy?7uYh0l~JPK7daa&d?DNp_t->~g((+l8Weg?;Ukj{e6IKl`ZC9|T(qcz0Qxr>0Q?1s0oldUlC{0;<;l*hz9x1Y!oj%j_uuC_@&y5$}*{Z-Pkk;1<- z0_yB+IRaV#Hg$e5oG1QorMkZ(t}>JxPF$5~zQexgFNKPZb5B=7NKy#iu6M0nsQjs< z@2HE573nSBd;03_xGlua=`sXPm3Bow|K|MHU$7&4e>rR+-SsP4m8EWLYFS;tF<2PP zh~%Z@FTA(pPwxW`!##ZfLHE-X((CnSw5~$1K_Fre0|#FintO)mdBJh-X&2S<3R~#at?`jQP}B%+a8Z z?Q-J9#fwN%DutN~OQV}Z!cDT8XbVfsCF~wy{3;GQ@>aR(&d{&7zaOdeNKO#doxX0* zYwzr^ty&y6{w@lUft96-MdiLz}8AHs> z$^$pAtRrmo6s7bP1hZ$Sf_Fne-d_gI_J997zWn6uCj00o2|8o8LPgfF&(D>6bu`3* zdjf(eW%*?asq_l~DYnN3cg643X-iw(*?^gqFKoa!fVxjApxHktChT(0TEVJp?oYXByrm zIvmt69+~Fy!QzPvu5^v#V8&H;wEAY8Gb9NZVfU|2$n}*sG4p5_pe=`J?<}UUux`xn z*1YrDuzd4YQa?^GzWcJDzwj=0;}N(dd_vkSWK7tbmUWG9vN?_Kq`H8H`AqCB^kH& z+92-yQl{emHN7U>xXN=^`@3a}&dbmGTwJm~rZ=Olp#R)d?qc2M%}7&f%f%(ZD1<9S*j zG7jvJ!M9@#6j{Pad^i0>eGyv-{Snv$3oO>`l?Bgb5??N55BzRlb7PfK)?Q8hk&c+_ zb4${K7=cg@N9&0d(OJ*^!cc^#V2g8p>WfB5fSHt{Md^{bbw6>lL?_ZS4HcRu z+&%KdCo16Pa$Egb3Um7Hjqh)XDp9{`7|2^ZsIy=1tNXpbBJ`ghcS?$S_GcnBVN0k_ z1jN;)wk&psY~VU#t7M#A>3aYA1(*4ok99{>-nJ$x)*0S3+V=5X=HN!GsBgb%)!zLZ zVfMvoOitM*ZI0UVJ3UJ;~#_{?t7`D)aOUd*cnY%d(kgJe!^#3x8J* ze!#W8IT&JIv;XbJuoVUoRmHCT{{`$dL7&UMqLks{|9CmL=fu6!uV`a1w?)@IgCRS0 zSYe=@V4F_qDTwMv@{IXW|6-#_DCtEOi_2m)A&fSx-|l;O?S9evt+w+&-qeO+*9;D6 zx!&c_wUpA8R@xJ!#F4a_R2^q7RVGIh14L8=g_&YIJT9iqTeQw;P|&dm-*`C+CzvH} za=4osmGbk=Fe%6GTZzU4^Usa1wE=59%U#MHHr}Hf(I=$vbtC+fb~?hn`&;QiT8p8T zc>KonmS8 zb&z^3OA#V(WkBeIdI@7#_cuWxV8&+>?d`U6vACh{-djB%4kU1N%Gh`A?fd;?_+09 ziXv7QTSaNJ{9|-olM9V5w2qf;`FwM^I(b9ZP4eYiYxytT7Tr4h!(*d8=_JJK|313; z^Wji`DL)!^!^-!5g2jN`5O!kZTmzJ#q=2ycy8sbDSwZ1+~HAo zKYF<4DExmES8A;P7C&si+)ukCmP{!Jb-!O7C@BXatU6@N5V@`N(TXuVQ8+r1Zp1@= zm__f;Wkm1(y!tQjwbB3&&-rDp z`t>Mj#uf|v4vvMTHHI71)>p{PZ=Y%YHja|#jzI2nH>k{~HXRxSYp*dPk+|u*{coW( zXM_hK!g=G=BjBbP{o=M@=^T{8S-5qermodhGiD@x9VL@<3SfBrH2#h zX<-)a!AohA=~(tQ$Dr+ZkWR-|NocDNx9V8Ug38{9Q)hK-OqwHh>AuMiXH35x^3{Rd zZh2hYf6N_pc~B)fOSjcp|O?PLB=5J!x6+S7B1I;Ti-&z~* zI6ohw03ATD;xI}GgWy#%9Zj#MK?iz-rk69)vQ!iwg(9QVs3&jhyIyPVl=ovMrVag2 z{T;e)0&}UpOXWu`EDqr(D{j85A(cBJ5aEIpjfUjV+duyxdBHQ9fxshDe*U8FrLEoXMjl)9}{{vJ~DAOfY&_>IMhDT0=*OyV88BkA?GXL7~m6Ho(TV9Q( z9Q};IT=z5;K&nnA77L~1UmctwP71Ecz@Ml#MF$DkQqo_YYq2<~s)t;j)A?<$s@CAO z&4b{W=szQ@Spw$2&ksMj3vdUa*<1zR`P7|XpsuS>B}dA=3BQp{>0PDfX5v2`Xqp+6ZJUxfx=R>RvaSoHKB#U@)63JwDr@B8v4LBX>{#1#wMtG|T*z#{HcY zL;}>gYS8GimCUi~eo?f?tn1x`h4y(1%i6p6!#Q|rlBg8UdFulS$<|Lz7#m4vvCoX7 zL=-pwW-YszNzn4eydj z=5=dnIVz#}sn)y20`BYzRlCH^#7~^+X9i<;Mgwg! zl~^%&D+n;3f<}L^>g_loooGSi>SEmil@R!RT!_2K?~8_=TexbfddR*&4(J|8KE!m5 zrix({6^<1LgiqwlQ|ux7CRAvQWDaKaP0rFjQSLmeCatN>QR!AupdxicFBw|r?;+!Q z9)0kUFXR{WPbbA=*2!Gy%4xBs`n4_0Vimeo*iMa>r#Z5L<&(M(qijarauc~r4nI&S zj;zU0(2m66QaR1Wu@qq%Gk1w?8;vn@ngB~eVRu<{NFNAbE4eJ;F|+o4Q~A4- z0_i_E*G=~z_=);)6hZ%#Uu0jv|9lFPAV4jetGh#vfs!Yb3_+wKPUKJ+F{E|hn>^Ze zA0y1?V8idFVO1My%vxe-y;MX)1LM-rE=X6YRHgUAQst-t(>@6P6s|%dy#-RR<#^+7 zAwW!s6p2A<>qs#%!hZA)?R&3y;Ymc%0~U9*KF|JlLOY5YP7%b4sCZHnWPL|t2hq}> zKm&jj=4cQ4`GKTS z8sxf#Y|0C_z6)WG6G%8QrNO<$9Dd32*fN*|-PD3XMB$@hsqFmj2Ghd_yM%$DMESs| zw^DQLEG^1?7=9HbxizscaA!tzEO*L}CxUB@sLBkAb`*3LM=Q*l66qtER0T46Tg_}Y zE$X-^vWU{BWR6(AmwYq}zYnj8SV(K!%Wl^iRTJnPl5gMogBU{>kjI*Ms2Nm=IMPHn zpGH}<$oQsbQ7OT{a-0ihH_kMnwQ<12w^(s*Ss^f#2$8lBFR=R_fgA zYM&|@hB%(y9|HilJ&w_V8+5T=X z*%Qt;tQ$T%tPIT;^+j>d914@kyOqYav)RQ|crrP+w=Y*kentoq%=QNl4?O?;BfaE& z@B@u1?P&Z!exIN%u$im~5Qr4s>I{g4`omxOE)bJgmo|+Pv@f?uy6Qc-j@h%w6ug9VO@_= z*fb>yV%c4AcO__!cM@$^alC3rOg=A&xWO`|;jx7DlDYyZXcJx#w3_Q3Tfk>{E$5Ra zMYyJ6Lg4Vi4y#7@T#2~-qXze$YzwzdY)nw#(_alW5u#y%!C->f+M;RAVk8$Lx$PI~F+g+|nl2Y`01gtB;`xHx1~Uq}>i&rYjR!pTZ?lVfd&;Hav=UmA~o?w2>|H9|OJ z7p(N!bDumc&WYYF%8RId?sZiwKO-_Y{NX5Z_Q`ENYjTQ>&ZXAg0z>^0WZ0w%e^%JX4R?e?q>t8nVR7i( zVufNPm!8f}*&i$&cUMHMtyh+`moC#WtKSgsgl41H%f>h%ao=gwQ31cOOYZS=PmDxR z9adH5;FMYu-@skpCUS4+LFYWaiou;tI7Ur8nAy*{lvIC9v_R~yczzre-j>9h2waS1B|*znJOY>2W%<$h9IOLFri zP_1l}BfdP7bN)duh(^&C?4o7&_`6cX`euAOQ7Qwy_MIBi*#4RJBGqA_#CstIss5Lk!D!@R2L9@E_{88I}~AI{H`aUGY-MlSZ(nG?D; zm$Y&&%=w8J&wL+s7o!t|MF{?U@Q+Rfe&JN=_g-=yeP=fUJljpYfa`0H`#3e{!Kl0A$&|7c%$MgegFIXa~% zQ0Emyrf0>9YIfUfex(f=g~UbJn2Lj^7KvADuZXm*h-9D*m50myM)xwY*bLJF_38 z>PwCsOGAx_%bqvg?w_|Ak%Ph;il)O}flQz&51w3q3*bBok4PL=_|?Dzp9M$6qBV-Z zpZh*{HeJBU8v`+sqQ<%&$uOLKn8FnEzFLq$LTs3ttMOd#819IOU3ri)5#Z568GY-a z)DYgEz8k_vRc?(7`J|?n>an-~El^!w^8af~@c_5Fr8 zE2h_0H!z@WTk;v9=wqZQ1TqpdVtM$P3O)tgd&GI=l<9YIOQp?BXaG@WGr{M&&Z5}| zH%Z^my3kwTS4XAb{#!B9$4>^zJnTPT zXfhxqUomelP5)tnuRUb?k>3Z`99e5g*Nv>aV7Ar6z8I*`ASwi_+gp7xHW9)nR`#f` ztwbbrIGR+J-cEaxy$_Es6TBzl-W(q|!X6o2UoUxH`aeyZ0;09)>+S*OZJ|*bfr#V} zLhY-DIBr!S7a#lkDHI@u!9{du#g8R+p{W&oQ67$N^CK!&DOhrT+^wi-vsA#IFMLni>8DunG;G%jpQsizHe24)KW&z3eSDZyAs6@8qExbnOU$%;+-IasIisByXcsgQSyCmA+?a30W&Er0f;3i?c-(1g;nSR?JdWeOIsq;Ao6K%6J~eJ22XIq=4n z@GLMGMBjS^a-Yu3%y?9%*$iRs>)G)cLdQ;>K*s&Rf(^3BT)0Fsz;1WCH(FnkJD>rh z;9VHbXIpk;y_Uy;UZ|TNvFWY#Cv`R4*yz<>eNR9CGLzC<4=9kZF?LFoqs?b!IhZxZ*FJIA*md`7V~8L41FjjM(b*GVMT;k8E-Y}ie(>Bb#Qp;q-I zKTqV5euuP9Gbh8m3{lAEt$2GAJEFG&he%MZrBb)a&8%d=g$Y7}h2glV`y0{6 z5}Q}^+QCys5#Xo9__@2A2*hwTBe>DyL@|({1=ybC6s$6MQu5VLYZdWdS#%F1bHU=Z zNkkqHDu54d@@Q9O*xpp6f5A=McZH_mM;XpZ+3Y>2@i#c1IsZ$0T5Lq;q}>E>YnvpY zsNSs}#gS^WeUfG!tAJvvb;IcUIpcA60{^f-4b>B#_dZ^M)!?R3Z0ISih*qn_t;%Sw zE*Z<&d|zqf4F_$oX}wLF$BL-%?^df@zn6hZ~5t1^~03z4N0D`L;}=l-gvuEC2EgWl)fx^_|M4c^A8DD zI1U&letwi^Y4_i$cf^{umtNe+GL=@priVT5BH_L`!|nhXQhgO$Dj$YOfrf%61^c9U z&38e>JI>b5TfkV3l8sq_2zKazC4MtQ-EtgRFT(3HDCyQB*JFj4~@ zDW%c;ij@a4HoQvRbzt^fx=QH1Rn91`=(SsTvBK!|>?TPIL~~*&_iQkNQMCc>9IrBW zj;UTH6erZiP*BOerOlTSJaC-~(O|Z>R+X+=uw`m(u~8l7^X(|%alDW_1g`;z8C8PL zmx0rLA*m0?vj1eii&ns!#$)8l7fOaq=>%_CNvtolbRifxXu1j2!)576e(v?kz={xM zjpwruU2ccIh0}F$EN(7@-%yo#jGBcUo z=ha{8dp^{@;Fe|5N!!QbOx}oPuiy2=8}bXO1{r}f*vL7>Q|JGh=#|N8C!FY0hDVh> z#CjokZiK?CI!Mqd;@Vz+Usw~`b0U7r#uYKs(&g-g$DCuVwZ`|4FmBTURhRYxz2-qn|_0N6dMP5DW$ zte(+*`WKFTp@&_<#n946RC;Cv1^s0-xc_;r6si=kv`eraLMZx7N(J)fqMeG!GLKjG zY~ZS5VX#{m1(fFhQF?3YR_z3 z;rqp0!C}%iozKLI4|_W+f*ZfuZn)n(n(L^wS>ydR8H?2gm zW?E8$qcx(Xue=8pe+^Y?F3ANV%Cloa$S{N5xQmR{WHT5nqEX58J`TtkBSUO*0gI1p zj0c8u0S!tP1@6yo|C440)f+G!c;^5{inU;JMnk$9J-PE`1zX1}oE;b9g&U9d;T+f_ zvL4J*uyHJ**&Cy7P{Vh4nvbvj-(AU&%rn}W%$8#Gi>1Q!;nsREn*$KbdIZjO!hDsG z`esi*3lrZhn&z_sfy}}Ynx2NZA}g>s0q0u0Kp^c-u@GqN%D2vP`0q53W(25=8>R$B z_WpU7E;V3c1pt;`4&6KGhLum3-mz630(?ESy#YcpE2sAbl)yQZcz zmN{)&rn#l&av@QmMKd9FGLc-F94kw+l+e=B$))rnQYM)oFg0ypR$4%5K;@D`N}`B@ z!2dYE|9$EKxyw2C-QTl(UjGurg|RU$&J|If79&1*Jtoi#JG;iO+IU{5WMOZK6#LJ} zf@9mKEC;8mr``HUU-Xk0N!zCtQgu%?!&N;!Rw*6R)oKtRK zpgQ(EEmC?WhTF=et9ByWsda;l#hGJMyvhB0NLe{M+5$6~_z=6n30w~4J$Y@Y(4`!V z@8ZE;c%!M3RHrGo)B*HA)(+2WH51us5%#%keiFs=cMQ}#P z=qgL)^q>BNMz7O;%2UmKd@^OwB-^NTs?YpPj~Gi9b21ntQHTc2TlnckDdy<2hR)1e*g z*>#<>+>)7;cbqpQ4q`+28dACVYTE^UWvJl{iqy_~m`o;RQMW&IP&R?uqI-5%J|xKk z=l>dFpAv83rjM&GiTUPV6%(TLiW@WznDr3qA$lCb5V3F~X*(t**ifR|G(7T#7yQO3 z7ODJ2map8vmfenP9cPSGD}k{FyL+*ILiv7CjFsYMs%4_lNp{e4S%_iPY$!#4=zN4wsj#EL(cNE~9ZY zo3tUrku|xtj=z(OwGm;348PmGT5|kKrD`T&AenB>4U(VNU*dC!3W@9cLhR5k9zO&g z31kl%rA#%##cHf^vzhkC!k$P%(cU8j(g z7STh3$C|2)j80dvV)%BZnv$-4Ox2KBRI9A8PPV)(wNrs|B#{0%qp*d{QG8vDJ2o+;&HS*7H?oFNN>3f$JL5v62CT(7gnUUZwBuKs!90yuZ*w81vkpV;l=6npm_*(4r`gIrmXpeKLHg3aR*=Pt)>i*L@hh7~ir$Q2 z4kRnc4^0K@hAy(Mh{=s9xn(UiW+ZXm_ObEh5w^xvL)9tH;`3^(nbSp2=ZmPY3l@gcl*V&I=CTW7AfqVpw5%pBQFR z#H^#&)C{yIPUqGZ%f)2lTc4>^xK5i!V{*nBCoi2@RB2!AjnwiioTE2vXU`6fnX`Aj z!ie4!6fFFrE3^MGt5@ChcIUvjNf}Ba#Sxzu#s~{szHl-XT7@`mhQO#z(37?I)dqcY zg;>f}rto=)4Gid1k^ke|=-=ye4Z*0V3A7(Ro>g)ezY_6`KFfzJZiI^26lbSIn&B?JcV7Trt_1tbTh9-@Riq!;>al#>v684aQ(c#(XaCRiep5h+lWVHK$z5Y~tB3 z+Q;v;eU#E`m6pR6f3T-~_SP#O)0>Bjn#vRcf!(p%-7@tZfk(F-R-NY!aA z+F#Yf*HFibydt;;Mb{T4KSy}2@|EM^MT4q^iv@?aseA|Z$^pjm)M#A$t0dkA&WeGo z*~K$n&C&~C;a+T2h%{s;QTeL5gx&k?g#RTUVNIQ6z9n19?b)-%gMV~Q*5tW-PZBSE z?D~@3zhN}zG%v^FX|x#a82bHG9~iuc=*k+aml{LjJEK*9>jVH{5IXC=`AK4wqX0DQ zKG!s*db08+c7Kq?&vcs|#+=3L>(=p+2vCqg=!~vpH}ivuAEYg3vSwT!E>EViHdls- z0_k41uWw6BNxr$bM_k8?K8eF^S{r(VEU)AjlCzN{8Pv=2$BS< zf^s!IGx2A8qqnx#cC@d0KSInu$rW0Sb*hxakL6h_DSo^$EqU|30R+F<%zeV9&1?T2 zHWo~1g(db7w3>IWjiU%k%-6*eM4|569zIR3)+mGu`Pf;TA6}F!bD~e;_z^TA#26g0 z&~S&iI8?J#85~nQBFWV9Oe&?^JyvBjA;a7OhvaNd@cvChW+*(cL6r!|}0`{dwWa@E_cYg^j2PJ&*N|__W6?!jDdP*-*uE&B6d!N_D_S+~Al(>&r8_u8+asOBO#HGhnBT zm7UiwQ`r&)o$*$FR6h;Ek183hS&X zp|{;N(xlG5+eLOkV5~-6l1`+g8(Edw<{>NZ#0VAX z6bn%3_!m+FrJ4{9Po*xo&K8VEQ43C=^1o=0Gr=Cmzq$FlIS*6z_c;=0%7y)^nxB3z z34@3Z(AD=8ywb}Cqy}*fCk8Qn3G+tux+tPqXm!qBLu|=%>~S$LxCO$suDf@y)>L{N z2wz9`T8UJ|{Mt=8aQ5pF*>nA7du8{TzsMX(uXx>p?^Xyuc?H%@ZJkAG zIJfn9nRRd{C$LT!hiIbSa~sJuo#8R{aRm43c8XCU8uvs!td`Qgy+ual2H_IdF5GEmy}qKZ~vG{6#qoGV#a3H%M6X@-YRe z7i&2-nm~R6@{sb!i>qRJW1!Lk(-`T33}R+5SyPI&dmLCY?xDVGL5<^7*rnGl+`(ioP{QmY2U7Jd(ua^P)hkAQY)%w_(YSNZmF=(5u2~t#}$H$_*Z!SkNz?%NN-CrLjYGtbK^|;&++1qRC@g;$q6!P;3Z;B$A zPa&VsiKfale28ELA!-ucO*x90W9KUGCV*fbw$(Gz*S29!{q;o=9#m2l~jTx9~{i?(gzwIl)o%HFD_?OWs`N7%Ebhc8G(g zX;K{nka?BZXYCLHT_-Fwh~MStJV)dfOn=)o zUV5eFh`_*Q?iYQh&|sS0Y%FKZ+LH$QZjGs*FCKN8ARhwN(q0)X*fU!VjBgk|=Zi9} zu7y40lyPXAqmo<+s}TsyQI*kmOb*t)gl83wNm5OJzZ$Q+`U)Cif4Dqvp4pS#m7j91 zmB+XmPy^lMW;^C9#P2pWaizR&TJv+Q(Fo??jZ?uq8LT23FJHQC0ll5+s4@y?3mdaR zJX~(n2j3=lTk(*_57G>^Q8|1qX11h=YB^++jOXYo?^idTB;iQY2a ziacwH7IHkjv$=9H4wMDWY0Q`nsq|*#Chp9gEpE>?3X&wEwV^qD7QC2#QZ20DlRGYv z57>47pd(jkRAiGKC9S&NH{{1d$!tXB9<)5n0rzG)xV7w>JhafkRT1%Ih)d1NjL4W3 zRY-KSjL`_0O2&F$`WuB>*eC?+OrB0*SVP2=*iU(2$qVwD8P6g+)0TPyA1 z4ewdib617IKLc(oM;Kl}Q+UTh##pAfcyY+&Xdr9cuWmA?lAK9K4Si`}ZD4i^85D%# z_9?L^m*&vo#p1u2<*M20Fs;k$-68&K+K>&A>b2`upznGltZa}}>OL$sAwoFrY4c!c zR5`^&Ih2d*GxIDG-rJ|(8@FzFB5n<=o;sq#hInU6dL4`&A;vDEj=qMP&TI%{J1~3H z0mV-q>)yCR@olBWCA#J1&a489jZ?O*3M-rNfLqV0!GFjCT$r-j1eN)F>FW;VK#GiP zun8et37}l!xb5ppNSNJwy$qIbuNb4ee6V; zrXR*pHtZbYC}hW;SBdL68XDq+C2oz(K%ZQ6?^P?6v$5nSy%lnxF}hw&-oF#otTU(3EB&?R}H;9EzWPf*U;{D!{<>y-j77)X&gUSfd$J5i99Kwo%5@O2a;gdVg zKgS^$yw42^yM*e)Ci(2*>GnHqVlqG^7RpOVR+SS78v62Xf-S0YXBKx?zVVl_eezT=D>Bdvr+3;=rIK?H%wB*BW(UWJOKDTPuG|qdj?5M+AFh1@Gf}QN`e6+6 z?G=sqfvuMk+DpVhA;fMyl<{MLdhJbOIgXzDcvT-WlO{q7X*8NW7V9_S6qJPOQ70Gl zcp9I3S2l;${)m3je9={@;JmyM$%|7IXtE&j*Pxq9f+qT!nlS|zOwu?zkG_`<-q_x;n4&*TnA{uSF4Eak%Ry``G>3r*WNjqw;)ZkSo>%c|BP@0{41-?f#G zqVlfUtDft!c+sSKO(^GJF`F0N+NeY+zjnt2Lo;~eZ=U!BVxEN+1N(OGZARDq`$w7B zMIG;UT-Aw#o~0E=s0_stRrHOS^R1+^7ih&jZ@k7N8prWIj`A86;VRY1)pQ(>$MmF( z>LGG`P$;b5+n%Ua|HZb6|xT<-8!qAqJS`3*Ps!B(qM5r2oS^3mMB68ls5a@ zbx_s1(tBB7ugmxzsKLFWTc_5`4I%HnuOFTHw8Og%W8?j?C^RgQWU|R1WDB0|d0c6; zb=EP5gbFlpqI|jz7xtA07)R#^%hk98cJk1dZ6tM9yED)EYZcE5)+jAh%C+r%S>Js9 z=v?ZpyFYh0(pe|Rc*mg8k)8|)Zxgm-pwAgIV0YYJ@FWV>S4&^!nTT_kBG>RSH*?D{M zgpqm9nLH&rtRnREa+mD3DKj;;Q8l%05)+^21Lb&o;mGn5e5=R!hB`yoYq;{VMX&+E zd|VFqP2QGvuvZ~dD6SE_c05xQ3QrkJzwJ#zfeonGi0lh5&uhyWb!d9`_L>|-7fBMg zeXP32kxQ#rCGdMx1N;J+YLzetsji>46IDtFTkE<~S3nGnuj1W+Gbhh4P0B5A9KJMZ z&v*s45KUr`{C9teSyEP8@Z(gqOiYtRXgJ4iFBfJR4<88G>V4Gd>4rC(jbX%T#LE}C zY###db<%R9l3b;k$-JU`cQ*ezE;w@Xyh*jA<6Hl$Ap<&(t||^rOFpqA7#6*mOs;mW zc+O)aNML=j<9itC>Ku*(5A13vLnc7+rZeF7eV#S zJ~A8%li}*2x|WlP$syoS^lqCAGj-+A;fl#Vd+xr7nw z5d7*%`s|fk@&VZ}6UQi5M`vkA(m9G=k}PcTKpzg4$Vi8mRrGWrK6_xpFf054%mf3} zK{lbaX@5<~J!5o$WMUd_m1pSRbznxn7wp4{JVckH%#kJgZ}7 z4ag#nyfu2dzpIqe(65A(3i9O&`i|?BLS&459~k@Yf!?v0 z5FL+g4q>T8Eos3tJ6!4)2BKVJ_C?B!!hKfL@ib7W{RiFdig`CCP-W`ou$HA5;}!~? zQFFYM0Jo3k1>HvROv;$EY*EU2oFSiNygcHe0TA;#M(V%1puUJOwJLa^_jWEvsn0U( zqr_Wxq7e}Zh(C>-cbvMvZ6KY8tyCWjS7iDi+a=%qVixeCPh_I5y`8m zP9%1=6S3{trE6}_+#~ZId{y>c9g8+xWa2Jx>t1D*W~fV}c^aYdv$FLhfWE3zc9w?c zWnk1;zJ2cVGoFLZqiW)ibtW8bXYK%>PC{XBaanqK%SjA_jFydCHA_&XDTXjRmIAT4 zM~AM7tA`D=GQDYr%Sak7U?j3$p_|QH%JfwgFBTXfBv$#M{zDJuEtSv55Tj2j?D9;6 zhA`gC$D@uyY%5i*@2rd|pD2CP90U(gMJ*_`1BNbTH9xd}sbuY^5&}6Hn`L8Rxg?6T zC1Hc(7q&CQG2q3l2yBbjPJUWA){`|h8|K^Ad_!19_f0P?*YL26%$hqgn;5T5To&+qa8D)$Ng*_!U3-R~z6VT_h`%agR25819r^?H_LMVxYg-}G z5cD;Ld^fGEn2IXvVH{1}`7Mxu9TDoGF(z34lB{2jU~ST`W2`R?hCtOcUW}vm^*NMX zxnXxo^mOQ%H2uJbIwad58>+##=t}f69=KynTxMGG*p z)SV|d@=RtB5784z(5Ic~Ydl4-M(074q4HMMqqwu&Qj`*<0Kg+n7WlT=rNT@)n13O? zZrxDSCLi$Yt+PaoX79TqIrW!_7JaFLhNm^_x7Sl1TC{J^q^y1>H64AcPH9}4%Rte@ zG!c^VMvobMGF!n~*B2b@s+EWPWTe>_<6687-+avL-7i!<2lF^~_w-tRJhp7M7s7_T z{3}Lp0>Dz%G*3V|pH)6g9KWe^=PWY|HrXcBWA~}Wl&B6~n$sJU+W^8bCI=HwOuv%` z_0TlUyrr(3UL4CdI0sP=cc<{W9BbMJC#j=7GNHY`V(TRsCmi75Xs8(%N39HN#6p9r zUwP$ZPeDgZ!NtF24sKjH2@f;n`DR^};?It}Qm@J_6~dfcecYEq*AiWjI<}DJrXHc` zbkJKCvGE0Wrwm#ch%6WnzEyY6i-oOli_Ke@Bx}Y-6MmDVg0c5{h264rVT~aI5Rcwrf+jO)}W4Uz4D5< zB&LO%B=|kd4XmCYoJtrJ+wh_Q238qkaqDAzE5~JZ?0uf|_Q$wBL-CX27bH29`?@_V zZZjE>oH;MgA`c@FWKn~rjyNjT63G6_qC!)*pnG>i4eT=nPl_S z;VXr-X6&Gx9xfBjIFWCu*!AnIawk=Tba~4fN4k=r&QXBrX@Yc0rh7g($O(qJI+z-w z@uV>D{22RuQ?IP!+DR|{u?ikzj79;HI})dmUT3NU%0e8)y4(lZess8b0RRDOH51ckMb_VK*=Z83)*Gjop-)uYWm z!)5UKN~1kd1VX?>ok{958DkXJM|^uDZyQ%5SD%-89|Z`LulKN@yT&|4({)<6a;4FYiX(T-6L%KE%ab4l8$!sytQ}Qoh7s zpw=WZ9$Lt$+5?4SH+tG~Ez6T)f^lLk4`2^=aNU>_su;TuH*63gDWt9(A)4c>2&j}_ z4~-_Cx(Krrm7%{06C)#0zhuN@I0fg2t+8<*9>j??rT? zHEU}=oSnhzm9P%Qd5p#~V_Pzux#H$zJrmzHrSMb9wO=j7=`)z5L}G@qnVN$>i4BtG zu*We>R_Kbm@(Tb;6vlyf|2qs>bE3o=OP(^IxsQcu>N7A~fQXFh)dHe|fu}Ehfj*R0e5U^2oqiMpZm8p$JGR`>LC(__@)JKIp#=hQO zqu+0v`G!j=VjRg9?7!gPW@r$KKb{JZ+~oA=oTy3dmLimorQu3;(drH6PV?)h_cj+3Llo1u? zcAU!|>3mbQb0nHPZO)Ab`^Ec4v&bozUr~H2g5@+3G~-9hQ1YxXVAmEH2pCK3uVali z-y;O3IOIg*Ra(!(hkY}Ug(6(0d4DIW_I_`tSpj8xu|Rh7{y^Hcg@fl`ovHJK_3eo- z+z1*8{$Ea}OMqu?;`)Zq*2SdvP8tVv5B5q@`yw>MLFmZIs@jRjoPbv4tU&ABgv|Fl zWcL~N9}B%SOg`o)Yfw#+i}7X)X;D}CKeBW4Jz2M6Ucb#9nA|%k^hDpnA(eYIl0ZiL z?|U*l>7UsNY+0AwfVSlik9K~y>f*l-D(|`!yt;eg&EX}RB5WP}l2RY;WuoCN?c|$3 zMVv?|M6KI$ZRIs<=9V&!g3#H-{~Ff%(2 zTmT!>W-eDBCJXQ8>kI8~O>aX!wLIc_!A`cpuA#TkF7j*2p=Ay46h4bxPx$Qjz1BIZ z$k=|^(b(px0-yt;p|0u!7l|`8So>{HRNIB6fx1JbIZHW;nACsHbk6rd+>KkuzBe?E z=RQ951pBgPgU_jcf1}%{qcMu5ZJRC+K7SH4{rFH!f61e+z!L?#PmC9QTCv|q%PshD zrTAgQlgT|u1)8kYpoI)R-I@VQTR4*wLMbFY>+tGV4tRmRqA2mjhWF^bKI?+-7F>Dv z8FjbtxKHJyg1?=UkOZT+rEY7-sny>t>%dad7PJrVA-GZRp;j8^#qALf3PhhW$NS1& z4+(u2M68ySo%8F)_qj|Wb-u5Er76~Sa6`5(ow+}fwK!bP^|dMfrJ*TKuJ9}~6e<+;&HK)wW{A1P?Bwcf)Xksz@+?IS0xS?bXTNU{BqSVQd3(;h z`TAM+O#G@Jzp?aFdVKb!y+cwTD#eK`P$1CJDnI3^0Y1Mt9e26 z^lSRI+UuKEo2{r<>GaBftZi==r4}6gI|t9K-FfixS|%u{c()-@>pf`l7;LHReuZXY zn^Ldxa`fKx$Y+vC$%v%aRarG_UWA52##Yjf+}xNsE8s@v|K6)*O_g8VnqnN!w`_QG z(LSfxzIoB-@{oC_zgy|B$OI3RgJ-^bz272}b|`;1M1L=H|BnGHRxVi<8yky(;&&G< zx)Qk%Y<~sYL21~H|ErKaFq+h}dphnH6oKsvS9T*M!^6gSq&|H5#hN3AhA-a$(q4gT z`eg+lHK~k}E52f*6A4u7T0J&NXzj6;lsSrHNN_6j?c6V~ZkuE>>e_TZi&ujkc6ly- zc>-9C78jIlD9P$Kg{C%_c3yP;{Yptpk)6x)hW~l)J+*epErkbEC@!97;&uDsve?80 zd0l4T!u$S=+!b=bW()0cF!fFtgcgSX(;u*5?Q_E*!RJBGtq;64wS`ey#nbN9h8qi$ zM`BWDJ3?0HTHrK;maL-`g%Yt@t(Nb*m!PBTu!ATrLns&a>O93Tq9b| z)Xk2iQF;NRwxef)uTt92hIcX{}J z|M&JkKjNO|pMUwi&!;HY;_v_3gfLeu4*q54&Z_9~9eGc_|Gx6m;kbg-+D~>HT*F%v zw4$)I8vg$ROut&7zdK)&*5I+r%#7*xaq$5g82+}^r*Pe_v$vNCHg~^U1U96KFK;{? zI0k*&aIgVpfu#7~N9gVnJ-Th(uiHaH8ShD$cx~JS8R4hqH(aZnxtpprU`KH>=beFM z+LMku;d{2HTMoNabXYFO)3pE=Y1bJv9a{0o&JyvoT6WRCqbNVx1lTs`@k5>zN^jSP zgkS$wT|uHZYN{J^Do=m<**yQZZ<(KWxFNIuqCU=e_M#ttt6zJz&-I<#y~Nb)b(>8+ zSAPFydfBE2{OSDDhpw;Ir%X7DCr!S|vMS#?eg1x)c|rZu$&a01KPlt;Kb?eop+%yt z|I_ss?p6yvn5}zoeDwEf+gGr$`hnl2pVf7{VSUcL`!}CoVdveXp&9JjD*BJfOu_)A z#VSeV+zytMvsJOz+%=e2$)K_^h<`T&oFs7W|plk(shs<9(@Dhmp;l??(rUfVN~Ql+_5-+!Q&r1!ajdE zZ$n&wTX6So$?R|E>aRox+By04#J+e?#QSSaIf)Ne|NXVE0E@P%H@WWafxPonAdZ47 zN>};dA|6>98Z%#?<9^{ALcS=B>mWr41D2{AHDPNiIxHPk<=Vo@1!1@@g<{Z!Vw*Z~!zY*%gduVzt*9g$AU$Hsi4OthD9g%k8913Gdx5Ief0$Wag z$$(y8uXN_s02eXKQO$qUER^>4knaS90037?JWAuM&vYOY{ZAq$YqNej&)a9x)}uRR z{~>{QcD(hHQIcvr^}->u`h4a;s_*Ch{$0LBi9}*vQS)W9B`5&*$FK8DkP%nFUYfo0 z7Pfp{F)t4Qk4uK_P839+ycYjge%j&M^Go8tZua@Po@`t((0TgjB>)soLy~H0{~YoB zBa$T1OI8vO-r1TrmM*PYa~gd?v3&ixTC1B)76qgaN?m40B_lw6!ZB_*t$HS%QgtCL zqz6qQt&vGswyI+cky)K#6!+C!bVDc95&g+14Qe<9R|6S@@(8;)4htZ-!rZ|}Yj^qX zeeia}2H~pwjlX?3yuq0LU>#U*zw*2Ho1WxZa))>PaP@}rakiB!E%I>g)cMiK)Xj@b zvFks#<+^Z|%`?fIR~ep)G7s2);8A2=g8cHboNU?A>C~SrxH;#@Tzf&ycy7m|-ENwk z?tfMfqJQ7{u~{emqI=%oVYw@QfAx_iTi$wYK4Y%^E%r{pR%OOEpOlE3+x08r19~R? zFVEQ9H87p=`WraMPtMI$!$bR98ifh6zEck}Uo9swZ(Fkw%rWsE*xYAr2Uvui+bq_U zaZ6{f64Rd>^FJyp2>inhF*ak(Y!z(xJsGMOHpTyI6w8yHeXMgc=w zP&{cD_;@FVJc(lX^fbYF)`LP2efdY7=!U*?Khyo^!u!)JPA{36{Nsl;=JvBMkD*la z#Pc83c3e(l6s88n@fNk5X{=T=hLj8b#pq?WyR5=a&o?c|kzTY~v*hSfjsD>EHjc76 z(k~@Prf}McZM{UGG0Ig7<$&ly<(vLWA|;YN;_xdFjLz z!S*bJ>y;$j(&rW13$q5azJsS@Kmjqy%GFOEZafF77uRAZ*Nq>)9WmX;v!y)_crU1{ zGR95Ya+QKgxxA9u8rw4g;G;1vhPJ_d9F#4MAAj&Hn-|m|OzvJYf|KAz%>389-mQ!C zlXX~PGy@O4zzXo+ho<+On9knUlH2*Osf9!`{Bg&*n*seT;~xImRDW_5z6D>baxnGd z4EGNlyjpA+GuC*^)%G;m$)peM3%I>t9dPV+0WVc_K0F#4lU_$Ozc)pVj@WY=1B*N7DVQgz2BLanBqq;mb0;T(4v+ zg<8&Qu&hKG?M;qM3~G-oF}zezgaiC?p*kC$y+|k03}#Ma#j1BUjh_8H`1gUS2yV1W|LBW6cqUm}j8BCWOqws4?wzF$5yRtTm;?|&a2`W~VHS~AVS7x||KZ_^AzpGv}D1n$9JjbeKQ;4}C;nLA? zsRYb`sB;IKKmF7gp3R=oTXF}{Lfo*q7^Oq8ghrGK^b9bHL;?Bys;`MwD>EXZNK|Om zAfRvJF{P{X?<(+2`D}56(se$ehsoOBiJxWh;_$^9nuaE=;A%C>6k_9|;u3A*_s~HDm^H_1O>QJKTW69bS)~tu#*ZR#aD3DZBp0+z+l?uMU+&H%dXHcF zzYr!kZ+zbIj!vM}ACul$|Mc{M z+~d&_H;Ux1{ZW?l53KL%L&Ua^It1<-UZ^hT8i|aKAO3V%=$i^f`7hx>enO<|jN?fJ zbl^d8kTB|SrN+RV7)yo(iEE`~PO5<=~}bs^0PYx500#_drn0Q{Shk zaANauXz`QkGtThwSlSN0L(QNtqeZ1i^LRh>-GW)Qb%QAKE^ z=&dw9@V!~WH2)4aYU{u69&_T4(#9#o*c5v?45v8LrXv8J&fI~^b*};42G3wTwTO~J z5D{jKQ|Uygu_a-gDuf_Z14|A1Ku1An@qN(%X-*;_Nc`#7xvOEuBPw)&#e@MG^8R`V4+pr0 z_zk>PK1Dgx;1)}m8z2Cu2;gbc0>Bq=0j((>3GTZ!DBp**`llda4Lqv1Nz;A1Kd*Ks z9IBqw#$B3Ski8F=7*UNd-2rZZJGPm-!M0z|oc^D+BChuplIzdT3HkKB3+>1LU!awm?ehLdg6Kk&;mRYo_Pd;w8u`>x~?_U$Jglq0pU4Tc~WCWeM-Cql`lXC=`o$SamQP}v~$!H*h)kTFcENFr$R+umUapwu& zc*Om9G!-f#h8OLt+zCY2?d~?`Yv*r7&2f|tMPcUjH=Jk2n&bK2ZU_0uk}k z??pn$z*3fo^E8_BpOA`QM=+rV76ZZ#K7~N1=bp=3el!St0BpFderF(&fEW5A0Sr^@ zAtbWx!O!kL%iFf#;XAZ*CRm4o(Mj^R=6U`(c&vsZ$qjxbs-Ayv+1@A&pddh!EB}UH zIZj2Fp9CaH{e1(>3kC8zg`Q^iF)tO zk-MjCf=jKSXkZk)tbZC8d(Fifh%SUV zFlTY*4q_2p2wr(kz;fMLLKI{j88`SxJ>V@4oP`;9rYVF3{I#}tRRpdH5yoNSQG!hn zTEwK!kpiZL6VXjBc}V;XBgOd1#~HXgCR+C`$`69(y2OH&)FLf*yuQ(^y^U_V{Q*!6mKG;FUXkYzyLB^qfAxw9KL#FTp3UwiDym08IfYQ2p+3-7L^E6sBzM z9p|3)S^xv4!tk5}2ZyyML{&bz4yy9NZnr*9^nER%Lg5)}S%tJ6g4qPnY-N+62A7Wq zH^<8QZ3fg-ASmys@N7J|nE=okbKM*8v^gH2`Zs~c7Cg)l_j_y!lEWO-=Ts(Idx;)} z1rEpqx&jmh9tAo*$M+DwXxt^xF%LB3DMXm-e{;w98MH786BTLLiM3F`72q{Go3` zsY~m@ef#?i@W()B=|$yDhY{~Synm-YD(m|ev1~!@QYNO&^^gxB;Whys$vbpE?Nd*i z(OA4@RK0phA&{!Mg9W++fAdE*xR?V{2(!rzQoy{o(xbBeoPQs_-h*!1`&PD|{QD;t zVUpOy$WU$E=S0B7cs^TncHv74T=Ro3M;v~bIqq{#kI-*kc-B3v3ys{4pYe2CN%QdmE1ejJAh>Wr5+w zP1Yemzrj}#FcUQJ8yycjQsB4m|299k%OmB|;h)(&uABYEySn4vZHqoFGI|>+kEJ(v z1MzISrjF{&JLvQOJvu-veCb;7!!1|Ql5K!`bIu8MNx9(YT-7D$)P{zm7G^G5qc9u$ zu;prGx^bcDvb9^hb?V!=oKx>}A6ZWDaG!Sfg4StJR3dK9a1tR$i0c1)V{k}eUX3&G zfPHTk;^B+X2_gg~5tb|r1!gUm7DMdl510H9AG7G=ulRze%83X`)?o{u1#chx zcrcER{Qh7%y`UuF%5P>6`g&;)n_ zsBs&Z$vJ@l?M=C-xYJy~pWqufb?ZsQkq7L1xHHbjuXTxqAq!AGS>+%eh^{DXXx~;S zkktSg`I|a-Jqqv>zNMwdlZz?^mCkSr4MI;n4Y^?!Vn*Hn#px&>cz!$$sswI$I)VxO zJq#?Q6@LcYiUvIMfFMVZRLsT`n}Ew^fH(ul2ptu=1a4eQb8guhh-Tz&0x>)|8o1*n znV@bE3~QGI_JBhHoHH;dc;NI80F?$}yY)bVY47qJZw&9weG}SAcJ1w{%#qs%w&$I4 zXpUv~g)X>iy)Ky7*}eX{`_cO^{#kP@=jHW$tml`@1s;Ir$4`ZPBoZIqTGTIY`b# z!}m3hsC1Br5Vq-X2P=++(ip4_@kAkLb``z9=mkB))^x5b;Jac@yrbRY@ihKI z;Ld?IeOAY01T0j+Za`S>lYUPq1c5I&YJiPu(3A2`2ZI}q+padYxnNrt!D1@{b`R+?l&sU7VqnyY0SR5-$xEwX#xL> z)_wRtj|pMIndWDfGuJGDAUVwI_`1XlYKBYpX$;lJFi4I}`qlT(wJVZmhmre3pV+T4 z8qfT(DUMrFaaFt_O&%)9W;t(Z`SbjD%>|d99x-h?v~Nq}Bk4u8aXMyxx?x^w35RF= z@zeJ3lq8=1+pRe7e`~yFH=RaI-cOONtK=EfbD13U<6aiU9Vq=HXBZ?}NTB_IY(R^E zsxc#WaX=3DG@js0;|qzCAcF(R9MEVx`75BvOo$P#2I?k6_1h@uQSVy*FIxqa6+)Rs zaxjqu5r9{X$c2uc`Oiu>yMbFk+9ywLbd86A-p4~I&uBTwi5h>4M>3&AyyQ?&<5dyO z15IbP*DB~ldSZ4C9xxeumkQK+F8DYQF~_`!3@9dZk2@0(i-tx1*DsKO&Up@JCKSz@ zT?ei~gNzo~UX9D>_V6{8f+k_kkHBef1CknrtJ>u91P$ni5Gr=s{+-W4|SRYE=)%MNUao3noa^%X=#NWND@;pDOlp5m$S+9m(RnMhhcp=(M(nZqKlg@?bG+ARC4S{q0(u@lDRd~5*O z785C4FyXmoIPvURSl9mAHzJGpNHxz_d<4rNY1wz)oWB9dlyCX~a_Q29pWDcK=+F-9 zM~g-G{#8Gc?9a|0UAK!QQuNTouKX%6vFRuSc{9lMg| zjs!yk*jfiZ6-}YMfHxcooQ|Z(YX%fBJ!jg94rHRXn9UOW^^& z;hus-1xOotIY3dbdjdoXj6&j>;0*SZKnR8n=%|J+@E4wmTf6UIBmm!tL&z)b<572- zEfPGcl6Xdb`^GTq2A254U(1|e%I4D5nEyx8wFff2zyI&IFXy0h38{2BTPU?;Z0qQ9D(ONv5lSeZ*;X<#X6d9{(nYpavZWAP8Dqxm@_W|r^GEUV zxx7E`_w#<9*YkRw_xm9>Jb5|IjmwVEI~|@Y^T{=Sl2e_J=~@{yx_W%xY}ol$BAOFu zxueN5@wz3X#ldc;@nY`|5Y}cUoVL4*zJMn zdqy)AnN%9CDHTEJFu>0}`R`IP3bFeS;L#Y4boRT}@_Ht4A~2&OksWhLhS?6k zp$C^R5$a_F(&FzB2>k9>8tgX9i7ESvLmvC3pQC9AO`&h zswzfsQc3F<~@TzPs%&$;Dawzm)b5awF<_w#CvdXosUsB3fNj1`iEpxWrqs3e3U*lq3ne{c5 zIWy;T%3T>Jgbgd9P>`qdn?H(w&wqU9f4fYELza^eYuqrkaDRetLeMfgelp&nQiV(5}o;=yX@@zNR4_oH#|Y#6ZzX+wU*RnY!5cA-23K@ z+3(T-(jJK*8y*an+PXij4`X1~SPW6?saCY*)xbP4n50JQFIy1pI z3t+O8J<(#nIG$la_j6_wcAF`Y@Ew#X;8=jFM2K~UNf^X8ZH$>!l4ooz80zGE_CFd+hM| zu{qQWl--*VbYg;FRz;8zCZ5?&OBNk69=S*gxnpt!+m@WZ<@fDhh|8f|)V%GDi+0Gr z>c70}vZ!ExvTxj3YUoI8hS|B#kC#{btEMEH^l{bW2fJ?Wfv!6#*39|u3`@8IIjK2* za)Vj<*?-2j-)dPN`@>p(F-uSX$*0VFqkpN8gCN@&ZAMk#crvA?7h&89DbDr|uu*#t z8OO@1F;d3l$un%8G(!QUN3+*Z~2}vh#)qG9k@paS-ACuvv|fWF#GBsT)`S z6$}=Gh3ZZiA`bv5J0u_u?}ZJ|XWVK2$@$O| zGb4G&?)|!vGpB+ix6D({PBQ~rh;q_zY^>uO{p@+A{QQY|CLfeJb63(NU1q<`h65aB zgR}g_Y<#9IT#IIGCuD;Zn>;_Y0p(h-MFTT0fsmuZEB`LPWs&#h?%3V3B3)X`aM*GZ z@O6^F_o;t89=C4n*=Qrc+=z6rKQ9I_veACCqNSFu|9;`|%|j_Wl4CE9%rTMV{?Q0~ zZ{QeA_Wf=9@#F+CCuXKgIjov2Yw8VU$NbaUdU^Zn!?DR=ndzHdxNHFQtT33*z*v{x z;_t|2A_2cJ`$11ITo|jYi0+OhQ`W0iY(p~l2WQ%uW{SuBaU+ubg#kLAdh@j5EQj#K1HKg18yKY>M=3&xHW|({ zmm*m16R_S+H(vwkrWXR245zQ`-9e7gP{C@5dch4sS)5cp(98z;lHsy8V zf%)4(CfpYqc`lljB#3hI!ySLq`f2B%05@TmMA-wjs~q`Jop28-sJs zg9e0?&No6M!R|F^4Ex3}Mx-E~=oA2S;tzBaH~47D?Xqq6xB$S}{|P>M%Hr{L4Rje= zU>Z1MQDyvwW!jqOGoHO%ea8x)VG~^6s{Qx2F%sEX0UX|T8asZ$qSx1$oL6N1=Wumm z%46woODrz}c-{VsWaBdP$7RqT6@KX>zy71Xx6kQJ+Bpf8OXMiht;xcVZ_Uj+<}dv{ z^q~aDYi^|9-|}a7@Yj!bot--`lF^z#W&@s@ab}~>t*tkgo&QhM*MrA~w0z~Z_g%w> z%#bZ}LKtfzkNNrhxme1Ge(~gvw#tTYJkxvMOU@8ZRQ!y{5n#dGV|WNphP?Y1fI)eH zn*GEI28PuDD4+u^U$ag*yT%>R0?c0rB^LDKp@XkcbQ5W5kWG;DEU&&F|Q+$6A-m09CAd z6FFPD0zIzIdI^d_XP~H=JCW!F8LMhAe6}1%qF252Zy$2v1w-iZuUU%rSOK4 z8D&753*c&i>Hu2~qwFPC0t873qThnSb3?fTL~o4~!KLgz;HOc@;RzvA+45Vf zLHa-d&?bTTPW;Ve0)3ypdRf}${#A?5XJ*(_6Q9layVE0QSG<`~8&dztfBb z#D^#UdTh-1qO zaPr8bY>hK;DacMhZ{OnotM2||_w#Ayx%EnZ%V6$%_1&}cr_J6c@zjR~k1aWABV}^q zf4&urN3=XCkM-%%1}-dF&@EHKd@H}rq%SZSU;)+06_tUuH*jp>WLdER0uVWoJlcBy zZD{8^Ui|e3*^v;zK=TYP!%DMC&>skpI|&|m&@JEglUFYh#&Za_0W*S+5YR{Do2UV0 zg4daiASGxB!{?G9k(>>_#%979NV`99kN;E_5F7d#vD<`j@MIvUO6TlAhavTo>)0WjSxPk|%;|WZdmM8@i8pL54|ikzS!c?eo1RhkLlb zV#Y7op*y*8?8~%xTFr0IPA%KNq6Q7s%X;_lMUUL(!*s0X8;9?Kah}jOMuIhu>R!nE zW`2!y*!jt#=PE6)dg9rJeZ#r1S_Y%BLdcE+Qne;KA%|gXRaz%`*tk6d!x4~1jBum$ z+oy>TQ(^+uOHA+r<&{4x^^PklEm7yEzk1&OTg2-d2m*9440*AX6TbP&Vg_7ay+;tN zTX8T1B;r8UX7&Sc?NGFtTfU}Vvj~DISVTiM$Os}``C}x2MSzhbx+5SKm0Tg`jr$WT zkZ%lUd<7I}gClnR3@MBS;aw}p1=tYv98gI4&0xhbk1j0^Pi}zmMHsr7fFhwamRw@{B9*`8?j>l49gM$60P z*-O%{`W(whGjW`2yF_3UTYK{K>F?*m!+JLpqUqN$?{X^j|DJR-K_^!)yB)B!VNcM+ z!5ui`_q*;lJ1zVxHz~L8@n`d&hlBn`(Kp$T^EV}~D5V~*``P~2xtxtZ_t3EY?YfzN zY`W==+c7(@F5g`RH41JHZ*s29q9Y91)w zC#J37z-uNS?7p$#%8se{v97qiH;1%qbr7w_a8RK>%>X{gSj8={sxc6lrO!AQ@I$P) z23qk4cAzh@0D_s{6DaWQ2N83P(U=P``n0_CavlVW5{IkEm=YQ2_R+>z4w7ZHmylpJ zZC`QDqbkpK)~8RiF0x+hT&!K2 z>%!2gxFa(*EZ#0&<}$$_1|&;r|kK!-KO_hCo4jiJDd$3IX&`H z?-Jm9b7!>c#5;`1#rY#s^&3~U>gU_rE;%`T&z1WsTpn4sPnEqj=E?Sbza=^tDUr?> z0lVl&9JUI!rr=<riuo+=ugW_}SZpK1T>)I4=n6U}E_w_($A1beDz z!$l6^MB+4a7seOY64MOmuPcrI0!ZF@!)X@1A9iesp_=pTW0f)LO>eYRt=@TwZQfid zT9GjE0w4kaBb5oT@L)_`p*sZu{V<14^Ljhuyb?h&=?E6=c*S1pd=~~*@dRSf0(dFA^LAkQI;L+w z!|@Onyqy>@4!83RLV=K_5CSOof*2sY0JvmGTY$3>|I`ZzBWeTaKl;d#w7&h*xt&as z6LZ2e#3Q?!Jj?8iiy2&<<_`IhU<5zZKCx>?nr+BL;+?+QpMLhYKC|D^zkZI{G4JRu_jdo|Q}Ve>{@VT= z^Kj1vQ67q1pG+-&e&$ZkvT)2pY}{q8x-IGI=OpJH(~|imUiBq=5@u{a@BPd5qx*Ov z=l*S4F!FTPik`2cM+d{^j{TPgE9|XWO?-v-{+p{@+W6<)`n}ZDQ~&#ZfJFFaE*>LH zES(3`%!7*C@$+68IU?G&G&fX|R)}U+p>@f-SR83BkGn;>0gq$0)sfS)W;4UoX0dxJ z84=kLg*ECwe8FE5V0hqEa-=AK3GlY@l?kp)+Db>6AsE9p5QmIF4o-uC{|A3T^S!}m zB>E@+1VdOFCItQ-wFY!oECVX%5-K2tFK=ZvY|IV-69QNda9i-jhmcahezpVrCnyi~ zIt)NO+-t}?0jq(;p!N4lW0g21C>)uwK!~!(X1d(_e^YT7<}{#(hscf;a0fcC&XzJz z*>I@`7z`M3f&ssS34u%tO{_Z(mENeAjb!5uDTy)*qyGf{yumN9sQ!`-9;Bh+b|9c$ zZ~*h90`n{OmYMK?+Q09A$8c_(B`jBLxSF}+oB#UG1+PTEJhH2c)N8umsmz%3)73{V z8`C$`|7ZQsja2)9qt29uxHDB7mdw@>Ds1hif2y~*m_8oky0pvfg6m%)yVn2L?6*bP zCK>ik`=8$Z(>3SG%(riF#(vXWqn7GF9X*4=$&7!V!>tcrdakM*V`1{?jQ`v^K5jT{ zmw)j61%j3cF5fi!=S5c<#MwcQoJD7JrTtAG(roH@?mb7N>b^deO{k~|(dj#zABKPZ zbOo)p`_EpLxW*9bzdU{MPd>(FD%mI4MIQKoa__!8Hd$wPyi>P*4eEwZIru z2XbNB!?7B}Tb6;4odonN?dh!$E&^|03y21S*iH8x$wq_#$Uxm2EITWnInfTl76WFc zaVbn+T@M6D;1Ie?MzWovc?AdHfqdA5AJh&*w*n0{+{Pr0WdAV??4m(TCSHVAv=iYL zz8U<>fuYn}3lNMOSJrOq0XHK|{Q{g2<*1D85r+EpmcM^D3%y1UnGrVQprI`Fm(N`moKR$ewb6}4XKlYXfCROmlO%YQYA zHC>|jn}hG*680U)mpVS}oh8e@b7`bZ`MA$(mj5)*w7lI%16H`7- zLOeLq`^SPmcmuW1wJzUtl!Fwp&?T4tg=>I z&KoAeg!nmVFBDwQzF#x7y93ewU4=)yJtIZJr4h&6eJ{b_8HQAmmIt(vQzVsU2Ga`0dJF9H zrBB0UTA`8w3nGKw82sbugiZ^PEy*zG09;YhlyM%)EJdQ!X)dTFa#zWpZQ=VA8?CJ{ zx`E9-$IXA^>-zrIF2ADjAkc@SoMiaD=QsFj{U90n)B7Fh8HZno-?WXrePWd68BwQ{ z8y{KpX3h0I7se3oiw&5?4IHHVwPorelUh3I^bubluD@lXL}RgWkxjSw)R&%pt0(E) zMiXWSkHY_SE+{E!Y`hI^SbFB^h})lOMv?SJ$HNTyuTU^gR$&pZdRBP`yVqyKDFVjy zDG}CbpY?zY1N0sv=5=dpxvsM8jFdsR1nl%9mjQ%L5mt2zB?DpElps zYhf|;qB-Qz>_zu3tE?`nv9@!5+bu(sOe53s%7(+ybMEGA-fM%s_Nv-Od5z0XUshdK znJzkS={WG-Tr}2WyXm*<*L|>`?k%fIa&Me5^YNQqg{E%Wxvo!ocH}12eHmoXKUxy- zkdK}u_B|N&Wx2#5d4@%{*QGH=^;w_At<=GMdN1ksF-Lvz^S;LQxA}>6TAP0^hu`w| zjd6?NnoS32fJGvIfJ9#a2^>D8uu2W&RTh{EK!?C~AQ9euAj^C+$an*h)lLA#jmxuM z0m=qt;<8Np3Uk0KGRXW78lhOpByKZ=N*MMl_xrX9Xy#~nWr@N7GFvO8Xna{qq0$V) z@QmDR=>jy@?rny2cE-*+&F#Qf+tBj$c3&eQs_B7axdEr7v<4N0mPjjkm&`B}CXtg% z81@S$@;{{`ShaB`#Ss6{r_B{k4b3n@@OmSFsq6`70j5|bwoBu-YcXzBNyUw;87Nb4 zv=wIAX69}~?!TI;6rP_*To?DRQqP?0s(PL|Era`X4{w#c`N-Dg-QDwP+c!mtFaJ+5 zZ61H?Dc7FjwcYc7cBc=UKfe9zt!qt|XJ*c*X`Q!FBzfdCQ`Jzlx7T^8Gjr;4*sYLN z3zC-E)Zyp44xDdt-<%LSyk*4@op$*zYjQ$RYZ#@N{?=$yOciG9OFN@Il^1U3_Py%7 z9;^Sn=rWi8$muPDkE!2{5Gzp*SQr(A+yQ$fjIB6GF@Q9L`a9Ypa^d`cPB#%I$?g!i zz~e$T1R0<0k82UaHQ13Go`!4T2LV2VjA&xZTF`vcHOS6v17itu2xYgfbOG21=|BOQ z=)sVZmONNQuz!!YAuB!|VB(V-k@pE+6(0*IYBOTVMnekhnI-N7XSBvybjG^Z|dB*T$72APSdnx}6*b&ktF z{d${e?8wqSSn4~YJ4tIoZ}bKV95$gp1{j0hc!I$see8dp{HaKrFtMZ?_P-jCzjmzS zNkZABeze9pxk*5TAR0@3i^G)2*-1Ja*t< z;Z>p0`CpEk$y1$F!a1M%cSR-dGAyNy&g39V+(c)CO`!yfOXM4UTo1R?}^3a77mW&7R6>-C>yfW4e zLtv*G_^S*enh=SAp);Ta$3T=#O}hvpuRr6$ zfpH)_2lI`HDyOxRLeRRYG@zY32}snW{|XMkS`1^{z{E-6PX4X!ltbCsp>(msg+&{fhE&zQqYeOT5X^M+?g8LE{y&rLEpS^L&uGXb z*^%_^@M16+2qut$<3DPLM`j|pR`$^wHz3}Iu#aXPaFjk^g2N<>{0Y+ce%%ibRhfl8 zSa$J%VSeYIo@20=#3iZLPqXnlOM-ta?|0{ z5n4U}k&QP=OFD|-PB3SNoUe# zf>A|qkis(2dTDQK=P~DSlo`J>9L{|RJDSSq(_h;d!od@3ATTXLx^QZcTW&?@to>N= z9Tx_4U`f+~ajy=Rccy|nWnBQ)23a*Lo?&fBeJ)63K3{X7Cpb8>So#nr6ZiZE0`DU0 zw1X#!?!D-SxENaU?Hu3uXlq&GHaw9Bt3GJ!|Neb&D!xuLt6wG{HyB-l9Zdi&Lu7(~ z&y~dm)%oqOz$_&&8z7_3fS+Nq^aNh`{18(8A#FaYw?8cbXb+5?%QNbUYHio-x{7W zHT_Y%KVL1Ds~b2MjQp~aSdE}mAXj5l#>|6m4&eyv+7YDn&h#Jim~CaMMA&<TjI%wN0fmQgqMiUB0C8Nj zq5gFgDy$I|nu0SbX|amJV>8*jC%ZS`nMBpMREuMMdSe0Xt+e>B`0^I@SFO3W(HAQq z;5+!AuHYnNep-SOmLNt={g8DTU8u)tV|X#S&1|;d`-$cnQP|+Vt21Dq9$W*LoH7Df zKW{p!w{8~!>O*;eP`Cn%F4oQc!9oM8%j<+>3(N-*BEMBiG`L(SI93pQ!NCG9U_&ti zNXZ862;c-#?TF~MY)~A~gW!{+jMXtA;8QWYzDmw|0O#&k?&8LfKLh;KA!THNyDjBF zC^a!T;dUOdLXi4lh9M)4ZVZT|Si#8PG_wLa@o=M1=kEkol>Q`73K9wp7+4$(qzhMo zB#AOjAxD#bbrz8$Kr9m`TtU+y49%^(P}Lh{pS^ESaPsC=>j%}~uF|iW>#<{dl8}3L zf`sp`6K?`_VXl)~mZsS9n`_iYD@d>AE=9{(GpsHDQ(!nQo4}8 zI!`-oK>}e{77E3Bhj*Y#tOg-7Q+96>keu>oD8O@)~esb+n85x0GMo3ZOgi%05d38;Y6A9iD z34o-5TLKRXkhTj8ge&0|vW3%o5oIPL7BrH9o!UZg55Rt#DTRwDeR$~qkH%o*f&~o^nGC2)(jrJ0L_4KkP$3Ur` z%O2kBC?LGq7|*~-C_Qr_+X5Y^uz`S`fOhUgHaJUQ(;9<+*bDa9bQcE5A84+{0_bjr z&dh_wC>qZ2fWx5_ISD*}a!xJ=?YeI0zLW;#VXNAAoT&p z73E*P$0Kgb+6UUxuo47;h{8;RlZ!`EMluiQdq75`;T3@`0%C|<`VjN)pFh>e5}ftQ z_NiCKc~uC_m2}J_S}QQ7mRK ziNIkHfPw?@AlH91Z_?@^$hpSDq?_ZJ2p*!#xoxe-_qzIuu5I|cH+OALmEU=kn z!a@AV6OJsjPWUN@kwxPh31T?x#sxC^_5eY`xS0$Gm(d&7bE>%DZyN+Kfi2K_qB{5} zt`rdKT?CPG1Pe3>?hdMi?ng+dq|+)u1}2hz9}jILK;?8cl?0jK{8lptm?&&V{i$={ z&1@*+8WzTAt&jRL69!wa3+0P;K4xu($VR*cwu=gy= z)It1Mgy0Qb%Vqj`OZArqBi`{q&y24qFs$$~?S|I?;DJS~ zpyFw;UJD*=ScBRmc$kcMDh;=gpl^J2W?)y~FRvctF-e{vSL4qOv13#MPB_CA&}!4) z8<$3ivBaszn}1&B3%oC-h8de!Nc&m7&azxnyE#QY!;(pKD;{}d8oBMe;z6&0sDg=| z=*Zh>Ul?kL86c#<4DmqTh)J7HK?#!kasl))vUa#Pfz*onPmT(Q!2Q`&)gPx(&g|2bMk! zLSw?3!Ny<7ehckCRJ%7f{ETA z18$WBCSe!PkW|Ki)rHNxq*w>Lgn#*5`0F9Rz(QQKU+2)9WYo>Pa8HUaBMbT5vkk{ZgmVe*V{-#2vnrAFrxI zo_((C*Z2(I+Awcm=l^QyJu^ss6R+4;=g{mAm(CW@n))&h=qFF4^TUXep{fRLkiCyy zr8K8NNzIG4P=iU|YgY*GV6gRiWda}m?Yr>tELC{h-KU$KcDVK=?e6i05V_)2F1j6y zV*olAIhy{O@}_&2=YvIsUtgBKob-PC+lGwH%?S3Fo~oaQBq2pL!7B=9xR0E=>YBpe z@NTxP=XGmW5n(!;P|O{d4V2RdZKTQo6dd`H$&aP~+1N$-z^jzx&+Vxbb(34%y+&87G(Q?W$G= zA6hc2&1dS!)*xn`ptQNiPvm)AD|4LDTM}(rI>0yn`B26Dxc^-u+7179r1htcX<18= zLu*%R^EC-7{`WtA8z(8etH<8vAM>aG+_wHx^^R`cvt*`-PZO6_FCS!_f+?2VvGk{&9ACd^AeVVeQ#)>BW}f|2A(;q2q}I z$K)s9&we^_wq7%%Ktk-`SDeY}4z?a85p z(y%r~-w0lShPb8~(|;jd(8f5qj@$F*O&L+U8zR^ADMr6f74Z9SXItoFw3A(wzP{Yv z{tfI~zWFr1Cgg*-PR{x|8ehKTEkY*=WE}+`+;9J$Oy>#$dM)}UAM}s?H5&_+2IhBV z(a*S3SNj#USwpGsj>%e zmS>CkzaiwYx4A*QF_TU4Lvmg9Bfm&5=ZZq7%xzd@;uzn^Aw`_~xu${cXMf0s!c*Vf zasK)YkyDf8MV(t{YGF}lfi5O6$F-%USAtg!Wyu`v@X5!)Sk%6G$CUY7gz8i6q7K?v z#WCo8ZI${y(Uyg|pO3!C%?c^1U7PiOR>XH|8=Kv|M4dsHX66oCPXyFezonw^~@I>Dy*_v$!|j46dqNzY**Y|;Be97R)dY5}eW!p5L+~TBTl(HbfbJr~iDwatGPW0I00f9yZ%l zm{WD8K`jm`RV=7Nd4nwN{$amYxypvuEir-9RRfDG$blNi?Ng3_MAYX-lYcXmb6IY^tBLb~;se zNj;(--nyJL=v{as?pmL;CDCGvZL|kdU2(Z?Nk+Kegk6hDg=Myrb%!NGI97c@u}8eq z!ZrQ$iM$UZ744tb?Z(=Rx4m~{(n5uNqg6^a0SV;Z{$)$5-AIfxDX>Ww@{;O0pAIka74RWtdTSG!LTRT!4LOo);I=eG-lb)+~tmD=wd5I#V zJgGeO1A3P~bY^!hZHkReYH1tblV;XF4OikcPAQUPs&4x7Yt`#E9DDQ2>LH!(pWPCb zqeSwa_=wq@SRf41^^KBoqsm?`t4ijG2*a0>65j7{(avJVf3NQ>bqw{4Y3dTS!rf#b(EqK1lNkIo&INBOzsI1A1BJ0KArNaq2uU&p{p{|OHu4oODUf^AfOGh zRrnPr)aYn{{-9Gnt3%#|#UYa`DNL6Zb0-=47OT>9RM$MR5hM(;Czdk3qza1blqc5& zi`I8}oUCM$+4dH{GD%|xdH%uSj%poe()Eplit@k4mGoWlOMbP$lB771UfyMVv?;odhUp)Kz_VNOYnmZFe1I(VmiFDws`d*7Wt zl&z|Gkerw(yy=%4!d_xdI>GcBwA3^Bs}u6cgW4FbQDlSo9~*{pz5>X~U|y^~3MbcW zjF%xya#6YqOUC5TkN8!*g<8c%YgV$KU|E%l_%OGU;h^3H-FgSQ`w<)`NLDn$MT7dp!M7lsI?-DEF`~4?A%rNs4dpeET`h#h+u|3xMk9EgSRx zqC4AU>cvpwFOxc@NaeR==E)Ux(oVHnO@myk+nX6u5nm(dtcF8EiI^st|R#9<+js1U_)f7L>DkepVk&6NQ#SF_#iT>B^WeVaIS`=Ay|-@&tM)h16y$N&GtbaQD~g@3`UJ zVLI0UW`Z25jtIDFn@v{CFlLyHKwjh|ML8L7AG9`H`(-Jqvvp+dKmA!y+)JxIuDZug zWxJ4?5?|R-J%z4Ud)k@bm1FoM5t7r&!}hi3eH3+%2Pen}W#rH9>jp`ExoS&?R}Gg6 z;*JxSpB0z@H!EyGWJcZ*gKrOBp-9gB{VFr5QrZ)!mbJZEC_5%m94Cl^voP5tHp&W^Y33YwHWRab7HkuOvQ>|WKzi&q-BI=$F2GrXa@B?=%&2+k;H48i*U3gJY`xC^aOgu07I|*1;NeC2EtgzdT4u_3qwhp}L*ldx5;kc7d{2C(k0++NrH#0$G6q zb*W5o06=Ssa%c)|X>%u(Q*o8OEi$wf36VWnvubkaaLOwEJGbv{ccynFsqO5?u#TaHFEJzJQLyjz5Ud7QBO1lV#4HcIs7psBnNeEC@aFgKSes)H#u>_D`X;w} zy?cHY$upKoH?nh$X!&)cH@A6{?i{+>)e`G0?Z5}b{JpN>d7bXYV!T<)Uke>?<`7*S z@l}Mpbj@rsZCgU4m8VwY=g7}wRoc!@GSB#&s4OA9m2wX_dLFwlYBBg>Dl?yTp+EOy z{&BiPSa)LL)_TjcdbeTP>a`C^!B_{Yrzh*bj~4}VUld?s%FzTCQFC$IKd+zsY3W&< zOlNEEZOYrULKB0dlpH!FdvTb8jq2pE$;w=tv=o)K)wR9ya2uB@@5;za zKJo~;K3T75B~VyT%BMXf$MCR2hjj&_<9u_G=|NpXu9gPHt6w+{$<{-b{rfDZS5R}h^bmJz_CD`JK?7=O)TmWbbk@`YukjVh&ZL}%%IWr4AWpRu zF2GAkUY(Zkt^Qik&a;i{&#Wq%vIuR#X;gWo&P@o@<6!hF#Cd_to9Z5!frwf=QX zoVDt0rogSjDK9UW+Q3q!s|lLmvDy-Gb)&f;iNC0ctvxK|$5~%gcWJg4h*cAgb`0^j zUZxxf;lA15c~(H}&+IJRFhjm(bT!e>9&?3qM$m%XbI<%--RMkyl^Ovzz~ zAu2wliu0Y@q1o~t701ZY_h_M#W%u2LSQb;Mpj2khDiN6S3-5ii!&310sg*36-YAMp z4;HEhzISe_HD?~*mBk1;UX908P?v}qSok{i#>Trxx-3yr{tgJhJF^f#nBRQSpg}FD~lWHL{c~ljYyz6cm1=_1`W! z(;YImP|fw;(yAh*s+j4!Kp=P}Z+n76`e&ocrQ}H$#^mZ8l@gmDU;Db8K>E;Jwb~`x zy}kNb3?rcr%T@P{<|qS;F4G-O+PTrGiAxFtr3WPA!I(+X`hc|5Oa%Y2O zzcO@?ye?r$;e|Ijj_gQpDgmwX2(lCM_qTniDmih2NqY74IKe%bO=kOv-_#__9)TTwy)o}rQM2Pt zZ5-4H&{FABdN?LN*HBCH0#h`|iE2-=OQDS4PS$YWn?ki94HSt04neJ^@$-|uv1=MM zWlELdo*#!14!c(`4$@Clyn5Y#<#VNu$(+)EU*nUIldukhKQ7IBn#`cIWMyu{ru>JSnjwYe&)t zJFSrE&IlWsTUW<-NO^euz-kv+9Fd{GB6mA^DT8wQoiHYrrir~x8{$pz#P#+35e(;T z*c*b@8}r>qD67Bmt>L@Li=eNzy6B*<>_JOvVXcxcCy%!VzRhWmc1RIkn z%4~@V4tiB7hh2Yz?Pp4G>M^M@mpt}h=bP)f2t81}>1L|=?{!MSt<%T1<%`nHSvrhf zJ^EEK$jEK)Zm(U}!NsVTY|ZSW$9%5gqou(Zddl*arRwQT+-b?>`Q%@n_`|Jtwt+N@&$y@AS2s^6PoUBtVkjr z8v0rxsLG>^x{JvJRTBBD**FNzWMVYtk`r8U^%yT!$i9Z7y7aOv3cJ{wZZc$!8<*d{ z#4Q$ar;hmcje7~MG#R<#BYf9vWuX`4jZSuQw3{$sj^`O7l-_7nrxH(?*XJr8oZ>%? zADt5UhkKLaE>Hzo(Xp!uF@biHoY1w@{ZYMrr=3tfmxrV+criui=0@!J#?E1l93x_3w#p_KQ6Da??7A-F4a4Xg;kp)*RG0$Dk2iv7HWN>Rw( z^(Ayd*l~6YTgZNku9e=CH#6NY2omEaUAw8;HS7i*sM-2^EWE74XX$ST_CKgyS1@gP zI+D&a+L`o?^{uW8;~vDy(TfHOVk~Y;T3Tw4%SL8$;wUov;qEABx0Z#gunvmre9Ek&nzsc(JqJa6g+r3CmM-B1E{+pG>8zx2 z!4#!ht+h2tk})8@@xb%2oQ4-x^qGEDlay1IzWL9l2K9+!T$M~Vw#l4~$Mn0EmkI+s z74Z+OLJN}*$u2Sz{vO!9UeWu_A|WX^(^t(WRfo!R+nz7hI8{%1M9CaN1dPzAhMg*9 zRlPxNtW~a8f3#=HM!xWRt`+oZe{^i|uh`sdBzs;)D8cvsWt0my}vI zKaWVKdL%9J?$F;R7o%!74pvhSW$F|4j1THd1Y7g)$j;ang+Hexsq`+xo?C<}CVNh%Y*aGF*{m!JrTUzfMx(pITH*IkQi(|fv~ zZ*V$({6!97V6h9668(t5ZIx3xPY+(>^*`SyOr0<2r0Qh^a* zEtWs79?5*M(lan)XJIIww;z&f>MzC(aZ-x{RZ@NX5T_yVZLSNi964$kwKUS-boF;R zYs{7X+V@IM?T$a}+M!xdrPFv~LkHUdRmQRy+pkORmgGwC$_a-;Z&|_--&Yqm(w_*D48B20_X@H>BqP`8+zu9B%k^Xhs( zdN7ebi0jUfRl5dO1{diHzv^Mj%8R^6Iqk~e*q3tk)a%XcH@ zj~Uf_m8XA+W7#dTCI#KS%(Ue8j>hY*B{jSc#*MZ4+)T zJBJjJ6yHmW7Ia4jPEw(q)6(Zh$GY@;j>R*-*pI48m^cQ7L6emqkL1M=<)ySjURwc@ z$+#2}#T(j|_pq{~d&03ZCLje9NiJW}<|%9Z9U<26jXV=bAB<89JCeQF-B_BE9m!QN zlNjxhaq+wuSNn*f8en6fU@5F{jpBKBaQ3AIqFT3GnTHXK+=$%GfW5XE0{at__n`eXklxA6xC zXT8GadM~&Hl*@t+VrpeHU(LoIw=o@f?`}1d$?+8f1;t;)zS>CgU3HDL zJD^Kb9{tMEJ)he5gvh z+MKG3TRoJ^kjYqLS&Z4*ys&@i!3tH-E8MpdOa=Fwh|g0b65q@}T=nAIw(ptW9vUUl z$^G+Hj5Sxs*Yd@x<_?vkNnO!c!R-*!ih?O}=0$BnJTIYqUG;aB@cKm3@K zT$r`2MzX1INET9R@dlP0Ka_`dmhxni-~4aSFU;QGcE0TDIdz+yfTY>7@_(f-^w5T_ zER0sBExRZbyACz0iuDS+HBx*XYszc!Lh_fh72mIEJ*INqKIR=uUU%hPc7k0KcFU6j z-Hl{#Ocal*Qw46T&5F8xnafGaa)4zFD)I+cBs)nEAU<2g)`wl>a_hU;C!9NI914B0 zUv;}rds#r>aKPInrWWOBZoXlghVa|Gy0Vi$t5`$$%rW`vGNaxsPd0nZL8_Y?it;w^ z4GmX!d)(~oMzo7MXmi`^s4DQv~1 z6nSGzm6p1;Pd-%lU8@!ylq<*CF_!&T3b_9WsEIPC(*E46J%rXvtxue?R+p@C&n#(S zdSGRUEjQ@oWhnm$+aI1NT8~@^RQ1;J-`Pp!6ZZB%{3YZL`(xPg0oixF>LT~`w)CoF zHZ_DWvfjk>x?mae^7)OXh26Tw-xM2VTyCy7SnG=2@y8NwId^1!2X@KXZ|8H%SFI7@ z#xjp3VC)N&pN&h)f>qVgH+bv#HL6TD=BUN`m_xRXZI{ZuCf5f28y+5gI@U`#HdPiY z`_RI_>)2VyZgykK7og_XYod#~M==D#P8(N#x6UTw+^+}r1Sw{Bp2sHo99==0un~_W znx@$%PK||%_V_y=@*Mq_>Ytpdz|RgDOy1isj;^&&(O;CRxNogW!xFND#p^hPUS_JE zbLcobL}VXm-hmZ~K60b;Tcj^BL|6U)k#z3yOummBFY$@$Qw~Y#Am<`7D~-Bw$)MzQG`k;QQ2%OS+bd>sE|*gSz()m*f7S79e+>X-_t+6yu4nvXZL;Y zxv%@W-dEXYX54?rkKm3r@)_Pr19l9-6clP8N3Yg&V`WJ~4Ejt_kh-Xz$*Z#d3IWv} zsr0$y%~_OK4#T;-j4H>tSh}gmyeQI^A`v;?+Sk?YP~Eq!lq{9HynLv4qy%Z-=&Lj$ zy1PLo1#`CT!zz}y>7?YOpMzKH#-fR$AsZ>au)wXQM@B7##UWe3)J}e(ZtH!KUVm_& zyisjmC$R0kCe(}pWRaSDh@OZPE$zm0NM6amZIzwWM!$bA)s6P#zx3n^{49oW0rUrP zieBbC_`={c2Lx<``^xb;E7=PsU0UWw@`~X>&C_1(jAn;A_3%Yjb@B#zdjxZ^+V8on zH{T!O8@v0nKUr$(mKUxsB66eJ3Rn?@jgy{(4gD(Rj5>b?{!=!CLaPbu zdwQn>qeT4iy2hEaTp${;-NFuuaCh771QV*@*3I}PG>FH*+TtASy^~3=%ocAV#Z7sl zC!mD&lf1__xMZXx?!R^-Ip8w$w6N?w`s*xrTh8^wD1PV~2J*cZ<4@k}@p26a2QnA8 zkL*`t0Ok4H*ZVm;i_-Ede{KY23kT6_13lH@0-wp1N{0Xh_Ek{+Sj@S4uu2;Q3Z*@D~3 zo^8nGhPDMMiueUsW07*s`+7=(T;8)_uU4lZz`8+f+Vy;Sc_s$J>5;~r%b9H{vaG+T ziswq4M@VYz{vYjINxZfOy|W~CL+MTD(EwZqn@&rdpWe^p6|m0cyKxHTqouw>G8wxeVpkD9&d%HHsTI^GCuwbfLr$V=!mW-g>;P7lyyZ zjZLrxHysxq;aNt`lUNnJOW|AOd@(_1sm(ZUc`BXr8%`VaWz59k%57U25?FygI~88z zdqHvip)At*_r9^T_oByTR$cfX6f9%Xy?kSz_nbrR{2#p0S1G1I=1e)jOY%o$_0xdW19*jkA5++ zU94y*S;NTRrAi2xjd;>VzU_6IH|mKse=6%-KN~T*Rd1(&JllZJORpP0O?{E>vOYxL zNC_P;*Xt#2(JL;i>#!<7Qiax1W~*6R$)N)fhjy{5tco1UL|CNtv7uW|c5k`v=tO0C zFlBBgLqs>EfIHf8`*!KU?2x_&qG@P$*r^9DQ+S!?L-q#MjoqduugnciWODY#Mm90) zo=5)^3~S3ZNM5Os5}a>+_ICgEH>w$Uew4iNI;P``>ea3f&qTB?CjGl5vl>n1o-UNB zUu2G&0$yE}4Ebrr1FLrU?eSxS*oLtX+3cNW-V1-X<#}aN)pROx@8q}ib2~@z#^JuV zz2t=(!Y^jLf167+Hk>u*3iu=pVVzrItu-G^C>#(s`~BRZz~`gKvsiiX@%l%q?jeVK za8StCZ13Y?5O%Ea0PH}-PhJ%NBoJ^#gN z_{}GSk&x&IIW2iFc-zxAMSNH;kaA=l>iN&QoRKg81Y3e$?cFVdV;UBZc4d31f1QRN zRejuf;p{2HQ+iH0mJVpMr;mKuq*F1PQTF^ZcYv?ba@yr$enIy9gl24)Tw#4-w4^d2 zpRDq*mF>wI4V;MXa8RXxRa;Yc&$U~$agkgv$nhL%-DudQo!6&T(7I;ZhHeQX^ zJ#|aIp;G_c1=pAjZ+5h8zgjoBTnh=HdKyl&^9&YmIB0g+%I2>-ZSBTyJ02ahAV>Z7 z>^^u01SNUiz7=RYp<%kr@p5}|y2cIxYlEBq4RcxLZQ_pYK`uyW-yjw=qE0WUo7U9D zjX%PhL@Nf3=HEy%W|HR1svqc~?mhdO_#Zj-_|3AusYt)-I`*7$#oRVR^N+*NEi6xc zPsX0RczwKht>3U{@dmBQEBzXt8~oqw0Cw*P`m`Q+t840M2YTPY%X@yyqCJV48?E1E z@Ag#B=iuwWR==jzaMHUYj#*b;YfM3`VJNHc(L+VI)N4J8!|X>t+ulCeq#O6SNG6h5MP(G7jZYA4!4dUZQfd}&_9iP2g_O)Oew-HWPNo*Vm|ke|h0u!Dfo1{$H{kZtaS&IvrW}ozps4uVDHixSC8an@EfUl4Zgxfks zC$C!M+wtt_n|N1~AD4oSpwt_`@DY6thjp%)d!&PLP0&z@*EL88eV4QBYNR2mwGN)W z{PyOl%=1-J((&{d$j1%)jkQCt4uSjefl;3~UY}4O3U!;%TX&?{Ot(tqrvBQQB+${& zq1-hnrI~)bpr#Ufpj&dN_fnW{pCe`)4eqMy`4i$UdeYbDoB$ASCGk%EBUh4fv^g4z z6rmd7vd5kThF>&$*-gTMt$;!Drj@io$mU&IhsKi@Kg`Jde5hd1R^D*IZCyMC-MmTb z`}z4wvO7SkF8i2y)!ghK#=E2&D|Jg(C+Q#mVXWn_ z=HY9%crQ~w@4$^M89cndQ1b1lk+ElbWlysFd}thK{N*(L(rx|c#BpT^n*vT3_c?AEtl1iSJT6&nUoIBiRxE6XhxdU z4cvS~o;NFEr9RDs2<=33+NsQxWeR!EoT8V#ES|E3I3B67l`)fkClGHWdm6mi!1I2W zj=_I1+WJo3s_ABR!)A@QI*abx#{ePcqvu^0hh5V?lBw@wzsjMdzRn~C8R~UmxUAiC zJ~;A#N)WQbd474=e1yqd5P!F+;4H~AcLFtbaL@6su-r7(Qiq}suLc(7$6E1jnc&q+ zJ}MuFp`D#SeCkR`T)gzk+^^TmsozSBh8pJQEDYxycOw#eG!B?)?Aexm+vbFN;g10p z1IYwtby|t!wDWQj_K6+lsarnG6=6@y$D&|ZH~)CV_qzVvo`yA}q=$u^Z>BUiPOF(f zU0Q2O2NGx}8R0W58*kg)=3Lhq=!!nG;U&UEo3HXH+pA6+O;#SJtefHsX1o!pVzBaZyXcl3GkNpmf zXJBJhSJ&1GeSc>JWR#!JvRj1U+eIAFy<@gygXQw21U>7)q^0wRH}u{^s4AaaG^QLB z(t7B{&M&d0@F7XE%UieOC$1T+V3^+r9qjvb%kZm4pBxTMKJ`od&*b0+M#BBz?L&X4 z)va#U-H|Ho8!xs!VV@gF1$=+MV%72z;KCob|LvBM z4{cK`IN12`YhFeVfe~iee;Dxxr*<+FwC5h1;`JC}L zA9U;bq6T@tJ7}NoT-GT{95Yi(=fh2F>G>6kf*|peMfb7c2sA!o2Vd5>Zu8;o%gWSW zyC~|oPw$Uscu?+c>+4pyQ_+b%l3zQERA)*9WOlZR;t)8U(~j*DyM|a5{vdH?cTAtZ z^~UDj(Tc5WrNsT&kM_A#YIg|4_)|5LFE<4F~G&?%OgPyZ!aIOi#nugDvHip{N(yo6QJomtWDojMH#DXz`wN#_AwDI?-gj_%Ka_#R)dlElC-|8lRyvO~J znbon>ArWoK744O@;M*Pto9d&9$|IltYbkCS`F^s&|G83vvL$%%M4=@~I(jfU>Wm&M zsn|^sAu4A*hsk2I<$8Qo@urO|%(*MP$ zdx0zPooD#p%%92U9M6Lu-k;h!li)Rb{=zG(g_(Gdw#Dh!`7BnX+qqSnw=Bv#w(_8* zySp>UB^k1Whn&0`vJp%xquZ8FMS``WXw05r#VSY>iU%*| z>TUnL*fr24^BicocHrDSpX1E^inH*;bm0u*G6a_iR^AE!iAI@%Ge9BD_GkO zCP;~Nb?<)BS8rd;eS=Iicy;D%zsBBhzTv&0u@WzyJmS);`SI`1eK#6DbKhJc1^?@S zA01fIO4!dG-Lhy?$jc`ixzkY$Mnj|N$f6@la$=VKyXDUJpZJ@14SAwehP*z*azySq zRaMq=uJPyG_QV+v2T&A~`Vg4qkM}su+cZulvml>2mmceWwqi&dGUjXTa|WdW!w0iZ zPfGHKNsZpPvE}%+yBo^wr)w&|Q6Zc*d+KL=L#c>Ct@zuv`O+K9uh!$0D)LR&F2E3l zcfo<&tLek2zp`4jEaC5mDl#*+{r|R5-k(o{j)wQj7dvrz{43iw4PJ%VEJdfXe25SJ zS@rmc9Z#e?BXeAg(DK;GH{^jYlK9T{j{VAIFfMpWU7a1zZzxI7JgS>^W%u7``Xt!F zeiDQYRJq;L-fs3TuzZ=;j-zBQwr- zo`RK$^|;&>^1RFdd{U_7?}c?Gu}8o}ny^d83cBfLLr&^i4||-a-}d@ji0o=4A|JM~ z{|<_<`L;plr$oY8b0#lmrR(->6^NLa1r&eHMEjbNGyPBFa`qlz%xT8|>yztk#v<-g zr5`B0-oVpNOeKaq^To_<&XX<#bqngN_=Wp84cCW_#$@mHKQMTb5w|>6v6T=q%A^VL zPMVu4JNc5vK7&q^{f#vKUA8>%U!VQkM4G2lM}OBMp!RY+_dFOW5MX8UETus_W8i1EWP&DGiy&W)h-e*HIAW(K%{bePB`OU z`rf_QD4~$<^|QeK>I60H=`I}OEskL5f9vC zbn8e6n!$?Q@9)x! z8RAF2;2Gd|8D1j)iipyWK#z?H`GkE5b(J0Ysq(zWmxtl;*hC&xOwe}~eaq9A49z{7 z)2>v??;?sIqH%WsY#;8q9l-@-E?^~Sr$IG^$|Zq4opkWNgb>?F5*t=86T?hFrZ@`O z!e?k6%dSw?PG-TjJgP5|!ikEfe%lCM>lqT~19<@C*S1bEzRvp7LS5Cla=NU83>?p- zvqF>nK9}J!EPNueu(^VbiJV*h4Y9|BEiDikdp-qDE!%)?%DRB zZYJo@6iV|?ikOqthst4~N9oP=SQu|uI>M_tw2cjAq9O;fqCg|GKMN0V`ooJzVl22Y z9<}JOlZ_c2H7o0pIlT17kU04aFy4tCKH|%OjM8vy1T&00kV$1-0^jLu@Hv7I`&>XL zTNYUt(;34vrx#}X@g`$z7ge2h+I7gn-}O?0-~7^#$rmr+Ucn%4q0hyC+Bj>xs6Sm# z%p#@{MbL@ja(6(A<;fJQlcphe3-C=VC8>y=jjYMwwFmTJTz)dAm$Z;xoVpPBmaK9J zQ-}Y=H4K3TuBt3%m)LtqlBRtAy^G(L6yh@50NOpjKCt{Mm!cIVoT3A_RiLK;t_&*5bCTBN7xUc9L6PKnM!nYy)dA)p4k5k-n?#^aObf}bNU zg;N9tbasRL-wbm=#hfx~)|457?Iz&`WWfuSB$3_lqDP|kmZ_pd|I=v4sCczR-Tgnc zR+S}`jW*JI^O|$K6UKFW$#0mp5r}*?=kK9689bll`-A_=9T|rp4S_noNxAcNs~VO=q%zQyEu)(W5q?S#5y~TOkq@= z#g!Wc42<2p>2N!aJf}S~!Ue}Q_jo=~*Gr45GUDVaqsH_qW_L6eG=N|56`sP`k?zs@ ztr#3DzjuqGOc2MW+7~60CkWR-)NPCiu_W&eD?-p@4M=;RAvCc7D?*`VUvvojMj&5?9bwNKWsr4weyRi?6-P~b4Z&>ZN6zCA5J4sC-17qi-!(^0zw^y>0shl$ zwp*q1$qpHHIf-DMy(HV?fvYK`*BYb~*SeVWw|(ESmP_j)kJ(04drZg$v84c0N&$)oLkAtWxj)-oqt@9S>wklBj^=?Wl2Xt*#>;0d*6?LScg}N{-y{) zYH()(+u(NkvCHrm4!4isjhd^T7(f5$=Hsin{V_aRz&Cxj;Ag;Z$(BT7GE3Ed|yaI{P-b$w^TRNBPZ-d{M4S~kGipOjS|fpfHM8aw^x7M zf^Xdg`9Aa7S~fLmnS9C*M7w@V#|v_m*m(;|L%fgIO{1)WR83c`ugfk6lb#o9b^|SL zI6@V8GSY4v7v z;)HDfDeK*r7a+Mp_+BNH8P&s#>hcnCx{A6~2ulD%ItCs=S(h|cDwtjBHZq*dnbrBz zmWql!pesryqNGPn8@t@b4elZV<-E;qQ0}8WRcJ2KUgYCSq?|B}&8_>rIu>E<=lmm2 zkEJnU7b4dW2z!xG;l3BQ^452~h}u}loc5Pqb{*+|(`f#*<7QszdaSzd@zT-IWvYf! z(kE5!N4zG6X#$RmXU)HsJjKWY+w1Fkn82-?a#*LHv`?6v&oBBkN|a3qeTG>rqL}vd znKOm72w{X!vjm`{?1d3BpLhg8AHco;awzmJo})hE4gJwl{%}Lv_B-!Oe7+~}8`f#m z2D#}zy*Fi(dN7YBU+wqA_}QmVc4Aq-(ebxzI4CBAD0?v7jWChzI-%ucB9B+-7sL@+ z>k(Rn9vT<|$W`bG;cj9f{$M7lPPp3;P^nEO4;PF%IXGg@elHW6bqhmzoRL4+lqx<| z99RTZZaiaL2-T|bO{V7rF z=6k2y2e#O%7wc+z2j2=AzP>usnUzXXZ?@VAMtDQ6hFr{_TJd4+>>u^iQx$MjbMpA< zx{rBwG1(B-=u@pD>ECS zGD<>vMu&=_2+l}jUUQRG6?U#s?!lbW%|K))>-VPOs!wtom#e^edL>`OaD~-;yw{9M zs&W&_2qyxd#QFbJ|6K7v5vP~&OEk=4y1Wt>J=9&pj-XA?|M*6JxJh+qC}-UX-PN_E z00dS1L^c$@7hD^Tc*!}lKA9CQ`3DNnL$cXluJPi?Sc*!;mtG2swHd0+W3Z#x%MuRi zEZHvSXFZgrJzhP~W&V4EeopwVwF7nPAA_3+EaPmUG1>XYk@jOh{b|Jcfh3`N$wAwF z;Dlj}UJbrl4GvWH^yrGK1FojC#>NfH2A*pU8b*-9*CsaUI0bI_eMnD3%Wow_v2sb%W_P5oO6R>3 zIN~D5)i`VuzIM#zMX_bLMvk zqdDZurX6aWJV+v7+2^-DgH1A_!9H)nrenUNIcdZaA2X`{J^I&&sh++O z3H8Ctzt6O^wmW6+U#*0>%v(INx}Nb?n#NQQ+=)Ii`*f-!3Fj=9r#&{Po7)_J1J#Q$>185Q2x!FqRKzw3t|Xe zF4<1Zhi3kGADEkC&0l5KO=`a3rFHc^R1j(+y*ms zPHoC|{H}sgR(P#G%h&3E3$uy}8E!b*ucre51Eql}2XoQy&R_M@Qygg8LSswZOgxxk z!j64Jw^0LQ63{mlz($22$SmZkF7y-NHrfj z{BMT74k0wYRqA`Q9omq<5w3ix*tYHhLD-xb=gV$8${BGynWRwp@IAA)AER} zM(vwMDw*b0Q)bynk5OP=fXg5%|xDrlwOB|{LR8<86> zwLjyOb>P~`$*Q8&sNeLYgW=W6+)dgWbT652G2~m4Co<{H!r2k$Y_VIv)LO|u z)!`$#RP}*UcXy4HHcjlChaCy-*X?;@U+ZHrV~l5Pxy8tswP$k#GtQ*38eWZ&Vx8o8 zZGrIQT}0E`ir{(6^39t+>B9mC0-w%#7zE~NtiW&Bc3$(+#3H{NxoZ~hKaMos|If}% zqTeQ$T(nKh|{<)v4AfJd22>tIc(;LU(x|Jh(hgnI z7c8Wg8l^LrEjo%N1Y4f^qyz4lFaPGV z>l4|qsjl+D9e@#X@zTZG8Y{N%;5M~R9a7oPS47^~ft-5!L_KvyilYHAIpM;}Ns${? zUvkZcu**^GU>T~OyZO`*%ouaI3JaFEf6>{fo*(9uqIpw>v|4)!&J@w=g{!05r@IAIp$^>vK0r^s8d6*YBP$J-gr9L<7jMO)R)TRT2Lqb~(; zKC}@EjIUpZZMjD5H$L)w>Ugw0dLZ4iQ8GR$TW`x6&6Tm5ZMkvkt<`nvlc%)!f< zJgXgY61B>IvGt!arGxs{T`@#5ETJ3^*JW^J5Z1Ld+6gK=moGDx&!-qpGw-)Lh%a>n zOOAWrwPz12j=1-Ys7THK&dPppIl+SQI%`{Q4s8r1cVQ>P_No1l6rs40k;cTVR+H7< z_|8D3ZaMYxh%4b(>NTxrCQ?z`)$N~{B77}ok4i@h?&qQA#~&3j`S^{Vu`7~pdhaap za_CxJ2Ho~JH(5H%7RDQnMy|q#Zxxz*2Q}7ELGB|dOuTsD!-@&%Gb6X ztyTiC^~H$Eov>5dPC=L=H#E;ORfm*XJwNXS_ z|5s;<5~k^bs75|sIA$oB14C7;yAX6mdON3kw)3T8O?MGbu}|rU)`W7~4nzZ|c{287 zJr>amDPjxSJxhbd$W8RX0tRbP-{F|ZtdpCbh$rmepoz1-Lk5srlSI#g7!j`OVbB*# zKKUX{8o2&h=YGlVv*mb8JmU|$okJS6W^=`2&J!&}udSRA&^VL)=RZXSQ*q^nyup{S ze^ULlv9Jm=RuLE=NS=Mb6<-cUGiASBneaMRDh);6IHwHBFHfdUkkrU(U1_R{I&!>F z%H*oGV4#{SqIiXDU9 z0lj(YUs4+u(5+BjSuanji3+sCnz9Q&kpvVW~_9-Z}R1OgS@TzZh>nTZ9i1 zp|-pvuwDbNHSGR~#QXnD`K9vP)z~SbtN)D8CHT#h~3X>;i-bfDL7vvb|T(=Q{W`ut?e#`brEKb&*I76 zRIJ%k$C%l55!7*^a@-v-c1a9_!;@Wgc~Zua#*&n^LHV6d&}r??uMPm)xvTHT_Fh9M8oC_#QD(4kl?Xgm7bHuas z2bHX-baG{++jL5xJuy>&e4U{UTq>+LWqk@%hK>qrG9(TW2tyMl*!KIMSpy1E3+~jz z&07nIQZ^=!K~ddC0?!<38-7mtj!X!&X;cIObim1pmZ$|rz?3)8%XydWZcLv+9lee? zSWc`Li8=rveYM3Gtn!WW-a~4FmLClmw`1t_)Gx}@zN98pXBHwmQoYeY1?5fI{e+lgm;;LY5t8n zy-G5|L`{2IqJU}|Ye56tQfOMSqxv*4?1t?}e4+Ap_jWzry&__C%aGtr^LI7Pit|mh zdv)7?B;g#CjDreU4c4tgcsAt3fk$})miG{^riXPfmpEJYr)vQLh_$=OkZHr#%u=Si zH4rxi)Y70t<(!T!5ZAB!dwJh?y^Er{fy+wg)~_*Z4N3F3qaTkyoy4aW;fDcxDh3V$fpb2)H;x3 zKj)Q|pm5b`vgSXN#}5LIzTGgeLvhf;jMz7-n@Q|z*F0eeedCyJoz$S42_Rlmq-l(i_s^FiBipn$iyZf8T`yi&5+0^QbkK; z?7Tnemm2vdjwp5qk$ohv>RtEm9V8=PLQ&S>F#9n9OGk7l)mF42;lQ=k<$KeX^_DX) z@8jri^XMVc6`;B!2dkCY5p+^x6D*EKB>u{+A*wZ>!=SOi*TW!X1;$$Ay?dK~LkohA z*Yu7`__)_EH{;G~@sn&l$9>rO=<{k((L2!x!)j**7UhTs;AXuufAIO`K&Ym0e_sHQ z?8oB8Il=kX6F6oFDEVv#ahZyr(z$&lK+rWo<8{HSD(hz|^RM;$u;y{Z$%EI!%Rt7s zI4-a(gB-`IOl%4a4FD))fjO}*$SrCY&BT}}-T7BFSY5pMTB;;LdbDrU> zU}G5Mn%xO6_dTh6wN2f$+md@%o`W=AqFq49KLC+4s{b!L_4}G-Ae>MVu`vLK)g%Gr zQ{^6~wPOA0(E?ERCdZL)zu*6zoBeSiJD`#gG1a$KXRd}KAi2V8xDZ%gRSazmBRF#Z z5E~(a!g;-+IEGqhu8;%|B8`?nhH3DZVOC%TRU9~@4Z-dP6n}uy1rp_e#7`&?$}Bmq zd4+fmxkY`!JKo`>pQdYSf(iAqL6ZhcXl{rg0!_M+DG*xLy22{opISC*ZIDU~VQCQb z1HtvelqiB}=m}W4&)FCP`LCw-qhB*Hx$)wcc5)hlUaijhm~?8Y2q;z_^6zG^B|(c6 zO_oHaTHh61dw$%G>-wGr>c-G9^ALwhXDg+0eNBW~Q4*nc&fm|B^a$3Db@4?MJ)1sC5 zbZ{H;WBD~wW3rD-dH>dgJu8hbXs`y^sC=+4kz|K_c3n`LV6C+KrFUC^N0jl?x}ZUj;t#A|2^d+GK^L%}@j8)%BvKnc`?D{bgZxRscRjH3pugM@!0BiciM%_uUV%4CD*8nbskrk=6^KOSBSoYq;?$CupVgIJWTHy39SPgk!wNE5aNvSzU znqFH-qSo)%%flCe?!GOJiB(l{!yr0!aOS`aSa2b0#kWDp=mqfLAwQ0Z8w8p7bsqa` zV-i_RG~!@24a7uBK^Ergw7#KF2JSo6kklu0oV$-dD9SbBz(x1VUKD7$Sk}Yb9t0x6 zRULI*W(V$M#&9hjB7+V$nj`y^j(bZ7iV}J$yjzVCpaGP##Yg)+65`v~S>`Y=B=siy zd))6XNBoRqohz{JVV*Fxsb`H+pD}BI-Nxeb8P9&yVFix{R5mWKLbV02@xzp?&TS+( zI7QfyZ<*gqU&r8dYQQEiru(`zM7B6Q-401~*lnLH1$&H%QQ|&+X%4O^Je=fe+DvOK-B1(Wgzep)_DFg69RzcL+lq|kN|vq zn%@dayCe?*X$t$~FSuLEkz7m_lxm-q}IyA5q={*2ub6*xfN80YDxY0*&d2=n_ z-6iXxHxK?Cy=UKCVgRUL!CesLu8ha zd4Ddjtp6vmeis;ira$-SM`2AUURfcO-X znzV{_Kse(|nvA7w47%i?oEH`ass9y>2cW#6k+#q~zjZ8G5Srfk+}$0V0;Oh}9H_3^ zH|^w^FJS4xDmUzqm=aU)R093kNEW6_kK?ABhyMp zX`#`RS1mb$b~rEL2DYJ)j3(>?0fRdKfnfR{gODp%cq{gOP$GVQYnxSZNHr$F2tf}8 zbaWpIJ>T<{G265z3cDz8{E&qJ$8Yhx%-BKC|vk;>7*lN)pK#nD?lqS$6@jQ#Q=#_aSH69Zn)s<5)w2ta=(S zzoqI`^tXkakr^aAia2`mXpT#9pKs?`IEdR7@~w%1ppk9{9qQq8ptOy zU3J65pGp|(Z>E02QQ>ja8L&d}H0u0~Eq|OY2ukc+5)a;!F<8Ay%`)~XrL5*gn{(Zm zyABN^ZZgWZMX+sZxJdpO_FkrJG!W8}Coe2ig*>FBO2Fm|?4ZmNkeQot>MLd;mFf_~ zfqVc$VJk7hZ_gzVBJiFt{p=K8d77{zB$}{El`8r#v1u)dN1orgqQmEj=#;gEUgnTI zdYPlDNY=)uO22C{Nuc4vJg`dCOz?>T;$s7e$ z>)10BvaA^aB~hG&Ozr3j{{5zyTosjvZRNVcCa-P`<4wDYq2wfe8p)20-AboC6locA zdqjUZ)j7Yo#0)p3`R-)2~gqaK1q5AY<^N8mRHq?|dM zp|-oUPA{lkb766YEjBTZ1IIKt%<>jMS zpmCG|;NKgQQDk(wj8c_iDCa}aan1Em_@$O93_H%}*AxvbF0buEOte_Q6Wj%bG^MIu9?!rwJrw5Yxa3XBFMk6Q}Y~!E*+bg6Q`#{vhaz$P^a=lYz>@o`zRY3Tc5#9Id4Z z;(&sS(fNYX;5nUmhbShNv15icA3aDZ68mj87byA8Uf=^vD_?b&$g-j8h?Sw$cWxtH zlg`WrBL8R>0B{A!Tt_X$gN=6i%=4un(w@|MY1!_Ah&rl6;Opq3h*p&Yh(M%Ty;j?w z%S4{{h4O+B?cd8rdMaB}UR8-XF=X8EG>UR4aCr6+8m?eiwF-x{P~;cPjSyO0F_Eeh z!Tj>|{G+==)8JbfR&ny-66exG;FtBwMT?eiaxXr}|4&9mfEFYC-6CKPPMU!r zOh|{i0Iwb?c9XH?cl2Q*Y~j)$17_yC-dX;3w?xeCK2i|#gK}(jdI=Zw%3iqNIcn(+ znZ~h@ZFmHp%4#JpxbhG~&elcP40e(aQ;`?PQa7qq4Xl8u5i<6WKUD;%)k-%ilV^$+ zVuZ!7EZG1)h}hUDePauylv@Oz{S1I8{I9nTjU4;Z*5IVL-61n#mY7tbmLgeA7tB7CuQWYy`CgKYO)2jG2{ z?=CZPW7z=3Hdz8gT32VYsn4hpUN;*WZAg98_fY{vRj~8e0{#{`rG`H4;S}E`G-@{% zG??b?WA7t7yjmyy!+xgU4HYPQNyrwk8X#7Z9>)aq*#U2ZXzl;TWYp5wX*bwFiY=8F zuT-V)FdLT6VU8$hZg6V(JN|6wwYOTyV1H0gRng+!rZtncrxT}HKMxD}8sPb=;!FRM z56R`eg22F)GrhN{&$J#`B}WLSkwPg6+ABM(C-D=gYotk+PB>N6QLbJt<`M9*O= z^g)`5O?aXvmzKbzF7E%9UXNG5L%HiJdzd>+L6aCBuLna06n{n`CQ1269*`oPC+-DC z75$90ltzWRffmG!xxg56GA6_cAd2Whi8LSMC8+!?x{18BRrXD~fcH+@{B*Ayhw84q z@4nH$P#7TmyoGyV?Y@p@r-&n?1#GbkfIwH(s8#F;T_!335SO!)P?D4#t~x7e^*C(_ z1>dd3GR2A-A?|Q#z4q;@|Kid0>y?^9fGEFTKt%&> zOk7w5tM!|e9SE4O6Y@O{lwjm!Z_t{Q{o7EuyFuS5_hL)D?Sk6zA*zll5whswyTSS? zI9sq&oZ14Q_Rp!P?=e&h&M$E35o*!M(e0q28QVpOI z#61t&H)zrqRwHwq%Ou_4&;H-Pj)F+C+Jv(<<7YrZKfOoQxDXCjk%uIm zajJWs*uI-KIZC2#dq_@Z&dP~xEUPz#JE;p5iu-1y&uR$SiE{6~6Qag0dg%G}_rba< zri~baFulRX2@}OAc;KubYuu;dA?G)dWxkB%%f=!KJLF^Fqd~(1G>KCA;q`iewW6LgqFbfRbT^`IX^CU4F(`Hc z=CAe=sqniecsc0aJLW{H*J)ao6USCn*n1Cvlpl&AQg!XXnu=*m<%opY%BcVq24}bg z$w!=6ZYiiqloKWF3^FJV`Lcz14d4@OAsxKK)^H{=jbIzqpL|lNE@wfFyXR^YO-ri- z94yhpDnh@-aGHL?Ni)i-ZJ^|^mmnjk- z@O3QVx}g!*P|{kjWrwknl_)tG`ZNGBNT(d;F&&}}{V^S)qO?~+FyJk0LfJtevm$G8 z91R?`C@8y^tNRS9ETq97zBEOthlZ*8-c_$oF5rD%NmART|3nea=S-4n0)O}c$ou-s z!P1U)DD_rxc?Oh!53#>Fmh+G%wSx#JZI~z{kWa)MtfK@o>urOddnE-!^8JIuMWZ4I zhoZ=xmrc#GCagLG09k%38BqktodPk_K;OvFl;5^0ganUo+9YI&YAYt-kz3&cQYSpV zk{YYIu8Tx^=}Y>FoP6={E7`(Dc&w~lGlH&v7tz|j`h6BuP*|f}3-(n?U?><@J3VF6 zha4%BD`-TS6GRF>X@_hPG@7_d*HMWE#&tbot)u_t{~t-`0?y?B|Nrvg^BJ9tq_Zy*&XUM1ldY5vl$Fx5nbo3%oS7(xa>!;D8QE-0sj%dbZADCF#TH}CY_siue}8|w zTytHnYsdS(->>)U^?W{_R>tDQMt$5Bu(lYbN-9wWSlzU|d;Rv)X`pCsK)^)IVl-fNG-upGDf)6VfH*Fjzs9wVKR=bb;hx8 zrr>K#+DwB2>P^V=fs@fPGF)BRDWodNW%-aeN_RLU)%01&!%(am7S|C}S6QpigDn>&{m+?;jry4j&q|kJhP&qP?KW!pnpuVa zhPG+XI?WHMD9$~Ut>u~z$v^?`Q$?D$xr!Vf0uF)r=-pMr=vS^Q;^Gei+xR#IOQ?x# zWIco2_}RZ72l$e41)4!KXGlR>h{HDL8mrpm+G)3~qH}C*NMbnIct*7tY2erq_lzyt zHQpnhzp@7Y~E5DtZmobH+Sa*@9&wgM%vWW2;2)@X|Vh~zSN zyys>W1Ko3)fnyw++}KIrO?v^lEPx(ALIMlONGoom>OChBIqgM8`?C+%>{3X5x*b5r zWDJhQtqr#v>tq@T?`NrOT&czkhbN>?@Ai$OAPBMgcYqWw2qb3FABZLC@HX4(s8Dfz z5Glpbu?O$NtT<7X(@?08`YkS6elzcDYkN2j?#q*+rReGGC>o_Et|qeg;5#PSph?+M{$q zBpGckt)JJ$aA-K+*+&XZD3qxExl&lA4FsaT8U>!*0CYO$V8W(uM$;w7G>5H6c|7l$Fdh zjx$Fd9o8dN8Iyu0?XLz+M$W*hVLSq4L~cXyi2=7S7I*%Zsvr-6WFcvyC3No}t%ZL%jiC;&B zSgWZ5;@BXg2KxGGG*Rgc>3v8RQ`EdI1) ziJrM@@fm(k$EmIMAvI(wC845@=@sOGBjMzh-HzQr4V%TXp|F8c7AwU@{%?E-U^?!T zG*dpJXB~OPezmP0KI;d8=!RcRhKrgyi4{Q{NJ2SH zg_c$pFH#FDi39#fqOfgE4Wbr&)v-l;r;uSj$0q898}1nJwbP3AdDb~FA_`5QVkiCB zKuH(3=U|M9V_@bOhYxvS-e0sTTx%Vr)lCXjK#RMJwrrfl1VLXAgvk-uqlR=4BJ7%$*AUR0DEO?6&L1C)K~*L-cmp1 zSY!~AbkrnaYTJa?dI~3ZD$t2yk0!>AH+L3ycLSlk2$h{m>u`0k8YYqg z>nI5=D7A)(oWN=yWUXF&(1QIQ(&5Yf9HadUQB=yW;YIJ6@_jrE`||u$DnfX=3O@5^@Sv9l&%L66V14(s{^_60P34U zrQbzI#86>EmNpDX`h5xkN<1sv@vD&o$4O+kKN7Ovf|ob(g`yn}>A-7L6Mne^Jc8f7 zgM*Z;?9G4#rJvQ$aLfW<=oVdY$9cQPT?RyFIaTj1ED5}Y3OlUKLm)e^^BqbLB!@&^zJcEbdUj3jezEU z3auxL&^Nb~azvb|6h#@3q#a#x--Xt~#n(B|M~+iY=kq>242nd(zTy$h@2=|GoGQ8P zcYzU1Q@e%G9@<0wIvJ3~()=~EXjT`+#*&(dsDzM=e(ao*lDIa#f2<~SahyL>N^2@h zr6CkFM0=2}&>dnw^!Y88Mv!_TsGSTA-ku*TBz-fAp7!)Wyc^B3YggQ~X)_~)0K@+# zqLez<*)8IYS9gv9f*TxAqZwI+@q8t7x-*$Tgc-r_Hw!Aq8HGdQ;Ehi>QS%cgE8mik?{tRJ9_jxK6QSxqTfg z|0(pAMW}Q1U^y6-Y6WCs5O@um)G3rqg!shm z!R;`YQU3gO4ZuQLVz0l-EQ=?(ZT6TbyqVKK*9N{-B<9R9%IJLTl^vx6RW|3(q}Y;J z<2^R@V8IwpJfjsIK9oqY9;N4mU8vHKxSArGpD#_BQ#tiMI=rb#3=zi-lw1|YVpxh+ zT4%M;l?}@pK*c?@7?D+k#a&$lub}g@hO#bPd4;?jcf;f}@jgcxQ!-v^r#nQr%z8Tr>q64294RQYQ4Qyrp+;2y@ zqhk-ymi=L6peuzDJ;e#Ubl*ACtm2pis@QRM4RWP|hPVl}Vw~i}ULFpz;H1bc$-$mw zdATDwVhRdkwifS{0ZI&l^cwN&NCpJlbL&;QbLJl zt9GhPz1I?d_RIDR6hnq5SBFPQrQoX8ffg^*d}t-)HR&85@c`Q?InUw5o`?Ixqnl$U zuX)rPL^6st-AQ=fCZvvM6<5x6Bk<5xq znND%?onlE8vvPuh9uG85m*fR$xMk@i$au2AB}a@vqAA(-w|uRXs>b8hx7?lu5PP;l zZz>?TaujxQq>`?R>hP#5sX5=TuoumR^ElB`-nid}F6u-AmG#r!e?7o`&ryp4#`T3n z$!&5CmZ}ldCOi2^f5s6jnJNlEj73MY{&up}*~(#EW4?}w&IU%b-}Gs7F&QUJ#$j?m zA_O|nh_slCOn7Q=rKCo+7B=D-%ZX|YA^BrOdk~T~D=2P{)GBUIl~r`L4Iw8Np4(eZ zj+F8S^*-9&ygDAXI)FD?CMAy3#{Nk*gu34{yhd0tph4uUQLXm7JS06lR>$Z*uI{5X z@;fI0d@_xab8I-SivlZX;*F+tXR=9ZKdwZkB=3Xb%7X5rsu;cxTO_@UB>#091hAYu zjTYntZho&DiZY8M;(}bP%~Y#Nh=y?@O(8>bFhtH_3=qEw0D&Yxero?N9sx#Z+f1a` ziVJ+93YIfOo}dK&Bj8|xX;+`Z#;>1XS@_^xK%($u6!nKK5cXO5hhu%r3*nuq$AjzY zB}3!@V^45+R6`7mTj#R~=0R1kx$a?q{+Bud zP@#@-Q#@bIYW?L8^Jk$Ul2~5QnA*3F2oHh?!egjJ4<>>Saq;Bx@)1J)3E?Zbt;WJW zF2G7Uo5@LlBV{`r6Brk49kE5kR$7bPspfHFU2sJKb&&yygsat^wh};>0RXH+U92@u z(esY9iDro$J$s82Lh(;92yqlX_aSl-Vu+;E5Ah;x9 zYrg_-o9oDr=BxHoH0riEC``%kT1HbNOzjn8tHBXQ7fpV;9g|$tAT9(;_F-{W7Cadx(7>(0o8_XGcu5I@ z2g24;YwCn<>!9SS;}}t7W`00tkOC3sg+oK~gOsgrS`A_&UQP$qSKzk#!x-+m+enu3 zgZjUfe3HUfVl1~hRSM1R{;6Rs=8HNXW6_>m(s@EQ=PF7k_97XW^7sujHQK>1!XvgG zrWRY?3n*=X=Z#Q|s>gWkkqGNqu3g{eCJ1_5bz?%N(zid3B)=Onmd zA~#$KY2K+|QaFN6wo=2tf)vz|{JI}Y>=kyLs1G}0eEL^we18ewE1jObGP&k$RBZXy zDu&XU`pMu}Yw27N`TX0&4i_O@6*$twtQv;X6e9sP>;bO^`>0=i!0KzY{Ki<;m3XtN zLbuX=dm6pzOi^x|HMJyCg=VTfurg8?WAb(;CC68I`tkc5?C}EMjbo3Ua&6At5- zCSxvr&TMV?qq94A3dWzR&@WO9DiW{IpC2rt%mKGVip6ASF`qc%+<3v^sV;8$l_=65 z>pBn2oEZxmEsw3R67QeRH);K*<2{7IMk_4X0?xkD4u7#|h(P~49Q+&;%AK=z-B0K% z%tv%BN68}w!jW{g3n?;obyou z0cv7}eh&sN;4(TKA<^%GJ-0A$G?1PUKJIgVJueQX0ZxB@+66NVj;eP1xlOK=)3|(9d4a#N2H>l~058?WW zzgOGT7h&7hDwro@*|~7@5t2Xu*`WwMP&8~yU0=BT5j0!bGfoL7DcHb>%2@`=xl&{G zgO3R#)C5LN@JQ91kf*Wirj!AV=k3gu{@6zwaaCUxl$FbV#ZzWR(D)t2jJMnt0am;9 zo^}}-8Y|a1goXUC%>F$Cd-3@f+w?{>7JH-LFrj(;6LhQl)VL4m8+35>6L+L2*#8pW zeEsYsAJ}iAL*)BVMo$zs(7;dwVq-OkKa9i7Z58$MC^~@D=daQp>I5>Bg(W2&lF?xS zlObC=Fud|rXatnfY$t^>^Hq@FlJgxB<->le$V%AGh7zI?<;RITAc~)JZKg7^ARg)R z?2v+i`36-Pj^#r5KMsf>z@FbBKPKrQ(l;xh(z%>+>SIkje!0uZHlZf6+A>m`gh7?LvQ*Rv?r`Q@T$>v*&YMwND-%WU}>R`E< z%jc>QO};v`gQ}ali56ch#-z zFHlJPf2QU=h33L=*9+4h#}P2s5Bu!Om|4@z*{NX2<3i(+p0&x6n3jY2RHfuB z7U37!IXH6cv9l4D2OVssDF9A0@y~2z-T`r^7*Ytk?|<$?ln_vK3UN+1ACBf+5nESr z{{W?An{SNQf9-%7x>j^ z0CRiAwYaSG{-L2<8-We4fHL;K6dcw6LUYwDrKXFe!f0~8sS=KuhG-}iy69(*qknda zHGB<1ndLJp;%O|#kBY#M0E~T<+6fD|k2Sji4}9C2V|-1!r}Jd^|Hh)-e^@Q3IWufX zoQu_oT2BN!42X*tR~&%7JyPv9G@1@30183auDI^MzO1P$uQS}e$i%^1n@(XXD=Mz_ zzMZJt020?}Qyg6V4NUWGy99*LpL+&Lo%V*Iq%QQqT;yQV3sc-D$8?Iw!9QowU=1~f z#!i_ttHZA_h;7~B+v0qqG=L?&JWK3MnO)X6CDji5<}%0A~d*{WJAC=l( zM54=_JI+!k@IRsim!LhmG3UsAvTfPYM;@`Hbrw&;L^=_`%aI^VDA#--5U<6KR@`S@ z-JW0^x@o7qBg@^Z9qRC%P5>w>_nk1OOMegM%&lf;w=avkqQq%O*dY=@fEwV;qs%d_ z9Yx+R8jkqC^;z!fG_WQLNN``g(X}*o>A?q0yNf|@^}l^%WhxCtjOtd(6uCq1KF|yo38$om1z`;Ix1n$(HAsu_hVJ`ax@;kTYZ>ZhhsG&7g z+>cx9XSAQr-p2V_tOPkjntPYcg)IEk>LPN0l=pqyCXj-nGr<3$*7F()zjZM003UBo zzB;xVh%V&i{twVV!6JPuNGrN{<`KM}o>4uL6oAw!Q!TV*~8rKHeIrrnnjSSLFKF}3< zU|_Hcv>a}4aXC^91hn9eFQWm&1eTBSW9;o!84s;ascvhs!zN&_uJ2?yxUR*-$M0ee zkqH7Sk9-4E1X)BRrX*qAI_$&NxK;m!6@yzZgOJ}komuSRpPh%9dy^bp6UOR~+HPXw zCJDEu&TjgujC-F`cMkkEwRe1`;_fWmWvv@t?^fH_x6i@r6VBgb`aU;z^~+oMwEP0L z=Sh}fGjR&x8QuBb_e%=FZA##n(tmQ^6lMJ&TW8bw+{o0I(UGh~%y1n9rcZyio z)B`fK(M@-*3z?g+@1Or5zvU-l;Ct_RZ3C2@pQ(@^7j$HnCLs5FZkuo17pNF#&5iJ9 zeF262=ns8CGBAxI0ZHXEZzOlz-%{)8*DrW4fo~ArKKkz>7jqnE`RXw<2oZIICFVk;a>w>C=&_SvRrZJg&VOZmfm#cV@vPavLMH;Cl`y# zJX?<3d+BWz9DXUgZuCwNg~&;`wuoVY-kP4_-B#i7`uKrw9{@YqE`>gaxQ0*iDNXpm zC2=&+k->s+&gCTKfVEG~O0?r6}Ov#`Do*c-LLGIcwS02LIf4Zwq~>``^;S zV|VVDUaC;{oIVSQcziqS;5O51`?k8u^lx807yPUCvm+$*On!MKRJBSNUoW_QX4#Qt z;3A!g$-O=Qxy~%fI#tmnXh~n3cy{O9Bxfo>{O^)uNlU=let%nJTd|pkB?*-dH`;SR zw!nUtzb1g*&gFG6e< ztGjg61V6(F6Duiiey87ge&E-45M-c$O4)w75^NBC-Cc5F_r9y0L%gJ&XU-(@%uACC zmrd?o)zxIJDip3jxoYvh^ZxY@+V`fcJ%&QRkw zcb46Gwqn6ncSFj)v?B?eEri23E1N0<-8U)AuAe=VWvR2n;>22{N%)QK@}(|!BETpI1N^H%A?i`SNi!=Rli^-9}R z$d4*x{Eis$Fa3V>hnBmWtmu>Bc?KXhYWVCZem`UYEJLX<`aYlH0T(cBI2;TA4!Qp? zNk$R_eP0b!rY+kbj=Ig7jpYF$M{252Q@(1d#*IP6QL$L94NL_u`1<>DvkNJd_1<kf?iN^CjF)#m>TG~{fNF=Tugtz#x(!FSKqG(ov0VE6=lTDqYWDcQ_k_l zs@Ln5d@@*iEc4htw|A}wSK&heOy)9sQx9#c&3BZ&=M`-xD0d))f{fYIFj@(Asf)NfkD1IgT*}3k#>djG$9XUM>XQBKVei`-3mncwb#hym`jxjx`0%C~kbau)9BR<5)N!VS z(h{-TYUA~c&Fc<4g8*UXVdTlg`-cv<(7bLx+=|GB%8h_Y1nhWF2=jY`jt&v-)@Cij z-P|g>n>e_^E6!>O18-q?;F)#9eXX-@!^2ZkV#c`X($2BhYu)yO4BoUidP{Th;N#-w z_j;ZG>EE333*^b)=zV?agZ{nvOTTiP2G;jZ-5Jx`W+(=E`kqq!_dFY227YPa=KAl} z52>`JCsC+vmk+#;`BixNUu>wui7(n`o$50F$&CkJGb$r3jaj9um$X+m{o8UoBkB0< zi}bjLGW*vX@9qZhhA7lCP~v~LRv!RBCcqEhb;&Bb%_)zTj+<%~u1P}1!AtWj53bfO zk+Zx^w2!)i!bOSqjkHb3U`5*&FMuWKNxDP-2XD2$GEh(B-Lqi95vL!08<=tA&(Ty6 zKIoMLD@f}{c{pJQ2Q{L)>Q`;uZ=uY+1+fN12-w^z3Y-ii*5dpGP&roU0Tp@Rg1w~L z5sv5s>5mNNP&QrAc38Q$Y5aK|0*2nfCOAL$@bXMuUVAdbENy5lr8`O2`he=kf0p{u znp1yoSZ$U&c1Eu$bb9LhbC+!I{I}7yT(H?9+QQW} z6T`G~F&Z8o_dT=k-aGb~mw^)0I(VO8TR*Nrs6s$oO?2uxZ zpK-$Nb4!>yyk@7yQ`Je5T$pt>$NLJ^BDnN7X#%B$S_5c9pb`7x^Gg=_5+U@VM!<9MGe~fmG#BZ@0XR4iEVek2__E2wmSD{-0|1 zdM~jY^y|*nSKu^SU;Zu5#)Ufd9a+%YF@5MxX4~4@--f2zOVdoJU(Z0A-q<|;=iW<( z&$}`43^RPF?Fc7SSOaO&o}M=Prz|D2Cc@5ti@(;+(`5?z>oC0J_?d<&@ovWO-0JzI?t0~_U!xVKGWH7KGUf30 zOu()Gig4rQuf*e)Xdmrd>HYYI$I9y&zp{=$$lPRlCM5fNG~v_-vixT7b&+-w3L_D! zoRg-(;?h#3g84^0=e0-}7Mw0(jg~r=a)&gBVJtMu-(CYdz`A)JwcRnleBl&DJh9`- zDXi;EA?tC+8FS9g-C4rIuY&ddfB=;YWnNsj#cG|}R0BHKmlrm-c-Cehyy%dPO8wB^`J6d^gBw64TsW{rBB5lP|b>dwkEC zQrJN5zcZZ&C58Nr-}Z&S-t|`+_DYtu)`@!~jZZgy`fPGX4SLXgpl{^mu^s&3@u>jB zC_Nap0d)5;Zg1qzZ(2J&YjE26!yB)$@jF@+$Qu}!`S)S_xfxC{W{yAS*F1wZfZ9>dZ4SmTzHHYcMRMKleD6 zrRJYWF}FjHPZ<^hvS4KT+rfVEzowQ;M|JgK7g34MB})oXlRD=0VS!;{6rHhNs}W@S zB4dFprWgK;ui%|HxFm`B(lclVZdV3c9=rbVW9B~Jw|jqk-@ZB*HYJlWBbU4U?fp^u z=KLq0Ra;l;9&r~XGx$die6`(``u<{Dm}+?Go13SsN=;Az*RxFbX4cVnBYmmGi{&58(Q*-jf?KEK_Ee=DnVP#K-MiI}J}jeUuh2Pf+8Z3! zDjxw*wnjF@0HN-`aD|=$l0X=@mZ9i$8BhWP$K~-y@AQ@nuN~V95RCx{F#cn?maFcR z#A~VWga`fS?vR(qFOJB(u3xd$*Zq)n!ZJc1>HAC({%gU^2-Csq^h$K~(so9%V0&B) zwckz6mtvVab)9Jk!k$OyNG=loQW^G0fo17p!yoJ41Z21!_czzwWXHx0Gc4Q2fM@(l zsK^s|lTUiEVo%bR7Z&zV)+q~P`q&xLY3trhY4QpTV@k>LOy%kU3l$1A-V1$80PZov zgTS9{;Zsu@$LFl_Ep4H?I>~f8Q{49^!9x4jrs3h4YpYEDKQWjo4$RxFlduJPJuLNo zMExo9%qjA@Uq_&Cv;W7hHhN74;K$z+F6jyI5x`aLJAU`A5pRn_Vy}cNt4$nHtI>N8 z`GS(+iUYq)3xIF+Ib_;z82Fee_#8T&F(vgRjb|+a5u0v-shsC71t3`%SHwUc=xOj_ zyA*e8;!l}RIwGw4qEu0f_t)!cAD%Mwfd%t5 z%H9)d&_(6grN1u+8n+W?`?0hMV{jG`E zq+;PtUVNm9j|LFO!?6}{H}#!jp{l)3^_lXWh!{FkB;x|h-}e`kk(3M>(Mha*P~(N+ zY#N$+Atv(2^}n|;C~>$r?AUm<=9x=BYrF@UZg!|krZU5-ZDT^(gT%h9~#y`a{)DmF$(?N3&rTHai5L&Tg<7W##7-^tIDCl zV~?@w6j>p)ONO#}#<-QDS_#y)QlPq(0k^q+)^*#(M#}#n%rdev|0FpG(2u}DSFZxq z@GUB$9uR%pQqmdS6x7wLS95|7ocUl%27qD+CP^!YM6 znkfE}y;77TWyMg3?YKd9i+3KN0LK?8;CGZtTMuYul0yUKi zP?9A%fha+@G62~3CMaeYz6c&1u8_zQ5d=UZ$PmM0{%D}oKO}(v6`M+pM!=buGIAK;$ofiU>`9zR!{YrV+i1f0nmPUXEew@PwaVrZ&}r3> z$AjY%Zz&}%8Z!q?Cl2A!e#569V4p6S4~8W&8GQ#hddSV7UgpkLL%f@?^=x?WePMp( z*n9=MK&^gI%&M_{cf3*fPKOZv(x1F$S8stLL=`seK^&S0RQo>HXy*#a70=f`wtaF+o0NR}7Xdj!$T17x7 zOvpM{y^PKm=lk-;BQyy51XuGmM z?)?;-xnO5r2RtVcA3gf&{~E3)bN@5?QdpjVznDn6a$fiz-JC;~P?(%L<>#?l0vvSx1+Y%Q%mCf5$)whL-rtD5mO{*1yPl&PzU!Az=V=g6wY!tec=vb; z8EYGm+o1$SVDgnW)QBQAeNOa#B;Sr*1^Pr%cEV>)xJAOKXMHz+6__X^I$Vj@tJnJa zJQ@4hInye%@UHyq)3Ci*+^Owm7c$r8A59J+K9ZLKEmTs|x<|`C7^yXX{Dr+{n;=!v zkoWb!_Dv(^E=V{`kISf1vkO_^731yO#Hz}8DpmA#%P{`IsYeSo`cw$V$m&fpORFF` z%ZK;(to7dny)ORd7lUqjK$wBG-Qqes0ZVQwH@x#;w4#dy5i(t*$ZJOd>ZBKBP|oaa zQo(G#3MkYKzc=*oDwtSmoAKHyNz+9R^S|j)rU>4|2i#^DuA=Si1>W5(d(n3M~uQg zP@A8m9DK3ku2Hm?+>}Q0i9Yz>Y_G?La8#wKJ(py2 zvb-|Z6~LMzPaGXcQ&|3u4{^xZ#SU8Wc@R{+fH!cX!tX%QPb_7K30hd>n zSJBCUoAZ8k>HU^5CI;X;CbYUQM~zetm^k7Mh~$l;%3$0JGxDW>{*)({*Nz&>h$V7L zuODa7nCH4ex$A~v7=4x4S@doNprck8#j+bHr!qazFFpQJF~iGmOosh+je~@rFDIv& z5*_whl8^&nHz_%2DGF z4{5eP!1?WIkOuFh+&q7L3LY5Vb&tS;Wk;h`h;Sm~)D{x2K%6Z}GA+6Vcq;;4!WM|nh2!Q_iA3A3~Yy+Nd^d z;=wFP*Nzzt%WmF!6<8vLssi~pz3Ku!nL!sUOnhLmL-⁡Ef~1higpAL#Da6jszG$R z%7S4m2kgrrTpt{`LwpCzZXAYzMPy+za1Cj=_<@1lYo04B-X+j+yEyHG!iY`+iLMAK zQ^f_krHTVQ+rHE}pkzvyv0j-`=3a0-&mK1G+m&Hy+(OF`-&>+ze(gB0eLeJFreM9- z_53U0lUy>esdC?~bDK2Nu6sYOE$=YsZ8k^z86Qm1~_OlKms-5J%b$AAg!IsSJrn18XE7Z!K=JP94lbVJ0AmK05f^9S8A!(iEm ze|#CYbb*Wk;3)Wnza$>doTDf#7K5I%qB6wyvzO{Wz^)ZvS?juTY7Vv0^<0U#ZS5as z#)2t+d!cKNYAwav3_Gt+S{&15D>7vyG4|NTy_=jM7AD#H$c8LPwvuStBD2bCT@Mc_&l}{=nM)r(J9MLbH!5tj>^T6%Jhwgt zgoI?=?ph6`S%EN@j>?PlSY633q#-jxKD8WLiOXFF$}Dmr z%#1+3+!YqqpoRA_8h9*dR(vcHZ!3NK}wPY~pQhDwyGeE}wQ-eUcQ@TE$ct!3uGdq5EFTA=i%Yidbx1I2sI z4+t?~wB4-Gn>+odL|#+11|XN_L?%NJ;fC(r^ci>%cuO&5*c||IuAGvgz8ZOeM*eMZ=TWsVff$BgrN$GwKy=a<&%lgJ=TmaM$sTKps7&Iuhy`q;92zaY`|t;e-#OcMC6O(H1?Z{jzCFx`UR zApBm`eAehu-rf+#um8-Qg(CqP1PSBGfeQHsWCgE-q45P#)0$psaGb@^Y?Jr83T@Ad zs_KMVxl03XEU!+@WD=@HB9SwS#|0(KVt+=;U4+vQ_&jmAP-V!Yp+zDIi=z>whV<># z)$feG*uaM*Uo)IQC`%`l&5Osi0_3fK-R|75oM>^`_LwAgf(bp4RGCPekC_I}oywro zTOJ{-B?l`=;%S%_lyk1=@2Yc&S@&f+Ye-l}AHRO7H1D{GlC=Xm*0u?i=m#D9-_$9W zB<3hALWpff_BJ^m0!v(X-bc9mf^J@aeQrsFNw?w6`Jq&n`orpLx}iQOO>y*FxJUhw zs2k+*dTw~!!9LO7uNvpx!1odV*$)=4krKv8($2h^(=7vULzn@OKb_}vJ0&jn&)bc( zf=7ns4u4G_qEu;9V%nfHN)T==kOw}*OlAX%UVT0el5nj2^X?ekVcVKT%f0%81wDJp zNO;sXnTuv2-LmESo&dnE4k2ChfT)DJ%c;$@W}0~<;$Nc<-DLp&Dm|YBw@jV<-3ugR z2vQ|K&eKO)l3d;A+nY0Q&rg4=_!dX#GOfRE-?%q1mR)#mCUcgsx{*fSd`g|*I2979 zy!tlfjMe_2{piboR1uVxFzq(*HJs~3Z<9Zr3?oH19$)0v?J98VZ7et!s|DI-AGPZC zs`#C!?G}ampLA_3j5Ed zO+SBhJ1qM0ShwTT)t7Pd{Wb@hqbqB+xC(fE+7d7hvI4${W+ZYr0aHSJ>=0kel5m_xq;qvI6W*8<(GS zzx%YLDJFWwfmrhj&vgcj&Yw@$zbE>Qdj*$e1yCAJ-z9smmDqI5_P)K0-K3D9ZBLCl z$Hyo{brzh~$9sIXSAR{8I^DAT*w{8S!HF%J1w-qm!`kwl2UTRtCyU@et(zm{m zl*7Z9Xo%(_8S2FFR1`dTn2xYIwf;V4&KnI3LSe^jW}B9cofD61-~|T z-s9!e_4=&m+6k+QyyB05I}$=F7W(W1!|K;vKW-CU|Ly#W0~J9R@)_O{!;1km%dirB z7h}ac)mF&z9GOV&G+RgNE1pBHdPW`V{$RE>c>D7|S{lt<&$IFsfZOYe9b5Y;l-kmf zl)nzqrKcT5BbC~5TL=3Xfv^~KU2SX$kd$7VAE%aE4%X79-5?z2(ew}^A0I@8aXI7U zC)r&|ti?*+YjZ0|Vl;u<5oJ+|UoY%CZ3W>DH2h1&;gCTIkCTRQ=ULjr-!RQ)-$f4)xeLM~;Sp(v^vzs=?{P%YJT?fBcEyhFsE;gO;+BHFjFcs*5 z>~u+4qcuGToZxNL0dLv0oP!M9@;s_^^odzf?w@ntEse-GOIkK4wj8l~C0O@eeg73v z7yyVA^*9KTxq>lyvUAJvuRk0e$8(sng#V`)iJ5MOHoHJ1*QQz+b7R0=nspf&7qa z^EDr4;+G%L|FZtbi^SVc2Iu|Jd1m*bzcJSF^K1v%%ES)P1N#^m&B{m#!T@gGedqhx zA`!G-1LqMKGOR8MNdP*`EtbHVWyD8!<*OXqHi^;02zEAdzmxyCAK&j<+B`FP2TM0) z-f>LF!YxjEOV)y&!j^x|wbaiX=D%#7KDHxP6^%(8_r>|ZTfrdMh?lHmqa>je$iJW& z$ox3NSfL6KJHouW$ZSX3XVvh0`O-)nu?-14jkYsG)V4x~o`p+aW_!z&JD#fa?ql-* zamko3n8f=s6~nIZ;>S6HjiPG$W;{YS9yOYNe5z|MTJ$5ykx~dg-GZu}4WIID`yUp* zuk^cqKJ>=4&)|U(FF~BeoWtc;vA(+6Qtl}mDt(wwH_oxY*h3YI1y*4MfgHzCdjP*a z`x4eJ{h`}U)4cS)Naw`p`e2?h80`XajPmEzxO&f4xrdCRJrq2`U-k0zI@B-`aj0=E z`mbT~&*sCiG6hvqXenC+r(s4I@Nn-PBE(BiLo)pD4PuMc&5J@eL}GZj)*-G+sM5tI zf+dSB6nI!GLrfZhG3x&PY6=|(xqRN5IX0zQh9-l>xW1AAE51$avPaG1=@P;6_WR>Q zT!yB`F1N#6>?Bml9J}hz32yc%^8&4jphzFqggV<~4kg=O&FZxk98F`V&%~v|fCePX$+h?R-QRJEKOX zrj#+Q2o!;pA0L0Pk^y<((EKE##BnAH;2m#H(G=dCE*?|$;Cu_s~js4c!PR#2E^w5|UfofxB=`gf}{8Vo^4G$^-_spCdf ze+)YBCjWZu?S7DH<`XA155gN{&P6#DP9M~{l`I&sWQQFuzU4eIF?qa6k(MN^m#D$Y z#X%g-D}R=N(q5RRyEt|-mGXWxds(I-((|yHq{ZO=*5AkIbB-glt2gkhzebliN!tz0 z4xG!*)u2YLxP6f<)q2SR?h6WLW=tbtY2vd|`;pM?W@Zh%jP8tZO2R)m9#I~$H9hEa zrhefaf=l_5zNq4EIC+CTg$xC|Jp|)Iy3$k{8X-pqI z;rgL*6|=6VuDgTQn#`uq%Kwv4Xrici9x2XjGet;IxPRWXLf#}vfpa)w)2@4A#Jpqs z7g`BhS@GrD``J(*>8dQ@8-_B6ogMnyhW?0<7ehM#Z^griufu;)>yO^LEfFk6)F-z>1wggo1Tp_LjS-s;PO7ihPktM;YitjkCal#+L=F* z?)jQMngV?Ef-@%rSP~b)=%(X}Ims^A;WTy#YEF08r^~z0)H6g}S_TX#Yc1bfYowPf zTsd@t`R4r34Qiqs(N>Q{%S!0yZ<-vrs@sj7yPUDdCtGzKEgTo#Yt|qvc|syq(5~1x zN)L1RKtEuzY5;Wo)>WPhZK%^VfX_{?sIvX7Qn%c=qE5ix7s7wNF6`>+F z2ShQ_vfL)R!asc-uj(&O9lZ4vI(>J%WC8f0K|$XiDyj&krxeo9n6A zKyqECAC%2HaTkvV3q>s7khwYNH#616tVrJ=8PN&lyYLjeRuhBfLJjC8<&p_{$C70= zUEI{(GF|66jVlvPQQ3OuSoTROAJAm945XaMsqWBAC++6T%`Vc>S(a?MbcAX$Cb6&n zRAa@J97vNvUqSg&u}e=fYnYEb%hIuMoVL7d4&xox1=U4#+Vfc1egmrsiPe>>6a{f# zwuA#6XZq)KzBM^v3%zX52@8BpUu_*$JpzVub^ys-0fF-Z%YNmxRH7lzS~f{KHkkTO z0`Ot2MJa2=s}GzrHD|{};@`(kR4x8r(t(vn{H8mTMO8}x#p<00^@pv|18{CHWXQq3~of3g(c0Cxh0rkOZbps)d)Fxn%T+ft;k^v%3T^V zc?3@N_tzBZ;@#?|{^sKPG;8#N1(ss3;sxbqTeTrMme=e!gn_}c&!dR7U6D9mc(oP;@0hV&6fv>g@#>l< z$27eqGP|Yg3@M45Nvmq-B;Vl+PRRm@6XNRe2Kx0IxiF9dM4bQGVt6-YyMYDtbmr8X z7HbT3@Zh2{kb;B@Nlfr5i$F~*@B?WN_uX;?pgQBTc>s{a)_*kg`JzL=F(t|G zmCb0)aBoub(lj!=ytlp1GAw&9IUn_`yIu)8B6f>wm!@zAj3#gF%&5q>_dwn%=bBy4Y9EWd6=&7)_kxM55Ez8G8IGTXn zE&0!(qJRBeHP3f}j9`)_5c4Of?tG-AMdwB0kF?>Ih!t8`1dom0V|W_?BvtV$Z4wU# zGO5hh&<9K4B52Qw7@#7SIi^|V2V!Z(R9G_#l0A~Tz;P-;B^Q6lA#V z7)&eY>8Ldi4#5o>IQ22E-`MmBS3!b(TZ|s9&R9O8;sDr za-!K4FN)QNoe`>KvPs-*>`US$iq)P^!sXD1+W9-#67_}hHhZ< zRBmGVf#+Lnf60LRKa+oCNqHrk0tDl!S>wD#gOFu|%3tA7vlWiu!R6_YPP+<0z04XW ziKsYK*Q^~V1O5bRA^x3Q`3%ZELup!x-d$}55*ydb!va&DEf5_K`f0NMiGUG&4SGIE zy)Os)t9Tetcq+pIY6w2A@G=D?tJ};i%C}BFvoruHmp+~{Vw7a2l|7nnlF*4y%2!of zoaxOyyKkS#O$0P0KGg$hzK1FDhRJ;eA-v5D=I-kR2QXqs(6$(sc;xud zem^$FU-X=dSK!DKu@lW^t{8^%?w_&SpFeuX!-3Uw=3n5lMjEi)rl+w*R`s7wg{t=z z;^+;18ry`7RhX8zFEfY?qo5vX^O^Y`E0J)$My=%u9HAz^;cxxjBk?T> z;3Kh(`^|JmkbVw3E>L_N?D=N7!Txyn%!D-;&_esY==;ORg$R-W6+^72+jHMhFQmzOi&CQ zJaoc^^qw}ks$$ASb~JR6l7`)!xOJn?o7N92wi|ez+VwtZp_ljG{GG|2Fk!}G5wy-i z%Y-iB?e7uot9pX_S4CzQ-NWm!W^MZ_3X$-&cjDl9Ueby!I}cA!f7R?m7Z~Q^;&{)W zDoIUn3}?&4i~Tr3^OhUnTmz>I2!of>w*1Qxp+1` z=v4F~e7pGG)JX}?_S(M#78bO-Z6|h#0tIibN3&?H>heZ}%$cWyr z$8a;$0QpcylXKrL1S=M@*i2An4&gI7v<_k#adh*c!NXX5#cIIJYgH+*pj>w|38Wtlg;;6; z5Ps@t&gY?%Qz1dwZRHDV zm(7P961O?{qDe>MDL?IznwP=@`%9eW{0B1`p!mzNGov5lxXz4>??=p7YvzbW+iBWI zThe#4-Hrx~x1Cyhb(d(TV^-ho16L0zQf4jYn-Zmi?_=4avmskEzmNYpUuzf(Zc80t zGO~eAG1n$73>u(37^*4;YeKcAMqH?QRTm9!sI^B%$^cP=(Akg*nRn=r-~}>l++Gi6 zy}cNPgvY!O-TyJp&4K@Pt#y@mqVCR~Gs+gf<2hu9|GHHLZ*j}QcdrtX2BEF;(yE(vtLAA* zQBH8vm23WP&^?j)i;GX${!nE6yj=6>f$h~pN|kWlCEz>xUF?tEgr{c~+%J0}h@UIh zymZ$hf_~L4=r#Eemt?*^7t2O}b4-Cgcio3a%nc%qka``h=IoGLS=pul$6yE7f$tmIGH3~PA< zK;qqD?+gdgLyz?VE(X_MlI?O&xdLqx!Ag=&_Jph z_aUNsEaX(-jaGT&gD8bouNK>ts{X8;TDI}zMZ((O1+D=O@jATZe1w0Y80`qL)4yHy z0HQQ~up_hCk7V@v)0D}(w#bO`2VayX|30J9v46lZe4(DGh@yXDiCmOM)dcqK)o3SB zO1_~ee?HkQAli}$7l-fNSemVIl31v$0it!4DvO5&mweRTmBQ%}VEr3jHx!{ zH;#-R@UWx8+&l_Kwx_$!P8t?gTv-=>*DT<>+yCAXeq@=OIDXf4cA?Y!Oi1t0%zx(y0@qF#73cP`g@7>x`Tr_S%IPy{Cdfa%ee zF=Y6|YX%cix1;Q*Jui|IQQNhcy{|Ni)OSwd20!&99z~R_EoVDhZI|l7H>}aVx)i?6 zjCVZx8s>J=i3WtUHrCf{M~e=Rez@?oHT=&C9Kh3PSC)vHB%gGl>xeo4GPo!lk&?R( zV!dh88n{9iAxP7>1n+Om98#FERxl793iXrszI$I~28JQIPTv}5#(7nUzMl~~$3nA% z=AUaaB6P5=eVVZecB_ap{uM+L8yO zX4*anJsdcBnccsv?1uZBeYK2ao=Slo3&!NJ3`*foRzRySbW$B>Kd^}gMRidt7hT)! zlBRb9R{yaJq@0f1xcnKyknYYu9QTh!wBqW~OlbS(0nMSlg|Ir>mNm2QmaX=@8Q<$q zdiP|879E@00t?Ul{@Krd7d`)+OPqO&?&+$#t;61tYC6yNrzHqJLJ!a?=U@~#9g<^! zkOUxhYa^2vFeHR%);iEF2Ur)OPRW5Tu9R722aj;)ocnOr6R=WjkJCPWiUYLD)n-0| z7+Aka^nw#zCF^^5+tYf!l&N0VFYr%=PfdT*f;MG|WR)Pb_KMdr8{~1s)QJPyTELyI`sazyT2A+Pczm-4 z#D?-FFN;XpJ=TsH-WF{X^l*mx2DOVF(`c<c(Q!uF z-fOB?KVXHJm^=Mgc+`3q5B5?WO5vR;dbJM4xZ^C&s;0)}6@Fm*58t(N{~`CBe4Z_H zne=wT5&mP)P96h!3i+JOa|&Fva<%0FeJyc=3&l?&jR|=49l-fDu9s6N1IIYi~(|2zanck8EF*)z431$R68|R=iPzH5C4?WtFG-a%<2;rzedTN3^iKA$>V~8 z*q8fmioUUhkOv~Clu5-fJib+$==zSt2J!p;v;oS3>#d7#1!JvWc+Bq$8d6f`{pe5k znFD+ltm~q-j&C8wuldJcEMm9-hrm!^?VX%X1bvfbDL|lKyi!Kt(`P$s%Yb`mpaWDa zh88XaI8~eEy@m=$fE__?#5`Sl(Fcy=?UI^ZT)Zz10M%&QPJ!f$*CI}%CG}j_!>jvz zKw({uPe{9miZ|a5@aG3AUey6UJk7x~10}cychwg^^DUxqM(zMPWN=Q*4V_5FLTtLi zZiAlD$)F9@AKu~HD(HVjL+VupbL@g%&T^fG;2`z6UuPku0txfFh4_xGfJ<)$HkpqwRiT@{@Y)# z)w$nifCuOY+(Ou0|*q$Wj*Kn7|)9qQ9iwIpn2Vd&1^bC>p% z3zBFrxgzJX};d{+?hv;j=t^?0o(Z+oa^ z=f@c_dk*6Mo95(iQ3E>~Rt}7arz}J@JfbcS*D%Y%Fz3*{CSqX2*ESBe*AO1vJ>2Hq z%`R3#Z$G+I@Z*q{#X<0{(mR>)}L4`{0)xSNQY_Pz1$t_Hr&rSxG)o zvd=afaW0|LS35Yz5wxP*KGgo*O*1C2y&h;Zb!>Rc>jjNblYXwWrEx~OiEaSo>hUc4@M=G3Gp*tIW~rkOfinI}zav5FN;gR4 zEJnUecmgJ?_5pxJ zh-(A#WHbrW2~)56bzm3I^A;$^jaKA=4P4DHtEmKvjRO?}-1^r)?eGRj>+t4G2V;oT z3C~-@Q+E0z(^qecRCXe0bv!B-l!?b6TQm@^-bcj(N@GBVj)XRnVa+6@*bG2~&?nOO zZXyAN-z^N{m@X;L{Cs!!B}^o&c{mnw&XU|DBm55kajuQN>X?y^R^)@l)|#o1FOI*u zb){J2^($90!cfikU42rDXLQ<12|a(;tVmv0Jnb4B1!| z_Mqyc;Vc>Qd+By1IBE`pPh<#n0?449mqK1YN}Bf)6L%?rUcf_#Ik4x`KOpFk@)^T! zHNhdkiYr%qapKyQ<5U=Zm;_y`Fci3aiz8}IPaEu5YqT45Vz!vm@oGbWAcwcbc66Ij zghfcn>vgPr-T|F{Is5g(E8cs`KHU{FopZ*I%Z&$DS~SfZaAmEy_DU1wBRJLgc02C; zsnD`J$=6q90Kj4EZ%3Lrb|mPy*S#8wRPx}dnqD&z*n~^_0|UE2|H->RxBwNbLrvNx zNTkMynxB;e*_+7Ykz1g+2`m2Rx-#&^6V1Dj@}G2AP_6lYp_MV{;w(66PoY)*xuy$J zFTJ;+h?sp?!Eid%!~rr->}wZmPTcmR@ot5SwNBMh+w(F$PVM@+ONfK%_^@d>ZA5LV zR{eB{Qx;dMF8mO!snO1ixL-WK&(9TFBLNGP4h0cC%p-OU)kNO?@y=03TFag%F=_7+ z{qs4GEvi21E{^^!5uFqg{SscU@$%taHj?mm1l{J;cWXdtVV4i4`3N}u~$Q4rpm{%wV> zud4HgTf>%xhFK3$cg_U#dRh3k37BV89frv~O#kTdWw7cXr@+S!zi?9v(o1M{&u(1` zRby^e&qWSfF5Bg>p8moMk;ZndxUNsl=fza_jV8;D=)B~L^o=CrPxrsYb=bY$aDV>2 zfeEU+{FD3$7D4T_l}#Y10SUV(Av_FQmNvQHwvaOf(y+FhvKSfm4WNZ;)*t9@a1p+x zDh;&=RA$R*2<=4sChl}|K>l0`hg>c*U*9Z8NIQAS<`_!YFjWxrWtG+Hq|lUNs%h3; ztpuHp73T``WU>ieF-A=PLDWooTLS=d-v`4oMry6eR(+jtce>-ohi4dMn?KM0$?3%Y z?8WFb3BzXsZyLR;pZzsomw8sGV-Qw1&v|hzU^@NdSo*HFt8s*w_Mtdb%MMGOiNA8I zqJbRqWNr*A2ktrZ8vB5gIw(VKlk~s*52KpqTStUGe@Zx3ljs+Ogy$SEfx`qmbhD8W zBQ-f41PkF(0REmg&r|6hf)X$c0I5?%5xZi*Zzo|a01MC#ob&*E%O=T?omUFoeh2P? zjl-1L0v!rf*{U-nLYw9V<}Ny=jx*F+5V^uPvGiR@wZ&NdBD0Xq`_9^#S(q<3TJ5oQ zYf)$M94lIKXGh2UKsH+T{ivO8XKb(chuW6>88yjYOD#Bm=xqw3Z?muItvW~Sixzj+ zL0OiA^%}De7A8x6?wh{4Opjo@H2xg3<+064w(VrJO|#XS!Gqia!-A=GQm){{?F0RF z=lt{-S>0M0d+}T6bh+4`_jHHP2f`NP-E=db;W{2O6Pu?)o4=WNrq&|3xGbbYE4{@U zYaSiJdbG*B=Edh&ij<2(2AnH#6PVxBA=C!eV}KH+wn^Nxo>_*#|TUlqmCg<$=K#-1`drZ0-v4(7F z4(OsMUr%i1B&*>Dufwiw)I?TB6E=*TWIt=Va_QrqIr1lcv3_IP{XHw^kUqpsEt=GrQ&vl^n{$6KVRCIJtvgl zf}rw?w16ak$fmuSCrE_+dQJ0?;dtIp{Kpm;(LD17`kBTEanNT=DkF8^?5bthzMN2d zXq&)>15blMYC>swQdV1E3bh)(r)c(69ZD-DuvMqdrGFpT zJ2ubYKYtbS@y~^(mFRgnnyp#k!>_CQbJZLJGI;B=x7kg+(|w-J6Qij__u_IF{gkxZ z;iYM27n+betiuHVJ~8!RX=$hP!m=*;nW zfkyXv`u8C2T)}6}*%di=j?}(0-0U*u_+g0k?rd~jLPrY<`J5a>PL1ptn|^nwhW5nB zs;DOZk{`$DV#wyxk5&g9a3jXPRfMOJo3mMeaX9&HQB4DdPnki`(dm_rm6YOGZ>&t2{!Q1TpgyYc%J$onO zbM*sq`4bA9zj4nd`$^O=p|aIu%_46kcb)xN(=-+iuw?A zzc?DZem%yds5)?reZCg;tJEKCy<8_Rg z5)@VAlOzZ<=2Pg;_EQOTt@udEtOcJ=e+>CZH+_~3WP_lj4gtN?%r0XnFwq2Ik~m3@ zv?&4=-f!4h2#8ouMFLR7pM+A+P)kaSE6x;P&H6)!XOR(9CjsS88H6buWD^cY=FNcR z-w)P33IOTGDjMN0JQzPAVqHEX#y{GV#iwl>G60ZR8L|YR@zi(!VtvFj0YJ3kEFIYE zu9GsMzE<(5i2x~T9r(&PI2E4>#n_&4udGh%`^4U(8JZ8A8)P7%)W6PxQuNw8uJnQc z7|7!NTpt5rttXrMpyd~clR}W}|1#;{kDdtn1RNteI$=1jIL0!6;}|SX1&O{n!{8hs z`%S{|u<*ZIkvIt;Gifianmq`)OmQk6yu-K~34bc#X`BF<#?&7Pc(UK>!22Q&AuMIx zZ*O*f>tRpW8JR+U2OA#YQ2rJs9RfFIn=$CaRIH_rhywB($PpO^NVsja3&~Ck`zSS! zQ6IG^rAK(WnDJ)o73)-}LZMwVa-^)F9O5y4|LXid^~U<7dj58;C??#hdg0j$TwTq`9eJ62G z#Aaj?X_#zeprQ_GC9=?AC-pdkTyBq`>dg`(WA|5lUH6;G!QC*+g8}MZH%KsS!P_chu&5xI01h!2<>5Z1WxG#Q4-oSzdOE_M|=4r+{T2``Y zI%4*~zc;{!&!sSmnupG%Bze+_5{+gaCDG7rH5@d3{y!?J-aovCp9uA=g+?%!L{!aV z#2SrUv4?|(^zn2VMWs?Wq6AM(+R+Z zD{$O=gdE4$BD}#zl&d~>g7R^N*P@0tFA#y1;@{zoM1_` zxv1-l+_{Fs&`maF&U5bb+s9p6uIQSSQH#MD6vU`z)HScsRQPRH7svG2nYOp=jMixP zUpKFO#}&<+==inH5Na=N6x>`3r5AaRwi$+h@iMqd-MGB}aQU9f>m^*s&=m<|@D!Vc zf-~>VxPHqU`eq=oO>TP}%W*80Kt^gmCfx~cz0S@m3qfBglJGo7YsgElJL;}H&-o&p z+FxTgvpCvn~)nz^zMtonOf%A1Un2(j%oGn*8JkBV1nom$SD_qfsZNf`agV7UD=CSEG6@oD6`H7Mhz<|4rvPDdk=U z|I&(o7i1`iQNM-73<0{&6D%-W3W4MDD{_5V=hDK%(W5_N~-Kl-VNqb`g%m~+iR0N4bSIoUNV z*^c`Kb6;lf=16}FaVuV`RJ7L!kY~TO6I0)iflKC=*UN%WE(rvNC@x?6S)r=^_t<~y zs-j9g!s~WCFqTb!*^pL>n?^JsBu>~c8&TI^EZn?hD_hMVLV_6LV^d(5j8JLiou>Xx z({plGF`~Z;2xVx(PnQWz3G_5TYRZ7RO*t-!ckMcA0(roNG;jmNn5KtN$-=SGYR$a|TlR zuy8!bg29~+yeYUn-#i#mkWkWMP-ETevci1Vntb0^7=TK@R z6CAM}2`^I436doUsXs3b!B@Xti=V<>gc_3ZbS)|FKu=WXuBm_15od-pkTf~mfWf+X zDbp!0{*Ac!_+9q)WVGrmUIT-l+y|L~Ma`Iuuvzo<7`o^+7CBduzc;vF-X~SXE3Bbj z3@3=e|Kha&rx{ffQbV%$h?zmqP{MQm9rOvrc(sHh`C!37K4M8zq56802DgZ^V-K2N zZ9?!HoEa#;3HT83(RVLf14Sr#9l8sTT7kS_$>bgQ3kpc?WU9CvvPjpJxm#(ez!D-F z%}ri1tE3inh=Uv9)9S)=`ZJbKPPTn&!x|TC)4LMH{*89w%)ZO`ZmS>O;Mu&yT}{XH zUz}u6!W<*mf$ZZr)P8zMBUEUq(T#DYxa5iF_>4kU%{FLb6_omp?w83^ptYA*U^SQ z1RDAFV^>V@9(?LlsFiV(P{MC!(tFv*V88NG?$0p_>W37YjtGZh8C6npNvAV{7G%@) ziM;<(>J8^0#FQ&B-7|y&Q7%)3q-}fP^p;gVk_&uM`fk*~O|NaN*m}W4X0e7adC*P5 z*|f@GH+R=#4i(lw4jOX8&O&D7CzAm@II8yko*p6jNS)0O$KcBW!L{`(YhX~N8jvrE z0H@Y@bD&N$vS8N>cI7YsWbvZYTMQrEG+4AtH1y*l!iD9`37Ue_(9$#{*|C_S*g$bU z{{Z}5#8{~jJ|bPb=Wo?h-akDi#|i0{+8Y|bA4ti|#cA2_!fe=&{PDc#_h@r07n$-% zg<+D9855wBVh=7a03OP&yiSRDb(kW}6{B~H{?oB!g%Mj~ustxffv90a226%-oP#|- z4d!=IbB&(7%#9maKX$arcq}=XHPIm8&6@A-zrya?X3d@94TnECl*4G}kcl7I4}_GN zgJRos5mVIQiv6wNMdJHT|CsD5PS43%BfIxbC6gwv(aX8M+qoVKbhKG?wR5=54130< z*xCR(+4;e%4g8Hi0Ae0g$HyWPc+*H&kX9;cUho2<&pk`?Zj$!fnn)K}ti8B+eJ#)E z2(>!=hnFGxxPAvj^yrtdQhzOiI)4WmUld6uc9V8tzj2IJ2u(L3@sLVdu@m3j+TuMJ~A`LXbzyt%$;+T~Et*yqOF zZ?BzbrgU%Xph^E!aV)NEkGl9_K5JJyWF821c>T~fAs|X~Ph7L75R#!wph{P)@)Zh+ zkpgOAA3Vu*u+>8()!cN%r=RY-#iNHqcQGPELP zFggLuzr{{YW7r*DvB>M6Z$lEcyEm>hy)+? zLf%>`A*^f{T;IPhUEa*B0LptxcJr4}u%FFaMG17D0`#T_oKN`?>@4L_KcP3@;Q;+K zLGWH2w#!w*evXoNiChT7?s(68ejvVv#(&Wchzq?oFo^Bcl#081CD`98XGXBs345s8 z8SE9@oHwFTfG}$j%O9M_P~pVwO`Wwt1>WpHanN`uId+?+F!ykMw2m$k2hayyk#YHY z!3dC^#fyn3F9GEyfQZuR23Ga;Q##PcjT*vSYuWznl-q?VC8{RjS8|}MhERei3J@_y zsk0LxMN~P}2UTuzT*i4gdLCmabKF5x5AoG;gum$EU(2PYm|PDTI-OGEI_Bw&dXP6$M?KTggIcKm|U>$XI3{TKxsF!BnGoLxN~J^i}@G+ z;FVKvP4kQsYE@H5kNWpvPopPu4jk!*)BhK;!o;!1Ca&4cxg~)@4HcZSp!o5Q&j$I` zJ*Z|kFZ<%!iyJ;pR&VLVR7u-4ZKMVF9+f20npa%OSn2QA5W%6XTT(RT61IJYTXEDl z-mq{uv5tiU#n@it@`6! z`Ym*>JxY4~a-1u^IYIjmvh!g15Pg)xP3kJ|x$@Nv^DxY%GOJ}&RURHg~ zijZfzeA$_-u8;MN(}FVf6JO{ZYoY2V6?7hG_&eLH(<84wJ*jzgXc?R6Zv0U#l(;RV z&s3mn5wyi4|63uCVe+*P8wKig*!B9W#Ij4sax!*!%M30xswZ!~ATooOj6oan08Eor zsV0(;=U>&aagjNsVYj&z_raGi@+^K?6I|4!N@Z^l)!~0%=?^C3|J>{g+nNz#TX(ZT z6XbM};;{Alq&8z3$I=Wb&y11B$ZtShgq?71r$?Lpe6Mha=5w}OEFAp6J$h{O=!WgL z;%wW{GHZ$c09)WZcbcpMKKekGdq(d z^2)V_T`r`pw0d>=3wBp?Gjr@%`PllYtc$B&K$<*|g-PH2{s8{1%f7cJ=F49^x9*zW zex(RnlCmbhADt?=d@oyL5s~3ymt(%IV8e;(mhd&h;ry*v5^iQDI%!p9Z{JjVwqiKg z?wHP!=w|m-VxcC=hGOjiz=jliy%l?d@&%W@F^rr+be`gQj=@#^ zT#cRDsg=xpAGZB%rzuw+6z*%!jAXOk#^L*hy&ObmrGxq?M}T%8yWbdj zHayOA|GiCTMZZ3G4;kret=araR6o1*&4okV7SCL66y9C7(8luA=w<)FzJ-LcUXyG7 zH{S=xdk~xsFWYxB22;EKT3iEJB?JVVmSyLg=AHRf80pX@u@jCP?g|eKRM^=?oo(+E zINaHAyF)p;;)X$SZ^fbO3vSzae{&ZzXTqP_&{E-1_b;w9P^a|e_|e0OPXqfd*X(pc z2U|=_>V3uEp7f_wYKUww7BY01zF@?f|KG7VpakAGJQNKvG2P zlg9az2Qyx-xcE!+bAx+o{NdlxQ|_#D0ewlnpZHlnRugFRe~=;NfI<(2fm7O|Krdx8 zu*>RYA(uy5+tlTR_9c0M4a{c-iFv<`EPuNE*r`y_3AL=Oeg(0{ozPskSJiT`gAqjdfB4PzyZLu7 z&1w9{kMl^MPxdHTn0imkDlVGjAey^&A<8pXhN3#5C&qn{80Ju>HWNx@_BGm4Q+gx~ z?bZ`ISd3Do)j(lU6s|+y$lG0Qz|?`48LYlNT&~P}?OWBFm2Ek{+1-{c`|J$ef}!ox zz4yDQiPo-N;m7$bcXeL9$iQm-jUIkat4c5D-2Wn=fQ#`@+YXWJR->Q2bF<9=yUm zT~S|$E{+Q84^Z)lTI4iYzD=oAa3Wj9I9riliM{j_&HMD?Ozj-*v59@-%IRaXaaDh} zN3}aK4jsu;0G+YklrJgwBM+l(A|lGpzwdUxzo(o|5ZGBS`o}3r-{ue@rQ(B*)}976 z3;uJH@K@bxJv(#cC4xBbDiru^vZmne>_Fvx zJms<_$pW#Jn_kNmbNlh6WVi7GW74N>S|?4=egEt~d}-(ZDpU~A57yZG@L5Wm!|*fI zlHD!lJh@wtojLV9wy01MJY+QVC&Q)B<&fe$J%10=hAnr-O1aK1P?JiMqeD~6Qm89n zR%h?vB0udKLtGr z){SuoQ0-(yP0S~O4IVBQ7qwI42P&XiZ_3OjXEADU6{F0LUp~t&@nedLUdYb%5fBZM*ChgS6q)77hAM$r0e$KyOC=FsWqLry^w|Ozk>uVXXeBx zgpo`n{zIZMzn3lzY-+cbrreQ=!<~Qc-~HpTD;!A?=5!R1{hR(2RyK8bD~Ts=N7UI! z+zEA5jAXG{9!1?9qlN)z+2g!Rj?0yy-~8cw-qCHk|&>K|fBBYCU!&ns9ixv6+x z@bV=QWz2Cu-*l{byg1Ib_M))FM-9A&so zFxv^!4>#5I9~ob92w3{pBYwpRB@c(7CwPWOblL>H-7Pzp%AaTCI>CrPGUDe*pF?W} zqgaciWbu};GL6eC`?y>Ms($&Q^HCpqxIWDf`sU_F)^GjmGHSd$4p%WV)13Vx_=5&L z9}70$OCF!@+>Vv~u%J4FlxAoxr5)0NiZ*~Bp6sA7LrP7(m}5Z;@uR5k_ts>1bs73A zbsL)`IV}!3hSvrT8d1YWq$%Zg2Xzs`8v}6{MU?whRPGDIQrbq_>uPgW%Y3(hDrC6mIuzf+7)Fpkbas1LVeX1 zEe7)6Q%Uy^c_=^oSQyD8b+$%J+7A&B)ObSAS3mDmwqj512`dp@*r#y|%6Dd%rEG}b zIOxtDOfL{5B<(jF=tt)u;KEUf<*3=FCgF&Igq&Bm9IZTTiMID`nkKYwu1=09-vopB z(FlcOf{n~SqHGr(E9EnKsH&s>L#<-uV$$4a=oR|%K}}}~`2(L7!lec@r7>0ByDEWG z`SvRr|5l_oRO)ncg)Yp&6E7O@%!rgqQaGf?Ogprt+;(IvRiE(I^e>tqg6!3J&inm( zeaIp8D3b8lYlbnQLzBPVsedO+@z?RjiMJ+;%)4o8lQ$WmCe#%V)~BYw6;*xo5vCII zB(zfODC@g9)K2b0YpPdx;QO>8YWt1qFE-S)mJJOQ-l>vr(`SC@=z8&WZ!-AI8hc&Z zu>$*hrwxOYb6DnR&vi@khAhy<+f;#_6WE44=1-%q*Cd;SzvcnO?eK3fryXPPulpyJ^VLn zSpV8%J%sc`IwfLVL8PeJXyHF(t?K|X{x`_dIP1Tp#JI_N_GL42kd5Z$IC=#lwO8Kz zMK|vKh#_J~rMKu#H_`5}8P{Gp%nADABg8YiwcOLf|F$9}$-PhaS^o2Y<+RDLUs<#F zh#@>ILEkHwlRJTW6E1iH;#5|D*S-9$$@v2{z_l=jIykP5DDQUqgq)p)P!LH_!I9(z z#eCvT+JWUqZ@)VDKM9#Utoq{G2UF#vjuZ=0rHc$Q1(ymxSHF98KU^q$?7vd3_}~=( z)sYl{?JmS^e$E+%fxPu9zLHhnKR-}zKzESR9p>6eF+%Yez1p*S#3{;gmq?nrOVXX2 zfFAx3{t1ibP5t>5LM4{wMpWE3ihuoY1(ctayL_%V&VTDMB^f6wWli7y&(XQZGx`31 zyu&K=sZdg?k8?!mB&BkSoN`ER%e1YO4qMV`Id8R(%As-!Mdg&@o^6qlu`Rw7Nu}B* zu}PG+GGxY%zpLN=5Ix53`@XL0eZ8;u;rZIyTy108Ov)XWSsi?0F?e0$`r07}TjZm! zjp}XwAfmKE8l+_8l3DZK5w=@K0v~YYC^DOojw9C+EE6wIb`>OCOmO)({q{usb{T3o ztawu~vz_B8#`y7HYl&m&?2rStEk|@Q3?%i!*)hIVC+*-x<)a_(V!j}dm!}*G|o)bjblkLaK*cwHwFn7DGj~mU;ph)14Koqu0+%Y^-V2P3;EYLt(unw8K zKd3P5fq!<}#Kkc&DYui_m7w-#N5gMM5|2yD@PV}_;!{Lw_I>1ejFvQ8mBg{i_DqUU zduejlPC>bWjrjZx@uXc`Y!UG4B7EPQf0t2X#M_EEgSQ#Y>hQ}_cKsVwTVKV1M9XkH zV+<`3)peozW+?*%kyUOqM6{W;N+juhHWsO|SxxDxEq!!JM3?5ejk47chBEo2i!!tP3O&O7&xPRHOOrnZ#eL>6tcY=6Dyk7FIH4t{8-FQ# zgj&4N{a?6h<8cLr&q}!$dug1Un9G&}V=u6u1e`}#@a1DP7l&{C1!!2?^=_f1Nbnd<(?rnvpqS}8X0#9L_O*CvA& zM^Hh5yls50WFi0prQ)Fn4gbDYm6j~nXF3kjJnBmzyxmacP;<0FI z!3BAg7Hi;iK>?@6knia9BcBO(C11kku8$tEwh$G?Ti?1Bwcg^u*}C4^{htgJI{$!J zHYgRvY+2{-2w)H2?njU-@0>9;LhoBV{UxSb=O5tQ{e45rk&A|!nNz0(_JZe2O%c6< z<+nK%FO>71>)$;LUT7~qc}`V^v4;GNGxvRJc_MM^w%p`v3m{OL3U1sel-o}FpS605 zbv&~AC}Kh0nWN4^)Rri&0mo~aFn9i2>f3`ht_BtQ>E`zxJuZ>#7)@g<`CkmChX zn*tQAJ5ez?*Hhb=7jPLx|2U<>udn3X)sIh%c`ltDemEjhUmd?NVF zRR&HoB)6MrqW#2v{hJVvy@RCl|APX)#BE#}snHlYQ3=&6uP#`$O}b6v zr(@;;%?moT=DY7khxViCh7Yx^&Q&168HfUXyRqr(P-6Qb3m1h;%c%;;7eZ#r5uYgV zjJj;D7Qmmo0)zkRN9(-X$QOdjABNV6!9$K&T8bR_c)SFG8G8R zfJ+yr789Vu&%S98j=rZ<%GV!`FacvFtCWjIcC@UTc*vFwQ;$!6zah(U{%9$2@a!~F zEblvgNA$(aodZwAp^ir|*7t+nZyB+ILQCC1+t* z_&hKN6&4OREpDF?YDOLS)Fsts9wC})AwFrx#g={H4xh|Z z{usEKFRv_$e{^jtjdBS5AAQwatu_lC?y)k`)8M~1h|HXBi2@DSB66nq<@uTuhu{Trblsf8FLaW9e{7M2dp%b7TTo^T)`JyNtGBP0FT z)8IM2cOc_R_46&w!sOUk;;|v4^t$%v?VD{jckjU(-0(8s)as(3? z2?8(`FFjLArD@obz0r6H|7In2$pz97r)iDC$hUCjI>zntvbkEfAWECzztrS^G(`HC zKTk?^ZN98+xB_I)g!gLVEm3Oww0pvKhCXwE-)GM4z`fA;f8!E4_AP_q=o zN;N~uvnsG^=h0p@8iIPG1{r9q>MIgPJ9K!HvWvD|aN$qBx%@!Ia=~EpWs-L0;{)$v z7S2J9-!fn$+K;XUt7HMs-)ralBrpz8|=2oxS?x?TG9TqdFz~ zmR@Vwq__3@-lz9ZFCc9=^kwEDghm)Ybha2QclhVJvA(47&F^UQN43dzKr?ga4aBF+ zJLV(O|Mc=aPCw@qC*1!DSba`NL-fVKRD`&r3`UjXQCpL_H@B4&7 zeffUutId;K7ql-wd05gZFM|1fjO0t&TZ!zpf>3Eh>E!Wu&&})d1od8|wzJa(G+JeJ zyrE+R-6+7`PVzvpXvMzGXPeX4ob%};sJ;R39FHxUDho+8+8Iw5XKgAxbik!4x?7E3 zJ8zw<(@kpgs(IU%oNV5#^&&rH(~GCc|1}s~Bt=H9WTY$!sWCpkjQJeno^jyTVmwSaY`uak3;Gv>X)x)#HhC~N^D1N&rI*Ou8nDs93I*wlHHE{-#?Eh zH~DO^?FpcLL?q4fhmB&Yk{*0vk$iO`XZO5bPm;^l$6SaVCW|A&_kbEXBc5Eo5xXB(Uxe15J&i*14NopW>Ej-B)SEfBg}+&9csduq&vXBT|}k_9En zxaWpbvPY1;quKETqaCAbn6o_lrw^dB8m_g;|E%}+sw5hcRYId3jfHB~Kc860H5~Wc zjPJ*c{iOd){Op7K)J0P8>h22m(J~4nK7z^=+O+|~jLjZFRRGUU<=m~(Q)U4Sb`p1a(Dw%$j@4&JCT+4#4*QCK*txK^$E`VT@%Y~6fgD=M z;Kq?PS^9HVSRe5`XCUTrOE=8U?z=1BWc+Q_^Y~1}-ise4Ldm6fW9q?2$7P*=G(OH* zdbb98(mA0!1tr&aHwzj#9+=wX*S@<>l7b|O(xIR{b^N5iU-4u5Y(ro{CC9=WS={!8 zcv9sMTwq}v^C^GDdx0Y75~~J93w$R1tpcj2Q5=D~KP4&I!v zk8Bq24*d`>J(F;LX02}FzyAhRxs5I(o3t%(+pIlbx5xKLqVw_ho1Xm4NP3{(n|0TC zIZ@k&r4|0%=f+au_+_>Hmyw0(y5R*TJ=)&Jb*5lD&UJV{p+$$vIjJ~J*`7m|%WR9d zO?x(CTo)VNqbGj<%%5ymhr{Cmuowex zV{u19eyJ(O+@&N2sU#4@9HwFyXC2c0JLYt_?EfC7gLKi>0LRw*5rTJQ{R>UmYsb$^55xq|8}Bp zTA!MCeKs~R^W4=RNj=N>i#o2StEL9zJp>5US!?xYOqH zv)DEKnfu*B+n5F?Tj|)Y^?FMSFHhDMpDW0HSKdct}4tU0b-ixaLtogjLz{BOCpgCBJV5wrWUh0;jJ5CK0ND+ zSMZ&<&_${HT^~OT)_UQy;-BOJp<6-iou_C-?D^gGFSFvbY?y!Uc0Hb#vvBFcl?z>T z<}FJUy3fB}?v{zc4)C!`;ndsbe#;lkdoVBn1T?YaT}`87XJ8_>l%|O#HL#g=Hma*W ztLE(;h`IHmYo~E3quih>PqTR)8tEsWhT>Q|w+)vzZmGHeX}kiN3g18%m2zcpEI zjwtf&kiEouxCniOE%AOn`e@mB=iyY8!RQU zY9h`GzLWLw%fD&eSgEs*diMAEaW}QjzFke789?!F*QdpKUo^HR-a z_s2eYFz+axHFk3?{t927JkFbQ{L80K%~Yohzinhe!NAmmsq+fYpkeX`PCPa|{>!9M z+!NN8F!qRmvfrxVbg(8x>c`_4R}o1NQjK+(q0l3gp-tTnRnNjWg)v1cUUBx+`e@|G zf{>|Vn8V~ew-~~b&Uq7h1a6UwEH;mKF1)eGNi!qFR(P{1CrQy1#9Za zj{0r7u}KH|Pv{TtxYa_e|Gri0B*v^ilAL+*JJ9>y*|REr-m?Gk`{%z&kKCv!NM8pD zL!YmRi*W6cN9M6vK+D5b5Z!9L%;b=`!G4d}s{g+0d%G4_!YbKDin ze`3X~ibbd8%IRnvzR9mP#_lgWS%XySU--s0ys58|(my})c;R`a8c~KG5XP37hlcnq zJ(3R!ta{2SsZUMZH&Dl9J+IoYRPG%e{N}r4b7c*}#8A){a zv;#+_kzEA#FXM(BK3C;WUG6(*wEphO;a@SHjQ$ec!SlF{rZy z)-Lhffjm1kZ_(iWH!+C<^4wP*rf#P)E(e0vz^3v=m!6vnM){|QcUY`x628%K*XNB#`xAB;}whi207cv$x zWRm4yAQ^?_gkZ1OCLq4lBpg#dwo-o|E^6ar4mnqF?!s!6twhT}zRU8$5Px8-uE7$E zM+qNiZwP(p@%ZYR1?P5X&C^}qJ=I^-^XikqJt$LYTQ4UEN)~NMDtoQ%^Gq;vO?{nh`5CJ_n3=myb$rap!UT6(isE4fJ}3hdL{3?!eK*59Fv*o+u(F=La9sPQl2MSN%v^ zz29SaM?xM;DiBBP3HAt*?^360PC9ukO86q>c!(3; zv{+t#I4Y{ji}{XI=kNnlHAny3tqFV}5=%eq;+I=t7a;3tqJB-X)cS;ko4*J@i-NGU4)5xqyC}9ax4Vker{bG$P>>TMe(+UhH&z-S4=Jx9w*KH8G8IUX*P9yI=Tf~z&6;rVU2iyF&6%_KwR_=} z;tJs<+mBO>9GpjK!{2 zYgfz`_AN<^F>)W05JL(uU~)M?!c_d4Q(a6QEYNL=x${Gfp3 z!o$bZ>+drM*2G}U9@Cg?3@90v!SX$W1D)jCCHej_rezPI4-?h; z3c-9b@GDAlsb#fI!|rN>hm?_augc%kH>adcyasPvL@klZ>|ZX00*eB2%|@_RvlrT8 zU2PX@Ai$*yY{=(0bj^ybK2keF8Q1??T(l-fZ_CX$fw#XItha4(0||vB(pR_J6bKzg zabCN_UDq|m0DGD~deV5t3XqQ~HQY5z3+putKAjSyUQV}YpRFVH>3#WMMi?EGlE^V^ zKxc`*Fd1u=e1S{o++UD$jg#xN@iVTs@x!mfcSH^d)Ne@(Ku{=%OKm0Gr#CSHD$e`2 zuH*Y0@!uXK8JVB+#vtyRE{6{3CFv8;YZX2x+3(exhVc81 zeyIOsyfN8&4OHmxZ`{DkX*}x+K-S(AKRpZyLieJTYf+YNSAbL;b zL%32$7U{$t3x<)rnGWwQ!d`P{JeVJDYM)ES*BU(raBgo4ZdkYi94?Sadms@ zZP#UY(jEF4F?B*1md+hTd6CFA+~g^i|6m}tQ}i+J-qs?8m?mkWSW3A1m+hnl_6PkF z3$`Xw6CW{WFQ@_9Eatt0n9?x%vw&+n#vYIM{9c+;RQ4-U{MC}gT|Je(Lj&|zT_~?4 zB_8kZJS@56RS^bP=}XTH?__>K_}diy-Jn5H_hrh7KGxLXDYyQ!uqh~SZsOS-QTCXMku5Mjt)IWYL%5t_8~VRT9F$o zULWo{v0M$5Hi*6rhb)@!vlyr@AOkHFlKp>&s=;*Pc*eM2^X8EUOYS>_KYf-{*(Eo$ z*D+gW*ri<-=al%`G@>P1+rbr76VTj^d-SF@uSuNJi)qpO2t8t6Fd{JtqKeV8KRx>r zx;H*NZQ;Xplvi7!>QR^2>MpLu6d+&x#{6Vsk$_dU#WSG+SPhP+Ow`Vrwzb*9Cg|}C ze(kSqS%0QzTC?4S&E%S898+UsKYQ7HV%`aII?P!gSKw4*l%oi_?8j?v7K{69y}LA* zur6AEy}SY*eMDuepuFak#=t8_+F<3$aPqlI)79_;7bgeh{!^BKHySmp-v33rU1gKZ zh8V~D_Yl=cb#peIFD2OajFKjL+y zZdZ+NLxX+ip!+|W|D8%OPG7vhrXiyF-$|X!2vVwZ%!|*xP2a=V1_)Lc95g88k5&`Y z3rI(@W~1NUVfPrLQrL&pr<3XI;Im70Z!CeL^iQ1Vlf9PV*-EQ-_Bp8F8xILN$B>)} zOq|Q*qL5Bu$kW6D0+HTFHX4%+-llWJcJk67|9Wby`Wgs6bj|Eyr;_vj*BMgjuDwU^ zk*hKbLPK0n$Z`j$!KBMrV;u+ z3a?PKU)UtU7NJr_T|fBmo?EVrQ{hG9UWCsMjmC=ZUQ=CWj2tMjy#Jv(sWQ+Y+w{?6 zm18@u>26YN**I#cetiC@KXOaW`FT5E7HGYy*-KMQ{o^#)etzK@hr&Hym0H`vC0)e@ zI}yuNTZmXPWy*A!qtu(^?N>k@+Jo&={zsz_`BSQHit6CNe#eT&l^ECB#1C5&r_-u# z9~Xb1+g(r{@GZvIqfygT$;j*n7XE!^7#+i~{r!4_WCj4D;uXLXEaSB5$cGq7;Y+ck zr+Yhzz$^}q#wC?jI9BBkMdpeRdPv$GGwKuG*fRBFYNrhAn1oW z-BLJAnI#dwIye=scFdk0{>ebA`P>*wF_fx`1psjRUI)20yI#Ymobz=4qj2>Qu)?iY zRPo>6P+=W3r9jP{#=I1?(l)<{*+%j>NTrs z(Qd~8N3+v?g?RMR*94;noV{x~p_O~pfkW`4GIzaWb-yNuIp|Xj(^riNq#!Aav+=&V z7E-sE+!APxa1xuQIA;+!=!(1WRan*^$L-({|2H*XF2bK{BXd!yXPXB{Zm{Fz!JVnq>DY*M+MU$&ev) zr16sypmIp{OiL7rCg0zf@}YZtXvcF##{5vDtq*_GF-qN84hM_w<8UJ=N=Aa9#xaT0 z>Ovo(h?9+0&5#9S8j{01s;Xt3KpN+f!rJIGJi78`f-tu9zy-Rm)|=cQlAKPSh6+xI zKfu@=44;FX_V$l0KxPE+nudBV;?lfEWu&d$eRMWv!7|2alRH z78ZQC7=MjM=d&%b?1t@(q=JIiOWZFA?__zQ#HBC%l_{}uY?<`I_o)pMTzliQu-H3^ zPuPr^hH(6+5r~4R%hzxppdFs@+PMC0_F-55r4WvJ%%NZS&Wd9;6{mqib7v8O1Dm@9 zW#qoU!4t7e8d&{{ZApZLsM>f~5Y@v8EK%`@gMV|mG>Sc>d!5pz5kDHxND z=EaFRE>VytXyS{g3VzsO?!IAJ1Cp1(Nk+-7ir=@Y=~iW~gSoK{)G4jlM0G>Dw9$!d z%ZH+Q`yb%x9NI1$ilxEW?8bH!8%s!zFRbwTsi*wH!m_ZPv~tJE1U*wogYF7_Mp$3W z2uNbk({kjwCnj6g(-S5K)Bz|dWtNbQfe37oL;{D;uCy-XsvmtsiZQ<$s9XXA6+&WR z_u$mw2`u5jJB+qXlV*Ttg+xXREh;pE>t{sPFbYO8>oe5>`W|4Y%iioZ$rvLO*nrQSirUDD@<*~8T9 z=S;bmpNxJMPXBL*R_vpoq9>}Is?M3diQ|q)l1VV|c|m0m6g>hMBmj0YW1|(uq#`8? z?-&lUm0RrMR5SbC%=EgyfZU6eC`fbzy)d2<{H1h@^c8XfO7<@SrV_BP@DTUH$>0bl zIhD~#Hfm73(*mVAD0nh}o0wu_4>Lv0*^c1iWX5m;7eZEwU=ahJY!joZH~>-qw>Thy zNsW&8{PeyK=9tKzplDn|+8>WPv1A+#AR)i94bYce!UC(5rVvW>faDMgaf>2I>vsQu zs8DpbYi<0V+{+Q1Po{u_0ztz(jv=^}3l|I_Y$}a`ZAH-`431x&2aB}~AWN8gqWgr1 z0|;J}i6cNp0Fd#gwuUnq783+sv(AmDzF9gag5Dw-LO)dbU z@e=hqayA1zVD9>fQ!4=5ml0kjbW5j%{b|hu?*~sNTD~=T*-CFP0uW}f30zgf*8o6| z;^L?yCL%!3EKp`qFdRS(=0cfM|BJsHA|fMVOf1IiPo1AI*lsF30hiD7YWf3Kp^5$8>Tg}$8HI4h_~PEt7EE5J^wx0_TB7FgT^BMulRqNT% zm_;=>*tjZ1)L{88T({n#K9ib5-Ho8%wi8C&FwQD8L?|*u6SY$TWu)GG8G7mLFa6aJvX3&S!reNb0RGlD z=l`#>fsU!apmC<@5=C-3t;gc; zLLtP`B{)hQ1DgUf`GBF$C9Zd%K8r^qhOgl`U0gcZnWi~X4%UiB`(gsj8>9Tn4P!hG zge$Z1AgF?FgMiS@*(fSRbm#IY5dHi*2v7A~(Z^E@fG!h0ED!kwJlww}fH0M_aV12+ zP-0q6LZE&u0@eXkZ3vCyoMo*^I%ZgI<6tqfYsa+v(j>LKWpLFCPj?d-Zn$zi6BeWR zy%red5iaFVDLU>os(&v6dl-okx zT$?+0G&4Jurvku7A|XTQV99=^@79~9WEa-^e`;(BEad{eTUI1YS^CxEUXdTnfFNv5 z?iI;~VwTA}LI9oVl^EE}nb&{sodRJU2FpO9T@!Ke zZx<6VNZ|2+(mdi~Jk0w-TX+sYfv`UgAKhT~jeNvUe+2^_*3b!8pI(sqw6}iKa>C5L zxU9zv2tz?Voyi4}mh+xF+}Y)Ktd8eex6gYQ{Bm>q>D`OS2oIZjoaC))#+BE)a;eTg+c$tNB~YTO(9>VMjq?;39O&bQNt=vwugfDxbf-mnn|Iva z_w}Ur*h1+U#rd05w%x%o|LHfnklOt$N5H7Q=h7V}pCmj1Y^nhyPuc=jFG?g2iJCgF zE{q`=Ccq9MBUwOt2ogI$Grs|b9UX7W0P|~PfhFYh00~K6iO~>}`F%A&HA%rUTL;9h zNLo->KFkT;?*|rC!(jed0G^D9H@RGZYOlSKEP1+b3*+MWEj%^s`4D2SskkP^?96d$ z$W{OGXoQCW{u^=L1uZ|yub0*w`69Q82xwh3+U*fNMJuF_Fsu1)0P}mT!QZ978cfNf z{JU^F{Rg?m28=N!tov1$0x|MsdkkpqMnW;Ig}JZ6I6`56(g0W)G>IJj_oKf(m$;c8 zxvA>RgsBc#?@Zy<@~Ns78*T)A*8Dkx@4xhMA6t|9n@0H)z*mSk23At+c`g@MM;ZMK z0_6(~VGSe&Op zMb+J6jr>3%71R{d0gVho4gpZ*_yasVRW1VXo#rzofutC-(X6WmcmTKUoMD2u^kX4z zA|+U+^I`R$R_TrTCMmBO6SKetC!}SVxN6lF9y`>z=JhW4^&76sI6AZdLMj8IPQohoR_yqVkfecwuyE+IbJ07V zLoOUGKd_2JP+CGC(Kf?~?P5vica1gy3W*of4qJlNKm^^A*j5AT-x-$zvwRExK*?sA zf9d~>X)mtpwmkZMj$1+WPG?E);#6~6!Pu4FCFd=QM&lepBlX6FD@iQ7_vSF~`m4dI zGu(JKqRfCv|M&CkZ9&Y7nUiM-4{5AqFW#+hE-q)2=3aigR4l`PQgwy|DJ^%ez z@m`2V`E4uDq+SxEgdbs58xqVrQ{W7Ai(3pX3GypTZA2NU5g_TB0gPKA{Au7JW$2lb@-yd&CnxMj|USNb@s*PK?}>slR@#&EBq8!Q)WS#C!4h;9NGKYPs%S+Dh$ z5t`<_M%MyJ=#B4106NW~jeIBUP#PHX|Jlc;Yc#WI7l(Z}1d-Q#>EJKbiD9oWKmq92 zi*Ni0&|ppH=jaa0d7Z=?(Ec{?+)%?W!@%6CMbY1b4HiG{9g?ikLlZEd!IAUzUl=YT z+;%Ya*b^2r#s5^#V*>~ZEH|KfY3NU2TAFq?9t{Bdxz5x?&}2X(4x6GNEHMAD%rt>k z%g3_t4rCywqOlC4w1ZCBQ0qH8T4OQ@UU&J?y|w5y?OEwUZN-tBA&;vxuT?E}VHKIO z4jr9=u!w=ZT9(_`gIOWN=w|e?lQ+qxeoZmW!`PRJ-<6HM;}u&CAI~%_JQ1DJxjh!E z(SELFOq17oYYKi`upBe1cZQX#b*Kq>6ZCkMBc_+`0f!k6xcN;O=cu!|p3>#V2ki0R-oVI-Zcu&0 zWBU{auUK2@?ZA+sB*rixKYkOz_xTnp6Ww=ih528d!Cb+n!BT9CR~XzR^_wMm{((ZK zo7t7g$OI9kI*;Gsfa0ELvGPB1y)xtQ>f)a9G;=?)@vx?BlYDmqX7J`^alvb?+T`n* zOA+``%1?@_5N3OZrbTuBx|5egehoN!}&(RC`?O$6mNN02(;Mg0)StbEeYHBWA zojrZZlt)L;J78NCB|($-;54iNO(r-wLA=MXxYd9LS0N`19>L6CQOBha@G-~p!l>ej zJct@P@crn;85P(P7|J|94q^)_p`X|XCNky_piU}y%|R6l(8#by-Qs6R|L-*RUR?9d zbgBPG@?Kmu3rsQip*q1aILiA`ZXVop=qz&;XY%>_tU8+!J#qk{Z0>%BR#PDB!CG>t3wk$gCk>V=!R ztT%Vz!QvslMyw)4wuLciWHO0B?Xc(@(?q6$?r>D6aJ+=kjSuU1b#Sx8jDM#kKX=v< zTWWwU#IE~@W9*kGa+uO}KrHE=8pZFoMC0J*eGJs?gH`cNAoX{eT7xAU(KO`OpIA6b zRg-u;ppeH@>f@NR+NUAc6b98s4(OtcIBFP^ZV$6OP~Z^8z&r(1RG`+Ck44;Le+Pl= z^F+BSDN(I;{X~fV#|y|NMS06?Cw#6@ZO&{8}Vvbw(cQ4$A`~aKH8<MNO{U@$X2Njq%-?lMO7O!6Q5IYwFD7h47D0CyTPv78WI&S z%bZy4{GMAOUHt%xe+pnjK;wym8KbDUpaoN;0mOfZ*Ex$QiKy=X%u^o93XR96fY=Fy{1gBt=2PG&qmeKN#TgM*81@x|nhw#oeWhn!R_+-(^4`=q z&2&EeubxvZPurQID1cPyiJf=+jbY`vio;JgP2kdO70*14$zw1;MAbk&Li2>kcAkdU&GskuO!0pNPNHm-h}36Pam zg=r!HQ|DJ`i=n9P$^2uYaOY$qqr=DC5~q%{Z9l~1!PK!8HX@24aD(v^1K?OC8P5Z5 z7}1@;1HwgM2ZSeM`}lRT%&E^{f=1iZL!t1QdV#Aq5so z$~=;xs3@Y24a}sdSI`&`NK9+Ue$@iaHAk>5!Mv*2ZMYbA;{bzCd~hXg5(MG+$k*rTApR@N+ocK7#Ndo z3weC=i1ZvUHY(RqulM8k#$AKNKlpjj2~o|X)U`<`bU**Jxfz`DX;}P3+H*tv1{#m3VOqyg4b z?QU=iLC*r5*r*jM)82s=++ijH@>dWTCWGxZq9C~;*O5O$O*B9xL!cm@X8&|AN}$wB zg(-QkG|plr<-6Pf)jlLn6#Oaj*oAr%!Rgz_)iRlM0_ZAk{HeVPisL{sLWbVCJ3@<` zlB;)nyPL3h)mNeG+glu_h0)j%(1Fw??8>7j6SZDaWBy3VrhU~7)Z|rp@U&F`@epWR z7=J*>)hvg9;54tBua{36QN)%PH-AxDLC^>Ea@L=)n4Wuh`oZ|E&u;-?8{otJNx(zI zaWtx)!2tn3{&?Wuo;=~Ns6F738FoJOTs|2It6c;Xpe*pe!SZG+G!hG<1F-m)z9^qU zd+LqG{>+J)y1-QoX~kqG#^sqwyzf6${t3|R*I$YnNnW1#s%Fqd+QgQ%*QO#zf?G^K zgwZvqKT7HS!hT_kA#?^sMjc%J=h;no*j?v0q|zX^$T}k* ze)L;$ad7dSt4nYXaE!YBz6(Iy19UV$`&3Bpbz{JyI{tH}h@k|kZE)wkr=PnFASi?W z4M2X8Xl#cK%s|q$kf=I1pE*i@#MJDyl=FuLRcEF%Zg>d_x6ymvI@PC7F~rHzEIw6^^6`%F3FxUi=&{CX*5d3guIVyfbXy8k}UC4 z`XHt~^8g34jP!xIngWgBX9HWdQ|g(@m0Uck!B0EkG28&WjRs{Ph}8^Fo9Thjy0~*Y zgNLKnnY1 zk3pM^)*%8)IX0pC9@uVpjOzW}s_Q;5`@2}9C1O;dcy)>kddOL4__Hg*l5$z5jbmVs z*NRx;vIvaI7fqDY&!N#FSV|Y9S_v2M0h|vx>ILCA0ep;)H5VUYFqi=$#fA|$2J#z- zXQ06bM5F+Seh%gH%0qsP@9M05FdQRA-$PvDQE1Gzf2c@X!xOGnISiHIG(*(Ao^dqR zK}sOWlq(iP!vcr*wD#IuX_z(zgzOrgR6q6QCQeuo#8BD686$a#|C0cGe3DNg4bGo{ zpgIb7O}pBeQOD%}1^i-Tu=y0JHs8XAGGPEcrc9Z`?>J8%hCNGAS~@|nj0^NMDA0^j zL8H+2Z-5T5DOK5L+oO}#I>Z(-lwpjs>@r(4ej=~Rd4x~JW0vcpfg1%ur^7h6xxl=s zZ9$y-!$bm*vU}))68GM+FEr1~F-ZsJyu+cOcN^*V{CQ#Q_oh@p8R!bxsl!l-L(Y_3 zXJEQU7q}9BGx=Yrz5sog!>H1c^=@I|bJ`&Ainld-*a)gL*H2SEfsr-8H15U7cdY~}vabM|^)SFf zR9VXQM`AFkL5m%Vo~AeJbeS0$O~qwvV$n&dnTNZ$wejyQxG^K9_=Mp@%qPi-n{CvO zFk(+42hd7fP9_ta5;&coS<2%3dEHX~iC5jC#;syISgp6gg>sfc?9RtuQYEHMD8;}hoz6NK3`2u(@{si$_}i{4=i7b0JQZJh$vcZ zGKi28@ST?^1;d(QM_&e~HK`a9+eO>-bLsg?VVK$9+|=5K%qP>QpJDgGa+O+5K1gJa zW`nd$QVs!Y4MGy~1X!v^B?JvgF_iHLE(DVFRMgE4PN$J*FqSi99ED0_vonVEqwyp+ za3F(44GL{3^pUsaZx{_ymg>+zY~=D{=jz^P`k>hWlA`F(_c389G_#KZ(nC)xkYRb? zu!f`~KAePU>~`LM5nKWK;G}3$1Z6g)a<054~S`GKeA?m~eS zAjF7{;x%(NK0powWPTxIxqSTbYIcwYN$g(0pdyJ~+Zmiqt$0kS5g($GbPp04ziXr* z00Mna5ySKXOB}nKOGI&{k&Z!-^6)*dfBWfzn*y#G9eCm0?SRZKqO^l@pd5RU3{>%e zYh#GUN6XvQ{i1aIn2B5GS9w1T!sXfUQ+=!>C)9=X9{UxI`^Lflsr;eNcTi zAQeE<^wn0Tk`W6^5p@n)7%p<4QrJq?nH+uo=j>31$qXtxWW?8u{Hr{O(_kH z|9Li{kO8-Djk1BOYEHh%KuJvrv}#L;ihCF|tv|+~Xa65fUmwr({=Z)xeGYX_$CPxV z8-#M(opgtYgb;aKjcwhYVwI95V_Pki=$2Sf@=4q!XA=W ziE#{qyRZq~RknfF&{WK#$EvXa?HggkJ`nCDc%97i?!eeB>76J0!T3E1Z zVt{d?y-cmeL0TW0N=_eS*}r&J3oIt<1B+Wpr@zrE7pwQ>N9ty>;ee*EZl%OLnJH|Y zanm!iTnDGStaBf;#q5IbWQ`C2v~J=X6U+#++1+B(c#42;?pOOHbC(U-@y&0{li9O; zGr60E?YHE+OjfBa(v&9=528yucod&#&|heSvdZX&IJ75Qx5}$W_xuKVVsH1}T$;RM z0vyx8X*HJM)s6spv8Y}i2vK20*R3jM%OwWUx4;;7vVO3B1hhpY zH&~P=bVXDv>KXsLR3!J3*#9V2JAmvF2n0}M<{}ez;+NPQ*r?m?2s(2Ua zWU?sV%A%pF%e&k!mXQ*ouK1kG*3{AKS{}p!gbpy9bY_GdBfdcs;)y3QwC*tm#iCtRmd;HN?c`n^1v27qRYhKI|Reya>Zv9s`k1lEQpE@vd~Gs~scE z==Ud*2^7;4Fpq>T9qrFo#IWt6U*cvyv@o^WE~Fh@3Z|V>YkDVRMDKCt}IuAJ)Lk{4=L+vj|Ihu)|Jp z_Nvz9pdL$YMYtoMecOaz9>x-tD@l>b$Lm3egFn5;zxD%J1rdz6#O4h}Ern2Q zCWB~Gk8@tqm3EXWc>Nn>DE2Nfad(g0CV9|WPL^WLf%CcZ>$?|}JPdYnn)Mnqk&W&r z7J?q(wftFDsR2$QVo6_CEkNTyuM<)0z(~<1;Z#V}hn0OFF`#mV;7rPrM&*=K0iG;| zh(Qo`12z|Ms2w!jRX_p{3LxXK=Y-|kaSc9|c%&`>JBk(ng$C)3!z&+S^-jM9)hJyA zG+2lvJ9Vm?|M32o^WxxI0)rr;tOIZ5j%}UOC`qw%*U?1bs(=b1AIRv{GC>?STLHuc zpsg@H%FxYwUG#Vh#Vh1}GGjIYp4iJ>u}Nic)>(b^uSSO{h$S?p6E@eHKA@ktmdsFU zE$KDm*62Mj9ss2&6FLR~L=A#6`dlgfBrh+v?FheKeFC%+6PsBZN@lMrhRs)j2Bw1s zS16!-HMSix&BD=!8P!VX_lz@d)y8nQl!ng|?lC1K;ny#|Qdf5JXYUAC{FeBHkWJ;P7rQ6HQqz@vJL z^OPOV@w__uaW*Az$IuU-a!+^l>Ke&d$?}kN-ibL!8Prx^eNT0#^<`H7HwoIL1gLa8 zpW;@on^1g6eQWsi4$Bs@8MNL9@z{cl03RHmrs$716vM^IBE<(+&~w!pXE^*3^}Xs+ zO9`@&fKLBh(1D+F;x>VpidgBq=791)oSi5^31!@KJ8^MrxU;-B&sFCGiX&Q2Uu7~M ziw#=gSDDO<{?!{&{XCs#;9*c8)VvH%LG|X{ehD@{I7B+MC#)0g&`sFs z_{vaku^3a&%CO_N?hb|W^c*8`G2rxJ>o}E-3=CZbr z=<@xqLnzEncV_pu`J9v~ry6=U8MYD-k#C$(04ZB|viOSe zHn2Zs4U_f-4EfW5TRN}{7AO=23efPRl0hF^Rtb^uZ*+C+AbZAToZsxsiL*X^cUPj} zFUz?|lzur7GZnX=CK=|r5i=DxyVgk1y0{@pW0HDGJc0*K(0GL!2?==|Rki_-CXb2;VM)L-^hMR5KhpFjeCg z0(_Ju$iY_4{@a+1%CNoaZe>G<5(aHRF0;oyqlN0=fC4BUMcBOSJ!wVfDztcpDb{UB zo|iz~({&QHdtYxoGyq7j?E*qeD0pV&oL)g60Wf^=|L}UKnJS5U(O(U60_tYwi;x5`U&%dY7qw8nI9b0K0(L27K{1NKiG1H zbJXR)b)Tc-(sdRtT+)2{K60CXV@k-rgP`xaki*0LttSc&(v zEVu(rToi4b!-%gMN5RVgF(LX|-!Win7ZA0{lJRp9kPwgqI83DfjyNaux^lnhk|2(q zW%lOU2=!^vGez)gPMSG$iYAa}lSPe_N^-zIlvPQ6#$)M3O1P-U?tRW^80w5*umG+o zy38*@@z1LDG-Ww@52R<)^f%=!I$oO5s2BrMB{D(S__!G69yXyAi90ZLjGYh)L_e=p zW6IrNsGwjBxUe#dEeO4o336;sfapWr`$sZ2)6FZ=K7@TitAhwDAfi@a9oytj72O9l zQbxr7YXZ6sXFsWk7v){PWfIUQ2Y~uR?S%c z07@_aaeA}V=%&`{N8myJMa82%!s;G9(!YCic}ozg!@|3o>*2P>PI=I^i=24nD95hG^yukFJmd{q~$piT;qx zrw)RXsp&s(xT{DpfU7{%Mycg+#5gYfn;Km$IFbllH_e9|nhMa7UmO8H(1FH{Lu9&X zmOD*ox{YJ0gnTZtXJLtu?rMxwj4h(|gf~Q|(+uY?v-#a_^a-!%fwnT6aCkiaC#D3N z`J?EpLRp@<#MR`Eq8aklacq7^gJ^)Ja4HK+X8S16o0-whXN$f9y1XG<9edPJ{1MG# z80rtoMlYc@;Az4Zgc>P-u>~inNYU9fJZI}$q*GCkK$^fZz^AFFhNIcT$xTz&p?HWC z4v%g}WA|m!;OF6e0=&%R4O9(Z-JvReF$V@*j_4Z==y?>e@}{fBEM6WY$^+YZ|1x0M zjr`i|-(%b?=UBAP9bpMK&d2jzSWGQd+=JG68b?N-2rrsvtk>>fQ@4{ zYjar9vJrYGs+&9&B8Z*LhKNuG4y~r`!G z2u2B?gKWFqfyH0Qe{zWd<`re;{{4B-`h+(xk!PtqY(JtzZf7*YMzRZi>i+E>n)y4@ z++o2YH7Ro})*hACCN{A|qcyu6=a&4-R{iGEWHz0lt98pA*#c9}pRE3zf6Xpr8@$4Z zH1SR09FjSQJ(8tsfmLz0^*P_g_soX;5S8giA6sY9474vWUv7F>w3EMH902ko*-CiD zuBch3)h<~!-L6{`FlJN#bzsIYU!37@ZcbQ6islcvcbR<@IwulC9z}m+x^x2R%bH+J zISNj=jHqEByvF692)Ux*-Cz^ikdtZ3DN_?B$Q^Z!bd?AiVXJM?@w|gNQMevt#X*`$ z7z1Na_z8hAV5c~aKLt#fTFTnvRcq`&h?)D`V|SGTtR1=U;D(|idiy}vDgrFjNnPkF zcPuyGXejWwk+Uj^Xrq*r`Q8byF2D*D5b-dL#}gQF$y|&Mm<)eysYYYn5T}Q*9}3M# zt^4i}=+oFdWUOw-OFwsi9xpePTsOONp~h!&@3%PJ!=PPB)J-=yby<6J)Kh`yIwq2}ek`<6H6)HO06$~7`OD>Rq>;&lfiF5F$63O;=*DH`2E4SPA z%`ergyeK<7;j9p-o0%GF9CGq$>=rS?C@?+@h6HA0g^5Eqy1!JBa-haKi5Y%?H@nLa*|?Z_StgY?6DJvW`!X4P2guqa1+ zO`j#0!ZKwSBIwM<-13f`3~Lh&^{#cS>esI z&hW=fI$gN==jWk|!Zi&r3C5vgtE(78^b7!u3#wE|s0K}O5^s6K!}=`b#}_31mU?2# zXt2NfNCplyfmFpTjk*}**0j{=S4q#7cJLkVlKyl~(IE9mBPJR+s3f6HP|A}~h>oNT zBcTRKR1a*6furSnC~-|=Qj)|P?msl3uP3= z_9j`NO}C2FO{R@SpH2%h{1z&A?toDpBXnx3l?Zs++zR#e>H)^~6DkJAh-rj7zY|r5 zX+pqZiX;z#0dNmkiR@u-DpY_iqWR@;+h3J?!`{6wY{@>cb1}(6xfc`R36Y!zxU|t* zEC|UW#S|C7z!nef-VR*G-|M48_JHKiKGr!0PXKQzX#CObwD;b33sFLyp^qM3d6tSM z@BEi~EtEh&qSWQlu8$uH@~Traa#$7S_mptH?eQ{-BNOHez$WErh)l{zXLHlSPBxh8 zCi=VFCN9iBxBX^7Uo*)vm(Dt8tfs{?+zg1@u^)jr6+)lcM@MNODQ<*UO3mt6GZzWl zrEBnII9Q$DPL=+>_^b2Sp98u&LJ%gheCbgk*N;qe2caAWpkl4BOXAA~&z|ySfkj}Q5j~}14}7f62O5zM*VN1fKG7}VRPVX-7alBy zGP2$;k5j%B#hhSk*357E;zbnJ^7D*Z)*jM;@FXW4f_O3odPg=ZPZqZVp@`(;;r-G~u?o8ri0_2n4O-udl=WzgSmKA;JEi}%z-y#HM-Et75N zGV2CBjvwP8@0AyJXB!=DorjiO?;qS@GdycCn3cheeR#G3?-Q_m$1!{to2J5tWq5Wf zI%%dz+~{>w@gTj^taan33VBsPS&}Ajhk$e*Ocz-AA$wAd4jA3al5lR!mhU@~?G}~z zXol&M!s>6ZN$*>*upz>|vdS zBw^p)YFR*9s9LWN%A9a>C#Rm<37A%mCr(c@O6Vl_q%Z}>d_%}^1DKu%VVKK@El@Sd zs^JpDy|obE0%9|)-&Lp^Q;7Ju&BmM zG$2V{jHJ<35ScA>0>$ASM6@V{idDXtz|?$%&+eI^GCu6+Y-*ox8RAoyammd*Ci^K= zccZ=psHENk>N!0b!Wg!iTS8Na2Xwu7B*cxE)@AVc>+hM+98nQYK$7!!qVcZk=h3l; z1^5;qFJ>78Kkq(U1&ZVD=za$peVD*K$VV{Jk&K)esh5a{ZFPlcZAe&DvGo#UsF+>F z@M^M4ZoEF58`jXx@1UP$zs_KtPWmXrg6W?K)j(Tm#Z{Nc+y9us{Ryh}7hMBQ2{G=T zp;&x2xd!UK)}%!w{D1%l)urx_DT%RNWwAwB&~Jg1&4g0vr>i@%dBEp5$+6pn}{1ouNJ=5>nD znO7xrY@59KDVd&T=fGlQZFXca*==Ba3kE!PwCpQi0DRr2xCcQ)!o`iB!AEp#C=?YJ zN?N=qw%C&Q*iIDOE+Dzd*+T4SpMA7mPKiu=c2|EG?qxHW3o+g=2puCnf}!;$6W<-8uhC6K~JLD zve5H15HO?yDPQa&;z#Y-HuHu=R}Zoa!{nvGzn{`6Xd`{9A0^RW7NH0=9HWp=#gp^K z(;A!PD4F`}eh!VxF?rlON0sv}O1;e45l|G1PRF=xDUh?Hr=p`+kEczWbtSN5TGx?xtB%?9U)^t1dO zWV6PW=p$lVA_v{f$;Mj)5i8iD7T=@6v%5B02KAxt&0!B(2c1ijYEL%fXQVpt_OWUdAuhG^xq$ zF>Lb` z=`YhEuoB<$T@Q0>js5*1lqIgmB1ny#U@vcsL_Ut^i3St&$(la?=bJ@HE@Tv#1V)8d zw2#W{z={~%j_SjDu>vZ#N^%rpY#-xU$*MGt>5bi{IF5@^ZrRi@P}R6hly*=+G6S28 zPX+;cip@N3DDJ2*7nG+=xwy>&@j7HOr-Jz@4ug4GuxW zfX;L48Qv`V5D>3mgOPqzs}+(y##&Cr5S_q|fmiA|RE-$g6bqu|48r2~t{GbWn;{_yQTh zy-y}E+%x`0nD8+pg;Nf6B^Q3n^&v+UMY@|{9k-vZFF0-%QI;SD96_>_-tv5VcR>ZA zX6B$0Grpw$?EDIl)oZ4A$TiO$S!LK^>|kMHDX4ihI__C635084^A)8JW}V}QW{wJ3 zQU0I$PGEBSdD+~9ay5$o`AP;}=B=mzH#&<&t|kkV$suYIoKTW6ZcOgB7Zqi%PA~Vq zk@`fg&S+4+t9@WOG@IQ1cXWzC@gzq4)+j+v!b1`eNJJW;GdrEqOz_mo&H%4{I-dI3 zkuHT&Ku^Vo#TL;ED%4OjyY7bSi0_HwSWt|vl63A`JROX3vR_j?fn#5gb0#!Cp z_ktg9Dws$IDl45h&;=*qz(_`C#r03RiO5vh*Ri*oB#aFxEC1?011DVQ?;b@oW#Z-ciAM4xXQ zpQPzVo=a{;tlH! znNoSS3}IS;&=t1GSiup|l?<$$1E6&5_yI|DN4!R*nkoy`)8oTg z!#rBl1xtoFA1kZqy?*BUc4?nB`Rd39b>Qp|WWA@<+l!Y{pU3&A*HCkGHL~(6t0~WmurN?$P2= zAK`d+@|#uZ>3ul8oO*kvz|#k*SBBKJ%v}tIl&8^bu&%1#83|@0{?H7^OAbQX5iPl; znQKMV&~-nbjg!$HTzA_636+)7QH|?s(51p@4mVH#(w4$8FYl1#?8I956El+D5aY3z zczKyR%swwQb;=yCETGG#a=&kA@|11(bJNZhh+XV^MCBQ)t}=>W4EB?4AM>pIL^K%> z259I?BDitmVzU>GD(D}HY+be?K^C0c!4^~vT0f8;Y<71>jPSklZG$Q_w}; zz~5R*2Dx{N^W2!x(xS!6WfAh>ibCc4d5m_-R&6-l*(%xc8O zl_V~T=$rt-z%U;vSC@?~k@v2O$;db{OOT?Cc%+88)fRCBMo3CVIpMW~j?#sBXAT)y z4G|I7#{P`Pt;cg`xPu$2kJxVm`I!PxQ-Dx1& zW)79TPV|)B&?1IpbZsm*quKy}%F5R<5?~~EQ>wChq6ABESlDEn_VjH+Pb+%mEMAdo zMD>lZH<3#8u_s~Tq+(VSCyBKF^R2?isgbpO3(DCXk=}r(^`XyFOF-6Rp_!VB!z6}c z6e}4Q5VHj7S+nBR-THp!V8R-|REy=e=n2L=f%eSk4(Ie-1B-Yr8pXhQW2zbHTKwJ{ zCULCrX;#_%JnBJ;tNr5#rW0_CGZ8b+7;(yv93mDg425uWbb8L)>JDvyD>SxN9tn^` z^=stz9b|Tg?E9U`M`oUN1sA(w$VjKK$y7Mu*ZED2Zr`TYSnHm;y1Lv#r`VqjV zaXguaeH6iJsbv8#MJ1QXSh}i-0jdvG!Q1Ga)+6GIH8l5jPG*;w5!uV>GmGcK>@K7V z_YZ`X0$tborhPgCBKbi-$U-aBMMHc~e0M-D6Rb~7-bdAD4b2oT3AA^r3P!|S%Xb!mYyXj zis&&Q)3-}MxD!{ok2q=#+R3tXa?T@hk5uVTw(u33S5T$>LNihNt@2!!{_s>a#|*n* zRc|A@$m!Cr-dVTJ=8+!{%u~*uk}Qp(vB~U6xe60IB1-r9vb$zB@zY7s6+uWMT}@dc z(It&;?^L$W%9nGKjdH^+8sEjz@Z9i3$6xt0+)%sIk;zwBP&s@z)#pk`a9VpR22$@e zJO{oHvaNH6I0YxMp&5Z2_u3YmkxBH@zXC4|TDO-yeSYe)(dD0w|HCf2wR~Mlub8nd z7_E?`jQ$?C(qV)(^qg@wP0HBq|I4UjKz8`$ru^FJt~r~f9FFB5wwYq%{?g%osW{gz z-rBOLP+v_ljfUPb+$LbKU))dF`HGL!pET=S&qlPTPaN#M@Yi;aGMDh7M2u+rV_1 zTCe&N_8kn2qp`nzF0Nd7^pU6Tu=&5Ema`XDX^vB|@X(#KSG=}oGjN!y|JtTq=MFZ@ zcI+X<_*ZBDW;9@oFlqmh`pPz|X=`L{z+mOLQ8BYWU7>KUKiH#m3#Xpflk&DuyKs}25gQ)Z?Pn;d zMz+(NJ-hv`zm^x{~(p z_ucIW?v`J2i#Rc-^6P(1N7pYikGgPrcUa)q=k`bHMF&K1sRX6$h`8=+apz~x1u?0QFa70nWwSR_X z4h$uhBfZTFN3s#f$Hy+DHF1UmhO#-?R_Q8l=d-I1@*QlzD#TYAF40vuQv$L-7b$Cv z@lNM(be7X!hx=dHe7*D2i{MLKrLx0f`L%z6?Qs9K9i-Uqm`{$cZ!EUnNdI_3_;zGL zpvCDP&tnZXTc7Nxb>4?3C0=J>zz9VJNyEz z!*HSciptS{cJ8h>zZY!AWUt;1VeO4DKVE@7Sa`^PXQgeT(m9^J))`5%@|2h{+?6Xw zRPRYFf(l29CE<|Lx-+lae>`U+i(dY2ArdM_dJ&yF%=qZo?=>zO;cQ=j?Zmt97gfoI zieQQxoXE7{;xR!$C_{>jk?gLOk>WfMIOIRmuQeJkE6(FI#GGT$@yb%WwSQ$yhLBVH#@V#-2Z{L=it$>`dQ;#j3Lo7G1S# zSYxkLhpH)SHpBK?{whmzziF_6NWRzd{x?uJulw7#t(I2q>=65%#A?i7j`VOvQb#*cw{2EBRd*YsNiNo4&{IBrP?9YEMG&8W@UsRpH za`yTbMY}@ii$X4@{BzO1xKbw)AG=QuIZr} zA&`B>sRXWuuyJcSVq4C3{VD^Bkw$Ie7WrK8rcLZF|7A3rMS{{eSZeD9d`f*Xa85w=7-*H8^DVdGzVw?9la7 zhp((mRhpII<=0ju29Q!r_io3Xe0#_y%zz17lPA8>@TWE=VKgLQI2Wn2Tz6}w-F8Xs ztstaWd(2wc^tj5WMjz~gg?h{X3I$^V{0#C+M+>=mxue)!rTE0KVGrx|)Ou&qM1rcv zNyV;gdG072RC=5*R%S|WY)r7=Y?(;PH}dtX!sewNK9v!iYoP(&;e?HI)?8gYwD=`0 z20ng<|McYE$)x#wy%(35G{&^ubUOWfxDoXzt=D4Y+PD3NS?6z|#r=Qat)Kt#@n{;Ryrlz=FEB>duU&vL%WxYCG=MlnL19MLbv}aHLEW#n-XD7ujZeU zA2R0`gEg}mFz>o1mt28MjyirsDd^D|Z?#`?^ zcb>+)SUP`zbFmgGw4l6hpITyb>f!(Nt3Ee0$c$@-{)-8(&Es|4DsOYvZ7O(3+TFT| zG`G%+YQU*5{Lf|zBAFNT=fs>~%Jvf%lVT+I5$c+SS!)9y?tH)H71_5(fBJaj6W&H1%gpn*;(BQIE^Ez3RVdv&FYEviR$DwN8q_JLLerIR9W9y4${V?f9y6zfa0b71 ztaPGFZp`t_oxb=?&Fi*uIBP?=MsIpRn7W^FXlFb+&w={%+M^!_KiJxS&%%}Q{BB*x zo(a_6z4(WZ@XIe5hlUT$oVzD$&m~*0gE{t*xobAgQQcTIl9An&JeGRbZvOmD(u*Dc z`uRkcjbXHhLxs^Ud1D2t#1L~|pylqFi~d!$PG2YQ=qvdW63$(wU*TnQ=#iVI?|n4b&=2*Choys#zYK+#r9uWr2Azw z5OGbmu!LuKs{XD{q&Pd+IV(lb$mk28-$HA+0%WAXRqM-5veWPtoL<*V&PXjUcUuUz zMxpHQB&z!OF7VsWdFj$SA;*+nR$j`=o2&L0X-+2~D{sgw?$5`3<>YP=558OaGH@eZ zECCTE|4l@_J`mt^oT^vt`DC1%X&50_`htVjkErMfy$dYLmhq==odXow+M-zxdiH@##~spfy0sB+3&~@ zx7;E01v|{LI$M}5yoS8EZ6{G;dTV*D|F!f(YuX!ffI4BM=G0ziwE)B3D1YJ;xQYd# z9;)`7{`c|>-py2_l4thVex8c2O2|IWd^NDbOk3}Mb#0|4_VcZS!n;27tQ-?BrRYR$ zu1=uw{!MjYt*TwrPFXr)$fm0-!wnU3Lp5bF@5a{WE5s7;>iL|hOs~AyK`D^}L)86q z<{rF|X?3wFdRRYl;abfsTQS&wM#yQWe!a63AM@}ryZf(RQ+<;T9{ktu zO8L=>UxRi}#lQFPIOH>n7gP}cifr}qV*Aqmsltms1JP1Lr7ElNN#(w2Oro*wUrrS)QK~FL!abCpsr5~ z9WmZI_wb4xO}XjezL^9tpemN)FI0Vg0$d52w@m!9{LTF{mk+(}`1^UaJcI>zvj3iR1-m!+!L9J<=gsNO0CjCf34xRe=Z1D zPQ@xqy}5=yV>m2irV^hfUQe}wJ1F6i%D3TsFDqa6mJUiLlGt_U)R~~bZ}c&wQ7>x^ z0l5{+?0?-fbJ{hc-$$_1gWwP4j+?>1&*)TUayZ@MeXSN2yH{=bndl)2UO)5jnhSwT z2aej*PaNCg_QrlD(pLYJv-oNE`8oRoOp6ztDbq^_eRU!`_Vm@+6+=}1jTOrmef3J5 zhi*GLqFGC{-R1Q8@t*Y7LgmSk?7=P1y{6UfW=921Csv$~-gx*(bN_|IEh)iP2hbKDGG5?zbr=dbUku+{3w}_b$%}%w&UeY5p}-*cJ}|oU^b{nN=D+<6Y_W z^%PH3MHA!HrdKbPx7SzN&RRFaXHl9dMK{11qfXWK=|nV>RR`DwZ%mioF1J5rwEq+{ zNl>U$!bAAl5V69uhckIKQ~8NX{<76f6ok+6;S_qA^Cx!D?rdDdTb%bzP7a-3IWN1^fcsdbAAWW!+)r6GlEb@i^IPYj z8v}9VcSYj##iM_JS|9juozcpi@^L)*eD++Ad2?8?tRv^_7ep=0e$7#)b1V!tzcrw* zPn0T%yKQ9qAMRu`Bo7e3hv_iW*Dl$13yL3DbA4t*{j8~CSNj3QlGpbSV3Fj$$}7J= zx9m)AK6*u}Gb$(66e~COPWz+S);K$ibigj7rJ)IfQHsSa94I+q_=FZA>6v`Bh|{kR zx-efuLW1)mgh*F?E>(&(ver4u%I7W9E=tX%m8u*I_t0PO+=^QBGm>iX+uOY^9Ii4n zds5ESfE6|}_^sGJU=err zNwLP}^YA9|#E#Q<(c|AzvHqX|!4HpED5Y7?Yl3ReAT@AFT@uzShp>FT-p+ zbFRuP^AyX$<>)I<|Lb=8ab@)k_cyMcF*$ec=DpF=(7-r$J8J67(0cc4?x-eIC64_l zJcmR}aZuuAV%?p&=~*Td_KT;x*l4U!CS_Prc`vP2rQ`QTq*ILsN-#sO{SzsJNa&Of z%JmVwlnP>oz>6q_cxlsS+WEZ-E?zq_3wAS1V;U=Pcu19fkAN( zr)I`^Tza>yU;SbKsr(DSk4((pIAq~BMvJ!}^}p~GYq@`W*5xQN|Cd_Cg@ws9^TW%% z^)(g=j|TlFZZJD1eO~ua(&hba5w=3r@{ePOXO_DOaNpdL3Pv5a{u;O`)96Yv{NoD6 zxNf_%oYj=_YC4J)>bL(cD7on0N*Rc!ImdRae0XT_`DfhJtkcVFP9%but_4dQ4xbU$ z8tn$d*IvB?f}eys{XB2HTtii!U7gI`x4a~sYaY(z8C}0J4ch*z7DTc3h-`OQrnK;3 zC=pAw@WV_cZQJu~_3^w1dpHW((`YPBVc}HT_{!n)(~7J-+LBkj zrLh&~W63itotDvF?rCYBZXI}b>bpABZ?8Kr|Dw@YV}HNj`H8gV=8R3rN5*Ii+bWlS z4MeOvvHC;=J45Q5zBC)SlI!AO6aCa^Jva|Q;W^kCDN?BPPVI# z86qsRTS`bdH8z!?*DHB&I68J4EE-)&;{+4+CtGIt>oFwNd%)j=55Cu?2iy)4e~+(E8X2AmG;NKk$1NpvJhLLw;S~a)w!g39x_RP?KLT_l8}??hNMJDn>Q`yu%WL*v^Ih9j0gf75sl;l-h!Iq-yfT6QHo~xT zQ_!*}|2+)%5o_36+AYh&gh7EzCU1XA-20{V8p>UCYGBLn>bk73>AM*cO?tN^aQg1; z>D;lWX-mnjuByzP*SRLKWlF1MA7h!$`sP0|H%;paMx^4><<&0kZjz6W$_&TfZVC$c z{J8bz9!ZzFIrKnWx~x0Dn&uua7qGhR3r%QOrE=!dERK|e92V%omYk`mmW%52stp=m z5HqB|GeJY)`r^T^*iI~h#T1Wb{$Y2S(P+q5?Y|W=VzgQ?`y{7J`G!tmB4$}IHDc8r z7b~zuyswSSvm~p{BRq5KMmkq{;M4qA&d=RdyBaALYsk(CSNm<{HmvR&pe0)3q>H$G zcB}Q^<~5O5uQ{*w`|3fa*1QI|o&6D9TNtdb;)wpmOB_{N(GOMO< zSYHJ`wIcOcsm`L1t4Id+v4Su?>~#WpOQp^#bD~d%^N)ZutFs!C$rKhAE^;CoDs=za zJPBSUQR=pm5)o*=AH`z}&R#B+ziXGc zSiTarq|Dz*ZXeA)cI5M~H$~0X2RPjc{C;FsFE2!B*p9~Q-iwps(H}Lyt?MLOqL69* zgCM?Vh+)+c6QWMI6#g(^uE&rT@pIF**Ien^B1H2$Y zj>da1^(=*?)AhJUG!p@6}>qFJ(Ue*0-(XESiIB5>y={(TVaN~P%ru<0=5&QU~ zd%?pZ4Z)4cbif9GGuc|ECjWam`#xXU9|XQura*%hkqRU~j()h64Z~|8=&6 z@J+0{wHpiLzB|%kLB#vGv#}%~9B2aTmYX}tEF`ceZxiUh3wdnU5S{L+b6>iYg;pP? zj@0SoOod#cjDL7DfzLl+pp(x=mS}#}4lt{K@## zmwQ4a?9NP)gO`a`ovjxF#XQ(Fbw}EG%6zRyAwNYb6%VbiwH6m{EH=rCuXF5X}GL-dIg`=byUCr-t>#-?jDzOIrL z3NDnk;(nRye_#`0s=px4-{5e?g77G1Ma(h=Ms_JBMJ6?`+GLj2_yre03OT9lzVMHm6bkAqj8}gB4*Y>FE z)NwRY+E2r0#78r{->@^`eo17$;CqsB?}Y$#B2}YtB_BN8Rcg9iP!TE43MI?9;v<3X zJm4YnbVlo(CK8iwk;#?+924mJYjXwW4N7lrmobH7 z5T(4^6(q_Or)FwCUu-Wyz4tN_FxCn?u2}g4flVK*+|t3o=cx>4oEahN_Q7U&=_<^& zEmL}R9(Mu;V@#vbETUM~x4c=-nOvE0CKIBI394&`CT{9s19S9>vr-B;x!*qpmIM;tj<|ZMayobF$5tm0 zMd_*tv7#N3AjKMT%@p?$Gu^;9gRD6aXtE`)3s%kW`mWURpRWY55IPDfO2aj;Fr|EI zA{f{#_-++V^#{dqi+Jq$^8Y2z+f{MOsxQ+w_vte*M1~sh+a1z%Nzf(WSt1r2Co9tW zIs;@L`oSk50h5LYE_}JwR&K)xj8uiCk7(3Z?M)-*4GOcKk4UfhwmB>DBrH}pAK^-6 zV&m)Bbe=AnA*(T0L?}nVl)8p%vN}R7v%d;BHeik?(I_`4&8l+@npok1=w7!$mN?7jWCJQEVXpPTT)Y`#+xE1geSa zdmL~5V85W&g1AvPHbFs1rB$j3R9q1eMIj0#sS7Spp@KL<2&oh|+>j_yQ4s|f0z^@a zFicW6G^U7KhziLl5)hCXh=d{g|Azj~|DBVQWaiDA_wIi0d+)xm?A1?wT~`sr?aMxw zyt2cUCY9|-2b;Fd!8lZP8z%SU{LciyeK+y*pJEBAAeQJmGcRz zX_ejW_Rc_yO=Kbfxyx89@y^-S7)+4d>;SRl)SV*PVot`4ko5?6PhFY$!-*1-CfImw zw4Wo)Gp@PgI;gfu`nPBN>u+O5v^chklr0mJ5a*fB<E0&zcznr)L~b&rNEViMkGChl_{LuITYk4CNJm%&O8Fh?yOlCP)D zaiN`#uiQTK=6&lhMc>wRCn9@8-&gep$56XD*@==2IV3&KV1(dT=4=!2xrlmQon)=e zjv$M1521_2266@>UL5J-VNBt*C3y}MGPCoJH|m*b{B04hwQBdZ0~g26%KxO>m7!!2 z_C?7px`cdYmnEk&7|}qMV2We5rP#30WTZd(N}MS;)}Io%@5)H zrM~flZZBCaW9V6x$$1awaj@+dwZk`QqZnxkpB)aBU;JUGU9w#C(YxzbQqNJ@v!X+`yNSNAs}#yPS7inb!r!r5!}dzc?@~tSfVfqbF%0uV{kI+-Ggk zdKR+_7i8HjkYG^)8DCG+C{11FtvkweGeYNEeBNcuuQa&Pn+e+_gXY1eZFs_Z{E(Q; zAR=Hv*3&3%HFA2`Boyym;cE5m6!%>v9}Y2yLAgKj_wNo~N3TENF7Tu)U}@6eGSBSU zKUO9yc+6LWrc6Whlf^mrdMqId`7>-$if&_m7T40OO;AVkl~li-w?N7|Yo=<2z5DxB zFkVfHK~?95w!F%6?EG9AD?6V(91xtOA|)RV@W?@zYSes46TiH%L(mlSqeVEM5b7`O zU-pq?n+BIkOkDlX=1Sc@$Sqfmy!tuIRxb#Z>c^`ZdcwO@A+&9#9cx)?elwcS3D8yUn<-coqC* z5iDnwPwB;2W|IoWC2J7f-ANl8E zH~vqsM}u~1uaXrbjJUo^-tnB}Ls66}yu;AI!C>#-aZ(a5&_(TaRgwLPuOv499E%OY zG45Q`%=jSmjZi-!^yR0yv&Jy{Z+r~gJEOzYs8N3-e7e^14{venmvm>y6FA?X`=xou z%&~jRFXb$lomlbRn)+um{od{oxILaAnR;wmi*DPc5$o6ScRtp6l2Plp>WVGzZ9=+# z_Fufya0U5rRFJW_TD&SpSP;I=a)UF@y10_squI2ia_xuKBaXt$!4FrQjdphZy=;2m zAEFx`*E~b9v+MTARPL4;ZeQ;kEOT&Oa@L|LEMs))H{ ztbp9Ga4X5Fj}~(8kCST+DvJM(Ga=4lgc9!!@gXZrXV$9t=hg(EPomm2rxTem1a>sW zu+hPv)xB8iiDa}@F3I@Zj9;w})Zd?| zWjs?n;ynHJJr}Ds4Z2PhXw_>6BByDWnBz=!7bCnU1#6Jt=)K2Cl=o~!H1@vQoJ(ip ztpU}jkhTtkrHL6y)}wjnCsA4xOF3fK8%H>9xdvN!Yki&sKf0tw*j|a{@+z5%zNxm0 zc>!V9r;81DyULIj;b~@gSlE~5YPvubnw-%ahQa0lU#9*u{~ALuSW>N{MQ9kLmivTx zfnqmFwlC_gt&BL_w{s-7;2tD072bD2q62?g6>wBXa_=miTqH@jW9)a#PpT$#|Z^87N|TW~$wbaRkx$g?q32QR+jurWbXc^^`Z z(x+=!cVim6Wi^(s$y^$5uIu5bTCvzzzj)WQ@k^(XPbC_O+$-|U5gr(gxS#&?Kef~R zF8$R1;u`NoQ_VCUxiq~F71yG**v$e;{V%_h#-(M4Nuu(qwpZs}e_kp!NOms|yzi_F z>hH^R7}3!Ohi8WF+FKW`nEGnM`TmzKp-*!p59YjE3<<}JQ!aLeKN&+Nr<$bG1@dN9 z+2S?3yOWIPsOf@eOGSE6I=d!w%#MHvk8J0>wPMS{06fpHd#7FZOG`*;=R||V(Plc$ z^rd`}WPJVUoW%A>;@HbcGm|r3pX}C+uuV60J$lFRNz>i7$OlIHXNY;^(-TtKbdA3O z?QZYO94xc&v>EE@co!qgc-?hB;=aC6MPUKl>@bz_l*rq8tWV}AL z__%O(OHG>ZF<+*;Z>L4yWGY{j|8kph}E7Oz@^!h7r|gYlel|XNnDu{G76-e=hfbAre2RQCXSihK;+)Smp1&DRaJ=D&Im8EA`X-|y>j6^Ej;vuGKA`DbVBag3`>0PU; zWG+5Q>tyEFDfPh(vkt{7P4jA}Bc8KImRP3D3Q|8O8FC|EX6_W5R0hLzoMR)%AWHr` zPUtweX^UX+Y-0L6ujLmXb%s{e>27T9gxPkHBsntAtpmevlL-{WAQM(mnGy|x$hDD^ z>n^1GXYkr?9w4!@mL3aQv28Dr74M3)@9yhtMdOFiMvk!#f(;niBuYxazf%s8irC5j5Z3fhkht zGgMXKO3f;!w|b_Rfj9Gqo@P2#8A(_WPRcn-kaxR7WO=|)!fJdZ%bWeG_Ezv?l?#cQ z2t^y(y`nt5`rZ-3c#JR|Hap4;sQQTi&OfRnAz{ROp^vvBM}*>i6IA`DzWK)X%EH2p z?EH`@*ybM8ut$E%_xuHQG9J2djPsaH8tcz7=TKd%YfACPb-Jcd@HB%+9ET%T5 zHtN%E4;KkkQCfh@T0r5#Ej%Hd|R&7DV z(+#G>3w}MCw@9vE^@uU8M1wGe{=)f9!mk2+#FI``6@bl4bKd$Da?r6C7bdF1M%59TyiCR`$%9FQoFVBfOe>}`D!s7Mg z!($Vk{x9j!S!UN5`zQWQf}1fuaCDL=-?lmycD2N=E}iQ#V$z9dvDXbpeES93p4;XF z=M=KY=f{m0VtHoN^i1My(R7_UEii)mnba9v=BC{qX0Fs2@63Bx!KxUimdC!gsXG?M zkl46Ji~CkD_lmd+8?7}L5@Rk8Sn8^i58U@Ct2p}VkGQg2qr;j>PZci%GOx{W6Tfb` zlSsDlGQNySx|_VkHz`Is@N%l=Wf3!sP=Cx|*+zamW|t~=sNbJkitF_-Z(wtJz;$)S z?L`kp;49=ayI$|nO`0WvLx%I~PFFkfV$M|`W7C9I>;Cw zE03WNI6)n#&=ggDHd6IM6J_a9wgUt2S!|%Y!sYVCy3;}zFdqbD1 ztXV%?%&3UzCo8g&gWc~6JKtRU{YY8ex!-x{?Wd+W2~VR=)g->U5H*r5o{aaWY{?W> zBw(XS?#<9%?Z3VE6Tkj?n^k@!_0{jzK*sJDN1>Zt(af z*}V#aG_f=)2Lm}_)QhI;-Wp`$G zEk8t+@Z-+zwWcl1m8zJCFlmHi4Ex@#$>l+0etxWwfO9g(wg?!G?l6C zI*XXr?sh-A?yR`9H~f1;*yDyIJU-j`SfX(En7xZuC2gpR|MGB~{=jMS;?F*2iBy@-_xsRKL8P|8^)ju2VZ>61!>p7)=+*9(uPx*|@8Y@S4$TS&g>W%FxVU-x`$e(u^VbA1 z97?Y(9Q$#vcbV<%uG+lC+m-q8`kVW(ngRWISLTC|=uXaAf$iIT{5mP85R7FyXlSt-xIj>C9b>hWFYXuc;6a z>*njG-G>#j-MS`0XLodL=_DV15GfbOerX-2Dk?OqPqBJ_`222(WSyUnKADbp=np6A z^KM(pSM#|vbz5oMj}c4e#%Xd`O10wmgEIVUVq|nBJzrE%f09}5>SD_#YUtvQf_C+i zu3~py(wzd9k3uoHoMia(xDVEM+-ZhfO79N|E4z)NO!}Oi#SzoFvtFHt4dX?;ck`Bh zy4&hqS6VB0)Ee-WOuuvWwVs!NZu2+&TB?Xmk;(U%W18nyrzc41hjm<9bA?)X^U#s6 z@&CTEk;mgm3j}N&WMOM0ht)@PTd&BJS{|ZHT3b-=JO6G8S5?{y&K>-o-AbQVdd6%}}=;zNu}lP5fV$yU(wD8JE#78las2n8D=wPnYB=RaJkWt9S;gVPq$B zg%O>m=xrScSEq=6?}B%HB$OU(!&M}evCnC27Rlw_`U zpu@sXyBwen`HAPWh?#k2e~zUK&+RI!N%_*INb)yx#x;x%_hY4|MaUb1>Mr0knqYUC zDb14Y)h(B4vV;?qa_7IpKIFK?i1HJJvS_|63GaUYaY~a&@Z6##W7FEd8jDZjTlnT9 z%rS(H`*EbIS5u{=^^==CtD=ZUFP>aFJ6sf_rx_i$$p-#)-N`fFwm7IKMHMIcemdNs zsVw~5Cb3_ce+Tbs_JY8T$s}XYJ(|!md-C~I4hMY$CP19 zKh9mftY2g@4?L#Ta%ELbW$NwAYN-adXSz9CmaxiR8=E7TD+~FzrgAzCih|7sU3q(V z<%YTUU4-FS6=B9jgj-OODJ`YPR;9U~zo5X=Q~ztJt~gf1VCK|0Bv1bB$>&5)6CAu% zO{#^V5@m&nJ22~P-W+!^S@KGS774V;-L2a@=XeWxfy&kK8r+>tONP>k{wL=N;j+q@eQ&Rre<@ ziOQOvRgs#ZICHmik}vJwpLF^(I2~7d4wC1s52ZeIl92 zLy|>QZP~`*rb*|7Pm;Bof@8+yo=lAr2w5+}>Q0T@+@DlDt9qH{!Hfn)aN4~vY28g? zjI6HXkBcSMY)vySnd?JA5aWI3xFvb=41#e0Z4lt9^Yalgz z2JF?`k&^h7!&p${Nw2G|jGPv%DW1|DC2cXVyO)s(qC&FZu2j}_guiNKQFp;i_QXXD z4k5s=b?INm2q;Ctu?c*S_rYuvuA=U_xZ#RdkDoa?>~XMP<8D$cxRev$Az-B-J@Rpj zQyE#kM`V_*Y~?hWMvJt`mNk498pBgkGM1|@(3AAXxZCxH#TN*Sj1G=9XI!2&Q%@ze zgwHw~tT@%}*%NhHLEEsM9@At_pJg_$K5TzhU76LbkfdjM7ceVNcxW?AJHBcokKnTu zYr`J*NaL`)ifwHKi=!xwQjYI3nIpGQvbj-{czDd()#q20O7FoQ6P;fxQF?1`6t?bG z`p@Y|R!&1o7~4RX1u5xnTXa?Cm=PbRJ)sP{E&TMLt7ViXdg~uL^`z+~qPT*+tL|2B zNGlgVYI{9lg-D46#a*=Xy`cgV8%|}}fYuhzH^>Cb3wb}{{xXY6i8nhn^AN(|DbiUi z-K53hP(tAri$Sb6KK7x$96-AtMDqv=uVL5rO;2ZEoMaBi^>O!na>>T|yJHMz2}=%= zC2Y|0igX@QiMvSI6dNnwTS3Nt#e>T9ZF74#RiTHq9bAF5=}vfZlZXs)Y94rTGUXwM z;=tw@W4@)b8{sl$Et7LLEDorqCZJ^Eixmd7X}o9SwoRl^Sk7m6o0OKLSh<;6qU`t7 zn0*NozH4+iDK(;ZUiY4Q)zSEMo4(eEToHCLemc`*-T{ns|=Ax9EIT`lJr5fM~HTQx1IWL-1|o*+3!lJ3VSc$e2L7bn;DMZ z$Xd}>DwRL7nci4S=jO@r)@1&)S}gX`T7}t7bufs8dFv^-*gTOJBXsh?BZb+=`&oP4 zZKD3nrXGyrJexGak^QCJ4rk+gSM@7DnFu`9BynE6ZEwZDf1^~vp1=)L32ygvL$}9( zR&hc}B1O}hsH|I)B(&sK^%F9U=LvC3Q-aC7!Pnw0U&mx+xv&Enb)!rpLPH0G7Nw4> zJ2#TT=VJ-BOX9^>i-U|SH|%lgn`il-IqcdrR@;w1De>I#;Sx!mi#tXbNGRhts!p<7 z=s~_cbB{xI2Ib%>?X~3>TEwoIRI2F%uY^pSDGVD3zosu8+wI~YcvW9~SrpY5o1TRw z^9OdAD`{$ack#50yFz{|%6!9@@H9K`RNx%bHPsFpv`?GPegIR;8Raa$|2?2y298O@ zEKOLHe9tXEHmt7zYj_3*%K*Z}eYN%KwCh`P`S_c<^Ig)UlO`3qiFNY?7AqEheqhiJ zhW$~PO6h4ggjG1MimR19{bd<P%SK=%#m$8L%XsUkIkb$vw zTGW+lY}~VUI@)`6zd42<49CGZ3Dl;Nn|#csP+V>IgKPx5l37J1vy!EnB+AIZm3mH? zqHV?sgSe}dq`C=LL0wLFMf^Mu(nCns1+d{1P|fz+({5j;dpxeNo#6*mPK^e zG!GTu@>eR&$I{8(0;*kk*!hTgj&0P->rN+#ji{tTH}^(z#quN; zvLms!X9=q&xcBcl8uKPuYZXk_MgGA?%`;pQs*{D+OXfV*Q`v`ut3%7g=f@@^wQ{L# z=(Xsl2mRYn^IFDCOYyK|*dHsy1~k!LhF3`ffWdTJ<%xJt60hXooW>DKEi3`KV~AR! zaOYPY!s)lZ5B}KMtu5o)AN3GMX;GrEH@^48Cgk(l?ANElMMe})DLjd_`WmYwml+0O zN~6}7ipkMPzhW(xP5*{T>fWtq+PIRc5<1b|pH-Q6yt~^bTd9)2aC6G&z7b$pQNF5r z0IjmiAW!-Iw2H(uCeu4#lAZ%hBJM5F7$l}=6^eI1E0DZ{1OENxihh0cG9_zHR;kKR zGDk!&K0f}WrzvfqR<~d}+L`Fnaxy5vE1;Zfj zo51cAGh~*k;E4v|uEJ{A)kBknvrxMhU5Z)2>}lxeGMmjuM3y$}My3P8m8W@vIL27l zUS^o?PbW;#j}mX_Tmv?_-!@gAe}rkZ+${?R%_yw1Nqs<;@ypje<<&-TI4_Q~P~YAI z6xJE&^<{b}Icu8k(E6lG{^c^g`bLsg&{i?~JETp|6icSFMBnvGmD+@kb#}wK{<${o*n@g(Qd_R&MwH;+nJOb%{gpjt6$}%~ zfCq`c$Q8v?V}x^3TFSmEW@U%QAmQ?@4(!e_fAiU4GzqHhWUQ>7v3oWm&%@N?(7vLZ z7g?y@fh4CPsESmNI@&k&E5a5|Cux#k-<=8v&pj-m#|c(msu)N@BCpt+cT7bPUeAmt z7fmtXk#gbA+yDu}k>br1O4hZQeAFr(_f&MxHuLI`pYDoe0^NvJ#rY#+uZ~?7V|&RNZ#2Hga2Bc4()@4%zDEmhR*)KK>}!+<}Z%U#@QsY)DM`Q?%mfr_1)14}19ej-hIvu{iahu1nX zQZ34Q_&>IaRPF3c|3z#kLJ(X7iOvdP^(;YHgqOWG{L&qIQq{d~^T^jddOcCD5c8ud zS6{zD(gXCK63p)JydBstu0MD%_c=8hYc=c^iEczli$TE0$jlPWJE7RDzjZq~WALOu zhJ$9VzqAPJeza9XrFp)=Oc{)w)Nom4QTeCwT^6CVD^ItsIN0}KLg;XVxB$+3eB0<- z7pnSdc=PuQ9;_UBjI*(p3$ho7E)a)JYFHUeP~gYD^d*(v(iFksxaE~SMAJo`@9Hzm zvs;Dbrm>62sg1E_hVwG^zprTzY)}=IbwrZ>i!g0~9_>ljn%BxqwuK0z)o3a5A81Dn zIHF>b^&xbrr&2m_zG}iVNHw2Tdu_HT!|VUzF#H(}CG<%ZGWEmGC~Bv)`cQP;g)J)G z|D9#GF>m}|;Vo1M0lvC}9O{VbbR(56$^zoO**D@yp|o23Qxgq759*nv4i>sczRKjFiE4jOKhd9JgkRpljb0G31C=>wo6LR#8nL zp^Z1kkW~gLqOqGE&!8>wN^Hl;OH_eLDt19;U6mLqd*Z$>KQ7aSXk$ABDe7bf|38E zd^aKrCB_U_Wb}A{T=}!N!ZiCh_jY2`zPzc!Vrw)6)#l&??dK|CUF1=Cjj|=0Fd0X> z&GrpOPzmy8#JAqCQ1j`}<47bZ096jGUlFHNALQBW;kU(Yf8=s!<*V#$5PKbqV<%1+ z!B9enluCnf=M>t3&nG_qkn13|w_BbpKmY6J?z}}VzLw3vAw1xF;~5!$Dup046`6PP zOa)lgY)oV4ywuw|MzpP-0d*)uHSX=yunqGAe|{~}9+mi5;i6RO;iigc1^jh8L4U19 z3q2ny_M`|u<1@WNY4IY zSA5Cm>PCbnvi!1$Z**hJijcub=r9VOK^Xwm<%uY`hxBxU4Z{Qsn7iK}dI*5gar5j# zwoHSLa>$yc3;+P(jYvLD1(1zH6;3(6R1k~=z=X49e6IU8*aNCm;+Lj<)A7%r2bVIT zdA71Y&t}qhkFmhsO{4!e43SJ@{od|J!oILLPUqN>Yx2US{gwLFbAEec^Al_&>OElH z>shzdj`{7pPz~#TbYjh}np0PX(X*(*Lc-8Cad*Vt>=P)U#h9?q^PNG6@~V3tO~H|Y z4+SJ@NvPlbN3|yg=0N81hkRuRg-~qi6AEFsM3a5|R0CiDxmTe%^|vPlU?r$~LJvO@ zsQzBXdqn#GP+QYSdiYTY&B`3Ohy8Sdq9LLtKR_=ORI`)`KiDY#$sdJML})U_w!`lI z@ymQGuc+Sl6=Lul>=`sz8N^Cj+(jc=MZ0KK&DQol`FbNL1^}n}3TI92QOZVE{Zq5Y z;*?6wf^jRAkLLC9;WTlj3hFwa{GV^f06`{svr*Cs*)?kN7X}7QW3e4$eT5Rg4r@oq zT?{2Yb8{s?TJrGQH3|@ovjU$vU{?NUI|8)~_W=#oZqz5Ul`4u`&j6f2HUR?$$RzU@ zDma8e+`Av=09T5kA{)2L0bo?I#R<@=B&fj(9N``fuyz}Wqt@sg2CefXdGUZ=sQGb6 z$W-SpOSmQPCwIprqT>nfDKIAebRvoA&4y-BO$Z%ftET+3pETmZyv~;jVSoSs&V1l| zkFQ>a%&;F}KYnDAL5ZJobK<}*P*gi(jPn-FkB%sIW7kK&@KjUmYcj1V$G_c|EWgU3 zE+>Xv9+vvv+OEqm7y}hz3*AtUpS|`t{r%v53IRrf3)k~Xsa=dmK?c;25`VO&k9eE} zw*bcgTO~*yU~0fOfBV;!Bnaa11eq_4^@F` zHRJsN2-aa6K5O3;LQN(kvg4SQ@+_XY=J`Zi3wEJd;$h!h6sRIJgC{HGn2= zPIBs}8jZ@@9RMj8Q8{2%8l-YY5tNb~G{N&qW$T2AS33*-NtI9g(6(Aq04~jA;pKJnw1t?6+j&SII?Ie0U+f%0cTS1zT)qp zA_T~k1`KEGSix-%_|Ot?4Jkc=DIsr}`w*F+nE7V}#o^gPve_4m z7hv60qZZ|lLX!;ehg3Tu>peS#;(n<#vZpTHn?MuA_l??&_b#S$Af*>6 z@pXq@h!^D!AC8I%t;-{?Z)zs+=<{Y}7p1v;W^MX&N&hLyp!02p?)Q+HO!Cy~basIQ z^HHKx^Td)T_;(A>U3;Y)Wl%?sA={2liYND2k+-*;J|rhn)CC~zvKI2wcd13=nt>U9 zI91!BYgAGa_vo~;#d@a^Qw09XRFhn`Nol|+Cv6{0AgW+in1 z0R_TL@5M% z)>kr>sLxNXf3s=dw(FbiL!Y)SY2)8~8qdn_TFLSaB6*MR?O2*RZxna@@zJcU9=~<* zp8c=i=0~rnDQ_PI&3Td+ye~=k$tc+?Lx<$mIMf(#WmEuoss+>&K$Aix^Vt~?&g2n)vlKNz@Jb-73)yG=IN-_yd zyCIpz7F*R9Y_R+6i6EG1xGzER#iVuXA;QN1wia7xKpz1NqoPoy;S@|X4;7W46l%>2NI_AdJ!bH0;%_qxq> z`){s9KN<7K?a5_>k4GEOi;B;i2fU6O?@b>ACSNm89C?yD^yh|rm$)C=O~3TNwj7e3 z{cZF8DI|xl?z(k`h`4&@ub&h(DY=O0=#L~D#5(i@EHi{=B@VD2{u|n?sT3drMVmpO z6es2-&+F-%}&i^$x zggHQ~70Je1d<1(G2onA=yFLt><~&YP%LWaHxk+)ijlJBQB)EqB2gww}g5Z=BeDL=< zp8%(96qA1P2SEf>{fPzyuEh7s0P-NLrUBU~Nyp>o=QObS;k(M0KL#^@lw+igrq-b4o<_@>( zJH{?$IaZHZzV+Iu-oiqQasHm5)#EL!Vt%IBDjTd$0Th0-mHj(p;1VF2#ee?gVHI~W zEAfK_L{xrO;p0RHLoQh52g(i*0iY#_7>0G6hDEd_D}|%E);2b(TCj-9o)$ApH=7Sc z2u+x*Mk&!jw%h~i1uF{%h_r2{0Ysp{GX{7Yr2-8MZ|fUYDkF^h&w=N&l|tY#k~aaV zt1-GEAn9E;Q|Vu+EWeSU&oQO4nFo}TLcuWFp0GeWn}0h%@~0u8no&7I{uI-PqsPgL zf}eAti>ioMw)L5=iLfLd%N%t;-1oA$CG;rsu;1Z5pC;csc3l+wX>U~oZPU}==5$Ve zGA2KXu{Pyk+1cHHE@I=!^3bggXd8OU1w@-2(1J&Wv?JdBdePn|8cirq!|fF~^FLkC zH_(hLuY@V2!1+vq6d{9)hfpc*<6DObGScffW@R%&Jp#pQ%z|1H6NYXW1cFS81t)Jv zleP3xLlOnRp;YRV0b-CR1JfLM@NIy&Ge8SY5e!I)_bGr&*hq>#wtgpo35unl?_64D z@gz`vh{YdZL14viPAPm^`v znW_Mi<6A}0x%+?49KmAe4&3NyZX00we<&aG{@#Jn-sDq(8C z1YHgKgfuwUYzWv_aKbcK)ea^=IsDA!{ zFpDjB9aC;!8!+pX_vqiaR?$Nr)_bw=-iK$tw-|R#?_2q41<&pJ!$1|uX@QM@Yxq{b zxVf1CNzAbtWkXWpVgX^`?v-xbInXLfX-4_VA#!c00UMeel%`k(HkcS;MF4wv9IR}> znbl_Gz-4^K7U!RntY`w$!zyyDAhjayWAxg0DLpDO`SPe{6qtidHD8%ae2h<0;?Rh3 zE4(~&kW5xP4XFkS@pk(2JRQL_X4jvAhX5b%R@j02)XcL3dCLI@gVWPn0`?~~-|7OK zU=Vx$@NmBH7k-xR7M-2ctXbhjJx9|2ltyU7PgfV(3q@e~RtxXh=ea%e)|gjpL(X&Z`!t9?#uebmW#`pL_eJ0~a^` zJ#Ru}7NZyoKY99g?!?5=)5h&@^|5t));JWUC9m_j?(yuK&6Fb5 zLX_$j?vEX}|Kd?;`DTW={$u>ln|UvP&9#5x;;!mCwNXV3^Z^dgwpmu=)(W;Z02}zB z)<}WM4+c8sqZzzZa!9AaRX`QEurd`Wd_It155=~^AD*=q?NL5h^8j5$GYT5Tto8@; zaT2&?YAT3jl{!N$gP*`q89+@D7O?EWHW;TYLOZ|218P-P-}r^6iu4W8e7J@}eKIL- zoboj=S#?tHH82=daW|ATvlU#hi~9W8vJ;tkQPyb2K+_N3PTi^0EC#O!((MiV>(}gD zap~VPcE71E`}or-9hq5`c6clVw;WH59{r@t(kuJxOJ}m_?!c3qEtei|3<;qfv~=}7 z_iu|h>~5Gh>Qv~j)GhP*9nQRu?z_(%T37a0&&f2NoYyv@zdd{T$7V4>z0O=VOLjkH zM)t#%cf;2-mKkIroUR=IT-ZeA$Wi&6(q_gUOYfU_wk&VHYgEz-=gZHHSL#zhBqaP4 zRs$w9%y?OxFe}W7y)3J~qEa*v!>Hgn(eA2?lpuX^Pvw7l69b??ND97`1PiQ2cqk6D z!rdR}4@zcn-d{2+JSime-t+&$3J}+j&5((}SGs3{5Ly4vR&Id?EF+l2`neO5pf2~) zu`i&VdtP(*`-0?B1&NX@@N2*uYSyIm;17l^1(OtmiMi!o&`}aiSus+?Q8m*J*%F{q zbnZ5EC3=4;RW!G)o@r1$PG%3Rmq}n`>L;MS!=PiR#O9ll2?-Gdr0uhZCR$OAeevXb zCX&Wxj&%d8mW?W@0s9s@TdC~sbfEfa@Q@;5j3$WCeLs8?gMzyd|2-%my!Vh>D5z z$xb3Go*)bW)`OKJ*r?TL2igxFlHnNIXh6bo=T~4%lMs7S5-n$B06M5kWq8`xGh`=- zy$mp}ai392YfFH~w-82@@i%J&&|Ist?=y5&N!D$NrjS5?2s0Q)Fs%LoRF`}VYq^Pt z*Z>3W%~HVKyMTKKC)1ORXM9v7JLUIE01we4&8~o3)Sj2l&cD{|>iN%_6>C0;bwBMV zdYGizVU{jeb1>w8{v7BCN={2PG=s4utOpW+aM>qS+!D=WLraaDFQKu@;2DN-wfNPM z){$#A28aomU;L)mZYdBQY~vkENj|Q!(iy_hIj^5h?!COLWF~UU-35seeYkntOi<$7 ze~hD@m)c~fvC^_{jRv}sn5(neY%WuXL?EARKHzTR=)LLL3j-t-j!&iN=OwW`=VN#R zm9^0OZiU^&`7{-+!_LaZ}{b74H1E80o!s=R`cgwatNT#&p`|s*y zKF~*?0`NP2@GiK_27IgF*B%l86X`vV+yia^Ou!XB0xB?gIu7^iOt+LG$d2m2zj+%1 zSzA&H!d|zHah?ty1bMuu-Sa!{{|d2P zvgK*=#ORO*uu=(}HYt+9v-d=ll-Jl#F%yW8`_4B+3CbxFn@v*&TF%Tb&O#Lxv1?}h z+tB_e?f&FMv!R*iyb!{m6@&M!lnn(7wn7aI^dZX-1L(Cxdk=90;T;Yr0UsY~0f0a* zgPcNw!BgS^(2co1?D3vLaL5cR{HH!Xe9?4mqXotxyes)6=^j;(;LJav^lVAQ24T z>jk1HbJ2I2)$Q@-swGqI6tVFwR!cy8?KjwXU1Fb0LJ*E-$%ZpN$;>aUC;s^>*KA|| zTZPQVca6Ve4uVeNkYtjUV*Il;m!Hap1aq zx6`g$0qA}dbW_YyC1}Q5>3yh3+_#NGvB#Th7&R90$}MJ4h*tNpRqS$@;T}wn{KrY!Z1@31vh#OX zMmgi@wvS^lU@NL^KMhD3G<{MW$VQlbWLsShHl~{Xgu*`lxC-1>)%g4V$BuQKO~9Mg z`Ap)gp_G%k z9&*I=z-!n_>J>-7@q3VW=)cpXbu|o?*7~2pKY%_x|eP5=o{u$?6PF|1O&N5 z_I-1-5(uY&l(zXUpXlw-H|z+sAq;oALzLlyX#30k`4d`7?1=%!4pN5c%|n=|v4GnM z($UZ|7;6nBTEPJYP-Z1VD6QgL>rap;2;1rc04V`jsiQ?SxPl9!n-U9uA zaFWzVz<&yES`DNBnge727Wv8GPyF|s)l&UW@et~UA-BV-hjccVtfC*vyMTdlr)+{G zO!cw5yI>cfi03rI8w3-~_l<<;mWmn&nukKvV9EtxEfNr&r^F-RF8CRy%NuR~%83R) zLq^WZHefBy1q%z6IIzKM=?p2yz~^HsCAHsG1nLM1);!|ln7=-@gju$A_uknTd_26! zBGG03(Yj1LG0&A^by>9UfAw~QnmuT`VdS@G91h3e_maoC%ykP=|M0hOwC70sONTi6 zBJ(Qh%iN!~5*Jk6G!gsHj`6_=W>`9z6hq*0Pu)zLg%h~gi-q-6uw;eZ@UMH?0v7!` zDoX3I|7x3AE_RI0U+D%hE+oqpYr+)Sy2#lMO@((YG0H#mPsb>6>S-<4^q%a^sOi^4p~m z6I;gje^KXr3-KTX?~U=Jlz5)~LSQRIk>+Ef$K26PbjJkg&OEewg@b*pxN;32#t7|# zkf{bagmPNU^?C4=&fRc^|Lbten zOeWw3Y`VCO3<3rLTk&*%MuD8dGu~YK>95y6`hrx-&)(}X;d}PhQJs=ME5CW5z0SG# z{+delyzVzpV!N2$j}a_Bds85ea59~SMKTC)vMn3nAvXWD(uLNV-m)r2Cf{7O@a?~w z|9I#251fdKVosB^Hv2r(@%WhR-xsa@pmw}5FMvNhFy;3H1fjB9=ueZ`MQt8s9UBbT zXdNYdORVz3+FiBnA(;LCuMADq1zT+u{gLEHYwwu41|jR)s*?lT zkJzZTSZ8y+)*HH50{54qgkmgjf$SP>1&M-AbtMJCvs#NT5?tfUv#AuD}B9Jw#j5EDpAL%!QqNu==Z zMR1?b*C4KjAn1P}0^$jglR+r(<1*NnA8rC+wNeu7!29L06^K;&uo6g0f0b8nGNeqlmdvKe+DVC*#-NG0&vcd z3mYOZ;3u7J_?zR5GEI$6_3nz(rS7%M{glWy@h*vO3v``9P}JVJmu(w0uEtaTbg&|N z8S5W&QkN%e`&RR_pLI0&u#~7jKWVn^ZCT)!-9UQHJiN>FsRt&=GWo3@!rga9u0P+L zJ{2vAnh-M8;_@Y%`Hk0A*MqQYqWt1rsq~e76?bg&ERXvou(I0G_7hN!$GT^eqClcN zyY^1;u${Y9^C~@_w%tOkK!xK%BI~Ejc|X3g!35uCf%%5XAhfCAAq+Q$*tM>5n)=~(n%jNjuA^_{n(|&*Z2%y$35VN_ z7O%=xhBnQ;vbelV_Eb6`eb8?AM~!dgPtJHVtBu}yqE}yP^!H+0Q~ACE9hvjoLMrKx zFjEG4=tq`Ap__R9x&=mO#sGZ45*Oql$sZe;L*5`O@^ry<9c^I^>8U=p0s(!3i35-Swi+LLw;@ilU2pCOE31teCxP_`PY!nBGmPzz zO9O@oh5&~Cf6ykF%Nmy9CuCEgA$Eka>9U+10HlMT=r1yiD_jQ70puqjQxVSGa1^$Y zVF-VaM3VZdBucR2cr;9dAzH#Z>&`^}_#I4IZB2R=UPT54f^tL9ySr7Han zUdc_}JFVyt>*(S4mWLkj)I7HFa1QgY++Rl>F0s9{8)aUKM3`^(?|i>*Idmt1gEr6C zWxzUuu+6IdVlSU$*Dm40M|?8x^L5V(YSZ<7+mBvq~SCF2QX)FqS{!A zqLu;*L->pVnWO=J{w?nMdD{G1g+B!ui&OZ#=C$uK2Bo*)pLf3WK+Jh31 z`8iO4m}AM8K>1~Nep{|J_G;zJbs7Pr6Ot-NvwvV<2c5x0Zr(dvRKJF=cSHFD>v#F` zamQSZY@b@A&`8yh#%U;azMAJ+p3o^(Z;GgL?stS7GC%4XIMwz%jOXm*Nl>ynTbMgR znqMId);kLqLIZHy>g6QT893AN4nW0J=GP=x|AwJ7;6H8ZA5pi$*bOTN81Az>Y8Xf% zcwPgb0GBM=5amJO8f8eQ3>yNVID>fP+eHohK6oOyekc>bs*;BM%3#R!VL8(C?)9J!M zUy{Yf6b#G2pkzoT(#Fl!qFO;E!JhqH!z$Bmg!6xn-c_DmNbjFBFNKeEiGF zq&H>3nd^RT?THyQm{UXYA|W4d9L6<1ck^Mx=D&>Y0o}6-r_oi3T4z-1#-e|0}+sj7D%h>x5pu6Z3-~Mn`E19^sB%C2>|83Y|Q-3Kf zyZ41j5G-enYqqiX`WYY;Fr?y^HmH;mcrP$2y*%tMV^CUm1>yqj-Ha))SlI_J zkZ5npk<~LkAJ7YWJe^BBOTt2?PWQ277LY2Ol!cU~#K84Aa2o?mu}= z?U_^-vAi~k0+ohYef@Ovp2KhVJ-3Eg(`-<|h$G%7(1ImvHGwv;WF=Bnv(cx?c)Fiw zqHzhtNUb7ESet_70g%_CT-Qh;^pu5Srp{PR#IcmL1(>kpN{WS4FOtXHOIQpuMf7hQ zYni-)e(4JNiC#X{Abv{TXFt;91jN7*@o`GwuhVuIV&}@^zh2BJoV%u%5?UH;8Xs=1 zpHIP*7&gTHA1Ne!;~FglwgYw)46A3#nG5hL!=+yUz$(mzA;W=~x9U^lguhkJu(<&S zE;5)$$SFH#)X#f5SdyxIO;KR0IEli=Xx+ z6F+S*orVa0(0J~;`JO_QAlfRO-&-F!9C(UEQZ9-dinFmE!;KWfvrT`3vypDy7Op1R zD4nz$d(&}hhBGOsVAt+U_zV~djvV=^bFp)adY=T&(H?YgBs6LfBG0$7{bn1j!G!Hs zU^&*ybIrk5fjp=E!~vd{aX6H0eDFCkxB+a1L?c9|D;sBK^r*KU(R^0N92eQ0gHvYwsnb@+(tX#*fo%xt>R;&ca zBkBzH0;0yw@!>xnTB2;shFOtN`xpkxML;VZgHB5W!WILLjzBrVdw}|Y|Ebx27yczk z*Jbj_s6>OvftC7k=@+1eWk_fm;2}U=nhm4VJYruYA5%Pw?uD!#>a5wqjDijbwk)_V z;s1r^_$R162Qsodl7aW$fp$iwy;3T(gNJpRLNEkx`O16U(Mk3Qvtts%;|*WY1wmn- zl?hBd4<16INVhUXaLrRNscg3*kyUwkmOYNh)IqW#vq@rMdKSd8 z+T#)}N21VH#S5au>>1Hy{xPK~42TBP9y+8Ez_I}hkb*3M%mIm-!O{@w6?Mzt3sUtT z1g=$RuN-{Gj|&|#xPBOR#T2-^1|A2GcmactLYNH6z6Q(0+1Zk(v6SJ}plgJ**g%`Y z7H77p8mnpy28;)j^TRClkM=O+F<|;gPp|)<(SVjFRp1QFs9HF~;Au#tI*w4CHzrh? z_&I`N+cHZ|v{MP^J>eB>Lwcal1dhZTRW0|8;&nxE`Kf1L^;}1(}kk zTX_(!JdN-0-Hv?!dJsY#7-pA8PM?CuEm{f&K>`&m0g5+xPJ^OvC>?BvfNVLqyx1_B zFD;IE&Nf(9@saUk(*df>Yf`;Gx#GYDh_Y&gTerDtVC`vS&lqTxhQ!pv*MM3Y65VSP zevqetyg^Dx@!`{mCz>0(YWP%2y0-@A2>?(>FmMAA8dx#l+$I<#Ja8FcLij1f=vNND zfTw_YMIrJt_?mP`#EYjGUZk*sX*1jh)yBfVOoLrA{0>akXIBzPCcv9=e;~rJzCpMI zGb|+y9D`v4ar0w4M7@wy{4j75Fxx+1M1#d5;e;bxLIB43aGU?}iG~}o(_GkL9g1;oq6q3AgUXfwPz$aH^!#%)cBA|K6Ti- z85-bYHlOJE3h4OKA2bU){RSZ#s)91quhux6AkDM|06bv5|Jk;*v+rXL# zMMVuF9Ol-*b&)bF9}X-8n5zuX)>0_A>LLS+%!l(80K*x^9fHu1!eST+(xQ`-c=eOz zRZl|iZJ+x1m|+50jizyiIY^EjG3*8cAs86$Xqdob+3?8>rbd}(kjwG$oNNEvcJPo5 zF<{exE6#we3a^qVr|Cdq@V_(`<+#O>eaB!iVEP$9CWC`W8u}rj&z(Z zpF-Wnn+!V!zlVMbH63}nSy`s5VY$HoyPNiw;|3>*@%ze+lG^lT>^q3yvG?$d{zzo= zB`Y(dqXPaHxW``>wsvFw+t9rx>qfK!U88dU`AB-kzUD$_U`VWyN-hL9yT>~1KU!WF zqXYdjEJ73@gCv8E;Cq_>blDk9#XT#DVL9>hS2M+QU%By#onL6DvSNLR!}tIc9vw#8 zb*TZ7`w>tBL<^oCT*?nX8GtPqB4q#9|C3tr$sga_@L{YB`wL0#@LK^K9z>Am*4z;d z`zDZylHzo%`G%3@OAM+@!#=bDB+ipB`36S=1U1H{OD$zbu}_H`13?oA8L$Dozo)tb zq9hP5!$iPJ5*+qB;_auQirEvG0RIyDX-SmlGCp}FAdX`7gNNq8z2Sll4fDhQ--ZSm z`$i4F#`%bI_trnIu4rzhb3-@}G_Z>J5(Q8nhKrqjaf}S(K|5#=m`)8hsCUWRuynux zwF#fkunYaPF;m!XIup?GXMp$Vo| zIi4uws#Xn@Q>y>o++Rt+fqhq^g;b+Lt_aiBsI`_L>rVw~b*i(JPQ8Fv^~1?DDE*8x zcUWj>TD!)KB@i&`#~$Y=XYdJH$fdO#rFmGmkWDKRG1icJ*-BJ5l&hf}orJU_MR$s% zdW}@@q7zSt!^BFnXWM&ktBIv=O0a9B*8ikd_-zHr9MZ3mYIz9WWGy>^5VIAGr08hL z4Rt)CE+N?hq6W@#_r)IaN_BycWcUNd;}Vp@2WwiJD4~))hJ@`L*fg{&^uGFwmgp4H z;`GEvRhb*-hh2dolx*TrLPshRXLF$c1An(%$ZcejZ!sx7)ioaZ-dsYgB_5qDVqKHc zmI&^qnuV3XBGQHL&Scq?_Pt1B<>xgJOm@{?JdYBDpPaxae*YRsT*<%*`Xre~wY>x8)gs z1-JQ;XGbV=%@&ov*=1QBDb*P8>-yLixT*-uea&0$MmC0{iQT_y#B_#4Q#j<$*Rc`i zAyinmMA3hqkBdx-piLa`9GzPlCl!r`(GadTDuvPrYj;I}HHS>rr5u zK24UAMBlq(1GlJhI(2!pWIXrSZx<-TdcAaF{Oz`9vw4TBb`>PlT`-s_g6OIZ&-YLD zfWBd&GVdI+I@EOfIPSn3y;%k(=??cdE|SoIMUq>3C&+7;0LO5x&o_uae`tEFa&>iS ztTMi04=c09dExe4QZX+UL5uNkYsvnJ)8kRDi$eBStW+l%AosWhcayuDT$^-K+HKF9 zZ}-B5UTRiwV{)X>_=1E+ofSUr@cGy|j(nVb3Q+nu@_RJSUVi@z1->zq+Re@qXyIfc zokr~z0W-U4W)Q-sO&kn19edSab*jITv-Llj=<&M87mYWswKHrgD}7y>;h_!J)uYGT zek$p2rf3u9^Iy5_o%DE@iNSmE zq0#D6x2JxKo*C_?y>u-t#hbWw9K(RXmArTfd)Vj0xx+s9`NFsJ@*Zt)Kk4Ar6Fc$5 zMkK}c*7^ZE?AL{6>0ir?Q_BTj&Hl3|eReWO7sUyF-;(MwI1hE-wr_UVg=ee2Z3*)( z>Y?e=NzozyrR<#fZ`C*_uXlI8?Cyc1$sIhVwNA%L@=ukO3|Mwva3M~p_~fbBPY}I)4r5Koz842xY3#4qba=1#zh-_O7}5XB&fCN7 zk6iWtJ1+Xmv!0V3eIM2@s$4PZRmi1M)pTRO&{yoP(?s_Br;Z+%obUQ)qy7Ksy)Vnc zpo`fi@yTJc=bjiPYe<^#_`K2{XRB>KpLg4<*wSVce(OK7Th67#&lU&V{QLc9{RCZj zXg5~zc~$lk?!027U2P$!%e|M?&QRPVTc!?;&#mU^5`x4s+us*HpFs-b^?*)tL=vg5 zo&@E8Fe1_B1Vj7<<{yhx$ViV-m1}ZoX+^V=HaABhu7GVI*-?Ag&XYV-+c3{A+-V+$ zY;6mE=XU++CpQ1&{sY^mH@XvtXDL%Qn&ON4=E?k481Yj*$?0y&c7esZlDuNiN398{ z_|T=Jp`|wkZl5a6m=(dkcB`OW+Z|}09I*M)u)MzpPP}&!uF>{A%L%&iN3Myx!hQ6f z)ms}Md9Wu)I^JDaA$wcu(wb%7%~s(P+{w?_yPONsoyv#N%`UD1cd7@2YCcT;(pXF* z2-gx;pb)%~E2Y3NF$dLJ#UlzICxlz;NCoU)R^rUw#-)mpE5-Hg4{9GTFz%R)Bd;v9 z>EmpE#83f~`~n^JSG}oj{yb^N_4=><<$wF6Y_uDivb5#wm3`aO2VeBvc;kK7ugcx~ zU%_Ng^~#ZZAftKtWoj?^Z3#3C?_%sB$EPnL8YS-S(}JE)on6`zR`$mw?_pNjP|e+I z(Ho+oLpMYjuILrnV~3e6VCQ~*|2nU66Z*Aa`1_coZ4n)f=Ib=S-fO9^XFE_ zHQIbmI{OrmDNK=hb>EYWSffWhcIPeGV%33fY`I6ZNF0fgvnCG?6>7?bj(~R~3ObgM zU%D9NrTC&s74+w}T2lc}S!*2b0>L)AScVg^Z-%a$*H? zgDRe?njs+Go}*_%vmlBYk!?2I#};A2Nl=p|nKXSo)0w6B&8=Yz$2E2Di!0c+(mrx> zvGukE^7Ds(-D?qb5qY|F?EYC7Q%}t~e!a`6D6HjB<70yLRl7Ld=yb5ct3s>OpK-rE z+G+R+W9Z|%zx1v}?5l|@FOPRzeBITpWyQ_8zx|!E^1%tV(!G3c&Z-+5eO6`fed>92 z%gD+xH2sBE)aM3!q^E?qP59@JFW)!QcN+wQ3E8Df>i(NA)Q{^{HH?4FU*}Vha~Udc zzbW@+us*o6tJ^RqE`pU``@Ze|ZVjO=hBL4T7AajF{5_6TP|&3Z!)4)GYXJ2rS!%5< zL`ulhXQys$ZqAClhdxSJ`|nDZr^5HVfN%SG|6a+M^Kf`j_g?eM%Z-k0y%$+|d-=B#>~llJbq{5~P>e}47nEU|@+u!u zjO=c}o0wN&_Dz1SWuY-HS>vznbhzl)_#iKR-)-Nt)R?2fe`xWR;j>T9p1`Ji7CgP@ z)|2|n0AtsnAlveHZp-fRCrS3Yl zWHApL+x?$R?d`hE(>QSYJ1*zUi(BWNL#|E=V@3X+F#p*F$owLuF*0*M%M<&$MjEsM`^<&;*X{|+U(7{sIQ=3dVh7Fz-ZuXx^#Ne?D@aG{8D~HavDjNOCRZ;26L`l)7SV! zjzCE?DC`z5hte49s?O6)Ob>-oIgia?`) zVTIEym37xrYvZeeP75y^-)5hmanN6`^N7mvf584&aNDAlCVVV?XBWI?pYNY+FXZY& zjIvPWbZ5m)*PI5mvXox4(Px)c!QVNne8!A9IwLmR_MiiX4bIEANd78V(!C+5!s?Sl zUq@!mUj1=Y7UR`DdFl*te;tqH$a!ABt{_tiREJ<6FvVg7n^IXAkeO~b6NeL_Wm!JK;9C%@ZIn^-c~A?($e~qUwzKsyZ!0- z+9!Vb?pl11EZV2{6uIs!#}uO%+m&kf%G9zsewMpRO*h{)b0a@mFgDl^Fprd|xPErWH`SU#Injz~Hh&z{{`7I2^C~{Y{PXF)xtD-alahbmFV^fC7E)<{Oy6+>ce#2sdGEMa zreAy(sJJJNn5BWP#=+uNdT0DQ?%P!!odfd6Glu`X`O|$@f^8{TcsTH;%-1M$$&-i9 z6HajFAo7OM+JevC>OXyhYfTHU>*vnCb;oAX+v-Vqy(clikA%nZo}ezK3N?87H^87mU+2Vek)QFB5;evs9s zme4j;3Ow;c`9KLRGVu@sbOB{#4lk)3$Z_Xluf{9pB^ zKM$qu_mEOoD<3)N`o}L=kZ$MOQ-5%C&cvwwkS(j@#GBe5+nDpgGrW2{Y2VMs&s>wJ zrcc1GwYK{eQta7Q(sa}8Y78@e5{+kg>XuG+3KLDUDwP~@s4FMplzQ>eo7q{z#kaQq z*Y|sdNz3PA8Z0Tw`=gRnC@JE5IEo=8x0Yw1u4v)urzL zJ&ZL-`Yb(XQvoDLiX4!AOLpS_#RddEtuyndUtDNllWU|Lu&oK2?V_eK!nqJWH zeWk0Lsp#83PjiiDtHl3a6*6wl@b6}%`g}9v{xN08fdpCqe|A@i!#hUYv<6h@fY1&R zRICWEXwO|S)66Tlc*m}u#mbXLOXgCqJDKGXNryvxelK8cIbP6a_k_nB(j`}U2aB_3 z&s!6=&2i#jM4R!Lc%)?At!Y0m+jNo7)}Aj`OZRG=w+Ag)R576RmI)fhYN>!_nTU0hhcbjx!ti@E}@~pUwXrIjtsGNBkE_|=lhNSCouqS{dg|jgi6y^<|L#TC&n!`3vw}P(FMILNxbgM zuR^M5N}`7bo7&v(Lo(x{M@8C8k35ASDE5a(>M%ZiC&kuiJ;3%lqsWiae%g3DhN({& z*&)>)C#h$PZ9iTQIk;uz!Dq6DdHibwR z8)P6+S&C?V^g%l*IAp%$`?F#4kK6k)65L%TjxQmz_O{=ojXG|q78)ah?C_S!4OmB^qg?EM?4VKsV1NW^F=UyQ5oiNVip+*Ngz)yvrTqKeY%ry5x>nB)6 z)IV_~F$2atO;Ws8zW3yl(;;I#DE8TFShwB{kGzPJfwi_!ub8q?uN(rE}V_sy7MPKdiGL2=*sX$lZk-e zXJ;(J`vN50+Ks{$s|A_4eA6|jGEClP7{9GMOAm~5OxUE&+}a@f+d!An4ACRcB zC%S3>0L`E2^g>u_UY-5{US;9a7la9}G-*nNGgcQnbs{)NL3Tou&^@n*%3q-RNFjxZ z)A=1joV8n`KZd6aan~)6lvagOgJ$lSOi0!GfSdzwG*08Um)Gv0`2FVXJ{2ilflu(m zhr|0#d>!OnRjeCp^rovd`3%SB(@(vvQHo;xOw&tCGgevnF8|45adGzzgPAwY$r$M` z{bV&{6ijm zZ7P5X{a~_HxA{=Or3jb+zA~eOcLQOc>(y2yi(GI;nR;pcUT|g%C+_oT$)Q~X4Apsy zuNu-8pAdBs5;lCc0~3k{GprGc%|Y`@`%Xg95@`*b{PGZ9lh-EVSQ~dz027DKoHQJf z1##E=B0k7H=d?-=p2QKQ4Wed?kj{SOkfs;k%0-e|gL7eVagFnvsq$6*X!B{jiR*Os zAKgJdi78#kju{f@73nk!t4ay$mEM~Iw{`)&YozdI(VH8|3PysOTcu-cT%7eWliWl) z{COI%DAo+FLOiRi`lF%BWuu`F?03ZN_7$)EA|WRxlBnSoLq)onJ$QYN7^e!12|e+S zp^g{UMK`Pa?;N_{NqKcAbn#W;-+j?S-<-hT=M{RPgaZ`>7)w>^v$Izs&x18FM!LUeXT<0yuI zk92GNBGOO}mE=axPs`kS!i9LEvbZ~Z@mkUic(dVqKoq6lL-s8PvOAyAKYu0mE}BE9 z`bJ9?fU(J>F*Rwn{C);!BRdTWhq={@Ic416)WQF)N!S7d#P;WFA4K06Jc(8J6_R{) zd@|dWQX}jo%V>8u1F;gbCXDLUg3{}rH4rli(jmEf;=mns92ulTwP%M78^`fEH>|j6 zw~(&06(%CIDqCGoi>?D~rNuC<1%*KSDR$j41i&d$7~zUZBcPp$B>-J>s&K&kY~ZW4 z1}!Mw7YQc-^=9*Bc&GV#RA8u7)en)*4^Et_-<(D5_x@&Ow5C+Eh#_vea{mi@p|mBo zPBEvh(v0`~hBBC$@;KDEc7y@KUf4fiv$k?MeJCTVq{(F1d8+pe{oy2EpT?8psm|3- z@fGox0$`_y=_2VCs76b7I5jVz-&cKNk=-JV_Q6wO51w&d!`GYN$aalE!e7kL+Jtrx z)S0Z-{-p4W;R6k#Q5sCxwIa;Jb(zu@yEsv}FE2htC((V>`V&dllDzyUJbW2mBhUc7 zUzGrg#VWdUf)^15oCe;BF%x>|bm+D_lnx-)P|Nl-?hQ=g zLtk(rkJ~$XMSU3Yw{kUq$>_c(*9Ya7`mB%4-MT{m-=`sHyQQ)R!9kTTlO?vau# ztp!2gT%oZigRK3V?iLE6@@<)6MYo?2X`tXpE3?9*iYItMjt~C0cpd;+0#xzVxBJjPRSJv7Nh+*v>wi-goS1#EQt?1 zR0Oux&{Mns>gr^!FIBmUI4cQ#pq+qJ*<(ssst0iaWc=qy_4)&H7Q4IbV5pB#w(G4P zIbG`lV5z{SHt^-Z8?JW2;i1$LPjqmIeRe}mFw-|l!}_SZHg$NlgLSIiXyTjQG2`aj z8=(!r`h&5nrP&7;7xf((NsVmj-p>*X7v^W2`MkOFEf077WtZck8B-{Cqqd{v!o&j? z@=F@n^+2G}Wz5u|;;G7HjgpFR{z|3XCdt}y5@?tDwRef^ba##L;Wa!12uYUbN>qrb z90gJQR)r<5S}_!iBHTq})D_2Le7udf3;0h04(Vy{g=0_$o53`-vXCA3SkkN8Hiug> z$35W*9nPs;8IR)oDQ%)tC!DCw0(7+RL|zOe={1$~9P1bgfFq+KK`R8`?YSgB*)sfa zk*od>1RYrCFTo$z_&H`T&UXGz>jmf7b1cu0Y=^OJp^oN)IZQ%AU6|>ZIWOSi;)wo& zFL~MQ_TZoY2-{kEnyEJ%hfUwK=i!?*o{`^U{qt=^KhInmOktH19kN>e65QW^*n&r_ z*A(kWjQ43WC`j-xnD7gRw_hRoqLb=H#eKj{s<(_@iKGZs_Ki-h{gGF|{OzY;P~@s0 zQCl3QfJ~(zn_~mf%j+yrS!AWCN{jcQe zw})#%z62McPlz{(HoLvmYO;MN+xXLn8mdoY?Bt{ z?+OYBKK~WT<}~Z?#6;~VmT~Z|9h93o5+-cLn>YJfiyi*iM^>%~b3?9av~~vnj0QC# z0UGI0ow1je|52L*PH-?B(^ju#s_*L#PA8OHhx2Mp@zfZu3Ibn>4M*-!bx5&zq7|!S z-oJm%k9p>SKeOX0m%9(4s`*GW$SxaxZ`=a9lnXi#2 znR;KwbeV5~sV z)0hMer?T~(QcAT}-QGkhaCT}I|Jh%Ibf?M0Hz+Q$1t|^m&8)RW*tJdwyC9|p&?j6r z>jDvS&uz=3Ri0w6H>^8?yC;DLfS^wosi1;BU-R*j5Z}K({Z6@&ifD;2 zT^f$jnSz*xIK59R_PrLcVlxjuYb09Y;-U)( zXh$+zwk(r=*KOWD%@n&n)MXjU4w8Af(LDn;4(yktgr@t}7RcQu&cdc%$_wjw26j5y z91}!L)qjEZ$})nsNdP(@#6zDN%Hljf?`Ej7@T#FkCuGAkAuK??WW#X~7lfn24p-P7 zo3o&dJ*D^3%0i@&Wq!6&=JH)r18S@zeYZdT3B8s*vd*BQ0rS5fir z#cSoYqo`edr(jn^mHSeOogzBbHOxg7fL*TPR>$`z@!aUl`%q!j;eO+6>3x>-em$uX zXs-d`jtWIgC7hrr1C=p2@A%-p>%CC8awT3rc9t6(AqLM0geKuoaFd|79`g`TK1mMq zb8Xr5+lyukx$(6&G51 zk-Xy8zLOw-X$HZEXe7{HD_VsJQ{U^=xkyGoW$eH3_f(apcfYJ#Yx#A5uj&sB+qeZp zVFI5bp6ux9F0!d;m0Xbu4n!}BDlS4Hh^Whr(^>7tWhQ{h0W+3x^l;aE`pYZil@iak=)KAeiM>$YQ`+=FOQm*2+j7^-7)E=d(y z0XZ+P4VC5v{8xZ?3|~tb~i5uy-i(fs_vM1(#JTq2Op0Q{VrpO&& zq)yn(PSRRZNnJiE$85eHlkiPJUcnUUXV{%csZ#kO@rb1DB(b2{K}tE>f|e3bsQO(+ zO1{&_7U6CePN0Hhyzh^F6&U+NKS;xw>@Nb^31qqP$UuFZPJ(dL4f+xGgm7KF$`K=j z*tJtg?siT_c)k4K+EOZmyRs#2Uvzf7`u9{&&lZ*orwgVXbL%_DPndq7VCkg1JExh- zbAK^I;#ca7lAWj|t>ZN!%wxy~Qi@cM0!?Dt{Wl3hS=ymNLi0*%*({Y*WIVLuJL4^c zM)5Ry6tR>$WSUpV!N^1GR4YmE0Av|*XlT$o5X2|lAbSm!Py#}?Wg=ffA=+_dq(oc6 zPTm-8h@FQ%>|F^^=5>2ac*!5!Fh@$=4gzid-A#4}q#E_59Q)$QBvdB+K43*&v-~&g z{k>-lrX-&G@?pk)y(xuo&zQ!qwnA8%m^}8SBcM#*!R?VyclNdBdbEBNUOItb&0}JU zu1Gv@MXs8^5)qVyDW0xJu+uGCd*;_8`%(Q!H}oS^9C+x40-oGlxVCc%8sQ|;NNH%l zjqyYI+WY?TB6@}s_?i0&@r7pP6maWcg@rx(K)^1v7U|#yjok%wvee9Ytd3&0r~gOY zn!nq6+KTw0ZQSI~UWsIjS6BSB6st^39-}ks8R|+cW7tIa;g{ok5S z6!vjCSWlQlAMxSmb^2Q& zzl-??%y;&Z)!}gFJsbq;U~!ld$Oky8<_$=jWj2C-c)up7M^!cJb(@v>(jZJ{G*45* zUAM9{Z)EsVCq1uk$FT)n5e3}5yxPh5(wYX!tD%M9^gJm%jc1rbbD6H`u28ieh3Fit z1V|kt~Aj$(51e>8@_qK6YyqFA=xjoKhdW^&M6^a=$XP96sbmp^-$iUMLuh;KY}W~%Zaa0 zdKJUJSyG5}t(mJhWp=xtep3gtn$}Odyhe(>!8)*aUn~qO91m@;CYjRPeyieJ^bO{G z$dX9KW#+fT`JwP}yRIgV5B+>>!YT5`+M1Tq0mqTDATi&6S4F7Mcj=>+e^A}vDr8`8 zBzP3?ed-awGa#(|8hcbYxe>>6uDVhW$wU28Q0HMFqmz&#Alcw0T|NQ(Ye_VDYTY=3 zjY@}d{3T_$XhP6}`4rBSaG+zs%B|%Z^71csiIpf{LhqtC-{pXiO-SGGUD{uSdlBF1 z4iY65Z$Qv06pfOAPX)EEMx!mI<1xkDAf%0EADGn%7!Iof_jSq09o1YF+Lr6uO=&_eB zH$V&ED6B9-1K6zaGxy{_9{4t<+>Fqw6~^2oB2VEb`6@cc&RIizIXUz z8ztrIM_*+8?%K^@Y`pP8nA-dBJdJ4mDQ3&81=PTW1MA&`uEwMc<(JcqhF*^f$aAb? z2u(b}?HP$;QvKtM_&-Zbe#WE&KkwZ7#C6o(^6W1{e@!~8oY_1VbTYroDW=~Bc2G2to~dr_|Da&@t7pN*DsQZ@i`nDG*IUhL={Iy z5#-ib=P~cjC^raG=f{xfWt(1u7@q>=r13Jn(C24PAwJYMZ=KjHye2rsZWd1{ekYs| zyk7_K>vIbURMiDZuK?mt&}u{JF71j1CnyY1laIdTJS9vEFP!I`^vCPAUbR*+i9$r~`)%m@{WNeUM zJAtv^Nwz@1iOsSFTC5WzUtoIhML37q*n_9vE2gg1SP?}S5o)!P{m%7awcxJ5hD8v1Z%5ucPWdZWrWZ`c4dB(p=h z)(W7Dye6eI!}eh$F4=PG<$a(bRy&~>@P)WYx-#+Q92imZ!E0l2f*ya;*2+KVAnip{ zr+cbcGl91F<}U#ZczMDQHIpYf6kn~_IRt801;b6XLWALJaBL$gawH&zA(Q+3lTqaz z+A&^{BKC`Ug$n<)oFLVy|1IsIJAIQMy^;z4TM7pS$W#}SE#@AoHnx8!L<8n`aKDio zcJ=V^FV(v4I0h(fC_?#8Fj)2~`jvUGn`$kICxZ6K5qC<{U?9BmS4cIAdkOKV_>R1V zHeU7`teBqr-N0QB9N?;439X9WV&n;*PPx<9Q|3xk*_L@Duz6;#}&R^)0O5h8-|luDpF70tTa zEJkU)Tm*2eM6t)nK#{fIK#O%Sm89GT;;juq_-!i)LMW{mzhFgjT`BRqAOcP=972%L z`aM>pPO8jf@ncN6=(|@{?9O66OgU)e*|}6Xs)*4C=YjP81j-kyoG=PWRwh$S(MC5U zCJ2YsN82ETZkK)|FZoJxek;Z`3%W=K6|Pc96p3oAT3Ve%&2Fm97S`hklGuK(qNn#A zF?1z)qTJX6a62KwmI-?Mt(?VWZ|e8Q3F0|UJNUR?YOot9z?l-F*h!5E1ox%-i@Zx0 zx?_43WH=L!7)YtF^gs-Qh{V6ON@86?9B=T|$)Z0kkt8iVrY8^!>_nNgC&Og2WGV1E zIFxQ}A2!VLjnBDh#ec5Cg;+R&X|#uIhG@sx^4FA2Y^j(ouQLiAa$!)Vw3ORYM!)LO z?Pk^-vD;CezbkcQ{`C#9)`usw-e_vgP%R(g$-mz$9=Fz~E@k%27V(#sL%v5ttM)|Z zqyceIwJ_d1Gr3#`k=Jsyo^yTmKiUGWUKp3bPS4(=mND05-e_S@4wZ*;D_4Mqu5KCk{8>xv{k%+@=uEK=zAgcUm!G&;?lG3P_EO}xTL zTDFpW+jL5qx<)12u4@7EyB>t3TpWEq_JFpm zOx}|jCA{GqsR-TuJ#5DkqtsW+y7{|vX1XxdyG!#*hvd7a>+y>2A(o&qHkKvxOHSA} zIrg2uW43h^H}r`ib-`1$l~#XOtnow8|;)61b*akiD| zR^GuY#D{p7-;%N=o3k!<7oNAWopWygZL7p^w- zHqEW9WtXxvXXYG^^qMZPFxt6MAx0V)EZz%a9GTOeZaV=NM zZOhaR%nQ9Y`d)64_Kah#YI?Pn%s{U0!zkU_oeU z%dQ70J05A>Lh%^6iC-w_v%}ukG2XXrDcm+WZ+ye5Rg31WvA^|l+@<5etz*;29;^`O zg@%e{caojTI!$fQEI{1E>rF-|V%vej|qZLV^UYW^KKcG#P2H~Xf`A1z4q(&Qd0xGN_|$i3%J zLd|*D>ARzKqsE%bisOcA@@RQ^SqY<4y-T-iAg111(&%6*-%!ba)L%Vurr__nfeEMO zdf|fd>T!W&9^d;~`h_s_y%F3@$HavT?=Eug3yXbMS{B-yVv(4-^~J?9{O3S^NA2^q z=j5Rr{kWg@4Y#yOdbXKy=45vB=upyg2{;L zyxF~QFjvg3+R>c2OTQj%>Cr9ye4>6a7PGBfDyMRoLlMg<*=&m(ve|a&Qjtz*R2I8mG`Z}N0Xut~ww>hW8a zy17{w7s8sIRn4ITf7K<>Df#4s314b`&T)dVPsa#J5z z3Fe~zPu!pBO1&2N%^4(8Z@~b@2GU16fSbHw`q8=p#Dnx1Up9Kh(u3U#A;w9dUB*z6 z0T@XsL1s{cr{fbvdS$`nnd|w`uE{Yt=<|xhT|$~jV6e(QS7AG?+Jhp5V$X2-7AucDo(XGBCHh*}beX(^FqZJ_Xu z!%01~mQqj#BMKN=YZmqyIFZu~>-Y)J?h2cy;4a&D>kvZvp6(O*83CS4#_y>4FD`v0 zQnPopc*>XD^2tc5iN;91hyS(U3*oQ2P~g9BeT7lJ2_PqD-y4p6FB1A}Xo)fIdlRw0 zYaL|iugif)b>HWmsU{^oYNv-RUBNeY1h5Fi^!KG^|TxmxvWX(IvMJy4v-w<1k&e14$FIo({O&1wy%KmJ1aE zH=m4bbMBz}u50IAhG6xD%}B5lu=2DRjb4lr*^-sGR}e6{hNcXdIi*s;sgG#Keo2lW zeIOS(lq2jIu*siX{;UWh#rGIR$>(u~fkeua2rwIrXfaJ^QjgoQPqtCn9#o6+FMZvK zmK7G-dAj|1+Pf5<15z1q~)| z+rH8co=5lj%H2=%CjMgy>9 z{#_(@+GopQ_6eSFs}+HZ)x;Hoc?|q~AfSXs7<+@k@Qz6%P#uxGTE@NyOQcSPb-+?P zzInS5C$+xXkEMwcGZ+$v!JN!{+$9l7AKr{df}5?9Ij8^nxyzN}Gn|}R?Z!YPf3RgI z^Qbi7wh$p&>W2qqF30r)oF#GJ!E)K%BCb5#dTLt3DzpXRQD5tb9k5P@vV>T?%W;(R zoT&4+W+SVJiv#TRA9e{{B^51=Frl#*IH7BQgzko@}}HgiDTJEr~}@`XS;k zNs65GVBpHB?SeT!u0UJ>0S}SmB}2UE=BdQ5e{IJ#Gg|2-3oi_4kFd(hSdft@BvO; zf=jWX#IFy+h$PzMopwg^4P7Vh|F)jCA2Rf>bsU^u5C}_>%mPY0l`;)VzT^_sNNib%CLySUWUL8sloU5vZ=`>X+jYi5v% zO?qHNGnS#;pmXe@YEqC?O!R{;n1+Mo^Wj^ELF`l#ZxTPD2z5|yAH$0(=3UuH>{eof zl!UlTQ#`h3!B;r;`L8%coa5rGY2$l;;pF-T^ z9R8$%kO`*{7mH4=%3!2~^QxU~rlFmxJtB!%r4A;Y6?etGl^2bUiSNKrA8+_+^>d+} zJOr>Lm0W^6T_Z*7SvgOx3{gI+`Kd`#-#C?^^X;aQG5%mA^{SJC%Sf!MRV3gn1BK9J1G4<5Rn)<>mmP^FpDEvw3MgPL06cWY++`ic&41Y1~1I&60&t_6Bcv z8?(9AIDhX`0Dl4^&TkG})saj*+vG>1j_ZPCi$J!xItw5;+Ix267_Z7c%Y7AYP~sKO z5aQ^kJBi?F>x2rWLouRm5R4cE&zc@R$t_;eh&w32O@eV1JB)N^+1SwLJ$)GS1X55A zQU<%HN&vrnA({h0N4q%NbI#0q44b%*f2_?j*8Jc}?&~LM`;irCcZZ`2G@2sKa0d+; zHOxk}bgw`g&8pNFa$SI;nh&NCWm^3NB01X1vD}HA0mlC9{(X(0_ACm`@4dE@{5k-4 z${3qKZO#bNPwynY!R9mSM%$SnN};$oW+Iu`twM@9bbql{=M+fnR11jPUyxitB!Pi} zWQO^=8ZtJn!~7sa!k|JzxeIZ$dwH+7kr`12#b?J;j%6b=I@syI6*(cKSXeBm=${e^ zrwH-yR`!?&|JAMVno0fepCs@Mnk`XRZq|T112(y?uJ|mZP=!AKhL7@sCqBKvWr+tQ z(Z_3l!vN;6(_eTUx?~ab7=)%=bOA>ZHL}4^S@Lno3JAOdKRd9+maZB6&p=4mAx8!O znu8bl4<1yq?5WY7v4qP(KPA-a)f}8}P`h?%&!d1ZSzNbH?d{h-Gp9^tIyyxJEXOdK z{7lN|sYLLwOoqgzlwfpriJ$X7A7mx+Q%;skNDRepw#xcf0&S^ewEF-N)M6L?)Ipk{ zzE?$;VCyo7z|+MsimNgp3`v9z3wH z$S2V&MB8f)ejVIK0H2|8V#$7n8Yqv%4+jPCAkR`PPqCp>(+t;6dJ)8m7>r{ z90G>K!V)Q*iXA3DrJ01}aR%fI7qaEXfvkhANgV?HHT&cPR?ce0Dj<=$4lHQ%@IS|e zttHLeBqmFv+G52QRRgfJP$_McqGU^GgGd-OVtq<7Rn5EGRTWFHrAQ|8T)B!rQZlw3 z#De^_roDEF=g&(|7NS|UbyPYZPaJ?!o<@;|l!Igp1HwAmvpt5@36~;IfS(^;0DMM| z8BwH|Xs^dK&g%qrD!g?Q1H#9>L}^13I`EM4j$_fQ(w#}O+)HvNaw=gR42;aB^zf)q z6uyxKse~muh|Aq1nOsPqRIhLd17|0;{(+<{Tec?Fr}7ZNFM*4}B*^0Y7l2W|goQw) z$YrvsjOmQ~h_?!lxFIwdczxIjssQYul?7M2I`h7>WT5u_NY<7?Cienwa_Hdq!%m{3 zHTN^v|DCR1q-q>S4!D?9=tRK>a*9XyK-S5-Vma;T`}X69=PezY zrol8Nz^JeRi)qg)A3?hZ7&1%*n#rWKUriu@sBk9pK|rUPj`FHlku^%A9M`xYqLVSMzaU_N><3FU9dMp^L7ksT8bPM!XapTt>5AR|nB+t|F7YyWvu zUt40sfXEdBmmq(+qp^=xm>{7P?h*1A(WgkAb`O8WM1I<{H<)}`NCg5Hz?`L;*I}H9 zPL+~JLM^S&oZ142+C=^t1Frm=5y8FUf{!@esDW#+#(b;%xAO~A%-4f+VY`8$)v&}b zkzuBCsRd)3lZQ#NYi&tLF=J&?`{5NoCBKX%zF%JLZo7-RP(5nk$$Hw2mC1|rP_Z3{ zs!9&JCFP5wT|^SPQoALay8t@_46OkrwxpY1&PsMS@U;Uk!Yf|8a{2ypl00j-kUEs! z?DkQBW@lyc1Z>|7~R!QueMq(nV*=_O-p~MmJ`{IC5MH>;2jJjUoalr`T zufbG|XZakcp4zb|Lx+<g)NMNGM7Olt&0qKu zP8A;VwUUcl%`tpRB@c>6C(Iq*ktqM0);gw`qGV`H@5=cT`Y%BX@;(FvBU;pdA(ng| z>`-=z8OC80Dz!=EQZ3FFj!{jxz`V8&V}_KSa%W9)F%LRU*!_@auLOe$_79w2*_Kf?HmC(d{s$ra* zVrttA*wzsmj5h)iG6F0)0Rt`w0auv@A&KTU)W0R~Fsr0ZKX>z*J%;XT?9YGwQq;#= zqK;HzU}!V+bRRI`0(9-GWO*YMgjYnB!G{3Vkma{+u0#_utJ59wsm)-m9EF>TRCw+A z@&2(bFuMyFNtBLbIZE%g3exnRbVR%kJeo8hU2SZ_{K6W@5sdSxK-WdJfh+k`$vMM} z74495q$X%-C~zdqWzs7|qUj9fC@F;60%T1T-#0H#OSMyPMm@}@6~im|126@!-Cqkr zN0d9n`0vAUIQ&k92bS;%C`-4cpXCh5@S$EzX4m&;BX~PZBFy9q(;ALIfH8<@DwEKJ zO{Nh0QG8$JbIZO)if(mY)bx;L+Df0@il7ReD69?hX^<BJCTI%?iOo9W4&rUtg=--csKEOhxpcH%T=893N)5s6pE~@c1Ns8v;I#g{3H=*~B z7|S)IgaX^ZL!FPf$aHseYT`gSp-$Dd9*~iM>P*KUDc{nWG-{oaNo6t6FO#&KHrWZP zSYFaGy*(Xmq!$MWn`0QN(XtD6dKElUZyJf6(R~y!&spm;PIUYJ4~$F8x+I73@-f!B zwK?#z%yF)4;))wkKwvls1P*G(&vzD!WoWX}XfJD4CCp|EZw+u4j)#%R36$3`o~voQ z_aH>&`8>M;r@`AmlGgL|?aJbjXrnjk-C6DPp&T<#J0n!Q^0Xf^|B{jXnYgYj>NzEDYAowG&mEQR4 zc-?e_Px(fmWJb*JiD^}yza7SKFl0MzWr5C7()2@0=hc~8cGE{9znoL5K`DQ9L zn}fY2^zS$3Di4%jv-jkAv6ANQ1K6)Y*Ibz9;!lZC?Q@n&tydBUQgi^!W8Mv5NGfFr z4o5Jld+wT#Zu-QHKYgN{lyKrTt7B2${~(Z6CfSnD|9a1c&YMAzz#;8|iZ{oTrjj%U zICmPBRlHKv+MYK1;cYs>7lUO~vvXw&gy45_iKqu3qzwxmr6Du4&%HuxL>$iO=_Hjo z^q10HWS|cS8_5az0{)64GMFi#IfVf}Fin~lWp9WxZUi>`;NCrJPdsV6j~lEkHckC(fnFl3J8xENv3#Ae=Mk_!%GQg_4~@%r>xBByy>%Dfu-Ww zJF8@?PBXSw477Cb&*w_mX#*S%dmW75Gk(8@5@;v?(B=sd7!G)Hm23TyG;61aMbpeS zxR~TB`*J;x!~*@uZsw=a9GyYJ&H#RNBIQ93>kWWNuW-gQeVFftYREf-=zO9G7{!xO zZ2qbBE|_U=U(vQS66Ga_t~lV!wgk%HNna!1L|XSsV3JO`TfAEohzgmoI3|ya_omMW z5aDg833zqh`=wEiSnF9nmEsf@ES}*|aR`65!KfPONVDD4T_{S}Eqn`|6`eWW0!G5vE{G7eTyB+0 zU=02V=rB=ys|k7H`-EJn36`1)taWE)AIp!y6wcOR>FbkjDja|l!?r{)F4UZ!amAG~ zEImzvpk^Uu8&M)7X!lhJ0>Y7E)#@_fL@w#iU^#pSD)x|(MX1eU;V}&63|HwDqmnoX z+tODlyS-kPJdXDeh9xMK@BzUBW23iB29U1-iFWiVbj$`{_{dCC0l+8hOQ&GXKwmY1FvH9bgGP%Ql&rmJ^AXpC6u zphpz6CW6n`ISlGJeBJ;5O4Km}r8r)r68J+xji7?{!iiOfJy8>;d|z`sgSNn~-&eO+ z6Gz1-GZ0J?1Yh2rp>k=XJ(bu{ibGPq79AG4D2_b6GI3E|vrm(zWa?O(XZ19_FEvO#Bp@CA&t`K(lH@TcTs4b|bmr9#&aC18!L z+YRTUa8DqS;hhaau+}*yXe#oZIkud7^7b7US za1!&UT}i6kSq>qoN#mN;*YK^*?M;(+w6CgIvW&V2OO_xo*+tiZGr_C*&M#Zt?8e<( zRAh~~vI}4=lTMs>t_qG$caexmb}O-3McYv{S5pW!aZeQ%8N)FNF zVPqPO3{HqX+{y!{0t7SRsp8kjrV(p~Gdnf~%NBiL6|ddPt7%Idb%fjZu|+&d&_oI! zN0-ce*0AH;=wgv?b-x=JkTCX6?2fd906UwrQZ-4B5`5d?xM25v|6LHi`FBBI1e}2& z`K_Bbbrn|n{6T(dP9W2Vt00hWPdmx6d1E==rPgH$aEwJc#CA2=*L0*NeW@H}5a#t0 z2con7%4m&8Fx#Q*XlR7x(7?V2@X#gOwFv$s6FOPmH!aWKN0~umzLrZy(1TOK$fBfX zaJ6g^;V|KfBPt+ui+d}!NRRhO>^%DciLu%^Nv=TvEC8NBUtKv#)$?pZcU!kZ8TzPn z6io^gh;K{IdfUT~qq?Nj^p(^hsbFx2R7-GrE7UnM&io&(GfXUx!}<<>&EcT1iUp;S zZ|qbu1a0QcNl_7XKISEr2P=I9_0~_v(JBWSsR_AEZymrTwgEmqy@6VMWV>_qCMeeB~%u7%-y zEX!h6h1F`cx-h39M5`-Nh7;NUO0+_o_>(_d!vIHeP}W9%H+tG#BGKTMvE5?)8uvvTj{K0cg5c|oQOUOk)Qb>a}$rlU7 zOjZDiwGOV;g6R0Lcz=>ehW#0c%Z=53#lLw0srME*iDc>VuXjl}$IcoEX%=~{*9L$t za(4J50UqyAcX2N&H*%i^N!FBU4oZ zG6x*{G)~G-L4s^6uOmgC@~PuM-GCIt)uiBJYvwZvFOOBwD-sBd>0p3+m)S<-jSUQp zbA_z8!3!)6yt@wTT#h!OVIOn(VBlmbIm=6POh$R#Npa-1%qj^G|WQ z7cedt`HcF!k*7U$Q-);o)r7LIiON9-){T4}70Z~|%GQy#QgwVSVj)m!Xq52&OVgGI z8ppJHMS4U`?HVqydv|F$l>0QL0(*>yn+l|o2l;=@rf_*mhjC$8Gd}~SR0R8MhW&h7 z=E>p@R(8!&Zth8X_Q1~E&cU#yQC>=pTc^SWn5Tmd~Go(Kgt?gW*lSgryt*Ke}T zoydgYVu6!j_b4?fY@3DXL(|L;%%jfuqa+MuOW98u>|{}rSk?$*n*cR)?3@feI*4K0 zJE1`xErF;@>c(8a957fSPd;l!(MG`oAp#`!m=|Q^+GE?Xs?JRPwvCM@_~&_gg;I>S zw{CV<+<`E?&2jw&y93u}-vjU?IXp?`#rp3GRqnt{;f;@by4u-U>nK{1$$Vknmlcijowc*kB^@~lTq{U;|FwGtlw7}hi zLyL&1QuWk?vG|qcg3@1b-S(QqvF3~si>R*DNZl0AefGmF-c-X61-K;vy&|!bCrF$EG8%gAxcpu0-AU+CeX-&rGAr`Z z$`-%4$3oY!Elv{~cZj#FKVR{(JI>$o_oeGUHFsgZ4L10ic67co@NfT6a)gqYpMEYz zFx+%@I;Z=F{Ts_~Ajcg}?am1s9(;7&f6CCUT-Q+*N&`RLb!A6xvRPFrP`I9DBigTRkJ4c)fU$hu(Mg3ab23XQiN2anUdPn76<^cvg|Z z)z}SIr7A)M+dSY*e|SG!Q~?oqJb$L|*1jG0UZ7j~b*%=tiPQMSZx8mtk~e(i_>#SB zZ9WZoBoCljK6pgt-`Z$r<%t5KEZOd4okVX{cd6>9v;((g*N#9*?S zU>%9=oHu^KbC028^tTV#q?6H%f|lpWw6p)FME&r^mlSNT5-9W$u$Vrx7(Ax4EtBAw z%dX1{2Wo|TgDJ1*s2*n2INbMK;k#(GW&J_JXNQvg_Y+(?8OBuKv&;V0H64L0_vjKX z>Snu)>(_)o(C-{wx&9vz>ra+oQ8WKcKXBWkcVM|>+Gnj;@}ay; zaBMS!=Euqio!TQ^t=>T(0~UE4^MU_);6I2Op~G(&Capcftz`!!pA%b9&Ez zOi}JIlCb|T&0T$%?z^66LTAeF6uR6yY?9F-EGCcTFqtA@y8Bi??}6hjX&&iLZ7!zv{xPq8e#p5xD}-*7P~Oe{Q@cpVr{QSl`g>VIxi7Fo~zxNd~3(L95+z z`4+~{cAi%Q@qf>D2I#(4O2m@fe;kmA(MfkY^RW%X0a&&7-+V&99e(i-ox)SDUjf7> zR8_;Fz3ZB|s>TfCzK@ml9a$?`*?cG~pNL>c#O%ElsnLmc# zn*BCVv{fz<1`l`d(SxfAE`y{L5>N4G5(p0$z^4)B*?T?OTG#(v9lTt7H%F~Ys;QA} zDNT};g!?i0c)cpF8ZOmiwtLpG9{}Vxay^-Z07bXm)H8b+QLcF zy%+k>A2{~=#^l~Z3~=J%n@JmvW49Jv|Jexb%J578>1B{xX-7jGFnax}4%$FPa9g@p zfPe|@`(b#^AIhrB4nfg7xL+!Jx=(fiHae+XMmfRIVyQWH(flV{HN9))xb*?`g^|mB zJ}EnHPa1?X_X||iUQ=UzOt{hO?bi!$=Y6VEm+}7#_+Hl|2WEIAptn%(IQH;}$&ILNPW-w8Y?s;tF_B9Id|z}lUAbDOkHPEwvShxX9^8{D|Y{zc+g<{^WBwtkxjWzjwWRr8qH-a&Tl>%-o%i& zIXq4JNR;AR>s-%hlk5+8fjk_Rojl0D)J0YO$8_~B3PlXL{y3CP(O{|3j*|%t;C^Al zH3-9cXf2~UVd=qrQ3x`czX4<<1c=C4rI9>38=$gNmS(NEa#%GrdQ-d*tojf7@}tUTX5t&c`4$R z&hM#;Kk5Jd_5#-ZWm~odV%_Tu&|sZ6w9~5Bq%3SpgQ-ErAMMIA&F5dAj~M)QJ!Td> zyHsm1(i9e3IYI$d^gRF8cz*Ddi|uI=Fc*1|F8wd%B@p19xp7ss8v)lHML$@=DsP5t zTdRdKhDk!v3SK7WQ)6AYgWLLUvrLz>^07)giBb=RQ9m_QNe!|v!}hn?T}hr-6x%Eu z@05+~=XSq|x9NVm*4I`=U2*HYal3D`#KSqH`1Vb)M`$QY#M2gBA% zbvdB>RFJlJ8x-ykD*tR~yUVkcF1fVR|G0|JwXo=$?mIVsrUJe0$kCT{_z6cNG-HFG zyF3;3%@*o8W~hHBlGQVc6kO^O7>MrOW;QziCHa_H*4CSMc@J;N>*blR3!KJ& z>0LZ>^31bOrc1*hirbMpOV%yaLVo|wcV|cuyE(3rXt&5foWpR_FB0J-O@bafC#^HI zi7W@hHr<~+s{)-wK=OvA?jckDrUSY~13iW$IzhJ`Qd@ZErf=TJLW6;un+(dCqTcZx zTqRAo``r?IX!}r%gO#_tyZgz9R#n4}tESU@59MCCWHI{?Xhh>4807?S)G`aDRu?4K zwz@_o=B(vU{_@Jz%fzJd@8ux$O60wVUIcFl>@Hl9QRus*)h?Wq1SH#S9RIw@bX1b$ zD5mg$^>6KX-{tG|{{qVdt<86z=SK0lm2|BnxRYdquWF`gL*}CFCWt#wj2{#$jE#3# zj%w_QKLoQd^0f>7NRC6|@_YfGSpg6p=ATI=sj{qMC8t4u_yn))&t@yOJlN(9LII+U zO^dzy4FY+iNa~bc*;SSmzuSqf#zijQMWT5#^pj0p0XQ1?BCBbe@c#C` zsN|WC+~B@y>WP{YSqJ2_;gWaTa#6g}j*&q&3qsYa;0lEB;7tA3RN`D%T-@-LN|aDL zgy=j8O{@q>6RR|<0yEh2E0f8HqNBzD0n}n(mJ12KW7c1`Ded9A90OpWl{G!q8vvBr z^>=m0QHWq!1&rvuVAa~Up9hmVflJ7v1>?}c?`|7|`k$Yu{N;S%pM#M>HDMg@p(kM> zRkMuoq6?(qmivh%?RGRalLdH?0m~r@ML8MBoxcTXfE=P)+c3MV8id9UT#XXadgH0rQIP`D!NJ@<`ubt)1H=EXTN(5PDkPV^|BJ9 zrhRti{2WW;%__}>jF@H*ZPBe){&!9l4plX9ox2Hm(|8Tw@UAN9Y@kxwa>O?-j032WuzEF}|OIuaT-m3VsR$na7Pvwd3+VQ6eJJj{^5lP8}cn+#V*JemeD z@VGX}(L`RiH-v!~@Co+XsTO2b1i%5*Y)wR=6dqFvYXNh;?nvRCaviW?^_ocnQ__tP z)^S^&A!nB@RJLE_&f8jc2=ub3&aAD6kFEIqr57L#1fjZ)qdI*~tq#O5U-4*({vq^* z`j)He!P}j0?7#{%QC%BIfbr)1(-^8?Ld+=Ao+fF7(ZY$Ez>+W$bnW z0F;mcX=br$U2cZZAlwCt8BO)9b{{fa=y&_(fO!ivH9-}M5}pV*)7 z-;TFyNV?<4AAr2yLjq$T7*#pj>S`BINfTQ~wA7D6-N|xyK0Ccl*SjGLOC*8rT7GDF zfM4!I5zB|am|K~T!t*yR1b;Ugr?d}k?9#;a80CY9U5w`SBQyE7)kv-ha&RIU-N%|R z+T5_+r~;XO5YC9Cl4O+&@;T=^@2zLEhJ%{FRH4MZX1r%F$6l)V5MP8JJ_UMKfvv1IVG+w|Kv9)Kc zVYbxqER*;0=~T%=YNQi>v1XLr0^W+r${;j+Qkw5v^cyA{NT|WqwQ3R)j!q z9No!tK=)O4 z%k0#jKvKuN{_XcG634}>(og!}v)ZCqE4)`Ea(h9U6%KEu$bNMdyE?HSI{C{5)7+Ou zQrtiLiuaLSo;-qZ6$^8BZDLV#D)2;z2r|XSc3>O))7^6!N-U@VGw5eG1L#|3sU zmDA7Z50NmZ#v7$^3OixYs>z$_`}2(iE|kXvdlDr{=RhYag-qqd!4e`-=Wbt@aHqUv zTavogIFe!`D3WOizh{%jMlY=Px~ z9CAUGxA7Q_cJeJp1FbszQ$N;_I-=eBRm|{vlsjkWe21;EQ;gi+zs~(kxpCakB}Fem zeM_pBE$OSm_kAa|7^6^Q$9EgJpcju{0@5*~yBKYNhVFtpPtF;N2=XRK%(*=T>KS-q zcY2b8Wf`U6ExN0R0d_GG-V`Rg(L%qkSfot_hJMpFw$Nybe6$cWx%Mq%vBMwGP{^48 z%sYeXFhFC=CM=_=>HBYEq@Lm@%a-kQ@TsiDt~b_C(%^)_c&~UDoE2D|0r}<4<;#iR zb^>>K&JW-Z{jO(c#$0;S5T`apVYu4$D)jmM5_>Y%XvZ z_d9(91k4@Q02n%JfFJA#c&@oq-*4{J|BdqRtZH%mm+s&Fri-Xie$%XSp8p?g4gkq{ zkhKB0V#G(IKa=1H8Y2tM1xb6mXecN>LqK+fCPHg3IbRAvI%H^}KW;Z+c(yOc zy51#x{LFf;d=-Sk#d!r}rZ!1JY*BNe0N7df##zGC+8W_enUPx$iMxMgi73Rbd56Q; z?>_$pY#0DMc3I$+Fq6#3;D0vYxXiUxk81cYqk8I|Z^LRoQ7Y)!AO#eN(Qs4s8V?jmWN6pssUrfUhhr9}fz`eOLwt#<#x`Pw7^ z?`|kBC)Rgo*uvp$Q=fcHW%AjWmWjD=AY_kMU{a`zOSHB)=TEuPGkvCnc!D{Z^5EG3>arX*8hPMK3X zx~3P$Kt;nL+GFP9cJd#{;B7@xsgk-5SH$h zXO`~f3QvHI1Ng#$jH%q$R=QVanjf0fZB9S3!%TelUqi1d`xPdvzWmpPQ|k+tak31- z!;yaS)bE0oFgXBl$dlLq7V}rZiV7US_FDI6a=Xp2JO9~bG+Od5QO)GxZ|bdGE6-WA z_rl*~i;H(3!3RDq5KxC5&*|pB*lQ$t{E+Tf+x!ga;Ao0=JYa?ez5 zx|V2sdhz5>8M=U`z?ELFjNn*c8LFy#&-HwNzB(GWC3P=pb;h|vaQ;xoN9-x@PkA4UO&_sE_NBe9C zfK5~r3$QB<8&I2H=3K!wnSb)j-m)x51Cax>_1-qYC7iwmcIAtMTos*Oe>d)Sul&yq z8?+Mlm38vDanntaI30T6pzfJHfEqnr>TNGpulj0}V?;h3q!*^Tx;43IHw0ir)!CdYgfSWp{w~TW=`ky)9 zgY(7pmr@QbSqaJcm)W3mxKtA@yJ(TE<3Hbd;ye&{$Ne1f>Pe4bi6n4S zsau6C``TP)ysF{>%UE88f71LQvN-7M{3>d8Ct;`?W&=Z53N9i@?-R>h~Ed<)%m^V6Z#2_NJ- zRmzn0r zyLNAU+4tq;?o~mhr^`cg?VfQ~GiRc$*n4JTZ)@YY*M2|Se*N!%Y%J%#ZRx8hc)0t9 zI`YR>-&>`7+5P-ZEuB=m+DZMzY4qa1)(HKB9wa1gh789iwR%qP=2y%=`uvxPz`dvXEb{2DJ3=7Q(|I)o#_u`Sfb zXA8LNC|8%)nqz^f%)%eP?>qRqCn@&g`HN{#oB2Q8Y7YImZe>8`DT9k}%Le@ZrqzoP zNhRKEL7$G?Oih;mI3Pm6|Nh(U{R3aCNon4<@g*NahXCkd-KI;qX{R(e4x4hclJoV6 zw^*L#aBGKam4}T+tUl_>4o}qsf|v8wuCJ_b!yI?{id&JFYxmHyqjP5wr}n z66t$OTsFP#=lH+u6M1~t4@wRkiF4;*ubR5boLLDciaG~!%lI;y7_!L$@puCq@u6xhWlg} zS|1ezjiEQWd^6(dw_W;t^YWDSC|V?#Q_XwtT`M;m2I4uK#kyVkD#d|pLGLcO3V7gz zdyNsPhT6xH{sQf5eTp3S;r5HxAMn;czl0F1c|XzvmVW=^&XpF=4c}}woi?5P)OoT{ zL*KJA==$EBOON{I5^h#Le)jYZCFNVI{@jcy1D1H7)wOsaI{4Az)$?L?@(f96@N#3{ zOI!5%?{7H*#r=Z+Wk-JcobFC4q~`eD=Hp%!uX(tR7g_qvXB6*6zjC{9Rr>$q=*+{R zYTpMQ>3vbj5L)e9_EJ%@mh5ZRETg1Nw!~nJDN(kpF-lB`%3z|bV`k!|LP$cz%%C9* za~Q^8mh(H`-yg2a{Nv&r&-2{({oMEG)AZKfT|l&id6bpLr=g+<*#2-0f$iNk)La=D zVsk|LiqrSrnX`A;nh6eipR5;)<1X!Zni7;P+Ibvb1NRNcyduRfZnP&5gjf<@KmXE> zIITtiVn;MX-Uip;jqHy3=ZwP?Rlk==>HX9;4J{bGol~w^zCAN`KBWJ#h6`l~i_kO6 zvKIJkI8zta78<;)BkOk{Hx?9Wyb|Y0t(WQ?bACcN<><(!%Z_ySZsg_`mm`q*sO+s{ z5-%vjhI?-KpO8c#Ey+a&8DR1x8L^o1Mu=2axiri8pBAV8f42jQu22?L9)lj`6(&7O z_huRRL5Df(+vma0Ip3AsdZvmm*W3xl9Ut^tig29iJ$cEv#k|N+?^gz*`1|cYprz3M z3~Y^nPr5@E^yx#xbF`HU%I*s^?e!?B(f2x&@bWJ{g7qN#n>6Ps^+#{J?>Sam_nUQ0 z8@NRHlpGBWzGkKEp6~YWk6`kK`{BL94JtB%rprK{S!sub;mW~CE2ruY%GT~W63^v= z4@_ryH(bje)-%XoC*B_rI-e2Q*P}ZresJyT*Zq=uXhp7y%$;kT@V3m0N282&W>Hc# z1BqdGEe|rw0ReS(#x^p|t1;p#oGT(A&P3Y%ev{s1;URS0%!Tqp^rNCt^#WbgN-q8< zGV#q@N7|z|7(|@0>9#Q*XP*k5=ce$&02Nf&tt{cmZ z9x-w}(5|bGow-;O{5(!Y;T`tG*?=;qm_e9gU<6<18s$W0Bncm!E+SrcY4$?*T>Prg zf4h%&23d^#)8xE2p)BUZ_!M-=8KH*(b8XMGnMZD+E<{NeDQg=lo*rzf_(q{eRmN}Tg?;ovis6=vfL_B z79XmXyPKvb_t73ZRu61n!#79d-S`uMu%9`CCadv&Nq;in2lsVsQ-C{~Qx#OR5|qEQPST2n>utv>rH@jp!CCtA&B&A6f zxOi0ZdDG_un=n12);*)1uE)gPmF*>GZ1QX+bF?&+9=Iv~Cpf=$`$D!0!WMVzs-^Qr zAXoBdWs*Y3iF*HI{fJ%gvWD22#C}@li&u#*2NW&UW~Id0)&sD^2OFqAwPOdr<|lO1 zS&}ItJ}|_8u4%I4@8S5QUoXrzGigb*)V`8ce~kx*W9EDyHBu}Szq@^%G7onB+*7f2 z8wHMUOhh~?wkh4ZJM`>vYNRkb-~*@0m&^a-(mU-h6sD)3w}^cREE7+q=L!#Y+>oq& z`S1Iduc!?Q9IDrdousWqTz>+h2s0ih3_P4gtGmfVB;~HtZ_k}vwf_dn=4Ub&lAmY27PpEpz<(io=Y!M2ioA4uX_ z(5`+hRL;e=a!i%9G5idl^Qmj<)uznD_UBIxoN5R1QgQTawLBSbjU1z8*+)kD2mOFW z+KurprrrVuO%!Y<@9k+F9Xo#bQ-O7xwy%XExFgvjVW-7Q=AF(AR)k4qp^ZDooz`O; zgmZY{Dx1PdDp{3U)ex7UFu8>0K*FIn1<5Zj2HjRj9j64H+Ef)?Loy=8I)yA2?ztSj z-?jKTQ2puM`wqz#X-PE?cc0lK{^@qdOG7W~URr6~WnA{&p`-Pu=f_J9cNU-X!49>9J=4}*o0oPVqfSTP8(|2v#SFYU zj*GOkQ#Yu`eMQ+^SwBd%?E}MqAy`xZC<@OJ8gJ!E=51iv35Awofy`}s9=ulsLE#T8 zV63qES4@0;9^8MiICF<|F(fPfJygK*to$qUsu&~2A%D|4t*v+Uh@R=fD74f*|5gl& zn>(eCuIp}gM>j}D$sE<*u= zrTWFo{k9+9ss155xB00Pl9E5?n*H;S^o?I@NP`>Y6%CydZ~a`G^QiU;@%&+-Gm6ir zo(sxaUmZ>?yT4rfQrfsYf`so7j`TaAX^<09_~eKCXq2iZ@W}R@=;dhm_N|49L}#%s zF~f7kj;PuD_KGFr=IKfEw4PnN37w@O6Xbsd__`czk?z9X5ormIKM+SOr;fwV%L~ib zl?YVYZ1*d({;b(PGFW9pVmeOGd-2|*{Sm%<4Xme%&e&{nYr;15Fm)dAi=IilPu%g+ zb3_d+Qr&YX%LRUS@^e?#h>Ka`l^HC!wp7Ex>M@;{Uu}2bVtrZ&GXqz5%YmT~1zq3o z12O+`tI3;LJ2C^}$tSfHcN*16ASj90b?SkS>Z8Cmq?1B4t63@iw^d=V7j%A|av{k9 zkWOj3!bXp->WQ43cpW10&IZ|T(l?0jhdPFpAPadyg=;_4R^H&kx%aoeSN^;5^0AEb zT<5v2V;TdIR~Kz_=XkW)i#7;Wa-I$?BA8^3NH8h7@RwKN(eX+g_Pe>^=H9~B)yx0> zwZM(49Gwlawe}N-7J*_eH_PJ}~;wUOqGDUp;BwSmy z^MHYfvLwk|Ed(zmJTGB+Ks4xNa>!A{nM3=NNwz(^4<5>A!s@R03mZ<@ zj*-yl>Q+xny>)WkY0yYO%UX+n56{~k>Y7^Vd;}BQD41zeU2y1R9{8O08DVrAxqQW6 z%JB)Ic3&D8_*X#VZVn5#K!R9rBBhB5{LQ350BK0-KIZ=>=cnyWsAZjo@#e$n zep>@GH3BWyR6wfh$~RaGTd2#l)FZh(ok_>=Qw7Hj&-xsem_A0*4BG3LCtP^;wnAs@Tp)Ke=IUh; z9C`MUkPqA$pM=1f)i+a>v-gXekPK%~%-@lh-VT3OVfBfAe0fCpw$MT0JBN}(msCZ* zAMjiD4emJZON5j+K@{7FwZl{`Y43Za1C16MC|+GD*m}VaI6aNqI5>2&wmJ55wH|6n=?y zvim`B3p*Wlzq6bl{IU%dYIex8WB)+*uV0(pmd6->Y++)%U1aSm?e^9_$x?bCKW}vW zr>e>85|q8zS6ffdI)zQ``c)IMXH89wQHIi|xB9dC#>)Ev;=!-qbHJ3r#@T-I;+5{} zWd~C}X8gV`C~cWw81>)R1SX32JV7~W*h z**uS+d~Tz4{ggb#M`}RYuo{s;vL{q1az`uv)Pgt!NZ?zMXg!|`9TZ4TvhLXWsTc36 zwnZN7CRCE5cNvu&<(OwRVUh{EeH}=#QU44ayl5YKC$>-}`yR7P!telX{Alrb#Ez_F z(L)a;+X_^{!(Sg|tOf@RW$%tph`Gq_{eT?khoX_>T^j~TOl=ByQdV5|;%Dzc=z?~5 z5`TTgF)?5G5aHU)~tppKk*Yft-ZmO54ohwFJ_4b|H@}i^ub8*sf3nJ{bIJUwtJCK8@ zcyUGX>0PnanuA6*BF5j(y9b(M6_slu{%9{!E0XO-JZ7jemIk}qOkF@nY|6?%`&tKE zdO_q#P*L4d!ll6E_l8*w|C0Wj;Y+PAq-8(+vOEE;M>)5azu>6JwC9c(Mm>S?x=3C_ zUO3?4)$F1Co&o*-+`Apz6Mu52|a0F z1Ucje2_Z37!<$Lrqe(-z{SS6sps)j_1kS*$bwn>>CTjA-QAMbSoW%7Nmb5_u?( zBxqv?R(B_Tuz6W2FFbUT#(9KAGo+MVt@aZ~YPcfrNKg7ryUki=UtivxZJih@6+@HD zrf0rygn9T^OD2Ar-dPi(p$-bSOiAr<7lTTB6D`1wj9r~UZjwY+Ns3iZPtr-ZlA|z#KlBvqQZ6zL?4k6zH;adcu_DJwh7xgubyEp z@_an&O_yZxW(R+@UN*WmR(v?RVWT6=@m$CB3ciNdUWx75wX3y7c7`f_#Z{beb5tJW zU+R;0zM1GOE}Qb-$9OsKRI!3`oTxogO)v0(!Eu$R~P@Xw{PKEQnO$q#M!Gq+V%!nk<5y{Np)benni%` z`?Rbb3;~bwL33)aApi8>>(}eO@P7KXwdk~@he)FXVoNcfGj`}>f%?@54G@@x{d^C# zU9;=%9m5G62!bYR8nmRYzxvr783ZN{gZo}OkZH-s54Ri7L_QmgDo?dJ5-WMLQuMFB zW2M@`YhP*m7Hlt;fzTPmli1VQFS3M!l8Y1fnK*#zoUjZx>mr!YVc20 ztYpCu_ZXRqI*^=^Ia&VFJ#FsfW6^QDt@G7N@*Oo|C+m6j_>s6tsnbZgu2z-b3_ddC zF+|=+&^llNoOQ$R-s8JAbiACz&ED%~F#Z{79LWFaw!U=p;P87Z5`NU~Kpb*y`Ft}Q497V7bPP1vGb z#v{NQLQ;C#rNLJJEB>r$Q}!llv&=U=WHH?g+mh(IFHMEIu+n8LEYo%(DXv)&0E<_& zb|uu$@DN4m50uWlqN)i$I2d^_X|%Sv z?ON9zSGAuAur3lOe&0Yh+A4mQEI>n*49Ce~!4tw~aN1vZpAE?s3kqCQtZV$nbsn5~ zzxJnlN)(~}DgEQqx%|Jqm^C40)x*A=Bu*@a` z$gU>+J5q!4$U?i@G8aDi@sr;?8j33Z8Y#}k9VNbPNlFfWa4^N}*{{SUt!oW;KHX@^ zY}GKF%Xld-eeE8f#ZNE2GU=slmeG??#3lU#dAW6)OJ8ce>P+9GXF-i!*CleohjnB( zn9jWC;tfwC2hV=GccI3@^HG+zk@(4i?b$O1m)vjmktfzJ6?^*6XFeBmxMYv-q6MFL zK6Kt*Vi{neP10bkkhY zjkYg5@AkfZYUaDFWNK$Ra?37a0C?)bgW5!E zK@Cp69qJG7Mt(v|(i{&)ZT>nQHPYWg^+)mJUPv9+W@h}CkCDEgTIKt#S-RSxL;%KQ zbQxMUOS{@=JO&qD_s8Az)+`g#zx7=+tDLreMRoJvqWu(3J6zxZ7@%3K{?Er~$iMu&FP!PqSE4t4Y`6Y7 zm}96h2ljnZ9t5q?l6gSKYOdq46Ft>?64a4Z>5p1g z))z{z?;}#bh;D{319j#dmJ?kcV+@7c%x@IyN+|qP7Nu40vd)J^k0*)NZ;Lt|68r2B z6)?b|Y?j0>4=ALG-0+;FTMAv!*A1Di?PzMJo67rqaNERuQh9SZmHBZNHOtnk9Q_7~^Z_AtSm`KgN7!R`gFybSRdg+10n>VrbJ4k^6cMpLEFZdlbA&#G7*WkH3SabX8T=7uNv72x_HyN3ZAREX5z> z@{TF)JiAzeb{eCcT*gB?*vncBTGQsqQ>`ATJ#EFh21r>^AEgWlmdW(>c`Uv2C@o<= zsIG@2(=!7}#vfncg=9KK{mS1s<@+#WbCLI0(SkdU=uhomxA4OdUvU}5FYS+n8cLv^ z^hA;hmb`9fEh>Fgb@GFmrwb;J5<`yWsGq3n2n#s$YvKl3@xg4ju~KKBsZOkJYfE9` zh2j^IPlM)~R1*9Frf>AvyVK&$gIYZ;zI4F@w?>=pnypBz#u(S?fs5o{+0@r^F^Dg) zX`4}8{lFZk@Llh4O%$&)!rSokTLSo=`4%Eal%Q{ROql^dkm0JQ`;kH@IOFn2*0tbX$QDd8srFHqupG$hO1r03W`hr%%V_eMB=q04?RM1Im z%79O5`ROA8n-3m12h4s%7nyos9mu-39~cZ)4eIKSU+!O;iPHS|rjZ`wm)&X^;{StN z6f&--l1s4Vc!o@2o*!xt%OdBde9S#JqL%-as}n##!>oBNZs)f`3V6x!ah^*BG2@yK zE>gGbVjM}ViT~tRNIO2E)v50xka4Jypl4pnDq|e{c6z^fd^Eusyd~Y`-QDir&X7h? z01cdv;*_PVGIL|ZZkAYo#=~!be>;^m}1poeDaB5Jk(Xg4s8CSZH{ABXHBx2ZlxAiB> z4boPhmwS086wl$PDpWQo)`}<(W#eZi_pKlOHvCDhHD$>1L~%pGN=z|a@;#tOT3p-= zC_oB0;0y(B@>+JepRzBJ##Fj2EGkO<=g%_t@6;&@I(P4V?By|+mZ$rE{rcC{in+g9 z8(xQ6DFN~0EMdzt68E2lC=m>E7-t6`9+yz-BEGwFDs%7G9T3?4_Xs$WZz|y8cUo~K|sU%!NyK~E$(lyt4j~!2YdPO81 z1t~S1YFzpxF>UWHZ8O`Qxo>YVv3gFy+J%-!O$h5J9Lii0I-O_#DM^$=*t`%- z;6_?OKD9$H_XM_Os|`K*sBvcF(B!khuhK3TeePdE{rS;d&*hbyQUb{3R{x%U))vbd z00o1(A2xc&$wd*vS@ZQ3pQXH%4t6N~-Tw1SqN6Xa`3ISo?e+HQ3ErNME6y$&1-*0o zz@_(58L=B1-0L8C^(JcXX@-l*gUk{2?ep6g#F`n13D(yK+a6|7gFHHayJzs|rBkI{ zFfcFtpXTDP>hv39#KJ_qWv~uS)4Nh|$6~jL_J~Gv#Mgsn=3BwQ2+vnMghvxP5u_?X zU1SiaiBAIHz&TR@-4$-=O$Cu%Ody_OCe&GqLw5ohfu?w%dA->#%OAO z7#j(Q|Ct#)9##ekUZsQe=9ukRRf-`xUnTtaJqlAQy#^-gF%T!LE;{B(% zfKYf2flyKnEY|z@6+&HnxsfH~5uS9bu+KN?03>X$k7%XBu0Qp8BR{^ z2-N=qvyYlyme#RCyMFG{Zy=uJCtTZ(1rI{En8dD(L4|Yo3)_w+DlUThW9pGM@4Y^? zo@d_pPh80C0y&967w|irVDIXM)Cs@H6!d1#9j_yI5#IEdcI~t`_z;l`I2!$}Y};)+ znX_N+JgXuAu!2*_;d7oaUQ>L$(O*lU=syeTs7VYhpIQ6H@P2_txg3*JN>0)P7X5!l z9fk%$_9QC18U96V?AJU`ATV4Gy-YDQQ{D8m+MB(wO+ZuibMOQJisZ@Dko6THEJ+z5 zNh{EZ=$It~2@w?z zgY3i1Tr0=Pl@#7`Z%4zSh`-(Q9VJzq^pB~&eIa}QeGsLTlN8QXK+K&)Wm3MpQh;-af^0*W} z!%ZEgC2aqDB1%c*#*n7Ypt6R<3yn%>|$^DpXTJMT2qi)B7vv^)6J72LI*tV zCYtO2rCF)x>qhvst?S)mD61iODlPX)If!^S{1biHmfh@7{v@Kgv@4MX>7VvOXo8n^ z_!@5883VUA6cfPw5gxhfW+H72J4qjQv=F;I1O9C=uCpb3cMl#8i}JA#8tI-=*t(hC zW$u#k-=b#W-oS&V^AZNeBL6ykM^QK=`eZUnKjs z)3(r4x&0CyBF2&X0~i=i_tbvcp;)BInaB?AKRV zJ0p6}`dQ2=_r@%#$<+qTE_b)?1KM`}bP)+BqKVK&v?7hrJC&5ClJkbJ+r&!kTt6Oc!{PF1H+abSftXan8dhwT8Odcz=9}yO}4<@T1wb zy6)Y4A#392KUMHr$MAGSFP$V7L~TpU6nvvBwzQK3IN^V#A3gnXQRp}W;at~w3hw{c ztRsw(z!>%|?`(bX26s-3?3U1s^B>a}c!@D4+xl0=A1M3X8hLVUA3E{pHQ%JJb}Bsn z)%QPtX`~h?(v#NEmzm^;c>lDh2)G`|GDJ3f{p#QNxV*%k2%sMB@Da_>!wHl=S`!Bo z3`IhE{}_IiMAhurv9HDQ`G3n6on273Gl1|pkAG52>ki=4YRL349<)Z$VqPjUoT&_a z2PwVqdUE8$Xl^XrC#ywpu_nBWoFkPWSTqa9y`53^ucQx6VZv&k0pJT7RXvo{ zWQ4G0OD7wSg6bT|%zSVqHPGK0&W=aHzjFB3GsKL-DP%D>_!rZ?=wbc26XXH%0Fsvm ztPNE8XHv(BW<~AzQ?w)pl4Fb0Hp>ph=pejSS4nr(Ez5pI1V$M}?@|+p@IYlh8XVVK z#D%?9cqiNhPKSM4GUksK z1kNH8$+3%0@Wt_;O2@8DKB4tv^ctxgvNVfc&6}&2 z^5#`UZ%?)$s84tnDr(dXBVjqma!>?G;a8#06l$@QO|OVONua*SX)j4HIIVx!irNwg zb!MFtK;zh3MzbVN4s7%LVWc+Chflatd6_fX}it-4M|C8WE7Pr>oUbUDA#bBJ7WU zA5Uj6X3z*!lsK3Sv5y3z(3d-rXVVjU&jtEGOt^lkC7g`6qPj^q4}QrzO@}9&2GXC` zBiX^-z54WVP>N)?8=U(R(rg; zeq*=EsgE|(_0g&iwSB)`*uL_$h{nm%RFMSq@u&7iKq&BGY_G^8zf;6}!6slpBBw@n zRsNAto&%OEN(9cFVvQBB?rq>XhzR!G_iAVxMCevHfV9Zw5b?U9Up$FN3%`P1@gI2P z%W)U!M}WHQZL!?pt-D2w*^CXPM%1<_r(LC&R-MUm_BDT*f+5qXeA)M)3@Utk@EtVc z32z_o9tcPX_57(yp)mCLfy6+nMbRVkl6vx!@!#^G>Gp@;4Vi65$M=@7H4t(3k3RIP zDOxF)R8iB7G7oL!2aJtlX5hFMa!(-f_l#SFb4Tp5XE=eU;lzFwv4T0h6+L}sZ59Ae zO0So363Hcy$#wD5T1>(Z7#yM9xetMc1k=R3TR|^^W0xmLgqQx_RJDb%ZC(qxT>e>W ziM&*bU7K$#O+`9Mv+=5#EtfPBjf`1&zWe>!u12&P&8%*}^lIPrQibSs*w@5rafS6p z`-pJ=H(i&bj_}C(RJa~bO4?A?h`bD`I>a)u2)|l4hiR`D*{t4x@%(yVvc#hmJ4X?y zfRKdJi2`}H{kV6Lvj7{l`9+h&C5+jYXI>tkg<1}}b)G9sN)>VRsUW=3gqNp0)`Vw# zzc#`dA9Q<6roqEG(Bm2}a(n)kDA%$%6A%Ci?HOMh`Nsqv&cQ8ey(-F7v>v)AK19}^ zc-0%TeBe3xau4J1T4?AGNem(arpI;|@aD-<0VFx?EYu`*Ob=9p0o_?S?(M~RpNl#w z0Ao{0u4Xh#5p$ylx0QXRYq8K2w1xf7((AdEOa%sL^z(iYdsoK_A{wDszK*O$mHu{< z-J^}q0DAIqe3I?fP49@_z<%6P`xtHJ6XX@WOM^pGx)Ax3oJQd|@xUT{Z6gqvXJ{@I z6Firi&SR|SJHk-g=b9@EyO~iz2RNk;G<$vpq zxE%kAN$a8616(Gf(04}Mf!Z-ayhg*(w1{E2Ev54&ldX&M_G9pbc8TjW)Qo5RZ7@Tn z$LE3jFNWcJxR!k3eq!0%{*etf#{p-h;M?$f5yrO8r1?M0)K8%I-H46@R%|?H#$UXw z*k1W^*W6N5rKX2-*^s7btI`}u1?BYM-s@i+xq;H7yzLgq;Hiy0HGPzZ>le(Vv97v- zVA>Fl6Wd`O=py2c5_rDJ0;^Ea;Qt_i{04LBR`oWw;v7#)4gNgGTo8zf8BKh70HN9K zCw=iP+u|)1$%?NUpS!ax_n0oL-UaoxL{Ck7>0-y=x^;Vyxj7`ZcsGE2}X+%j{=ab0SX?BpKL1LWc8t=k+IAM9)YS!|N48}~GTMD1$obD(UJ zrzIvv{2+OK#Pr_HOcIv|gYLEch8++L{;JxvF&!KulO@$N`F0jB7u1++yoiOf71hbJ zFEFB9UF_fHp+BMrkMzp&Ugqk`(Y|&b35-C8Y$4LNXJ6Wx9abG@q4@io9~0AZc76NA zVv?o4n&ATN-Pk~WDX`U^!2(N=JdKz!FoUuPw8v}~M5Q*9#UMX7)Bg_iQ2aJVhIltt z&Lau5;1(p5*`<}VZPTH12e@amW_jQ5KvV ze+pd4-x+bLHKMbumjz=1(-FxEuBOQrWi{Pp5QhjYHmuOgQz2jji8M@LXg{rjvFcY3 z1j3-p7%ch>o=m2AGsElK1n%*uH&>?G`4gTSWG_|{T=K3QVrCz!gs^1^HOeB1)D}oS zI-Yz!e2G22C-piDlqTM@>BtoQd>_a8lLQ)o2IMoI+t0+w-CodJIyCPI>-c7W3Lt)c zjCyO3h7}bXf1;&=l@D9Sd#}zUx?+`v55PZiS9MxqHs5YjIP0NUbPT;-0E->x{5+u) z4pM({{PBodmsydPx5ix*+NW*N;lp2IZbcpXqCRE-|5j!{Ua^|ovs>gkaWY;=#%s>$ zW`3#>qV@@KwqUJgv&`jrL5D>_^u1YDnv4c@uD}V(-K!vP!Mb#UKDZT>>#gC8yL1GG zNbGP4bbKbLY(YAOlB>|KoB~8N{k*CSsc#frFf19MJ!FscuTb6Z9i(k?WEp_ms?q{j z%M-H|rdK71tYinuqKhtIBnqsYmjf1(AM88JW3Ur&TN254db*GIC(x{6Gn$3-$xh}R zw5`VLo{F6Wg>}B`=lC2}1-Us*p!p@jwQGME{PNJeOFuJNE>u)9YWv%d%-5`PsWEiN zcOtzm)@o7!Px2DI!69QobquU<>63SKO2E|eW02I==<5_{ZjrX{j)PGI&B~;c`Utegw^5#p| zY6F9D>%sB%qJ$3Lq^hf@FNL(1()BXHv!_WK@qXrc6{d1;W%4zS{c#v$pcOhgHKzS{#{uNhE|0Gh5ks}IzT$qVM>3=C z^Z=R2Z!K0eOI>m1L&*d(#$ltExM^z*q$lZqKbDj7OS~tPpA>tk0j}A|nll6%ck4N= z^#2x>V2ro8p!=LHf^H|i5{QWd9DKhYG;4(iumyg!=jx9&o>?&GODwZt&J3=iMREhO@)#X?&lK6U83+)Is~+)jB;(n27ib_@HIR2nZrUF^)A z*)pmqL5_Qcl6y}(q3+`N<4G#pL}Wb(BT$>VcGWS;0%TppU;t&zTV?fMyjPw4`z{0u zNaB)p2!y4=q+ahjvU6jo%c@%Z#&2?gyYN(jI4B??p{O8YE$?r&_?{q#mIbhG$CeMm$FDk8z}d4 z0nvSPGfsppk$mk$CmZc?2vID`ngfUeJw`PcSx5;70=ez%1XQak6Id>IZeE;&?lOT2 zU*q~$J`Nk9$djqOs#0JKOYqy#K?Y$zV!Ud}2t>^& z5B-UU?Vkg6DKt$FV|>L*Dx4JoPp7i+r`zt~dDGg|1~l~S(Gfk3fnXYeozS-}DLKCR zVn=p6sb>k{NdaQv??1i;#YSXE92PVgwf?+ELP_CrEoC(O;OT&15y92EJLKmw^`Mj! zWd@#jKaI&1^div7hZl>3cI-IdV)^{fW}ITvre;l*9i*dk;fvKJL8w1mQO8Sch3Z{4pTH7f;sr$G(TI9HAw>010H_Xn{SF z)lU>iW6c2Wvl2$Z79Z8)FW?YkJvUvDl(y_way zo959?D8lNd5vw#m0u&EohJqRjapnG#kYZ&fD?|h1-+~#&w%Kx7+v@K-VGzXJ@+d&2 z6X+bIM~$`yLeEF_6y+y1Oc%gVF3I21gI+VR($^U9!|^_5qiKI+7MtwSygdU8!!KRA_+~Uk zLg6gLe_~dt~P%{#B9aj;!4ae}uUCE4_6zW(e|2LZ4zYz$8&QW=bHEos#UL#;p#K8c5P+AL-(Th3C ztz!~na74-+ZfpHaUN#`cFY6M~*Jm%3JBq!~w#S|K=egpTzwM9jkQ} z-{jEIIjH1$l$L1q1fOM{M9$nLha`fF+GKpi2^tEOlH-B7V9n%>z!Mg>x1)@Rv{CjL z`pWdxcAQ_JYbggg#=+h*{9XjC8cnwSvWA{Sl5$q&JA&p5bABk8J>)*N{{6G#4YF@N zXV@(w319xs4x$x=U1)FpRwGThtkRo_o2gL z#*mjWkrql>x7v`>TWTmoZJ`UCxzNJFvm)4;D_A=YTAEdWT%|rnp9VaOxV2Aj&tFg@ zkeC|kd>Q3BI9=o-q21fRNFV3tbn~p|9ju+KV?~k?{k!0eY0WSk_AIH?%N@Jsf&{oxD_0o&=NsO4y6Ln`r__Zh#+4bpmIpP>TGaPfbO3KdU)WO zgzZi>0DTO-i$Fu5bwzJf*B(gGQ*R2pYpsMCwY!WjN$r#@q12Nfre?f3<<-7rWhoEu z@Z`)EumS|08yeM+zCh#ExB$!L2K>C!!zt*2qE1yO4rSm=(->Z+ByN%Hnv<4!nm#Ep zQ;o@w*v+?_l4?-Q-e?!-W&iFFc9z~HZ0ts;=Jx+A6T}AE)W*69^OieN6u`fJ@zWiI^MJ7cU6Zf4#Z|`cV^S z)QBLIEKRJQ356&n+l)?q1cAHahJ>=t@*Lww&xu_Tb40U2;&+Cg7vr;(PA`I9 z91aXVaAi=UV&r_IJMK9h!FIn$a;6=B;8xEL`BN}L46${I*EmsYYRw;W7e>pK^qh{k z?&_omyZul7TMeJ7f=9>mASK$0+oJD(osk#A!=HIHGM>+NDq>J=NBuDLEi-!*mC+mh z2d;Esl1ve3L)w#+TpS~BVJS6{+|kUztz;Wk_R|ofn@- zcNwT%q5%MYwq`WD3H_8n>)Ra;P-swajm}tn)c3+?pl19t?$5i&)zPoiEmc$E$JFwy zly|v*P}MQ_u&W1OI*BzqGkJGQhF@Y1e?GmpVJq=D!>YYBL|!zd>(X?Q=>f?_=aN(1 zH&LI6*;o> zyrKpkKZ_(61yDBA!`WLcP~~r%JZ%afdA1OPaye6*YTF($DMc9#Y-imoJ@8NzAdCXU z#jk3ep6pJqc_Z`r*Po%j2`1lNJYJ$$>uB5urB=9<;TEj_92{}q$vSz+oWepBJv))C z_*fo8rs*=%7AG__!@ZJrw}%vWqY0z;?Jp+Z)%E*0?5flN-Nc5K>Yxlqt!!}ZJ|w%0*1 zVao^t!k9Qgq$RZ6mK-W$a&Si?u?Q&7xH3xs)mvy2nFLk^`o?q4Qj0k`Txz6EWtsPk z&rTyUL90N38OE$vg#|Lfd=5vK!tYc-;3=F+6SfG-2Wasmn=P$-SuF0EBGfHhlg4Bs+s;q=6#7`3q+B!x|2E|K&%Z3xs6Qb!35 zvk9F9lwPu%vb9p*&#tv^PRSNeW?Ci!#gZkMObVTUU5)8{WOI_VXR78|MZaWUz|Pk< zt`>suYy|?7OPuY~j3yAQpUO0mtkQ{p>fKMtMtF#ckirLS_goPQ%Cdg@%;qV6|ER&( zuK8k3DYVMj@w}+_QY*izzC^m(G*-TZoD9Rnul) zO&h|!i=*qJkEzSJRU-=L_w9*ybzSm-oFQ5-E4T%LA#oD1>P{36C)t5YAy8uxoMZST z!HOqk4niwkPnHLu*%cOh69*s?X2)om%cj&eO9|~+m+k@Nu#wi^+_iJkqA0WLdiSQ9 znUK!x);UwrzC@hiJ3}qm<>h^_h}CKr4Fdh6<3XBylIn?Rzp?YP4uhwoVm%TrqNO$JR_&Z zJZxR;#1{G!1y))ZJJssP1X^M_Bu2rKo_2E?0392%%s`+6R!CW{{xUB`i$4=WMr$e_ z5`#i`${!Bmv3!djxLLTVN~C(s{uL=9km(dKmb0o(r0KlO04mA(9Nt6@eocxKXl^u) zBww}Ne+ruaO)Ax9D{2y$d~T;VA_hS@iRd^sd7d&!;<`6(AK$vx{q@w{tyA?KTIbY6 z)a{u+;1r7qkDa4ehAua^S&DwMcA~Dok}h;FyATNAm-AiPhpA;onyRM;{=`0uw9tXw z8a?bFwPj^xDFfMz_O@!A7*Br#lF1!XIS91&-ie@mZgZ{ndl>M`)rCOi_7gVvP(V(f z008C_0teNqLJ7aSIN4f%5gTmE=qKv|v$Ql_sME_Xg8a0FmRry94z$^xlUjs{_z}z8 zZhpIU>^~_n;@064-sp;kxJ&pwFS_am=&Tm8y-HD$4$mO>C`TRkK z(ps~>BqUYh#9M6-U50o-==4|?SC?&vPu{P_IYudWo*nka1cOd!eIFYdU3^6cNwU~ZK<2Y;u8`XS0Q zv)7x~0p`0pA!rE|yzg6jV5hee4VtsoU?&{Pn7|rOL;sW%n%CD8P*2X$%ZFy~;;@H( z7RfmmVCN#7u3QOvn(aeIzP2-FibWutnWa&%;~60|#LTrJ#AL?i%w98dHDR}rQ(WT4 z(oZERLPJ};C9LQJC0)5CfGdlmtW_B4b)daqCN${O_-aE8?e)iM^s=s;YZU-_4v3@$ z3e;!rL~E=F=eHqAzjvL9Zug@QdQq%zs%_}WW=@jL=^rHp(gYy!8PvW2-HW5HMwbxY z8rV^QKy`r1Jt*RhKyINi2-@O0$V|I|WIl+2whvxgg4INKdhfc;naXO5tb5<7PxC4> z#Py+>@h=J{s&1bwkHe9K*S`g?gnwwd=R4MCi|5+pUHfKf93v8J8!lK?lMtUC$86Gk z)%8RfH$Idl>jbMCS^v$3{FCXJntTWPmO=rfw~#A95a?0RPessGOZr*HT;kBbTLMQ< z3X}6?j1A+ekNL|ssnIAATZE&3f)VjC0mh}UT;>Ku;W{`w(~0Dlnv|L zH?E5UN!wcwM4g!cRV+CNL7_c;>ajR%;<1xHe0rtz55(3<7nUrf&=C!RF5yX{4wu&} z{`7xyn1*R)(LUpN)leug9Txc6jFAT!pwQ|70wQ!4LCz17AD!etC)qG(S{!h56&{4%yvMA(U6? zMRZgHZhWqv%xx{7O1ng853pn8on5`T6n`(RL+TlgGJ@0SyFC7XEPZ(()cODaZXdO% zc2O#oT!oU+wb@OGlBCj28Kz6M9V3)C-g9iCREj9GWlQI_n@~E&cze%ma>T53Y^lb3 z-mXcK_nQ%KW3JzG_V=AXI>va<`~7-7kH_=zJYFY4?=G8Z_HRy~EV=MdP{=s4!719{ zRd2)cnw>04L&|pT!_Ta>rVGyUbiW?GK7GraAY38K{clnCXW#75tkBF;>VBKyp;Fai zYF@Dojw>|@TwPfxf{R#n2oD4!Y}qh#=HIkb=VGSV?fwPfisdx%x}$5?|3Z$naH}tda^C5nzoZIOKj0hs9DCW}PY*<}Pb2joY}a>$2I> z5$R$%F(M#Ry5ez?Gp5PB^5yQ$OEy;K+&=cGYb{Fx6*iA%^6sPuJew1Ezuv~(iRxMH z-!y52>$+q=g++L7VE)6y%X>5nAk=oh?eg4S&}i>4bLPrb%yd`DF7 zC#rAmGPJO;xN_q%#ud;bN>&xrAGM~v1eN1mLXWVT9D+oFse4P>p=o=M?G5qtjExdO zC_ueaCud(-DV&&Ub%ywGbBsm6D0)09r4=!hCh+|7j>94G6QAiWrmJ-MQjH(mO3A1+ zq2nOgCQ@BpO%MiEb+nSw6`fR43h5@8Y_)GRm(mr!bDHDXFc#8sLr=CHXCUcRsIE%2 zrv_Y0BJ*$3)f`mjKZ#uEoPH`!<8FHr!%i7i309h=;nlA5wqwd=hadUt)4ofM z=c=E&ce>tRR0qfDI2%?w__9B}b9_@%-u`1;l8cB@ncJ>(+Y+@yRW*%zEi1J!6CrTz zbm5HntxlWcx172_dfsKe^vGL85AL=gA9rr@e$IVy1Sl_$&#Ezg@ zL4h}Z&)bd&f>oM1=Qzpz^#xunc~JS~*=Vq&7gQAcKv)8O3Y(iGdu=#^j<|3^PkdV} zPK#_47(!p~D5~(?6gw<)T}|ggHKQ>|5RrWo$DsO*PhM-tMLHpq-TLj-6A1n_L;t6< z^%}a)${fKKZgifN>MF7Qo(k&VvHm%2R5Be;97OV5l_QaeI8ROxFLvbGYa7~v5rKr9CUAsZn@p8uH3-Rl{p05lNtmfpZ zq-@|JRB#-InVRGku%bwjWUjZ8X}MjON9l?ei{Ty#bz8rd(g@h&0S!CQQ7VbA>g+ZDPJl`HA^tQ_+*UR}u%=U21(UUVhLSlZH- z;)Pm@AtyXz7q5fV(5f@W(%bVwP4b~@QA*~pl46=zf;-I6;~gc< z1vA~%9rxA|9uK-N%xmATUJIk+V3%iFZU-G}a($*PmZSelVpGnKU(HwR?t~KRmxv&I z2BASvwWuZUAg3myLvw_m+j1Hymt5r1^7zhy!4P5TGT$6p{crAwHb07yuOB=}TV-m^ z0;a8VuSNu0J77~puI30&vS-=pJV|)oOVzUsS;w2N!Rvf)_m#)}z3!_4Z?8=~5RNK6 zw**AA=VNb%rW1YpTAl_`9MObOkc58q)&}{k>Sx`oDD=X=f3+Nk!x-S)(PvF8cQGmx zdD1PnulbPRjwX)&6`a7^ULPF44_a}y;yR%D^G;ya4eKWI>96o<&bH%h0k&5p^aQ;(6XBWz69_$_84u+v zD$O#@e1unCEoYbYOCf3Xqbn_4CB}MJR)!0hXLx!QMn4G?sh&*Wk?^a0O}vu2yK@F* zz-BL}`3y$(O(Dp?c;jP%Ks>TrB{EAe=n7_E=W1mldvKq0O75HP26X81%JtX%lc72> z5>YY~$Gm|sL{lAgxMo?Q`l5Qhv}}zF8)=Qlsk?LB%GES0WOVqKq}*t|PU0ots0Y~Cy;cmOs*2W=EGwm2#qi43FyIR;5LBuwbH_A#O`Hg$ z)C^9jTMA*e9U`c6W!m-)4;28$Z1wVL)>g@}eS@DXb0{N5-Gcd(s7iB0I6EH;j}9dn zWbmh}XBpW(YaC)^!8)^ra8Sr+gX=gcE$u@wrDpA~FnalZZWO^}5sf4!%ZuTQK2lms zi!b*gF?h(;Qa6o{jG)EdkRxwCIYL1VhpAIPtkH_-`sJ{f@ug;33{%%1q;u+C3nBm; zG@|R^-ZgX1LL(QQvz`&A%B3{2pLPnztkxs^kCbklMi9k0S2>{or+I&+0&^`2?+sN| zL&aCBW|8!%ZA$!*^C?pBbY%FzLPiB=VSAt@=WEQo5#;4AR!KA?t+Y|<*%;Pz?As?Eq;0j(g3A=B^UN79 zF>OJRM`*Llo3w9+k6C+5nN~Y*k$1Waeqa*c5~#*6v{vf*zhYi_`cPU+baM zG^VKXjV7Ej_h1 zXTqBI!CVIG)Lmp5IN9muN?qvN>=Uw%I!ygy4c$|;rDdI2<)SrOm6;7=s}muW5|vE9 zU%>|)F0IPm1pSR33Li<|FwZ)5yEjf~LRAN12qk@vA?gONmcF5cu|2w|ht0QkpbyoI zJk^5&C58|6ATs^YxInX_jEGN@_TK4#HPgI^HogPtwSHo}(of zHU=Z(`>uUYLx91VfRz&FLIuNfCFEg?+PgWNyJ^YsW^PAF~ z#RQG(ei-RNw6d+|pRZGp18W-7oTh5fQv`GT&{*3I&t;$Yth+2IfI;bLGZ9;&c{79f z#TKvHKFtG4X0YDp)~k5G7BuPgNg3;DJ5)1eZ6_Zn+Y%8-J6d`Z;#}An=exdkW_*t9S_2)(Vr9X{O6#d}aIlSwnuYpKZ z{PP{0bijYipaWM6so&waG~c72tRpwm^;0cU+kD`$)PDvpz0B~*fH_O7f2x1a?PoBZ zvmXzu*sPPeFLCxah431e@1n#g{Jk@ZAZK6m^uV+>Q|(ZB4@6dj3zp~!2mn5>JPUYf+lG4^HX_h}qty9G0d85kS%yUf+Phmjg*T6AYmygUAlZGu@ue)W3mB+%T zb*VwHEb-c*27*RK-tkZC&Hv}To&04APR`uv6vKt<;dJ)(QCuxdul1_}@)lxqlX`PKfMgJBaR8X2R`Z|6cV7&8(ms z2}QHu>JXDi-&|Wev3W?#yO*(&Em-itXM^;8(#v7EO{}6|W~k2m*XwN+eS~iB+D(mD z=%>@oq`(2FDn-cuy;Rd@!bkrT4zel;*5QkEp4J3C8qb#{g0jmHVH&Uz{BI+UE~4um zghbazM^=BRM!rV;?uxYWqG_Ua%aXi`#t`2h9~0%t!@3xvY7T-aPEj6B2FSk0H# zHN~DE?X<){IJGrPkp1ZKUAxLx1(v@S;t^KAu3GCpfyTb>t2jD>3+h7YotnTRQO1#G zui<=Zwrwn*AZU5&6YmU^peWt3z*NSE5*XGmk7~@L=vYc)md&RT#9!v*;te4HOfkFR zgUO)rz|~I(o>jd)6hsUJD6ylqlUCqNT_z?$v3|I!X0Bd34#B<<)DLU5g#d+h5}`&L;W4IJe-a|Apn{k6y~GWF0pTNx&ETylfOLt$D5!XGgtFDq*NeGQX* zsLa^cgvl?)wjFX2_@4P+hQ9hBrgSH|Yo2Uk4qv92-oGa-`*2fRbSUG{yzzMFKa$)i z`tJOrZZEH|DxeJo#4$Kb$O~^hV79a=^6nYWd3#vu#J5VWsO$XQpqXkdj73{9!k=F- z=Y7u@suIn~$6`aq3l9&Z6i8|8CvArjIk9 zSs!>L<>lUjXx-aulSgF!FFW?mQ^BQ^Q-8D==sRO&XL-jsH((v@^I$iPou6ZCwi_|^ zr~s<{tC-RpikLxqp$MTd8^4|UZv!EkKO!abv>4V=6GbQJn@bCNVqMAscH^v z?kPJljpA?_dFJ~*ilExWNc=~33<0`;P64q2K_wc$-D2gd&??z6RgxGC;T?&7zO7mO zk-dEyjVTnEj5Qu~qwy?*`ioVS`NZ?53Je1<&_mJaW#hMt>>Z9pi}#Q96`4l^bAXde zwtsi^JXW|dOI)X#l|GV5_r^~Vjo|Fwv~WhWNp07DXvot0hJ}}ihukwMx*F!=x3bMb z@BZ*dv;HT)L2a=@Xl*O2T2MUSzV^-KK_)hRBkR)@QhWII&E6$rg1K7at^R1>B08yv z@}Q492NMhdCvNjW9M8zPYgU*b4XRDb=IgVZtiQ{?FC_ zoA|lkXCMpnQ!Y$$x3&!0k$EJy8QeO899kH(ansuIzvfY$H?U8`E|L?*;kj{tta)*8 zW3+zy)<^Vp@we-(%v@p4@`c#~HS7>Anz|(c##gI#x3B+P@01!Uy|{cPrcN8NxSW}_ zueoT%>Mn3suJP@bS{%0afjrX&e9P83pz9nPu1w_1^Ra4l=Dgr&j%|;}`p!dZt*_9n zqU+@%ce|r6)|*6|0Rvm7**K%Z<}Bz2MfE-(={Gj`Myz7BzjWN+&Vnnl0hZUNIaZ#b z@&ao+6f7Ku^*NgX4AqPT>!X%be-d%~?t8HcGS0DVjG?x*LjAc%O{%~H#Gk*so zJGdbEg8C7;<7Vo(7Lh9@O_k2m;1QJ^QSZvtN;-~n3Q+rjz4D#9Uw_|8BGNEHtNdQz z49=3VWf8w^TS~2v2@6ZM-)jmSv83SV-J20abqy1uWpp~irI)++3frA)`NzttgM}mR zasUv#n>C(>J6aqYDzSkPXcq4`+l|IAEQ*jS4PV75>KIu`e^UX~{aN^pC=~TmNXA+*5vIw9qnn zVH-!vwtR>Xx@9g2D!2<_76=ph&Mez(GWmDu99s@fV$u&SOUyZiw-&3PX3wbvZVN&o zwBqUkGdEOW&9ZvhtN}t9YkQ>50bxcKT%VzHLsHflGkgi<(7SsRe>aP8v1A6kumaBs7nI)%TpW?o;Ny{H&?-p-*YvQ9*hI@|Bs@i0ZN?A`iizxd)H^+WNT2~h zV3zw5t#rRDd@Jb%_Z3PSP&2i?8ef zJWABpu%p`?2>HdhoOTQo;#ry9mNT|Zty8dyG9lv^Smxrh=lWcl^SY-%Wj@xD{TRLsAi@l}uy&oyJ0p%z zJ=^e&NYRY8)cv65dkqUGZR!@YR7^%3MSB@DF?bd(gO)zc4rf{Rcqe?sWbNJ|%}cYm z41%F7=S&SK za$Wm;vu~5};DV_OEJlp3gkf_fdf`oNCyQ%dD`MkgQIj7SGd5_LgfV6@fHm&b`Dl}b44r|I+0$I|0kD@rS!SXct z0^k(e7cv5{>S_Q5AY>GM{+tLc004%nz)&PGkDBjkN^HB%Pdjvtt>G&@q*R~IW26Xx zC59XA5FAQn7-1%q+JSPHoZmIXPTvv`?AG1`h`5b?*<<_f)?X?Mw2Iq8@b@4D5Pcfv z!=vFI1;gX)Omf`=_B7eo>zKQsF2uS1yv#Q+o9&ooN*8U3X29%Fj7262z zy!ZTUb^T0fJ$v>zv)ymj4c+#Vr#fW`<6G|X8}k9NEAj&JLDoS4GUCaEc- z>d)ULiJi|0vS>TY3J2dv4Gg)vNEt+Dy$R8b5Fu!05iv;76$^dLK7wRa6zqHY>*xCY z3C&c?%sIgnrwr9WbUUMTH$VfHOs_e(dA?AUhQ{4^?g z_l$)rnFGJLKHOP>!j22zp|aoc5f}2G$n+Kl?`b7OUVx}+yt$XVDu!qtG>W_tF#sJL_613}pB&d@i-G@a;Z>A1G=~ClDS)GW z?u+2s2qqRzv6~-h|9w>%80fDe)%|>bw6q<*R|NI#(AgSElZ#Kb)SQHXeEuv z?#;a8KRM)nruQY8u||?ra(oMqH1_n`RLv=9Y~N!pim17HbjkFW8NjtWzlgd1EKJnQUZ-oD;=Y_!l8Q#mb%y#f4&@P2DhYN2oe zoT4{^#`i@6Qf1BKBm&=Cz=G>7XH51-6_6aKz^?oNacWteF&1zMEmGCQV2T@2WK_}BJIyS}#js!G^!NkZ#12+Y(UmjsOT7J!go24^icJkshi zi4SBFZvWlhwK-=R0nsA+*cD}yPS_H}Euu$dYzu^uw6751N@oTszNee@(+t&9Rqtbq z$jzIDgTleGEx&(>H5Mg~`RUA~6v^A--VMbkkq#|;^kS=k8Dzkw_d%H*wQ-x09lL_E zBZ6MdJ{a0GH2vE5KLw;tA-bPiEI#yl+~{`UxX!)jb`2Y~R#w`X@gIGEKed*Hmv=%m z=I#DNg!>srrJWnQd*Y#$3OB9lb}eeroB!L12Ax;$+kHYqnAT_376IFRKP)j0-kQDJ zgr~C#ac~~s7&hB8AjonYlb?-9q*QWwCj3~k5Xfme0Q`VQR5d|dSYcRWI(e6!A5d$= z2NU!dgwKJ}NaFHw13~eK<}a$NCq#`|v8L-P`@9e@aj90G&N9&tK)94?fenX?Mae~o zWUt{%0n54^JYa$2!xVe-E*=}#VmR*_oCfBFb*s!tK0&@%K7V>Kos7~*F2Ncz6hIh9 zPyWpIgc!O}gh9x0_xG6?_z@F%QYqQ1EXZx5Ul??KpwUEkmVS3s>BI-61ptGS zI~9KnjhoBj__W&vYx>H5`MCD>;ilB|1LA}0iguly%KvKvFU>aG*kEi85HJWJPTl>b ztOv=+vnLClA1K(eQy{zg^8EhV*hY)iTbmC?pKIKp{^ytX;uKdq{8NbvQ{6JQT(v%f zYo~@hzCY#$K6SXpH*=nfynZ^0)Ym14t7TeCJBcf1F*hj)Il&7$$iTIGzHANRL#59QD+G>KV@L=>is{r zqpCG20zmaxgiHY1s6ImOTnU>$epU`0C*kyWC2-Y}So`pSam?%co zFZA?bMmp4d1ZW6anC1hvhJ5BFGFuSB+;w(d2UQPu=U00BMUj5UyFedAqXvw`K}9U` z$tF4u&R)>(C_(Ab+eEv+!)_0DMf#iI0Qh&lz}h54vgxifX|!!;!-KNslCg!`S6TR8 z9?KZLDDy*Sd-R_u-vhH(ti1NHKnvZFslJ4wZ~2cW`AkyYrXsvH1M2-D3sJ0)t+6np z^wPTlxBu}0s}>6S(wGk#Nb0f_Ex7X%-R8muJTx*2Ep1xQkf~k-KWUJUK2uE~9PAqj>hoR$d(VXvUPa)O#kOSLIDjBSr!lNRR%g!1r}X4D z^_*f}Sv_bE-QiF%)Pry`Y-Z62+Be;tglHVq%|s!{1Anupou$#Ds#>kAHj3t|wK8+F zzzu3Svi@l+)D_6V*THzLl4?uH%*dyOgM_*j6<4Q-qFNr)wKLBQR4O0D=k)#W^M;dOFm~YZj4@}S8efMT+z?5s>#_ht1sI!p=7p%<#Y+gHA6PI84 zkhuSsv54vTCg-MqNY80|R5|b|^=F7*tO9mK)AiLo*HaUGj*8h|FAy;c1=To{_inEv zB`m3ymR4AuMqi;YgA&V!?3Qcq`(M0_wWDD}2;!^dw>$d1(pXv=({aG>7EixhCBLcM zl2qubi=n~E3cKkl8&0{=abuuMd}{#smgH@q>z-5c0wN`P`ut7HUw%e=8YzO|0yxvp zV-mC|B2PsDsVAzkW5_H85Z=wb81Lx1W8SF9q@B{?Wk z&-QXWaA*VEL|i1e=HqATGEI@5JXI`45kP_OdOUlSz@R@?L10ZZQeYC{o3dPR#aU3` zbxjC*9oXP3TsbAhn;-S6S*A00I0dNg{dP!5kZqO}k4cfA-%X65*2~&TT%kR1jtsUF)M`uHi zl1}+z8*Fa+6hVdlF#flK(sgrgZl*V$7TGGH6Lg&dZzN}5E;ZcnKFPbgvdVby?!vb} ze~N5>zjQz%<0LsLdopW_R_79B6APZ$Hr?3&XJ4cC^6j1rxt@J#6TaDDFbcbb&C`EA z%ryp0UAwG*pE@#DK!2D!dUnC!PZvGgZ$GB4P_sq2STiCuI%zsZT?f?rK=7rJCyPq? z(>>Lz+M?}YNqUG48GBG>hy>C>qyj7P`s~^lFIvu!r~Fnlbw|^ejMfNnuw~QdoyZ-|Zc_g9y#5b;%-z5EI421RSrbe*3o4Z*J^_)z#f**aQEC&S5C z&Hbz>CC>knz3TVzJ=L+`H;tFt9+#U+RQoi*svLCgyS9cJ^zah@!lZ1vmli|wfy8SL zXHR6WZLyfmz<0M}N1&u4@&%E6UK)fT6AANYr86RQnrJCwCP zKchtnfmsGByH%|@0rN?JFOr??E54)miSlP$Nb4vxrsv4+m09Z9>IntNGzV33|FQuEFZ%;4h`1sLZ zCPp)8toryrY{u|2nL1|$pD4`ukut;>V^a4^d@=m&*1-JU^}b3iMril`cE_2!amm^} z7}ZnX23)w5?d}O{q@;lh*|I#EVgPxWEHa3^l6s2Bcg|pTh)p{yQnGi2b0Z=ZSPRTg zbTS`<*B==a4E9CSb#~xEKs97wi{URM;U(?9cdz`-$#~c}?SFU$IC4?*W6gRy1hl~f zMOmE?A?TYGkMn2W3!DQ;seJ(^F`B4xlRx`Z1T57|6v6&L885IGBSh6PTN(uucZk1) zp1UFr7%>J!1xXenL)|0V z@Tkv|Nep(Ma(612*qHV2_~!0N<>jd1DqAM;cloex+-O^{nS)0Ubply9aAbS{clfRq zZHv!aw(O1AQ};L{>#f729n_|K7nI$xBC`$zDYyUqmirTKXSzs6(W8xsa2Lkct$MXj1vVWGI+zZ7e*F+@? zF87eLn~Tqqsn$<@O!-f`+$+ueOEHzN?mRyOn&7Uc+2)zXky!>fAaQNBp8_ncb;?Kl-s3yj z|3q~>j11q}Q6xW}>X;>;7Q4!gPth0VT=PbY8Oav?vx(;4Pw@{EBX04XCP?Zrwt=XU zQuNcE#}5+q)!+wB!28fG;G9%oV-arTq+qa-_Dg^{0n!+3CIaKJ%(?Jr4EQ*BJ}|B@ zJNl_NY*GUWbS6Fs8B+TEeV`hZOkDYu*?>ru5nT8sg2d!X!+g{4>M#`3IYH>dANqmsL!Bjz}pU8m?NH2+BIy2;@PI%sB=wJG3(c>77Naq2qYEHE-?!s4c~ zSdpao_xk-VZpa|j{!qKz=S(Nkm3kvAAE@WW*=v0v?4o{-m~M}~H7b8djP);W>f2#b zn8_;)4)(WrGM$S$yH@|Y_pGWod=DZ6Zvr?12e|$pn4HpWi%U&<0jh(E6ks61Iw^tt zH@(M-qB?tTgh%FwzIR zI~BUd5oAaYsU=zKXdqB1LqsY;%PN861*P2IVU})(*pjBlkR9J13akm-PFA+lzP?Dd z1g+{lL!y$KY)s|e{i?u0>)(37a`>N%q!#%jtZg|L0!>zP`bHEP-n6H<+^h+-uzVmTZE8oj@dXqw(?u=xB-wmOf{R|ENxV1 zfkRygvPe5D>!3V1_cpy4c-UN2pXjicr z-UrW769uZE0H0q>^9fUk8!F|kKlg?VOmG&JBxTT8)Gn7#zQ%4o43K3r0{b%UyrZZ82-s5|Pbq)i|cj1p=9&X8wB zgza9a0z!ksXcFEW^X<7{Oy7H3jq3mN6;MMY5O zFa?@F1&@|EIC#=3eOj##c0!YQe3gFf)zdg-P?`I5$F(6SCU^g3D^pS#sAPna)HRIy ze2AYO@4kWXvYteZ6$D;RwoO{9G!K~?eIZr_;#pw;M~?7rW`Y@jby4TdGc9Zw4grsDDuDl`51zf=DlUZ3K!G1V+} zrZ8B&uemg}Td5U$sGnIYA%m@&z6UsWC16|;d_Pz{LbA(}J){SVjLJL$LUE$~Gc+6t zG^4_Lj`or0is5(BWV?$d5t23xDC{to4G}4)FAWDH42xJf5v(d@bU-m>7p99bWseAB zn&~TyXNhJbpy)J25)TagwN;xby^?b3Q5v%_ASZi6Z4Gqv^d-Rva2P`yP-TxVHLTkM z_XNXaFsaNkN^8nb(Y>2Yn@V%iyy1g{A(XlMVwtVySLq_yY5bF{H#SE*cq%xteAFwE zXKP4haGx>XaD){%&W3q=kgmPA4wjkEv>f@)F(1Y9HrHt1q{ly5>Pr6^G&=U-+>U1z z##1{sAa@I=6b^lq^?773xiDBa5EFXGzb1PoN(&+pQo1Auoti9FvUC%!Z6E}v{Q~y*j z-wV-#^#%NXjx=pB)Pzpo%>b5_wQFycbLpXfaJ`&C74iZLsM>!0<>(X;Z&!VG43kg_ zhh`U$cf2eh+tLE{s%;?3`}>*@oce5>2w(hS%gaJzewT5iN#n_qsz%tZ(($XIU^Olc zz6!*AaNP+MT17EsmGwz$$$*C6>6j-;I_2v0{z-sRue_IUv&^U?pSOGTnn2>-@mvpE zAd6>gYFn0yLroF>F^B^fhuk*%DsM%~k2Qap-`Ajc3z?$%c3XpUJ2gmu_re{@k{~zt zWYdwd2qFAHGX$_pzLTJm1jhR?x zkwV%m1-WgX4^2%JEj(+2?gsM`RNxBh{nRmM5Fc7YClwp*5p_GRb6;?UQy*@(o~V#j z-grt`c;iWxY2uTI${3*FbK$<3p*0L9R~gOOe>5`1JA4POw@x8ZN|!$JdhEWh1-)Cm zAV^1bsmt7UqRPbCyZ?G0Y*kXXwB*yupRL{;UK+DAw_ZhhrwuYWoPC?Ku)xYKwYGV}_kn zwG-$>v6t||_XNZ$WXsJglwgwm+g4}^C@LEXxdKR@XTo)`SU8lkCR(qg{bLP_036*G z)$$K}l(qc`(%`hJbDxd<5?!l&=HcrF| zx;YqWG@3^-N?_bqtV5J^+loa4#hr}KHcE$o9@YW+MUYQZM_6rHNxkZ3d0ZLiSQ!cH zk6u1azFXCppl^KpkM%f{$-{=BP#thr-v}>0*>VC&l2%7kbV|1^1X*n((xJu)ZWm(4 z%yI6nfJ&U)y8rA>weg{1XFn49nE5Kbo=ike4;+u_&*<6`MSG>)zdvbhsa7odQhn&P z(vXzvlcywfJ#77w%=9`37fohfH`92jjHd$_N^W zldV4B3I@Xwco$~HfM>v@gGO_%k|qrE7#Nj70CiH{VT}hj4a+Cq5l#zLf*q+Hhu}0i zj z4Ffb?w7qRdEv97J+s#cz6<+cF8=dh@1DcU#B_)*$E(2Dgf1V5QnAjF`{jhS*ez3SG zxEXWpC>CFqGWv`@CFcd_a&7&9zgA%fB5Q zAt>DR(SzjKqfOz}s`OQi%g-AxPc$OPb)ziW{6RY*7{d}m)jZGJ{K==oV1z$93t0aNt|4A+2SRd8810Zzix6OB+M z0;QE8`bP8r019w_3?geQHh|`0jbO3sY{n}vps^|Ht$zDVK2ARRc7p#xW@u%bSZWA> z1ZGKcyUcyPB}Kr%L&%1yXxS{;)jX%FVxF*lh79yB)+Bu1!bKxr9aJD>m4#aZOzBq| zGv^B6{u#8_%W)|&Y|_qnpv`*c|JZcJkHNM0(8R0xD6q9x74*Gz{ngQMR^xI;Ng38! zjSy^$)sgAHhy-g#!L9bl{wve8O+jWi%=Br6?(E*Y!9+(dQPXH+)ieo15LNISRRUxy15hpd?!m23H#I$0l1d-K}@v48pNJ1Yv z?G=83!0>bRqgRZV7&^}oOqj4rNdw?dj;E3KL3$`qOMy9XLYs#eM3W8xR(FIJNhxC< zWz15l7?|wk15}?(7l&>T{qqvOQ#ds7y5y%pBd;fsv&d(>0jki6?aW z%IWF%{YK{G(2SXwPo8czc~7kjT879W51baz6C`^O;q?rRGRlc=6~a%-r%^4SP?&|p z$nIT$F%mwhky5()vonk*GV>O|>7CL4?%|D@vEn zq6~6^wqi#y@~mf--uwBmXWBlPYDbs!u0tt9lb30nf-%#h0GBPkhtVmTQPDl1eGJ08 zcGQJMbvDAr0q3ZVH0@4$&~px=J0$W^QpU5sO&Pcd>#X(Y05 zqJUA4F@=wc0&n3?HPfR>PSAM6zE?>i;a#%#vXZ$~=>wp)JwJtEO}*#@R<$%0nv}a3xVR(dDBzU9Quw-L&I>x_)-*OL=Lf>vyCJQ zXG-2Oi60L32PbI1*aSx7A_h1loNZE!ua^y01wMkLD8un6_!vYtr3a2M;4J$@rbwC4 zxPYmxh7wIEn*{354(yKubief}V2vmb_4fc^fMqg3;R1Ns9B|5f(km`fw=3Kq>Js3- zde2ly2d^}TtGUKp6!wokjCT34$1`2rYQz-=G`x=&BgD!JNf23A&2XeP<2&aUl8xYVFAtpp|72?~1#G*}!Xz$`QGpDNCumk$90%^oFdh~^ z`5=v`gE;_>2L#U0cLhQu#qx3sEyxsG9-P|N1~Oar)mF zkmkWoM(6_mO(Y26QXa5p@X?Y=Z`c;$?3rLn^NRqE^Oo<&X*X*=DOk}!(Y0VXglWI{ zQChMCdwqAw@9+DeQC^ER5Anpe?>x!el}}KbVPt1)3v7$NWR|r=h(NStdKFA};uBq1 zMg;BYn8(C3*kDyO+$rD^&?C)uFgWycM>mWNdpu9c7J!%0`;y;$^ZmC0`HlrA4N>bg zu=M7?IC$&wmO8+!VgKAO5}~o`g0~+`sM8qs!e)}>%jq&>2NpgQh6T%qQ$G~5Y1%0J zqL95Ys*ORimRz@YOrOo`uc2$8PiqLR1+dqlIjIYnV_g1Tn zb=a_=%?liOlTXPfm+YR`P@AwCQyEb`IrmLj7!tH5QrcnB>dlk{uMT=!eF& z4dmWXx%{j3M3}mTb$`m2a-jQH2;GA(LSqCGM-cKQlA?|_;R}?yGR)cEo5#V7tmxss za4Bc0*Nq>C#W@zCazbkueee}}xL`ndD6a&sQ%PkOhkw6h%#|->+yZjB`mc4ESDpTF zn7?}SdasI1Yoe#DZRGXD;YW*N$P4RNSi?-1m(izSSUx7oZB5nO-1t+m)R$AYIU|EOeLj)hDsYQw|Dp6P88d{x?I~tN5gn- zXNIT=Z}ouIYB=;en)yUVzAk}rOr`l-EB4j2h5EIa8SgzXq3`@=-bt@S%TGDb}Nktrl*W49rq{t zGKd2!oAft;gPB+kG%Wq%T?@Jukn6WibXsc8;%Ckf=m;N9! zzO&>RL(E%9ymLVAI|+0X{8sOYQ=j4cj)eS zEe=tC!Rr1{>n`s%t{fn@if@|Vq8CRF2%p%x{c^kLjnM*vh-fzm-1F5jwY&;hC%?WpHOeU-a35&#%>+MIF-aYmisbDE0d*WHTssLxCUT;n09;Q_n8{M@go3 z-+q2(a1aK69n}3=3a-NkH;(ke_My$PeTZ#+W(<>+TKW1K*25z=z7trx8TQ zKf{Jcx!tJ7S+Xt~|K*iBqh{Z0Mns0Ym2e`B9lG0B{KM27VIhAC652t~_X-y1a*7Ux z)II)s0S{M3I7wmtMavBYLNe&;Fs4GTfg0#|T(S^TRcD9~w!b&d=pH&tF(vVaxAWg} zK3>e#s)gQ{cE2qsuaFfpxlNy>_HdN#5Uy8hBR}!({kxG9=p5ypY zgcw2#4(b#I?k?GcWG?)xSXg0k*`c=MKKlG1xUz1 z*(?v4UFR#9&?xSdHu25XnmD7?A|X+vXlQk^F$l@jOOr3~H}G6Iu3>L=6C)}a$zBY% zKpXROjdH%c&Gnc5n;hN0myNc*=W+~$_%H8UeRloG%z8}ZJ&ciGm+P#QZ|EmZ2r^)C z(4o3uICUSQM0-@$!*Dv^oA+qB>ZFaT_T!|@UIUD6u<_&7!ry8_D=ukDg)%2`JU7;3 zbe-H^eo@T%e30zDLSrRPf&34RGOw0=5cEhG#+Z-w*-%C}X(M|)8Q(sr8r&Sl+;TkI zzyFE(X$)_7N9%P3U$%;-mMq)z`At#BX_3}mZ?I{cD!ZNA6j=1=v-f&6d8CbNNR(Y0 zG8iJ@cwCD27UmPbUo@+)k8=x<8#kYP_7^F~46bZOxIkR6xRey;(dPuQo`zCY70r5F z;`Z$N@JacP>VX`VpRCbnk1@${bZ&9*xGLUnBuo8_Q^UnbvKGcW3abf^n|j?6x$Gu`HseXtKkzwe;)C8q{QM}RS$^XC zZr&{yW%|97@r5gFED1#yziTQH&QbHu|9Id0(X;d*wO2}AAkwYen0EWN2z_s{yeEFY z2Y;NNb>GgLuMyU%u&o@ok65?7K&B3kGrF}E>q+${vST5WT;i{#txxJi(*9wVF$a6K zcI6&Kq94{d0WFJ`_$JA8)~}RX>Hcy#DG3Q@hAqG(>2Bdv+PyGBtv%2AB#!R>k^UU2 z)Y&NY&DRY&@&6;~I-r`^ny_F{n(xtLr-QU$L##CE(qR*N6u|;Y(}>ha1Vw{LiwLM- z1cVJCf+7%_@T3K?5>ROZiAqo+kw^{sZ~XV2^W4ZDvVvA*=FAqxB>96x+X$Dwh~u{_PxLjihp?Z=ZPMcATVaN7TV&3+_+L3%+H7u~ z@OKRUCOvqpXkLn&n1x?`$!jzC6zv=@T&xm41R1*|^B7TI3y0{lCqA7>caE)#W9f7}+e zrpqp>Ag8DA!t(c8d{6Tr8HZ#osej`7qFuPZnOR@BuB%&zo)6lbX>D+g0q@1}xv>+q zs*NL~?5nG(qswEh*}(2YpJMPYUFT!t`8{{AD=Ce}pc(e6A~*3};u_0e&%@+cXT=r^Z1b-7fIz_x5>s zxGZsLnXskRBXoxQ4YYd6d$XVcNT6e_mtVV3W|4qn(j1vh3giB=iJ9PIa?UFlfO>C z8efKCzh?9KMVvxi6wHgkRa7l;=Z#}x<|nEKxGR9(zNiMG)}~8?!=^{h4xjkeB>DkS09rFd>%B4{)lgO83mHnE4@w{4KJstY*g@dJX9XnZ{8 zuwpg;I-EO;d>qSbp1+uIZm1t^kO)fj;zw6}#1ragmgddG(Vr;n1isq*_z`Pig2Yc{Yf3oXXT%Xg~=SrR5X5qxcj zBLd64ClVo#i(`a?GxHd>x}K}CP@dI+CohE?Ra;p;?4A*R$-M3v0{aWSwifq#pBI+@2NQc z{D5L3){Ne!?Xg@s)d#OwQt*A$q)=Z0GvTI8jPb~nxw^CxyUWnbIYD^mhlALL(L8fQ zH5t>!sX#%ml;kGNC@)rWEZ4HZTx0d3X^Sr#q4Pc|CxA`s^&Rl}hcO!;IJ;f9S?l#O z9<%cK4JEF>kcsnYX?FNAYQ=BH3Qy}oJWtY*azUw9$jV#ujo*gMG zG@clI7~@|M$6l)~KZWcif6}r|L~4J3?qs*_ewJrVXsia(D40jhBALeLd@gEMpbfGD z5<};|XQ6fXEsd7^-Y~M9*QehrL=FG;y2$zykcgv(3=;YbJVOiyS}`jMZ{P;7*UkIN z`=E>chgHk$!Wv&90;2M{GwDlghDJndpg<-Xew+KoTU`=O8-ygoe|2p8*wGx=JW3qS z9E_4288NnwbtF}#(Bf!;{_D{g*o5LUf1J<~r02S3tIkF^J=)jc6}SzT z(LrA-S&RBU#kP18Xmw#~nJRDyrVIN@Jr|9P9@;%{#Y|h{A^af!{ce*bi~!5GQ!RF2 zYDEc@M`Yl0C)A2Q$L#(kx8@pG_(T~eG?Za{HTJ^X%bQ96SkX7>rTvT78Lc{k$dB)} z3xoo!?*{Y3ajtkvy{HvUZRF*t&CX>w0BQL=6EG-elMJ* znvrZ>m=3i+(S!VQm#3SuIIYAS*3)CPqX{|k)25lVkhTX~-nZqKC)lRowY_}O=>++k zU+V-icB&4N_*%QfckW$N|JcRJq&Uep5@5~~t*kBnC>0yYLo4D0LnWzt-=_-fh&*qj z@z-if(xK<%M)%im_>~@JYx}Qhc*IucU+E<)F2YLutE47B%Fc)C1}4JvDPhX52jzb1 z{|FNCox2~b|5(>bmx`%fU5+Dj>4~%33C6mFTi@s-++dik!01rqZ+J^n`1z|(UTBAJ zlbkYN@!K30aaPt2k2v(^-LQ|T+1oCTijvVnBZW$m-fN+$IeP5jsKwpLbCa`Tvwkk* zwG&5Pwi>Z+XS1o@x7wJ|5xO>7o*yD z72i)7@idOfTa-&VF8ww)t=(}jWqs|s<3=7dS-2NZ6t-JI9$u8||| zQJ-QaZZ3I({ePe(%`=^crk0P5nEiQXH;;(_I5u}=nz^l`yDI7Ct!+4hWgl9gzx|d( zpe{lE^|C-bthq~=xHayu!tMC^i;>%+-)e4yAKCc1X`ON0!1MU|TO#?Yc6QUHWD^Jqn=Bd}bIgiC)SC7PX(Z*+-a8NM8tkdtY*szZ@H4Jf*g4U% zv!#4L$tS4m3%25|vc_udwm%ZvIS7dAN4LME*?nGiLCNi=UhpCn~KO`& z2B%BVo3A}@kkq{N3`;;!0?U{Y@N%{~mWicebKA7>Pps7e_qP2O9&xg2F^qzUT@`F2 zKcds$WsN>F+8UNrI&D=K-SzM=o)D3|PcovhMM04|GM($K^e(n;h_DO7ZgIRPcL#1+ zR`zB3kA440dnp6QpYQE$ag1JF9(<9nEL*=56fo*0vN! z8coJ>+gM^%lyt_D<1O@)0Ls|Ks43>QG40AYHWo+N95mRL5Zu!mrB+-E`7Up|)+d?A zkdxF{Hcrvst7K80K1ML0bt}gZP&4`Z2N9Top#XOU+?gbq1$87&w=x>gQRJ#BxRmcvsQE-cdp z-0ZqR`m^5k=KQ4EIIOJ_PWaYl8~nFVGG5-a>R z-;wE%P&B9hgpqlKYmA*MBAfb_{CQ-!&|I;f6gOJ*AjoVG#S((eO>OaQ^4yputD@~M z39qYLTTctr9U^=FLEz&b3x63U{rgFc<}fn)CgaasByL>aVDRIcZ*SG9=LcGTGaF@@ z8cTI?bzW2+ELo@!PhMJSMKz+%FW>o`6H`u|h6Bh0-U(&TmxgGV?@oF2oa*gP=tYBh zy*w{K)gQ~}83?GxjFvmnr2tpmh?9R!+8%Ujc{MdNaVi23wyls9ImTCInErgzy)`#S z24hBr>nN=!dXGNB@yRilHCn<)`2jyOeXDSS7T=uS_ZKEYS9|bF9D&qi^Q}L3wUirM za~bo;oGo&CaOaQQXl~@?RF?Sm40gZ9|3Ovaz`Yg5C`NpJUC6}9lr>7r2}+<-&t<>k z2-O>o51yV9A~aAlyjJ7)i6clsqI=w-2VXLCi)d#`nwQZv7n5L`5sntdsF@u0FlVGQ9*>2_u`6PJ3Zyi+Z~Hvk>i2mW(_5Yy zU|ixTwC*h-_%UwWn2;Rmyw~_&`imZcGsb&@Yxe>T2EJR8_Z_Bsj9% zi_N~~`tjZ^ob613Rs8!jK4DP>`=G{GNUq|32y9B7D-Yz;*es)Y6q614k3g6l6qa>F zm*154#u)XS9BZjON}C@Do8l0QSS(lo_f|RMe_Tn+i)XqUH|(R619<0SlbAu)N5)ux z%6KaM^(%CAV_@C*k>^#y-uTtC_@Ayfm{KcwtP$4mK%IX!EzjqI%JNih9gQ>xnjQ0^ zmv{k9qb@nVjcgQG=Tpq(F~x89XRsK!x7l~roEE-l&~^!*IKuiK2sY>>;6r-Hp8njoS4bwOshRf*?1nC&EIvxIy zPIdHguu%8qr2&`%1zfRskxW*SibOfb&B=5$kL9jalj@^Je}O45pYpxr8=`=^Xl)q| zu3U^f#)RT|U+R2x9qZU`&dks{_G!d#u1}c5qS#r2vKO5h>YA&jBteI9i2_8d#T6MQ z*oP@v;>*pSKXZDFug*vf4nK5D)6|MZ$6soX(yZp3awwoi=kDFfgTosj4#gWIet1&5 z*Eed!WcmDKhT)Dwe#f9}<=!0(stunArsQ3;Z8}`B3yp>!Juo)bWuSM1lQ1fryPLvz zq?NpY>{a`b5Bb7Gc4SF2bgF~oj=Ql`r|0IWZ;V8m=2LC@u?-sSBqY-9+p}vhnM3AK zbJ)3v;74M0ihX}5ISf{$wVIUMJw3l7sf^#I&*0~5F&BC1kWkU3;Cj?>J)^uR3kPLI znd`$1{wI_IASe_Jp}{}IdiE5UV>B3u25Xffn(jlpmFyWXoO9a{ehp!?uKpb?nDd@g zeYl*2j_`r%jAVgmI+);UjNV%g(+2#7LG3={kcb91ZShy`OXY#zS6gS0Y64DxgA)Bw zkBP2@{G!+NBX<0})gh?aA$MknV}COgnn*(Wt?q%TP-t1t;C)%R3a*8akUtqg;N)@c ztjeqGfsi^5aWM5%$4}X!hY2t<6o#GdU45iq>&pxJeahm71RHK4Pni9t z?DM6Z3$kAnO$-P4p)Bq)=*U?}4WuABO4LQ$yYl&QEexAB;~afhxOq2)iGiS%7TKfS zmgq3VxXe*+mJ|Wun!p+Tl6JE(+_ATrw_Qnr0>K04pzN6T`n7@lLlIgGTA_90>}g_}6;?vC=C?PI~R(r|>Gy1CWIFf`W104y#C;TQ&;Ng>G=Z=IlC!Ot4R!?m0lwkLV70k?F}EVboakY1a%@jDZx;71xILeWW|kr$KhV~25&OnpDh2M3FJkAkiJxf0KT&&x}lo@mW+7EanW>CN?^Iewya^vYS)hRN6>_2ba_lvf3)2uhEr$c&J5h-Xr@u&L4oKUbB zT5h~>vmy~;n>(?-{SR6<={Ny*24?G>jQj}~nBo_`vP4^8Fwwxv25~4k@{kPgwDh>K z;N;4m+1IYCc%dXC9| z{=PL^=H}<80gygz?RzgoA=n4SD*ecivrA zdL;5}ijR3D(fknM@(dYb&!MfQ>E-qHbSe~L!$dPIzNBk<*EjBnxVjm>9)0hQ5-?3L zP+T}|Ha6Tp1ZW9#4BY=-{$7tO&t>nY=$W1KtcT$|A`93gk@C7n;oPH=SnWU?kRW`hM9q5SmAV1sU+FxBAP$=pD6 zpl)_aM=oV@HwpzheRhKZ<_xgO64!x~z7<#H_I)R!;n2@fTDP|*r;vA5(u1lyvM_ z+P^C0>PtmuO#gD+aqk|tXApE5my@{7WnX3}-(KB$jSMyem!K=$_nnfK(yt5~<47@p zNB3Izo~^Q&?v@Loj^{u8Rn)zYd}MWodGUP2LdO<#XSSs4kX|(`ec{_3lcSqLce&r( z)P2kAgyeeOLe~zxtYaoP2Vs_E%hB7FhE)OqIckUUU_p5^xp~h&p$<3ZJ+3nVR;YlmzXnBo{a?$m{(vBs-6jt{M1p{?f{;DwIeCn~ zlBUD$^tXZIGo6glC6$I}_;aN>hp;DmN+cCHnG|QFZ@h>(U@~cYx zw%}uByJ@M|*%OaG5G6l(ZNy#X)WXZ@RZmmKTfp=FQLz9#Pu_RmCS9Zu|) z8o%PW8zxV~g}Th>J9_}egqN!PH35R6_?M>W1BHlF-+x&l_hU&9MB)8+)I@23sy_fX z>Zw81wm!^+dM$wGjJ91~2~-I=P?MLMyg#+7Aa%>_qZ+o9!!g@C5o4>gfu<)%p|Ls8 z%QS>2b>zHoaq%SRFkxkSRyffdUwzqruA@5Tnc%j@#*8D=cJU=fTg7JD)ELZ-25&X> z4lY>j+G@7Ws=RZ@MN*XeJs+K)sXYi<0=;!~_1NZ&SdaZRa_U_MTdvz>Pek4jX!F$cTKg3;ra%@1WE*gHQ@~(jPN1xOO@`8LIU)DnsShYYWfL~U>)aliXMt(O2@RfIR zHw?@@-**67U>sb5Mq(-F?R ztFz$=IYlS(txj*XF`uRmCV7Xv&`lb@E))(a-&b+-kye0C`b3jCBqMBAHQ%=nS1bEP zMi?`4iWo02>%%BVyaWEUVwXdK$fY*2_{J}2ZRij*6#De!I0msntcel)l$$eu`$KQ4 zv_Od|@?{#Ii2#RgW`?3D{#Wi5!%o+c5ETs?1m?l^L$`-+nJli3zPGslW9se4AbOHX)@;KQ-DE#1jDE|D9|Flx_FRl*7pXa9R z_EV*qAr_Ut_zS+2@no=9UT++Po%kiQ2udQyV} z1MEP){0bs59sva}kcP=i*$T0a9;Ag0$IJDeopCDEYKD$6O?8yY0ZaxKo>;K~W;{=G z(=pUD<+uq#Th#tow0H$lyFW6Ba86zxoHp@_NUXAxmIqh}vY8BoNa0R;e-#L<_GrS} zOb-g=0e}$GFLT`{0tvVR9Dt~lXkdAOFZP9>D~GJPQNUj@7O3VJrmndnW-XQJ&i+iT zhUK3e$$926Iqr<{-4n#0<8%qhsQisf4UydbWp7hrr_lL>U}aD8_ViJ_Zq?^il}`~p zYVF3pRpI~n@_W-6D}m$#CQxsR{+(KA(6B_al_WRUTA>1HHmGyF^|?L_aagf zzh{QZxxEUp(u+SYG|O0H9$`FJF17d3hOpM0&8-T}QXhom9c4@9QKP(i&*j zAL6;<=X?ogj1c2nJdV=@-6zzo^ntM8io+lPzA6_k?u9pK_kF1GX({t_y`r`oQkg9%>LX^yv}nHwi{r1Mi)lzCXD7{+m#(e-Ffj zJkHt*4d1ALdH!J!Gwg-sb&16q5dR$&!GR}s@cT;gOA0TIu*CI!H`XWp6Je7!X%Ihq zLOaRkC|3El$DynPIJ>P@wVUlP=v9|o6HLlxtDhcQUF|a7XVj;&CqZxSqoI5(9Xa@B z%M!wLSn=o@i3GiPP<{@2Va*n=u~wJZKKoX8DKYW-GAJ6nePd17OhHnlUC&dsU;k!0 zyPep6IP*vDuMvFHSsgc$l|t%3d5pl%v}*62Mipno{+eyk23^_F=5Z@yr7F+7H1@fm zx4-eNStv3g-_u}75;^i{ePZdTFYD%*jE>LFQk#x`e}7LPykA*S+fgxfI^fGsK>%h^2l6x5)iYrqyGUmM;buP3d6e;Ki+m3%0VVJ zS4&IYH(>Fk*rmsx9#ME*Dx9V=IVp)iuH8x7OzV@^+%s}c?wJnyjlXpr&R8?K^T_2N ze#GLUTk!t&DEL}uYSI(kxhC|tC6PaF{@7IxFio67I$ zYJ$j8^JeM9;ebqZY&QRAwmm}fpUK@dO%Gu5vVG9vCM%L#X~;2Vh(j-cdkrqvjxnu2 zx+m1a@O=(8cQ)%{+}|jooL+Tollza{76{lDK$mHz!)YwaE>{-7CFRh)jNRnlNN@y8 zd}0}uW3)4GXVo=_gd|2rN)$rM;fFb+h6tRuTqtf46 zMqT;ncDTT5d9|^x9wUlILiB4OT|jDg65aUzP*0Ait@AsPU3Ua#sMq8pdQn64(gx#b?n!kYB{in zFXSid{F{Ewebmh?nWdZpB^Y62EL~iCSN?gWAREI_`>icp+lg?qQ*LzIEV%~lW}2L? zAj8Dx9s4Cm6hj=%c=*M9;$xj;ruUXOjW*`;XoPUW!vEYlr(pZzCI{B{F4!vf+KXS` zV9SKiwO)_yTMM5q(!Sa3K)6V`8STX?^>bSw-Q4ox5;r^4*1j?QsI%t13Nj|;tuSR= zLCWx5idmC};~7=exyesG3zVhFtvVMvPdZ3#{04TlVZ5Yb0}I=~wO%xyJvS~lo}Lxn z_@q&4L*RVt=o|r}ycS9`2BesLEZABPj)LdLaz-&q?hsl&9dn@gmNp>^>Ll$$-n7 zDgjKXeBdGXB!0qLoI!C!ky)|ksttxAp^rSuAZNgpW#{iA>G~K`ZF-qrcd@~SGGET! zPGfcKI(ungM0t&eTAWy?jIkHAXXyB&_q>4yi#au=h#2Nm`)j)z55+f@_DV&;@;*f8 z6ZgcfyC(X*?cy=<7~v{gB_#}^8D1em7`9rb7xW<16$)f)$!FIl=04DQ02t)Rvf6+! zU+QAyLktAe1r4lEh3S3BIdy`M>`688rQ(3HZxWAmhkr5`#daJ|jPVLDeYN!5w1L)~_$Ss@IsfC$ujkrv(8|8e0Q|KhytfIU!pw>2eR*GC#&`zPM{^ z)Va$k+u8M9TZ*+lBaS|xYaMQF3lv8nEHp=+hDxp9Zute(F|6^r^^V}uvCRkPrJL^w zM*VL7b0B?y9Q<-Tz(bUR2i4edOjq zFuLkCxW(Cez-bUodQzWAEUVEJ>|grHiaB575?%O4!FpRqE*XoLuv@ zYw>#VwfTJ;E|VUQcV*5BO%w}hFRp()NB{52Z_`2*i;-1n-Rj{2Le@>V7VJ?!j+Phq zs4@S=8f&?^=j(_!WV!z2xc=GYh$^h-%A;3Ge*>IKxZm|qp0t;z2!{GV<+sn7WO5E# zRtMs<>5pqX3U|j!daJB`dUP);cCK`A+;k0bb|<5&iRsxv)1)Vw>wWB5AtBw@t3Z$g zyW$v&mz(+#dH9rl_>(cb(d`@8XW+|4rZzOaXB)BkavB3eG^PM6(p+`br25t zw}koUU7>Ac9=vq7HP_&Z2Te7~skxBzdw%644JADfbDmnQkl*Sv&-x<0$lQ-6YrAd9 z{GO_udUyYN0}$82oE2D!Qybt=P~KvWk`9Amh`DGf>8%URq&tvrnap)e9Mo z=}MawUlvZkyJWrS_+G3?;@3&(E7yx(2LuwXU~aZUICA zJNShl?wt~@`S#aH1*}->bdK~UuK?>KrWYnA_z?7`+g&4ab{mIl{1|HOTILz;8{Bdj zFL_PMh3fTL%xv2ae3@_CDN4O}<-p^>Y%{IKs=b8&`ezj;@VmQnrk`ll^h8M~8z zO=dn`9ZIZSo^Q0YByeDzwgliDMLu#id0yIeHhMNHR)mm&N1FWg>dTsy=JPuC@j^CD zxaLymTDQ+Hzh?}H*E`Ej9xnElC7j?pMO5pwv{$VW`0o2haQ2A7(E#ajiQE02Z~Xp@ zc%OY>)TNtSBX*Uze}_bIO(`GXa;je@>YA6o9bnCYfvj>8MOF|5C{NwY${PEiD+`D( zWk9unEuG*D*T^zM_@a2Ly$UgfX&UQX-flB~@qED_>)m=pBC#8I_J&oUiH)5i-wJ5k zT>!~#HO@-73v4I7LJg)M<$rs~e)Q0*hy%Y-1O$L0$^-tGB8t?&-^vmO8q+8;AA%@n zGi6^$;iY|y44;*V1~7jh(lY=T04*MqAPrCeARl+A2|=SPaD}QKVkuk1%4FhTFKz_+ z=o71Tk&aMcBJz}(@(UXu&D(Z(Y=xPH24SbC*Ka}XkPBO!pb8J%8Lna2Gbo`HD31z7 zZwXG({2KKLZm<7I%?}zEPJMNwfgAB z;xcmTBJ!!kH??=h^s{~Aa;mfQnCPz`ZvHe^H1DVmpZ%(}!!ioz`Mdcvk$Oh3D$N11 ztaa_V=KVyR_I||2DaPBkB_eM6N1JSh^?8@NXJeN>jKr~|iUHUMIuxqQhA_jamiBa@ zFAYtp_1U~wALJi~|JJo~o?Z`sf6v&Xg(cSMDCH5$8=4q^oaxGKZrGLWo{!=~#-yzr z2FpDQ@WG!E@pM(PJ-X0ifvZ4`>PwcFkje*$M@) z0|h^My{0`PLDN^4Dl8d&E==-h&)<_zXHpJxd({jp;)qoM;Ey|rh>7wdpCpS<1QG~@ z)K#hatvl3q8k7L87N+pJhZ{0Q`rnEsVBd4+Z#-#mT zal62o`e6JMw?i=_-@hC7b%6|kuoNbyHo)TP*kZeaER({+Q7ga9Ma zbHX7GC@l$(K&7Wb=L8aavL} z!>J$`f?-E{dLiOF);#X0#sm+g2SzGl(H?>x+F?`=Jk-0x5T1rX{P+a&1<^+<7&Axp zNdMj6NWVY3mAb(wez!!Qh=YNeeWB<(ksy!L81@rakiPag!XWG{mwS?A2R6|1^sRUj z2+SOWz4LOwI3Rj_b5$)9qo=Mv;7aPr4S~YzFw2`q$0$%}_kGbE}h(OYssYEs?)4n-`!ZPULb-IkLt zTbGZ{2gF{0*_DWMh#-lzw3wKDN#_tXZNy2)<|RIkU`ZktSA&|Btp{dmZB`FU9xo3F zMHO%*6ug&SI3y#E%UxZo460tP;5(Y4Rkq5L*1eHDfh-aFCaqnS3Io3azwX6~GK3pB zHnBb9>yz~#*N@T@JK|@)G|9S6!#Qu-MZ6)pmU;R{;JBp`@i_=0jQ{%IFIT>{AMV_K z_3F4U%~eD0G@rZilcj}ED8(-#XA9u4IAxrg@;95hcnS3?YYcaK>_vFLMPIAiaZXV} zD?hH{a)$E&dD>Udld8MIfHTen0^yQlk36^dM@`ySI-D0P&%?5=);~7tN!98Kenq^& z%DVyRP8ir2QMMn*mPmyfc4f}K2TB0Rw=Y7b9xHb}G`~XDzXCx*41yAS(qEM~6)L|4 zu-3=plvQU4;M5>AJ~hU`AiEQosMiyJwXflDUX`P?U5zmb5-Cjmh#3 zJSYb!BFNuBy2ik8LgB}x(bkJ``N^@Fb$1-XcB96201g%mChagFVsKee3CSt|?oRs$ z!mdyAfM>3SHu@y!f6$?8yrV z(|KQ>AM`9%)J#`uJDn5gz4%qQ(8)Ho9Nf2slq424dsQt7o&z{c@(^`0?2x1rfz zK^hv9ZCAH>8!i+s&~caj8B*>4GzVaZ716t*af8RMXTDtr;dh>YJ~ISfzHXi-WvZlO z>Z2dOD=t5^S6r|>uPE#|dA}<&)S$u=Bu~t568r~!>&hgTC=pr3erH1|zfTFJo5U@} zmq7D`dfd!5$?2HUrJa#&YW4wm)2gY*A6ze;A8yPO%1yS82o|r;tz1#JG?{q*b09x{ zvw9fR4`R7$gks-17C%o(I-E|NhHRl=5&@uUI{ZlAi~ns!g&77v$JPdUDP#f5a=tfp zohXqCR30X;vLb=sWO_Y-K;_oJkU^yU89nQdbav==mw~WmpnHIoCntjB8@R{@SQS9B z0=)pbgBiZt{Q#K<#1E=g=!2s4e#virGT`u0s9}(TZMIHeqzVqgKpl6NP5j^q@_jsm zDyy7MMF)Y35UiZ*Zi=WZDI8VrXHN%eB=U@mewVv|x`8R7mRmN7SUsnvfKa%s!!!TppZ$5u2(pk>KCd!uT~Z2)OlmX&L22A^k*r_f+zt&AjnPf58fZ&AA3sAT)!6CyTj8o z0N4Ks8E~cvaTl2kDt7$pKq^nN^Zu5y=bwAfyd)woTZsWzg~JhgxTF_HuiaqsQk*19 znWME@HjiXxvJI6b?|q~&ZMcp!gm&UsfValJ67GPM1T`YP=fer5=xJfo*s9xqfrX!G zt;9C{Qdirt$Ya?&EGSj4YaE%2T9zouKGbR}-71rg$cu^FsVrG9dG@sGDWMOZ-G<7c zyUdu$tmkKuTO=EJ%fDVJq4@#7|1CAA7{@ukPrA|HsTn35enF~tG%rHZ@+oD)oI(Ne$#n)l0v@~MCo z-4Zy2tJRaVz%U`J&x%uwUy{8u6tx{Dp_JK`dbjg{dm``G>}A_@2)ZBIV{!pE0%&|7 zaZq@H62X5IpjVuH<^kynYRX{v4semw%xmM0;8CR;?pbjF0~1K?Nr&(EAU!TD>XUha zK(ru725tB-|@l)UjfP4agWPJo4Y;Ru=+_SPQ7-NozGlq164fS+Mp3ywM+v5+r zVQNpR`sA(MH7@5!5Ykww|9(Rhi5dnaCTP1#m+`Fut$r&f!u*0jP(g&N2Rdld|i;Z{MFDwd;>-x9#wL z?ohPfPwMlbWt!lh+zW4bgpt#n#`o6W5X~3}U+nD+VUQ4}%Te~zf4g7i+molM5r*;rD;nmsV@A8|ev~4Hd-fG=s zTqw7(o5c^NM_&JAoZ;rIdHhY2>@zp7qm#c2VCrRIn`q)~n3%4Ab`sjE_il!M6?ecn zrvYq&He&RpT{>%lsKGJ&Ci6uKn$j7aa8EYKOlf;LNLhi{(LL%CAk;+S1%{$wv$Tj; z>leY7=AQICxH158?)z%S&7%fY3OK&M&p4_N^bojbV4})8 zkE*+Y0=TM@9&>i6F}Ro4h--TY&NG%2ZcQMmXxo)K5ViTf<+rwU?aD_gF^_eC;Pldo z%K(f$zLShG1IRmch49u0{7ubx zqs&D%C>d?N#CwXl($?~gJR%qiJL>zcOr@Car*rr>iDxD{OL7Vc~ZBokkdB=<+ zc7!+@+=`OCIkR-Ah2ds>;KE$v%ZvMNNno=G>iGhG10`W>ah;>Fnjf*osh>pxtJ* zUuA+Y?f*#`5m<1r6#-u;lnhFy{wDVz$iwoq&K`Xc$^RqY9cOy)ZqH!`oUPz+0TLCm zI#EQA&ImLBF#7fH3CHzz{O2l>!vf{XRxLO1jx%6hI_ukFzxQWM~ykFznY!JZO$8UKbJGu>&J_ob-8uM*CIEyV1+|P z0*1G!==O9LE>WpP8t5xrVSvN1HX)uSMnG}F6PVAtahU-@IMTmXVOv_N?;=0{F;;B6 zSFa9pmx>?fenW%=ysHB5ie@?p5tacMyF@Ly#}JpTuZnL|vv3_M#iW1NxgUo})@P2w zLyMLce297)T_Sc-wlh=dpQ5|V>6>c-!Ue%FF#cptJ$<0I z+Z*KCL3J7giL5e|51c|RG~*;%z<&=AJAo76CCI4*J3UG6TS+7z@NsbdK@gJp1NdRm zv%{7Gz7C9l2)lyA3#+rc=C3J%!%G);ksv5X1vq_wz#UOTDZt2ggF<5fZF~SDpqX9; z2ZDKwfXi>~-T}y^GV*K!@K>zu$!4`)WnJt}2nRDAZiMCp5=cYpsKb)VLVANprptsLpI(+1z)zo6uPHHbfhy6|#=$cXJ2}i02XjZ#nW^(q!PeIf@VwA}~L?B?n;(@y>sj zkd-XgEkbIx9N2!Q%{}efOV9LNeb!f_v^A%kr>brjSRcl}tK!m_vsK7(Gvt37ZpO>u zyGG0PuoC|%$6Z`lbvj-P*uuXv>VH!dq>8LL6d#P*dxilhtXe>BRFfcJKw)aElAh=~ z--@Q`VKB>;;8H3SE1G#-7#{S`-OU9Rus}x9yJbb!gQLRCDFjWwF;NLK09EJ<0#?Wq zlzdER>XZ5VM7%OHdOzdul`u8>B!G*eXIt~qTrBgq`y?=k#ELkW25KXXMH#L02H#T@ zuc~h=?@s) z;@om0LSdCL+*8NCmly`zHfyPCyj9Y{7jB+Dmb|hB5tew?KlAX{D>}bQXQt2l`ar}n z-8)}iN*&+AyPmuwNrN)7EM9&P@sv}=Kdt6;-xzM8Z$9rPcVVMV*kNziuKm_6$Yoly z5Ns#MjQD76rL=0f@zi0pGVC&qSp1=wnCe>p*5FNljH2mX;gn=xYvX8Fw-o5tIVYDn zy5{KM@7uy9yin}fq14Tbb#?yf#dmY>k8s{S%KLTt^x8E6GB&4e5JAe97NO>Mejg+d za20%O((Ub@W9tkK$0qNzqCi7P1@Y(ap02ZLUywel{d|gQ&b>G;Q;ukiwVUUL*Ksr4 z)GHnyTzIdvVVm6TiEgP*{VGaCI{^)r3#hJai|tt23T(4x=u;f**mMgBkBYAKt#Skw z*%L2(D8~~J@{x`J}{EN*Mq20*_j=J(MX5;zz~9Ylq>)YAWZoKnMxw7 zI)7Ji3pzqKQlP~-M#{bh;z^hp(EEB31ggLq1B+4%6bTz`*{w}Q!?f-V$ICQ%Prv0A zwNN4*`UqU$Qmg3wffNi&Y`c`Wi97B+$}`ErV#Choa_9FI#Nn5$5o=u5eM#=Ai?hD! zCsFI`naE455vsu)?#Q@bzkhxXB5r_AD^9x!gCgImue}0?<+FYTfr8o&cbQIl{U* zGnOn{ZkC_*f}0~)h2}_5B8`bY`4^sng$Sk^yhj2sc1oCN#ep>l!f zePJRKSlw6D5C{a9&}A}kuYec(%ZP!PGqj{*0D!U9bE)HNoJCwkOX1bK8^w+Qz6HPb zvaCnI$`$lRX%k1%$Xw4BOVr)}k@V$pNu}@Gv)GK*R4$n6guVBUA%_N^62@xvD19c};Q z1%61Izvf8{LLh&%d>6Zbwfx2^Mk-xO^Ly8to*Kz7kZ z0Cq#V3t`u5Unal+hUKn*cu*8Sa|F`=Z#@8Tln2bH^aZ2oxPAuGXu%S30cEmaL*6G% zMr=O(6kDq*cDWgwJ~IKruGA<2Se3Z_1mC<2Gkxg5s{*C4NHCc7+vK+a`knFG;06My zw1W2ejugAV_u97UfR}!cBbu2YuWx;GNHRG{W>BAmbWB&?-;hN*>0w5ghvYx*d^NyK z^>cx~dR@3MizjA(S#u#r7qfJbVonSC8=U7+l}Jr?;M<47j+EgR@*lSx2MOk0u4Zo5 zlK)JtF|lNI;T{AE6xRAHhIyECb%z(Q<>kxTehWg%5QTXb*qRmI$JYswnz@m8b9>yf zkg&LU@hY1{S#;BC>7UmJ=yP%2Rj4X~J609qT9G@Hv!HSOh|NXh`|%_D+0(1U4Qca} zZmbo7JdUy8@joC=P~WhRxBqa#ZZ+$=<5Ebc94fI@VbT`<1`D9$cqno0gA2}otqW5R z*ZbU9zsJX(-EB?V6Rc#fg*Y&CZ~h{rXfHS(Su^-G_DadVq?pD1F)!o~E+)rgBa?uw z!HvLjV8&MMn%O6}4}s*-2T$K75T2Q-F9`b>zp)Jj#sFOT;HHG5ZhGW`%$hv57%mLy ze|ghohNn?Dzy9~ckzxn}@snPVPu4=6`P8j&KOjGV9T)r`4D}2#hXXhp)LEkVDplN1 zg$rP?%>vH?W@Hp);^V8dBE)jLIW>G}R_V-21UXiRznr^&ZvHmp+g0Q9u_tQU7lJ|n z5XIJf_rG&9W%*#g0j|nhW@Bm(y_mdb&ti=7kEToq2y0xk{<7S`F`R*Wes8bl;5E9k zZShWTEE{T|YV4O?oj3Sbu*22*_J-$_x3`wF8Tg!`kbIe%+_nV$@9JaU1d|KRE>@Gv zYJBsP1+!j+u_4T__M0M`_XdFs5K`RQvSIyj7*_u#P;|p3&ybOgkO_nqfnm+JYkh*( zU5n|DUC3r`@7RtrC0^2}9aMtnYzcxFfI|xIP{NIMM-JJ+O1Rz=j!@X(B@fDe+Z&^0 zy=(EXYFQ+ljsx&|JR2(c{4#E4ssmGdJ2yIK6@tIP5Vo!T|2_l?@#T@Vt|?iWij=jt z?MV8w@NiQxHc|wT&ArYc13UM=5lX5FgdbQ-a3xQnghIH@<36nE0@YsWxD8U3TtsaA;U32M5Qv@1WBU&0s{B^DfPMD98`$h)a;Y!mr@`>u;|#hLRPW#D-f)6uc1U+<33FWemgen_Qvm)Fiov%{tsF~`cokGfrp^P_rH0hoK~&*cBtU& zK(#M(Pn@m)gG883Aau$*|5<$br(~TKc)L)j^Z?-#X?9R{i|~rXyRJA+tgm zAhC)tUCUW0YYl|~12kJI$S}WWcdeT5HMr*c@gu(%m$HpT%TH8CS1y0)os~Xg-UEd7 z`59JY(Y)Ic7>(RbJ*P4ESAzsOC3O7p;SI-Jz`49Zsy^Iaaqc(&&V};m>8MUYhPdU! zP~c;kk#~DP2?W_QI5u){#*9wAWSX2mEOY2ciS)TG9nzhh zt@2}F1#L@g*vI2x-t%{XGpEeVD1%_tlKLG|JR}U71LcaaFk60Yas0s-u(G;4{z~Z6 z;B+v~*xLVyiN8R552PI7XbOJHW!9?P%@Fa_)i5#Q_+b+xuWMj)qzKDa97~Rm>6WX! zBrC7oN5W-YqBM6q6_kQCm28-k`OtIbhV+8KQV`+1^d4jgL9O1tHygme*$`U3I~f;u z5O_sUqN`%@lT+yAnZjt);hXDP9ZWeN4kiVxSaJWm&B7nj7agR!`iEP# z(aPq3jREsFmoGfE@$cJ-cyN=kw_lwD*LhH>{`F^h5&F{*rfmHoC8tA$9)}_bBmC7R zlCI4Qs75ARI({tsSI0lXLzpM%8l$3_=>OpLeQYKc^>^c0B*#h+R0i@6@H;jEsWI2)X^9VjSa3_V<~g zw{JND6G9o+(`|soS#35uogu`7EVpyk>zm6V#Gi4O#B}u62w2R275_tm7wS6W@t@Ve z3@~dT1MNKiqJPioo#c0;x(?GU&Q zyt>>S?s2N=aM^!5vo1pTnSZgov%Qf0zM4t%;YH9-j7|YX1xE{pXHM^-=A{)^Q-N}hcW!Jxqof6{q~WF zfj~0<$Xf&5Yvsj*Qefi`-@cm30RBgh&Af19J%|l3uROkUdt0s(Ls*jerQ)x1?EZ4S z2Z#u5hS_wD-EZy;Vt~(XW|;q_H8hl2C2f%sbe~%;3$MsO*gtg zNyh(yN^r{XPk_UVhXdx^*N^d*QvL%1br`6Tji~hhv=}cGsArLV- zm-A8OW?gRkji-&uefBhe*Qx~~aJ36W4r(Zdx-WL-!eA+1==#p)hsXtpV+dKv_x2(9 zC`s`0_(i*zZKpzES&4S7tmuDNjBh&q*1o+scFlB`yzw+pMA*+qIaqR$%zX|B1$y^x zj>HG6D)B1%N3q7)vd|ZbvoY1!YTj0XNRkjY)CSXq(R4*wk%|T~g)ARiJSMG#C(L>b z9v{ZB^ob70enUgDeJlvDVA+j|AD8#95XJj6Nz+1pDs#qzGaE%*C)&JLNe8F5K?yFR z7iK5IBI4;{BopQ(&IC(00TOw6JU{XX!y8nRNZe=RHUsR^BEO@B>p9Oku)HW4+lYU! zgxQ@3x59;IwiVg`|9uW14{!%yZmN70z#zcIFHVxV<<*0x=1>9yv3&7`&yKJJ$~on9 z9B>k4m8R%#zn6FzFCiAWTSYrmMUM)K<5HnGaw_y@LG%tbujWVRSzF{I zU;neK`0m+Ut+lp3DdIw)xG=zf`CsqC>)XX3c#ouiIAtJ7o*wY*8?g45tPAd0c|WXP(l+~4PCN{}&YcdRT4!dK?EEeS@`1sb48`G5 z2vFdoX$Fr0G23EyM#Tf-t*J446A2_}NG%vx1Ej=T^SynNgXnCfujc!2 z;?`IF4$9~u5pnTF@L`Arb{D%4 z75jGottf5>TS?SeRbj-Wr(T zn+U!HRGp-&Xg2JG4Kr~uFmw=vM~5s1-W#|X@THV&K%j5G0W63FTyD)Fz-i~e`qlAt z01NRDNLI|SVzF#!Z<-mgV$oPB0}H2adX4Y7mJ>18bF%7Js&j&NKjNDT%im{peL!T$ z=<1Z^AA0h6&37I(dmdj{Cgn&?lvKU(bI$B+l#TLJC1Y2 zaQmhU1_I06*C)H~F$+vC5tLe$a3S#9pBzO92=TbpJ^)u|QP=h4wXQ!9uA2D?F#mZV zz#KD?Kg{*(*#Okwk@B^`xJdAAjR3rZaQF2;3d+F%BSmS>d0=;_GGp7;6(eWxC)^y^ zlc$8~;Igz(8!tfR0_mR{Xz-KwH;5o5JECM&^2|?Hwm-}RPXLK<*B5*Tt`z~UJ6rw? zd;xHx{<#K<85n5?9076w`N_6**}$M+Lel5{8PxmDcB_`Id2L|eT#%Z}2bRpFAt1Ie zzdN42ECJB=McC>oUszJ|3_JzkoooObSQ&j%njG~J1TVS(#O_Zrpy^3jvjJo-n?cZY zjpJ4yFkt|ve*={J@ILtAh?RddgR2n=KBoBb5~?Z%LeLfiK!=^_xsV(s4%5$ADyg-b z15!q1TedaQid58<+)9XONdCFkHyvV)Uixi%@(c9VF*iAP~ylr;HT0=j6kz4hi!5 zqHnY3y$oX{CG7t3r?(X_r$biq2MBJ@phb*RRv_!eo#S>;!mWRHb$$Oji^VKUT=w06 z9)zUI*?&5rrJ3y$77MKGe-$ufT zz_{;T4{QhKJp8!zmxO;U(R|ZGn@vdIzoq5bEP9NDQ1Nu6Jo~#%5J2`_vv>X$gB)P) z%=raOB-rP{{oBEroO_iztp(I2Q~|eG3BxBb~ zAaq9;x1GuX!RLFN7g2gjE-!|oehk}0to{);@e=N~<1@1^+OAZ=@>Oi4{M1D-pFtLK z^=b%In015J2*dd1KOQVOm0gJOfD5urIoZ3x(b--6e0Mnb9LIIY6#^E7juqZszUVZV zNqcs&~m;J%VCMy5nVhp$+`(KiQU^{wOG-UGAfCP9Tu3qNQUc|5!w_y z4L0Hr|NKs41&#D90t-*2&&`qv{wyV@c1N?OSHNO{v%4q5%d`LkF|0OPQCOKVYiqBd z)dz+knZjn1xINMV+d}i}ACNpp#WxGi(iGv=5|$Z#BG_R9e_E4D?zd>E3>f{kf~~BK zC@NMC8#Rxd*A}PVt}J=hWE3T8K3-+f$+l-cie3xW&M{D&Dg^-+)mgs#!y`?QzWJ^{ zdA2dABKR{tQ3Aapupr8w;y8K>%K=r#&@6=o4n6Rz3ACM$(M+Tq%g=}#z3j;>=V~J> z%U}{jAEG6+i$o_=h9?!{NtC%cBN>W)WPX{ik!iIZ z0PRg6vhBzY3qfDk!RaJH$#I4Sp9)qEx9s5GXi33l@37>@jSvjV$9h8sG|90VCj=)W zMb{AI)dJsku2^s*)z5vmz%^}03ERM)UZI#0*iC#)qP)GvfpiQ?cLe5-mV}2?OlM_s z!?ET7?|8v3N(`!`t^HYOT1n%I`Lw61N2@AjUxWPAqiSj}rFvsNV{IWWFpPq~5y$#s z-)(X3iuEPxY1KgKK|8Xo^i?{MpZi{wurcIf`epuqN}e_-Uhp8g2T}h|Je^4ES{>u14YzI%FBfnoLJ_j}KFzKFZO>X}#eP|Z{`ow@ zbm97CwB?w<4@L_vb>d-3Hl37Wmf{PMsvZJ`ni$-~!+N%85e;W9+HKzUcXha1^4H*$ zVGv_th4Zi8X#X0x38&JW&CM4n6n+~#%+G(XWF?LtLb8sp*KcJVL&Sxpn;_(85n1n# zYw$0yAQ4$W45;O@(ZWKh#|=WPWH9dFj+lFabY&q6wJzWa%)osTN4xuW#+w)Scxclx zjQGzL+Tdr*hXthOzNnKra$cDpKWqDwsWy7G=z&pR9$MCg0 ziScULiJR*md6aAs#7_!rHjB9P!g+^{R42nkpoKE}@eP(X7%#9pLR*EecBO7B(>!DT zVck>Co;WIRDJ4DfL5Muh8EuMkqXf=9KMAHIDtmw&Qvus#K3pncb^qjrqDh3);as?e z>?Ce$h>xJU(;vBwVpJk@k{gRAGB0qP{jM;Wq}0lUBg5eW75ZL5IwN|~0sH?(t(M;l zr&}U?o$ePFtnE=x@>J7qd>n4GZZfx%F0@r%Ci;~G*relAh|8(P!r{BBl_@Vw_wSh3 zEs3g2p_*3MauB?+(O&)oTGUg7jDA&N&Dt77Bp7FH9c<#jydCN7%n7hbQ8OfMn~V1} zr=1_AjGE~d7Sra($J2v#>dd435t?Z9%h>FTAKS4uvVzi}N7d|O(q2Fk35 zcE7N$I!b0+3rd=FV+@|GzoZ3iU+GJ07^&0Reem26?w|bZlgHO$d<8V=D+C?*Q$`nR zCjyFiy&==qPDRIO^+h|JN^KYpu-I)gTM+^Tw$#Y@d`-diK6U>R08wmafc z5tn6m1@}C9*>O7EvPbqG{2C>4H;8!mp8~VmwV(40<00*yW61BESFhRJZr@33`S{Ut zBO*RvBR}$1fgWG&&`aM~*oPwX+Br7FH+R*s;=5$g`Hwrt92Ch-TZIBEh|#nQrpqRj zTK)QHworU2VywB*+9STPqLP#;xVv4UC%<-u)q#t+J_g>AUwqAX!UDUiopXlG>84vWwbHR3*C@g%k$wAkou6c-2Bt6}Kz&Xzc)vponoa^8 zz#DsIUrHsy{GID}@y+tAb@`b!{f_oJA1Y7?@EX>B{;Y-ct*5#iBt$7cq1j=q4;MgQ@ zC79<>I%cOrXts6|6t5u)@HRng1l67PX$PN;_z050(J@HP-a6ztT{m1ll7iNm?ceZq zL|0Q^s6_V#HjMWLq0kiVCdqWr8EZznb;yl7Drj9faY(iKjkio`y%U2D)R1?v6n6!+ zb~a(UZB1_Dw)H&G4jyEtehuZyC<`wC4CFYXj27D~x{QumSD+ zzj!MV#TniG2+T+OdM6^}7OJo?;L%*pA%7jWjM9KkIQ}bB_z=TZpDJo;q#~^MJT%Gi z*5$5LDS9}8{d)DVdWXT_AiOE~iV73>lH2QldA4uew@Wm?aTbbozGvZF{L%Dxc-38)d7 z6DVmr^PJ|QwEKj0NsUI=J?E?}#GURb+o#f#jD_t^BBJXgC;1cUc<0*+(&scQB~iTk zqqsf4Q%iHN2)*mE%W27hS!r~#-FFU#q%wWBXwEvEpJ1oIuzrFGWqTtuS)LLZ6%uTkOKE3W++(7j(i71)T*d74!X);XoffTg*GfR0QnHckPMQoGH-G}E_ zidh=fs{n*9@E3y@?tQ@`{;SIKzfWlHq)yqgxw3^BL*iQ> z(CIyBTrUuG>hvjbrWlB1o+s2LO0Dkfj63^Dp#6j8_9Z$-N2?NBx?IcZH0Jw@Nx`Y> zAa8F9@=Gm@Eve56gv~Mdkkto#gn`R>vG`27*Ek*>*(p?{$=C8%Po!=mS7l1mH7*pf zhPX+P7OxxQf|Q&YGl7*o!Q5ZSBmExwXfC3t^dj&ty5|c}X*tguCsl9a2lV8?#C{9L zOHZLS^MFJi{Hc@R!$zaTjbx#Sh%@@?&Yqr6pzs~HLz4HafB3I0Xs5F%mu}&$^0#ZZ zuV8QD?ZB+_c12{3ez)%G5w{F6(A9=j^AyV8@jjU}^T5oVTUz38Y zkf1G{GiZ|`HCu`;f6ZIZG!f732^%0Da>zZM?#U%o zrXy+o=}1pI+*9zp@rd4S$^%MN3uz6~eJ>3Xs>AyfrdE%80i(-zFMK2 zUaWA1m?Om8^tIdw(d!k=wh+T4eJm;xg-|dK4@JKD!X}4H#?u=`kX#}u)Ru`<*Nb^) z5R^@679FgR=&JO;Ou2KIg3Zme!_4Xl1iF@ynWNdN*{5|+6+*~_+19MZ$q~clMkY(> z2kqu$DPb*IBjKNl!Sa*RwYs+#z0@8t&_uT=qE0SZ0~@{;t2$fWmei{f#l=%tSe#nx z&Pj~Wr0%GrW-Vdu6PU-iBE{Eo@J`FG5b~pnsX4A}7BB*a=>b_gz#JYN5uK>9R@kbz zrpbl>D@wwo! zj2_;nO_S(Rfj5LGiStxRH|q*lgJ4dXNeZ3kvyd7=czZP#jx7C(5)rxL$O=a#J?Wco zY%lCAyIuLzP|DFzzlNa_fy1ctMp!{7aO>gK|3MUkxsYRhlhZ50S*@0!BUXde;7hSK zUhhr4b9f}2gg{F?`rDExt)HV3yRBBt9YRY6rI4X(juCK`xo@c{C(?r%EXsq6bQpCv zv~c3t_BG>l_4<}Rz6G&&Y?^Vn&^Cc$L4SoWd8aA>;&)JX59s*%JnAc;W;uSbf6?oNKfDHLLqdb~U?E-i6*hAxx)l9^(o(KiVkO79OQN3?-V%YN56${vHFALXJ_id-- zPmtd4zL(iX#UQ&iCu;)VxL;NH(igCyI+r?mb`B6YSvy!s_NsZGRA$PV>_#C9TeK`h z@mWp!%6)Cv>*Xwm^O=DQJFpkGCqL12epZvQ65WP$&E8InQc#Cd89(zW7(O$!A>h3l z87_I}&1I01$MFNO0aM7SEYu$1TC#Z(^i_?2vE9h#Hw&fbu)-yMcr>TGTk?LA`YH82 z5FWxSPw371n#7en)uc+DAT5)_FToSt0#xB+zrs}v;s+BrfpOK8yp z8rcSu!1pGN%GM#(nqKxNiANU}GO;Be2by5jBNv)B)q~!1zsP&#Dkn*1mxc0~hpTbv zE;-J2H58a#DgxG=#q0R2i4@suE}?{Kt%~95=i(@TT#KR0{^am!@#Cc(|o13OMk z9CeS{f=5u#?qd)9{7EV2FGt~ECZ+R}LQcbJCc@hbG3YQ|vPz4UX(na0UcM9mv5h`` zX@_OW>~O6QsZVq8932Cl&(YW)mbtK+4rI1Ay>A-6Y=$)v)fecOi9u4`wMMr#t7z)s#{5#ec6v88wZcgcB;>mlsWN|xZxr7sqPUbBS*pG> zpX5e#*!m+zt$})nFq{g7%A5|lxz`4ft}S~y60)Z#ja51?Lij45OTLQ#j}zCo0Vyea zI%aSeiRG1H0yPBzNs`CZehQ5rsix}sEldq^(*2O9sf;l{ow$wu`R>DvJLh48j%nMN z&Q_C12BOkVS~elvQ>l{?V>Acr*w&U|&PkKw;;lobXcmpn zbwg|+;Z+zUN`#BZ%sO95lN(hk4bF!v&$


    vV72eSsf$U)wz`RYAg2O5fV@9afe4D zdnKNeQ-&Cl2v>7*53~A?55^baX6N!!&W3^75#eq272~@Fr-w14Q>I->ZCaa6qJnf< zr(4~We$VFiTfnKwPiAexqoY9WyDf)qNgQr3_ef~OPp@=9ehf(35=04`le%8BP%`k# ztaL|fxbEdjB@YkL+6Qi8qqP#>wsfldRPA*4CKBnp4Zlj~?_=xmEHtdE4i`^o-~09Y z^AlFb^v3&?1`g+r9viSF^g4-#*(z5it23N8wIShdqz+(!72c1NxW!@J1@pA{-5=q4IeA3{rHlaL7TH5k9s~gn=Puu zPW{TXOC~8wPlv0ds?2Hzp<(f+=8&2f7S5|06?C7~9| zmGx1>QK@Y8BM(T~ilBO+`lI7`Cf%1GV-iJC zyx~rTvJSIU&w}*65J|s^scIb4mB(Km>1+)r8Cbh-j$6vlg^OX|FClnHpDrcUam7bR zwldQ%)Apt?#yqbyGbABOxtLv7*itwm(V*Gyo0u_mdQY{nIYx=v{TS64n(-U=&G10J@?LgJvmF$QiVcuRb@Y2M)UEN{%O?*?_u&Ns=%Zfsqm*Hgn z(tTz7^K4DM@5N0)jym}EH5o5eVWd3)QD{UiXavR+_N7eu)>7^<^y%FC5E?;YzCMHs zxKVn?1Vrtug&ba#$b$Shl1j@Jxa)uW=9~F*c9#9G{IJ$#f?@?-o{SSg<`{{F!qK1w zjUuZlAt1kLIyYB$?H9olmaSs`U7<^{QwW#dmC|mtskgg?o%{ zYgjzaq_RJ3zdHRq`Wa;#&K-XG7(5w>8+Tv*@!fKF3W;^u6!zRt320UWGa=P)lDS| zy0{BFfNXmt`yNK;tBPEeH6)qzn8;Yzc>lk6ij7VSAYzod;wn2Xs+eES85eN5thjRa3o&&HH1{HvTJiS2tOo zq>`Ga?(Cp`IB!V|izQ8{gZc5=%e+rQ{=?$|`-2EjR%?7u7 z|CN3XOH`y|Ff>BIxO1mSzafP{&G^ER+8*KC!BrWLj_C+v6WTk@-bbX$cOgA88DZX5 zNo(c3QkpKKB%Cj}E+L(7WYeTT3*IagS1}@Vq5WY;yY=o$T4%yB$>Xgs@h-{R{umQT zmVM1x+o~m|!QG6hRaF}!P}-3*J5e&86psFajtTnN=@MdV_Lsvc8<2PM-!_FWsfb)w zLO&uL>@~Sxkr%&Dh?(?*oThh6xMLLGIjv#H$-RPgw;4gxV_xH%SMLV;dy z(>%ostLpPjtETVRFyg?P2_NEddDFY(FkH25Fq*;H4v2 zqN3M1)HYdic>2o(?&VFgOHW^y6|S#YakS^%T-mj*t3XCUJ&qbEnWgKd3b~S#YQ5Dr zK&xC8GO4Izv0xhsPfb@jZSTFijh`|q!Crc#zhq==IliZ&qj3L@RaANOz?ba24;-4D zbJ;PeH-vcR-5T9cQ-)=tQx{j#V!}^6)_hQFY`M=ONC(wa4D0qye?DgVJxyl+QV@~4 z`ov%1qJ2`7D5SG9&(QLILf90gU#Y*N$T^|?gn_6rU-Q@canESEF{-gC!7DRm?JagE zX5aOzYJ#LW+e6}RM@Z#%#IS90GI&Bo(ee3*ws;?VW>-f0<)h>aQ;nAA8%w|{N%cLi zT@F{9%BBNNt!9s&v3ArzZ?ieq_bg*_<=_}0{Xr0S@IFf8mZ1_yxyr^THILPN%VPw= zw~}(HGO=7Y-FZfThCukFk06M4So*|yc_a&XwXeB~SemXCcA6XD$h{jdnx60VntC#>)M zW!2Wj89wS1CoWeRcama)ChzJgdUJ9wB_17xcC5h>s8v&C8W~-G=Fh(kJZ#Q}i0^*7 zeVsIRIqbOIFI;Y)K>N1f`1q&5#ME)G3whlcTI&4#G-3;%&+lK5_JK#^JxRcDF^fsE z44PW-bT6qZXH=KjcHgaYB1Owp!N7ScAY+*_JMn?Gre<;7l@n>Hq_{l(fV{joY-uiKuDh;ka^K5+|nk3s-$Z7 zmPvzoiH<(I5_6>{CPRAOb>WyUW7h(Tf{4>CJ{`IyZFM#w zLe${Te{gL>wDQwPYP%z{=H%BP!eD>Y*i@l%FH*KYs9NVkv{;TF_kBzC83m$zXWP3~ z4y!T&$NS z+lDq2#fyes7#H`tQKeK!uEwaLQU%99brBG6~Bl=vQ?E8e5)PN{7|it zktjj_bndV^176;%_Y+Q6^wIprc7%KDC*HIRR$0K2Dj2u3Jh6i6+Y-?M%h--3kDG89>pEIUab#HiM0w>=ogxIU6(V&{5yWz%{ z^7}kqhm>(O*p8xsHz_-6HfuA~=*k2ef7LkxpLiJ7dtp2!g%{UN+JW*l`)CED&p z_@e%7e~CzW*82eMIohp?Hs49uj#{r<_w{Uw-d?6H*3>24shr6LUrY;@#ewL;H(%|wr4d~5Wn{64i!i`0XA zj#W7oQEC^= zr1dO?!P{SsTAmc`vOc#;BnK0S?@OxEhex$p+lQr;74 zujal(!VcMn=A@}JxuR_iqTGP<fJQ5>Qy1b<%7lEh7e^bWD8U8drr#CoAjS z`kyk~UgZ*W3$gIi5p2#Mnr!}tV1)_=S;NhE(KyDNAF3zw3zjLp7xJSuM=e(SGga7B%&tj)af;gsy9M`w$CSgYSw=?)`@s8-z$lGAjB`s|k^ZqMg@QT%HU z=dNb~xr&`G#syo{sU-WvQL5(AavjfNc;qZg7iCv$ywN+U5Yn^vgm`Oi9EJ~L`kY(` zIweOP4LCu)u$?N#WB7O*&&X`O71Lg&ImjBMT4dV*-ulRUC_C<2PJS8QpWGe>R zhhuX6HcwuQrcAGpj`qhWm7lpaf+`sqb#S|VOu{)?oo`f)nqYFx(530D-fCv52E|}3 zEU0|*-Cm2a5OBteF)^=l?%a&W#3wYS=4J;5%t~C<%QQavMcrOvcPt;RZbLI&1DZ26 zY4*i!NTJy)LiSN=;x{08YA7ar%ED5JBegfUZ7CZO(v!_wUk2^Nq)YDG7x^JSJ`2f; zHS)*Co$t)Q8WKLuUb{h?6~X1|Nok|@G%{njvJ>smxVBKG>*+%|Z8r#Ol{B1|bqdua zMsZ0%l6`VSpt>)i?1%Y4!StvNNjg=zg+NHyk-(~Bj(-jGmW`Zfypl1L@IU(M_tv&|hha?z99t-rQ_HO|41NSa31q8)ebDle7lS zRGTXqgOQYA1W%;Ar;s}Kj=!2<9>Z|FsRVM=@Id(6>?s+)31QStz5EAuZxUl}u!#4o zjOI|0d`kCqIbG>|XKt@;0*azji#f_@xhji%@WpK5V z+AR_lZ;U8%g_l?IhGn(v{uty2tpR%g((H@Oz3*VhHB)<^Z?AlTd(rh~2XfG8?-5^c z@si-n+Dfei}ttvIS_zgZ;6z9-4fu7}FsaQGIX3rQs7jqp@jWn!?b+)+1@uw;0;ScghBQtTs! zb(MDHSQ?5V?w zNWd$`jH_jjfoQf=C>04$dmnuh`+DGWb4!2Cn0x{~DM$}X zcZ)NVY%8~a>UNVzRC<4&l1qQQ9l&wQ5u>+9r=%98?sV@L(8pAFPmXC8ng#`}F$A6p z(@l!&k9=tDzg@;1)}jNqTi2yhR<=Pr+50)?%%qFyf(%)`AH~xo;q;#WT}!Jqw0Y{P zSNhhDF$FH+s#@7zM;&WP&`Il!7DD2UGEM_uaBwcS4cnC@7z$Bk2oAGcP(;p9b$fiv zI%2w7w}a)2%(kSovi9bF(uwZBcjwi<7Byh(`GQ^&5zHxoJv+S*G^~5Dc zME55-f~#ru@kSs8zFqHpBAWPdL{tXNF2xhpp9(|DXrLh1n-|~S2A|LX36rgKO~E|4 z26Qj?<{@Z!jE@&mM8pex{N2+TI3Zo58oKl9$tLyE3&DNYb~kKQEc|IFNH_?5?6TAu z!`C!#b33iVD!;XhywaX%n(|Cd2R0Te2*Gq=QfpT)gcd=W%~~c(N%KzYnvE?1^>2c- z_i!^5Q}V}N5#$^X4{^J575Z}_7_U-};IeACN7={XI-NHevi*U+2+!;K?Y zn*}5glA1twA~I63US{|YX*Xkn_%p?y~98wWcvZsU&v=Z$5Qb@wAVGZlw(Fq%7Yuuffk}X#NL^*yshW5^>~&S0_DipebL&NYk$*1qvIgzcOdN0+JkwqSENJJ z8TlDQ3t~DhwWZP&kL-7`tzOwMM^$n)0W{7?2Tk8&kQJWo%_tnr8{BuRD6#m_IW~#} z$Z#+nRx*TtHpA?&6d`t~*-i=A*EAdLMi=B@aj1b2r))bdh48DuFvdVoA8agswCdoQ z(JuK)@EdT#5{_aRK9(Hf7THGOBJPb!9%TABv4W_w)=8&2OcSYj`7LV zn3z}zZB`9qJK$}_0L_$QeNvP+0up9%l=ToGG3-fruLXTbT0td@5NqO!5Nj_5uPcGb z2#iK);s|_LjRi0CyZ;E|$x4bRU%NgktWH|;?f(`O3|BRvb!om;JNLzV9eLl7=+Au` z>nvMh7pdkBg~!$$S$-jiK=|gTvk5i|eysOmFu5Kr?kv}*hG3rm-rjs!R;u7%iQK7A zNi30k^48Qc-r2-)A1YQY__=~j_f30`Ltjo)h}%w_D-N4rq$#{{G}|-C3BleV&nqio z=6y|}qjKPtPf}r!f{XzR0m9KXpP;TcwT2=Y=}d&LUsCHTQHt;nD%9eYwG=7vwo|ep zq2`03o&c+8vz?D*&6N4eu3x{VQJmaQ@wP47+8EcBR*>bXG&Q-x%(l~zI5nx&wZBZ@ zZS!7xzf4GLYJ+SugOR8CuZL1v%9EQ`80>s*ZaEe1rY3wA9gbaDE*&;DEZ({zkOt-r zZ+Pr;HP>g(w|KQw<{|j*uRoWE{&_1y#;w~D`e3)ckH2iu;xY` z49Zhq0?nVzQ&e>b?V`SaN>hYkZ0{U0$yz$9R-Q;$@onCTgGCpIf86W2=9$m(x<&N; zPxkyZw);cgo-pOULoa_0+)QL%WI07 zx16Hw>yD@bO^_szC}`a3V|N^pF7QEmYCdcjt7qWhh>|~pC~9H$RPoUs?<`og(FLyY zfp%pcpFf7ee2qIw)=7;myH3A!xxUL->-_SQB6%NS|Jqrn953W@`Rnq(z5fQa?B7)- z0Xj)-Y%9KasZ$AJ`I;lE@8t7GGz*-ivTFVE+qVX}!=FH3 z8=b&&^$LIfm%tU#(N*K;mool2vC zs~8kgXEWMPf%blI>T0k-0_H*d5Llq2!tAYOh|TdNa9VZGX2IeJVHN{0vcWO6Vzy)D zn(C23#hn}S``QQyMmq*ES9D9IWBOAl23Du20!N#J2!gy3C09jh!Y;h9DuQN1;7vR% zkKP|jvpF(4Eidbm^Wb=dHpj!J0pFA++C>J+4WuuU7r# z-%C1EIJfyyV<<9lF|Dfo$h;HH8?Upu(>?pEA`1Rn0C8vUd8T-@XaJm|7~1AAg!d&e z8=X|l@=hCQ@lo=V%5Xv|tYCuKZHHy|bya$2?VyjvQK@b^pj8AGZg#d?=hsqQMw=xO zS)gE#E_6k#w~%3VHedqed`2?@@M+r{`wR>@xruR`G5{>Ty^ zOMYaB!t83ldn`z|fa!WS=->Ni92rlJ-TiH^SKH;D_u~rB^?A?QTn;74`lF`={~Mpo z%CZ3z|wXWo%oXFCI!mcix9ieJT|f4klyy}C-A zJ6?V9-pX62S8g9aIZ_n6>WKG=j{jy)hZlESEsrf6bB=Ay(+10}4Pim+E9;(et&=8> z-73YYNQY{A_x!Zl%R{YRU!3zcx8sWxhdW+9i4q$M!orv88 zNxZT_cK`qwuqQNa3j!GbiW5f@FPC?G#GsEg< z0ka)utUEk5_Qm8N9ezQaQ;X^Ous}{+xZ8f`Tv_OcuwOfU*5-KZnw{qsuqgC+K5P7L z9{9eo@l}pL5LS7u^i};lT4cicADjE3#%{aJXTh?ERZzSH2@nVXet@YFuZRRpfoi3| zGaE?ZL5gDw5y<}XVY1=@gn~#=+v)upt;EL_$-uVZPa;8AYl-)~?px(E8iKA>_nv)< zJ4c~8!%RkU2X+`sJQai-q|K5dpcJ9oHLj9IAa9xFlf?4?8`1%@;8!p`xQe1E+(44R zXT(1V1~VL%6@3jtVT!cmBoWI87e+khsDxQKQ}vo`w23h1>DAjI!MU$Ul&2p)xoo(1 z9C>*U{nE38QP1Z;;wW-Y=aF(B_uDnx2(*%YIvVqc53Z$l8%=f(0wbh9YZmPGUZm!$ zr+v6m8Ee+YUFO8k_R`_$Mmy|3_fLD~#qa(-B(3Jh<>!M>oZgYNKF~VRVV&+h3%cdn zp-X=up68L-hkj~14V?bI)t|x|vaje)?sl1@IOYr;?qVRulAN$WM-qrCf>yz0i`2AP z=}c&*X=GN{{eeg%lMW^?Ac4Zb982yubpN1;EstmK^qLn{$V`fSBZ3f~2SG`s=H2X@ zIi;dwZKptK4G<&q?gpv1w$lc{USfB>pW%gdDHy~7lh)h)Sagvs4j)LL8VAn zfrZuV=Xc^vcIDTh+wQ8+v-`e_{_fJ-+lRQ)eqLVUGFw7f*0q>UlU=0aY(mD8{~7n} z`F|u`3pkVi`~If+hEC3+le3&VNoP5SB=T+5~~yvmCd$JbJ%Q) zZz`6GniXa$D@|=#X2xd!PrtwGa&gUOd*Ao-KA-2g@8`MiV6gryAJ=FvGJeg&rx!tG0sNJ?Y%Zqo+Myr-Q$TcTh~XHHRm z6~(2tbOeqobPO2)_y7S_l4IJLETdT8emq+9r1iuay|*+7oUNoN0|9M`Jj^o+3wwcn(TNrD&O%NOVMtIf8kn#o%Gyf$D?#U`w=usu6l`}V-V!p{m#+ApEy*N^XR9Q9$z z_nMgF)d==w8g&5@MX$R!lp!lIW z5W;XSmPd)>QAGXzdK{=;DnE5oTTg9Ci&CXrb*c1y18|iAFgTu!EW-v1AB!G5mISsY zT&s%cM(~{O5d-_9%mW+MGLVXiCBomY5BTSo@I+@R<^OHgsuHpj1eqAbMD@H?1{(y( zj)Gk;$LF^6j?P=6c`Jx!@MR`WYx6)dR+)fLJ~oBE`rG}_#-+>Emd^4Y*>P}x5qq<} z#%3#B3$Xp zn$NgnjKI$)pOdW$wRZ3mfGF%Ye1ly-FftvX^!4wF7*%ob(IlkYA%}!Y6kaO_Zs-W3 zB#^HNuVrTtkwj^&w4tq;;6mf9Dd=ZJoX}5z3m?g%N#v9k6&xsnoKA?!&q-1Z<5*!F z->{@8Luq0{+0LOmNp_i_q}O5u4Yj&akkP_u^ff}Jlx@F+EsXon)K(k9xx2Go*B|Dd z*)Q9#C+W71U+%vms&?>tzjxQ0eP$b@h3&Q!oM6rG3w+0}m4Y>}6aOx=(!Ou^TqJ1M z^%Nv`+&-ujbQ_l7+KON+KCN|+d0>^v5KBBwe(Epjk^}_O3L`QMuR#~O zSHIAVP`?i+yNQg4#ZH4EjOJm zcgCA>GbAQ&W58%=|~kF6w7&FK#_y5 zwuNG6?lijq8=YLo04Nhep$V{C)pJq72u2oh8HJMcGMwlEFnrwbGz&DkOYZy$romdd~sbf0gH=GeaZ?@0kTRcopyl z3H4PteY)V@9S{I&pOm+3hg!M)K?k5ImQ_~<`Ih^}qls*X5++$xslY-8+zmL6oePzN z-}#;QDPDhm=U~3R_zQzgr3UKc?%2j)N)G%$W<}yJSF!ZU#n~RK0{XAdst>Ncz-~3T zcz0LM%om<&=dIJi6;^*U8TPdo{4bUroZ!Z$?cNN&B;`p;vh%!UI_;~^uh98sESAJC z72++5XVWrIUN1DX1Fv}XVroI4rH8A!lA%N;&9XuyQC)MZ^d&4g#-#Pno7N`cYmfi@ zF`sqo*hbjoA#zF0g+g0*?co^=XN|pavYWx-t$}s`Wbh$JR^W|@q6!irj+`QXpag=C zM7d)WMF$wblL6#XOF}_It=do&+c9@xXns#xtPF_%T(;uwlkGW80FL#qxj_9cswD^v z(VwihsieS3iSSwbP#+)eonXtrW*{IT9icX?OM`f=;TDM*{mmR;IuMQe1uvMWvFuF4 zZu!|1a7syxMqdl&3r{O4q860p#&d2h1XMro@H%DO%cfa|n&@*94hI05S4F{w(b6H?ZYwYdFi~|1^y$N_7BWC_8vVP`-F_e{j8t>mT> z=?@2hB|*)R(v~7eC^{+_N(ZQ;TT~Q9+tH6LJZaJ@5w&_ z%*(+@crW6(;+S0|lIpybSMHhk*SaQ|;3iZyXavWr3k>BzB>AW#LSl%?mNZ4# z?utraBeDqwc)jEd?Cv0g>X(O)-cO0S*34j4BhKBxhpjGKC6=rZpSP9cI!r+EF$9;+ zcAWbS*TP`3yw7|5=x)=tdKJXrOEti4#u^y?0Zj&-yl>5XdAwh1TWK>pq3Nk!|CCcD zL(ss;cgH-A%O3rdzc)?#MYEsCitzN|XYU6&1rYI)yK;6>A)r4d1_cDj2TXE%Djzi*Cu9pKY>EEjj=d741Cs4DR)= zZv2qGmAn$;(H&?YM(TkH=ahGr{Y(&*WB(j=mMWVZ_Kb1EIQSoEBz36C7Dy|c^!M%o z5ocRaL!2WzuDPXLUOrAIQl4B28d;frY=fe)KRHPI}dl`x)!6%VszG;Ea@LIb` z6LbI0TY@v9|K1(RRBM`mD21ETX$C*ChkCc`V(z@{{sLUt(m0p7X+YJYt!Yn!D?uAd z-;n~EUl2&5;&l8T42NLrN-zTbvd$*peAod64skgD$Qxt^55T=MK(~Tm2Twn_KLG`m zCvv3k{2nDF(SQU3L3@C0C+vWtCEaaJR1k7RN{W_(&bDv#k|cl08J?tOq#jOE8-asV zYOZx&%^*#GKF{(EZ7EgKAzKk@FmZeoXB#0D6JHC+9Ur&@wNki9gy__@875X`moj6fQ8 zwmM=I3*_+Aw{+Fn{_Y6c4b?hqA zs3{*E$;^as6{mtEe2#G61Qx(?fVc^vB-FSGC#Oz81k3^kk}fj-!mj9iPvj_R-FKD% zV*^(Ltkr|~q}(4$NPEgc3Ljx;iCOhmNy7Ft=LCfC4i_SC?Nprf^XDs%me<)#6dVe; z=9jx1Z+qtbq42F!dyKD0G6iLt1Po~Kkcx_oOc`_98?xnncWz7Hf6zOQp)~Jv&9v?F zC6uL%UDniZb9dRHig5$ONRx5XL?J0*iB_etg4HUgVXi301xR(Ug zM_nDP2(93(q9F&+F6-}qTIZ6)j8`^U5ugAiap9B5;oEVYTsG8wSLS{tl}2^Z0mXVX z@(~BWoDArqeL;u;YHJXzd{FHYD5%&QWs*q4e0uC*XfMIwigZmdnasx00&R4K%|iB? z*prsSlImqh*LGhCb4ZvE`_A1qe0kMwpB-QsXY$87u{UKpWa7TjkJ|4k2fkW7^DiVarg4Zv=iiyjhx@;wZBC)&XA~^F4 z-5NY7t%_4_mUegsY#Cnp09r-tJotBKN!o0VQ6fv}b1VLyId z_|TU>F}WG(G~;Af47=o1on@CB{&H3(cd^yVO_FdDmX>?2#Q!!9h=(FQ4V`@OKm#_dZnU4UV9wn#35qw?*p1!sTTaxqD<*V(8Q(rZn1 zAG*$9uq5Rd5d#)hMmpJn<}ao67h{-;k2k3fS#105Wa)cu`Z3?M{b|xsZhDF=s+p5R zLnX%Tz6u^7HnpAtcz=2%I^}`Y=$z0dnS=j13?v6Z+jokx{w6v)NS{KE}PU>4hktFNus?sd&SWf*winuD^A^z#WnIrrnEPBl^;^jL*9uIKcZ zbl)@@qOgQ%Kg};*4Cmsa!-{=Ih409>T5Pt+>#4;EKQT(L^`wsrIg{YNN>I_h15QH& z{;$4F`7{wx9snr47GOlu#t^k8R6GZiSZm4@!3C92{yd%rD4jqFL3r)MI0xUgJ|P~k zlD%L^>uLgE5J3X>M=neQ7gLTE_K>A{#?|tx%pknikn|jMxKto%@epp!XlV~3s$WiVmsd?MKw7bpfIdKw&y_>x5ww7s z2*io2yuY2=9pVf-ni$9HZ)3Ymk}3$ThdozANs&SW;r|FuYIm}|4wECjnWt=OP3g{? zwZ{=)N6Ck!_ZGgUJi#{JRujB3E8eVwQx$1EP$o#<*_ou*37d_sw}#ifI1b=Z@|hED z{)GQd_~V#!&1$DY3S6=O<)g3pmxk4=UKlSOSEPy2#$$<`J3G4DnsbM?OIDAg$M5^` zb{(!{06G^D(7GR14p5u7b|gksH9-hGNAM8al~Y2YQ8%W1a$to&KSpqucI#5Q5M_TE zYKJ&3xE^*OAnt|B!cumk3DLsZ__hY=_)r}_nA^7<@a>1e?Ln4)QO-ibSH6I_on^Hi znzuwQ28$#bg54gDVuPdxe79JN9w}1y0=D-+RfHm;9B2?J;)Lay710stCw;k@1RZVi zF&>ee%{j*B*f~A84X}A>i?uOH?o4%exQlVbA12C3(yw$H(9ps>ZL61l5uJ#z`^y(U zpAp`_v_mQhON=<>26#6htVWW4$z&ZjNa@xlQ-Kq$zU2>YI#{|~MJz(tOrk_6jv65p zJHyhGud&b-oNRCmKw}gxXCeMB^MvxcV}L%WC|9Im|Mvi*+y!+=s6Z1AfMPhILUB|` z0KZ}*5zif*n!qKcbt~ze9B`N}`UXHYP17O*hYqGz2OXjJQO#Z;|eZ!RCD(ct%OLh)9)ztUNSfYMZn*~*w0lQ)8oUHO$ne31|O&cBJ((S2MQQ* z1BZq}5QGl{xXGX;yS$7b3{K{hK|%{nUWEGETzKJAQn^Hsu^Y?HhF5)lNX@Zs$QqEz7Yjf-%|ks+ynk>Cc$pli8*Y4erP-^K~o?hqHN zjgClRSJYKb6oZU#YNK&{e^DZxhTEFE+sIm7J81y_qrM$SA(V`PP3dV^)FjUlzb!r) zToj-z2C5t)uQez#<%^6$1@W1QcBpuSjnrIzI*UDbAOsL?vC?aGdhJ?zps6K_*UAd> z6;qMDNo&?5NXosovzsdUDc~K7Hy0DB!W2kS&L3_Kj{x1tNJl&2YXn2sd6o7)tEHAj zPZW0clRDE=%G^O+ZVw0sG{hb4vk%4nRurBEZ#{|Fcd`3Fi-YfOI|m7W30=#*765fs zwEdCwU}+1*t#S5AB!cjDAkQA8&v6!M5!>q(^IEJm7NaXdvsAR*`-Ota-k>#B3sXJ? zAcda-Xu{Wf{Hs6dI1{HOW_ ze)+IEC-93usIb@%it3NuP_MDL+$-Rz_e#`~iDl%Zq-uH5obUKFk=RdFUwd*$`7MJz z$7UQ-9sOc8uJ|L$n;tUQ+U+RFK}*o z4S8k%PD?Q6H=U6;=Rl>#wCF{W=N6uK-H^s;p42L~>8hI(s7b2q>@w4rlUlJ~*tjm& z`pHluS3voU5hf+ zVGy=J>v;cMn9d6mZluEHtTMMhTDxwFMxMi;S|Q_IWh&grU30fW@Lq$FyfSD?rl2>t zPv89Qd#3sMYptm`S?5S4XahLow#PDj)7^aSYyT1+O+2&WvC{?Ll^a*B9UN|PnSajG zD>i{u(`yRG(0BRsMGV*_vO5`4+2HCkWVk2$egVrJ5sMOHRq2b(9z8^As2}Gi&QYhW zDP7$^^`u^tv*XvAG0NtFXzd?KVtM656zV>6`~){UlQB9dHA3U;wHYtt98Q_{>+GuC zu3ziF!R_gpE=*}0a88(E^o(fjycE8|Fe$lb{240q&%p%fP>sn}p^~3^6Ooeg=b?P? z$N2n@zJ^A6fiAb;%%S*WK|U^ueU()DgJr8bB$SrAPw&_ zzVFUCv|QjqD3uO*#y#p$AL>RL=ldXyulNo{tnkiH`e8GHaWOE>eR(9LlRx`p$A4Ni z{sSk}2@0CSSWT@sGx_BQ3HasD*NBPxlkJ|-S+uyREbn}i=X2gXT1n?3rID{Y6)FGkGb46=tM?WJ)mF)YvrhQQn2u**`3Mhs^J6yPJPO>0$*`G3`*u^))?1fV7LSM$zNjmgI>~Pyq?zGY%|J@v(B(c{zSZ{GNexA zzGVHIPT{}{{XVFDaj1Yh&YgIZkfPUxoQn<#jl=MzXChQbWf^^yzB1T14Cv}nwd>s$ ztB;j5t}ge~mZ#o%?ui2M8Gp=qb7}Y1&0n;gtinHJt-ZU6jGKF%wD-jZ_p-~;mCKm- z4hdZlqG{-jgrF9_N6#^%9WgAKz#iA?`d*f5#64rc6lGP)w4-K+)n|y&dkZmrN?pz=zt4<6-I+Mlxi@+$mCc!Wc2 z=he>TXV1Ob0gJ~kFeqyD+^I+E;uzhJOnXo?Jj?S@*bGa`y2_m|(+A}rx@fOP&JG?G|@*1oU@TU4JKRxHnU|~jC2Q9L^S83=x>M#qc z?<{3sf0=UE)w1{H!ftac8>uRqyR6oHMYT&&9O*~6e4+<+$@-^UFf!gqafmFE0Nqzm zTbYAFlp@$^)gYlQne*bz%hapiwA9pg6U?4z(S~VvQX2lkpCb!5((c|0(Av~yRtG<8 zfgfaNKKJ>+#I4f8`>m{&=Kg~Zi*GvMV|7P-IL|vCYCpFQ@uGCDbEnOVhAmcw`rQ+* zJ8#R8IG;Z$XYH&7Bl7Wy^wY`Mx?z&tbL^;0)CF&>oOB+4ms2R><;`R&^|dnv&pr0& z=HzE@ZCr+eg&IV8Yu?eSD?t<||Mv61j9kewT{|+)U#X<4WEuyoZu^N{cD1b1IP`Dc z89sx_m1Kw0&=RwS8jJLj=zZp!FPS$6Y@1lh5L_y*o!VR#+0GM;kuSN=v5~x)e%<*2 z&mL%N)7^P}8%%M^PG z^J`DWEPi+W10WtM5MJ_j;U-Z z3a$QRWC-%cm z=1;PUNbQ?pKD#yd*nj^r+QXm0if0Q3Et`k*-Yo3&Kbd%NtoG)ywBTC*d7WOSuDe9> z4muC^K*G}V-X@yQaPN(OC>C$uyTNm3sz*#y&u@zu@9!RY*e$o*^6#F#>b>WwxUC&Z zt^p+dRByJE{~Vco>#_IIpk`y5)nqS{K$&dROX8|LtTcxN>5Mr=Cdz*tBGX=@qZLm{ zAxL1kD0!b@_6a)|uG_D?rl$~T;g`rolkR@G=6~j@L+; zmMXF@zN#+eV;QSdDp~i>>z_AQn@&4gs0Ud%y~d_0v&xr#-n%Z-eK}`6H9D9Amt@@G zJS1co@TaQy@-}X0E1{!IvNNsne(X?*z^OlKSa|qwpJB-iY=@vkl;*$mouw`5()L|zjt>kPv2PR6!Y`l9wyOaTk+8@ zc)#C+BZ8WOElPcuF*}>+ad+SF<^>sNE}pv6S$a$tcb)L=x#NY-GD-G`(pa9&%RQzO z%&I9h*UnmKJ%88Inuv_-Exk)x`2xo80OSg5heK;^j(&@Tq75#%7qHiU{(AABUGMy6 z=avxUFLlpPtiFzcWxfni2fNQG+A3SI(JHYd@b1S;*8Al%551ASRS$hIxZ_PzK*V#xvLJx4XodE_r~k} zH_}6|sDoZq9SA=A`KKqMNW=7?(bw~1otj2KvikS#d0pebr`H^?;QCIR|I_fOuL_G& z+z?Ep&>lWC@H91o&OZCcqS)6|^7Ynq!9>ob4TryKg;ueyo-5pCao&_j`tQ^kB3>gM zapT14=(zHQaFt#&P*5mDOAFJpQ;|fKlF%hZxQ=tnvck3r&d4;QPFuLKVdc~k0dY)) zy=e6(4n6mIa}}CAJ9Nflb_W0MlIcVGZ!jWrvxSU!!DU_6$|WjK?igt~B9{MNu*`F0 zcY6Oe_jtnf>u4)Q2okQg(zDCQ<9?1|ggf3~#YI=Zv7bewG{+s>{B?6qR&RUK0} z@EwZFcmP;|e@^9?-S>vfymX6$FI%&=FwRe1+5CAN<_1M?&Nlalra$^y%q-7L>V79V zLmVC}nskb%LTp>v&ieYq1QzoEtB8@3qQY7>GjLs3xFIQNz{zpL_eZT-8dE#ok+syUYqnAU}+Fpv8` z+Ls9%&*;p*`YUwd`D7dn2=TWCBtBRS?ll=8s?OqFx6Iof1uTrAvs<-pUGP3)Z+hw9 zYfF}&f32r4R>p)MG!II1_drfOldZK7wVnKN%I($nx8bJ?yT7Xz1x#D7wnH(uJZ)cc z?@yn?4W)cbq-rvwNjSDe@J@<<*>Iic-g}rWe-L*tfxwbKlxOnBA`zRdC}ei;a?`rE zG=J&Ug+Un6gSYRjwGL1B!Z%*FFsfN`q^1OxOx%ujWn4xC*-c4Ss`%H zq8;y>M7Na7kpky9Qgp~u@|sRswTmmfv*lFWwhAsi{D|mE%bQIGFr$IQf2yqW%!m7$ ziFapsB`HT;Q3QyQ0k!mg( zdlkHYQ0uvHbl9x)$Obuj>QI*k`H0OvMqYxtS~Y8!x}~jRyCDCUh&EIe47uXBsM${+ z!MT&caG5iDP3KGqTxGPn;AHienY;4zn-gzSJwviTT8xwi@uxXI<}M5H8_0;_RZp!- zI@W!i+qinJy46$nJAcI}0~=ztkZE%H+syuwn&6_in=`#F-%ZT0aOam+w$pvy8R3|% zn=#RyUrbH2@#ftV{}t}@nwk!}7@%QrGkACqH`#Oc+;xi{q4y;wY&n1NaWrJv^)31x z)z&p=h=W45Qg?HycU8$Ly^mF4^CxXsu}Au6n(t}^iTNX!OBja_`{LH5<|b6fm#9qH zFt;d?{J??!?KfUHtXp=lEF8Es8 z5xHZm+3e1)h_f$7Ivu4s^UMsLoihT5i6wGBd7s(vgnFi1v0Y#%MFHodK;D~t5)Y+b zmMc3hnY3?{$=94Kamj6}m-%lQUD})BwQ%*%Lh-JLdkl@mVn)X9TUfW803Y42p|s2U zqa))rep+}{lHlWTRpjDFF@aGP(`vI90WXy#Y|G=_CD@34#U3*GfMfFHkk3n>z3>eAgO{EOIyQdz z=Iq^-kr|G)O)@9l3Q|(8Hq4g6IJ2N;U_#Y5QC@?O_5e<@TgsdH8dm*f*!+dR3@$f5 zcJO!~j;o)x)Te*r5q~e6@v3)yL`HPU8>`WG!etQWn>AZm6~vMr`Mn#3VDGR0X>7rd zemv`)IVx06IzZQ8P#Y*^Y3PqlR>QW8gcL3drkT9sjLHbeUk3GLR`HPPw8V_~z8%N( zs+x~ywPJ&LBwR--)Yq_;r!{fAl-9^r4Szt~-J9O{mT3Hnd0X=C7P@i4Ts>y2sx0jU zOP;Fj<~q=(r%fENyR))P)F2$?hO@M2dj)tWwPXVfBFX!oWQdI>{hCF2f<=wov7((1 zf-*>m+IRtws3|w5Pu=Gr*hZfPP~4F;3W1q;w&)Dm^{&5TeF5fA7Ei>x`iSk5m=?$# z|CLoRpo)|wbII9`(ZM@hJieT16WE?OP%sH65Pu-)8Pr#e2vYiMa(YZb^P@>}I;(5L zIFLnnjVdd1QFtg8f}w>jTg+5YztlLfL2fH^cO7V=DQU@9BDn(O6oFNK@BL&wC;yjl zri+sC2g1D4nk5DIV(0^T=s=!`dygC)$>Squ;Zl#i`kX166fu{`7wARn0$dRj(s2)# zJs6**{?yF;_37-TRjN(}*0HgpCzDtBqu`HBk@SNx#&VowDw>1mk|ZwWSsU{6e^Fhi znr5Ao{Kx78hqPu2n@7sZng)JPM@H8($LQZ~{`-4mohJ8Mu81~0BQ9fpS*z>q?6E+;bI;cxI0wuoapx8~C-?B|oBsL7w8HbI zc~wiV-umU=NXQ>qxq48?zhEyNW(|Bndg5!qx63Nf9 zag%-DOX5tM_{wSL&5Sf7$u!CU>!JQ7jo*%%K%ps|IP>gHmJK%{V#k)X$yt2fn&s!G zEnBMF2KHzzdj=grUwW%4N*9a2udy-C^ZlH=)W%W$KDcS{PT7*o>5sPBObNDl?&&ed zk3koxe~@{AM@;*a)^ znR*GvV%%WX>IE+c^?DXCFx(T=?|P@#(w8RQoWfu45GjGT1afv%bDuk^&Nf0r6)f}} z?DY$fyl@;R1u4%!oA;-AyylRzHMinksS=>bYz<-o!n%F+X?Xc2xgKz5i7=iXH0;g?4Je^1T%rh05lhu&FU+2@--?8LgG|g%S@(2i2XOQU)H;_c+W*oQnGi{dhLJ$ z#<|H^pYAAWP&8qb8^CtSrdpSikK}9@K+xPwSsSlx{pi4So&Aq2&fy>EZ>j_D=c;Q0 zb`wgGu`kR+102P&uH7Zwo(Wj*70o!yU3VGcAMnc?^#&OA$X+x#j2u&1SyzsnY(UiP zj!wYlz&uw@{eaW5({~q_N0;p?ImN{AM#{Q|mFD|@A$E$&6U`d3sa@QRO5~qX`kDS- zxBIR?3+`;~s}FmjtTQ0TvxLOCYH0dqKTR3TOpE?Xtb2n5Vu2!3S74^nE?zV+erLlW zERj%p^)yxzIcf3n`rxEbM-ymRW2yG#unsA@g09A4i(~ft8D`nOr2pwqFSKM?1b>E| z!gvh!YhB!eEgsiDcCM!FFLr^!TyBq9vSG=~+qs7NYMk0bEg8u(K#BjTmi_ZZ?;lQ> z&zh_|FRmQ>I+=f^pjYEqNk6rp+G|VB*j)>Sl}j-~ZfM$!Gf{{*cTSf()!FONW(L%FDQHC{39{1tQeD|&f2@0s8sDP z24lc)Nl5)XyWeKIk>G>1p3~Jf-IDS#S0m%=NvmEjql4cEf480UY`)4HN0&WHDgOMi z&FXteLFmxkl)&;AMC6M<3G!O1~F zdLbnclOwA>i*^Z)mEqT!Fs5^~#VT>J2XPy{5va+3c_OU2<9v2y`zCOHYOP*aSZJhR z&WHc2Wle@G+ZJB8hq*H?as%dxB1E>x^@zg9?i;u6ANfvV1Dy+4r-b5eyTzRy~lbHlMlr1TAyg?TPtG~9$I<{kSzK} z8hqCLR|4^a;MZ2N&11)`YCodHNZ}e!fFJ+EinVO?IsWsiqRZJX%&sOF=0^TaS)GAS zR?pliiGDP3?Cc&!)7FqLy8HHnM$*4u_*2iaykR*Q%<2^jYL=dZg&P(HcgeG>H&RTk zwKeZF4UeN$wr<|4FKw{hi#G;FA?+W(NYP!XjH*8zSi7$A&JB&#O!b4bX+92+x+S9Qd|0c(E;3x&#Sx;`a!2+tM@8J*d~xHTw$meD@*2A z@BD1ZCp%t42?+5fO>Z z4;q#aMkX}_XO{)klzUsB!~D8S#)&>Eox>5TlU?R|l8sB*)8fig+ASgZt9HM5q@JjJ zWEZ+hSL$siBHd9TAfyV^xlkW&kiu#|BTIn0vlec@LF9b%OrFb04F5FOzB;^`Lyd)? zIQ7VwUU`~qU!O9E@*w)f%e;3zMk(iE%WLbD`e}&mZKo{eAKxULJnB}}zWS%H+k~Os z;V}S}G_5+ncng-+omV{bZ0v-7Rdu8TQMo-8;X@i_|hM! zk7bzIWbcy;l>D}HJ3G!@zDd*>wX<}%^=XmS+FM4`y0Z~mgsjCVyRDipS9n+c+5F{r zIJgEznni~kZl@wYIo9@*aBGx5+sgW#x_RHEovbJ(V)SO%A*vpCL)M%_!#Fg}wCQKN zLeqOs0Jjl>mxx9BT}2}j)z&t|{u9z+ZUFU_KG%WwZ8Agvh4k}(qq|6lk80qoz1(w; zBnahduc(R3C%2K96Jrnh2qZ~r>B~&~GDg|v?(8P*EdJ(uzYMWCHCGVRmWIlR*klKFly}{ zzptrHdq?O?eJj~Z4r=-4m?T&mC>UqHb~))TTOC!k_S);>+OGRr3ZE^VIO=!!&y!Jl z1*1P`n85F^F4E4rSa^J!l9PMLhzh#GLwhjf+gs0V705~|@-vvH7BrAZ0~yo?N7Q71 z8@k;;;6A^NK!%s6#*hEB0Y*qz6v#M8Ke96v>t~wQ-MYQ}&8+MEeIwqOzKodbH-X(+ z&0VigT`*1^eu<3~M}^7T#;4Xb9xy-CvAd5nroU(pqGlbTQ8#p6OsxLe-B?u9)aJvQ z-;UP@*8cX68ERnTj>9g(bhIeb#fuy7yxXOPX`yuJZPd}1VW|I(wCTecUvE{KeQL+zmq);G_?BL@vB-5Sk3+B5-8^Tcc5_Nu(hfM85OM zBO{*epm{r(%RC%fU-%)I96X11b_~nphOtL8q!~>v@az8HW@fPKI+9MK@?hpoA{Afr z_RQ|XSv8mAiA0U^zGXM!v={X5+n1gi`*AnE{qlqNb22G@UV%&Pc$7;9-)V&)Y8dV0 z+kQ>|6|-;xms*f6(Vm$r+zvxBaPRS8jOU=SyXP-#OUJIerrN8;I=0=!{t}?Q3c)>l zGpb6ad-zXe@P#$dCKWuSm20(O^l&^ohtw1@K00HQ7CCDX&wg@tYR6I{QOe>b+;@kj z{b<~H1TOWdBu%@gu%Fb0%FLN*tkP@1CG^N_NeQFM8#YQ`_ydw~(!|_a{L98)!Yy!j zG&9kE{xfQH!l5wERX*mO^3GzL_%f-hRDTgavIWu}xtd~aLBl)Z3k1-;eed<>n|1Tl z6ReTfa1FBs{W>rQ85HjRuuMO}=e~>_vu_2gH>SpmLh{SYM~_^d&g4H%kBh<|_Sbln z+nFh8{qx=2OKUoL+ofLy&&>0b^S2vbc()tHUw_$J?>&ox`AqX)vg4Vp$L{|M(uiGx z?&a&b`w(9ymSI^Xg^s$ z90%>&HP3ps?KaGF^QEEb+1}az%ndIa9JLo!e+*c-O13~veRdP(d-$18&iR!@@?vFQ zSNGT7d3>^ftm&|$!zCWSnod`4w50jr*7KqdIBae3KoWn=+*?{&h{~+0Wsko~9e2G+ zpEY{=aFzac?s}A@Fz@9q0TOudC*dJ(w}uZFzVWKO1@etj;knU#<0dM1_jIZ_iPHPPe46OoyIgj3q;xOYT}V_>kJ+FSsWh>{ zpV-T;Lo_t08Dc#NsJ@yQ{!T%of+0}K`*?O7g}ss}gQBM%UMiOnsqc-xU{9#B&8q0$ z&*SN7D4qq0>SRIW?LVqK!-o@3RDWw&&wI?x`2AB)Gn3-a zMS_?;j=!*hZ-**qKd}0pDkwglH*u2+^{n8pP$XQXZ=!|J48C<1M%6WJ#l1wu(|c52 z2e&+NqxQfJEO)R<@0)s^m+iS$)0Z=7#tF`kogZy6N7?zYU2f;ExW&JFIvB!G=jbm6Uv3NJf5Aw zpWEx7M*I=JcK3WT)e-gd*Gxjl-dS^7VA6-cFCG8sTy%I=p>p5rc1<+gZ0{H?;*yAJ zApEQ=A~F$UM@Y~(L={##Cb+sBOW+XaY?IomrQ6@xX8ER2dbsEck}~8tTp$$9<-qB@ zk1pgiQuY$=elW``htR0>)egJ!T7Io!iTOqO%VXazY`3L-ooET>*P?e_g5WZrd-m^R4L6-`mKcn~@5hhyekaDp`a?X0=C9T$t5 zC8mjj5pp8W)65FHhX=g^at!3ToiyOFs{VDk$2tDSo#;BXwut$*OPt&{ZDl*IIDP1C zCl>;W-1BU2l8`vx!=>H1UvF%3>sV+{Yx4@n8pRsuspZid@t%(FXKqVov=cJfPg(B2 zt?t%EJv}lDOXc;JyQ@jpE^1N9C`WmVg_d*H&HaEduA+UF?^~s5pZ9~FVQrwtAIu~@ z%FEm|?T$DcklOM%jJovSUi5Nv-L|Kq>43;D=iPt<{h=WPEcM`|ZgPheZ-1JISQSL4 zqRqX|^xsaabnLp{A5UrXh1hn}fsZ|i6lOC~mB0~RN;+x^34nn=fcs$V(KpkZ}7 z`;$gm*;eZFe&&8fd=o1%QdyZWbZaSC|^=1c18Q;ejyGYbN=hdT6pf9W=!5hcJ$5R8P2ySGKTz*1BcX{rUnOa=Vtkr zTde$9b%ird&d&LGO`SHQ+V?`ZSYs1}wuctwFJk#tFNnY5_a-y{W_RqCwg`gzjjxAa zY@An9Ib*f1d)qQRb_~;2=NqVUZT0@ANUv?ZmX?Q|VxHbz&fs$`*pboM%(eT#>aQCY zJt>;hN{h_o`8kwPKiF}Pbk2G@{BYpMI;Xo)ui2HjjsjV_dxVB3;@Rlj{VPaj?6K&3 zoN&@F5v8J~QE*XJy{mxOqzc0Z^14Iz-A;od+$>fiCXi%=9bSKTTYC#_dW}Aj7WwFx zhLv;5FgZb8cSLwZn36x35%=34Gmf@gCs{wS@JHmY?2r4lYH={_!&(KB(E`C0Q<|v3 z2%GnPB##CqW;-U>!Jz)dM=P`!KIjkTSXyQ1lC5U7R`p$WiS0P5tb_8tqf>4}af8~i zb0Jf}&>X=dOnW^fl3wl@&lZtq?KY*m+7Zz?^u}s&Jb}tBgKR^{-}PMASnCr>Mh8B- zyK?Yr%Xuzu*6Jj8$+c7$U&=7z|uCY*Nuu< zft~@&fx_avwa=oLc@XMIYF9{++3<*Zx7Up3sCn5jB4x%sZo%K*HJ)iM&zbU}h{6ildM7a9%_rjr>Kt zmzbcXnMtQhgt|^9Xbfw|JChD(_Obn#+UAVrQ)`L@DI=xLj1=Y5Aa(_}DLVOM2$4v3 z$)7sEuAhdkAEQ%8$+7*_@xcgbnvcS31C~z4dZt05<~v)`JwTguLQvyFRMP+#Qg5u5 z;xee;EGbP5S)TR|+)n`v2__rem32*v!3M*5VpT&j{6jM~Wd|an5UC!cMKiA;DdkKG zD)PVy-2hZV)7}nGERgnPU`X+*Lnp`lt8n%!5xr}MTtgR+SvG7!D1ykDYm0+Zt7m9uttpgrwSiROfdn9}->B*vJuSlbY6XA5XG)c4z zt)C4`Bho;6B<&}G1d;S>ZW~0QHTuodYu%lUj=8*%9N|%kWc4(nzxA^>(G+RGFI)}` zd(^Yp2tvA!fr$77dnlhmv%^e@_DEc3+z``rE|U7Os2*VTX`O>Z|3}ibz%%u~|MJy0 zbaP3iyW3PkQmGUrlzSXI?N!Z@{h)87tZmw}vSuGFzYC(NEqMyZum)XtK6y(jX{`xm_} z1^3Q4bQY_=WdyWS&BMkLJi{z$YpMoirv%rA@z=V>0w=W+LZkgL!_t9HCsD4Qdeon) zI&P{uF(_|QdU6MGQqGxoLjPpO^D2Vc>Twt7izL(|h~?qg;)KD;`RWG=VcK)tk^aBt z>Oe(aAn-|U3aT`NKa<5&-xIt2RUTc#-u^$wsEkd!IR^b#7SkMqi=S@@{v{ywo+)Rf z%8WUk<&0w4!v4;>+^>(N0bchss{k?ReJzHET}7Rb??wBSb6&dX-oX^}!IA#gWI^rj zGk?toy~D-=u|O=CeWin}DyPtbh2x5f1cHAm!J(`6kHXjK1IH>5-&*OR^7!ESTPwm! zM)+vO8Wl7`?Eq^m&zC3LSWK|y=s7&~^gE&& zD-Kac53w=&i-JSUugJ@6PSJz8E>0C&UImw=xanv(um~RPSq=X_mKTmkm(#OdlZ4~G z$1eDn$8PN^jJ_G&81KBtD&?9*F~8$+s5;C$0Di%-_JR#wIN$L^PaBK$cg76vHpgVU zw-vy5PkLnz4w8)|WeKg`O4X%&yO{0%foa>m-Uav-rQ*f+cpOEYup^iiT*vb73@(=@ z5UiHv9KAtW9;~i+I$3C6`#L0yc5DApj5GPRgR1SX`k1Ls_-G|ej7; zpdibjv-B$lvT-! zS*Zr4XUZK-&fiWxQPfd%>IRGd!(q|D5h)~N;J;Xxr2b)HckV^=Hoh+5cXUW)I-w`R z2txnzs**M<%TOJ3#){Dsl_>>RRjpbV&C57g;5LS1YN!0<4fOZQt6{-fhhCJXyb53k za)$aCE?b?^1V9txggzJjkl$&`OVAIifq@#w<%qWak)lF>G}xch z7wz9xct+X?T2o5{GynXKmPUsKnUs~vHip3;4!8;23B3NTjVzZe+c{U+Qm6XO9mSxb z0!RM9E9p=`0D#Y*)v!#PBHlDBorpTU45r%ry5PQD+iMmH9gAZGl&?Nf)fauDprozC z{QH_SZN8t9`W?|?g$3vrljKq5S4GYD$2R2(?|c@G_;;+mB?WBdbuTpt935dDAJ=;@ z2lTbHet)5YUTcji$HIO^UMH6@L=UU1#)CPJ_T{SkpIQk1)M5wyl(SAWj`bK9tr%aS zufjtjk$+Tq)zP1(0)>ec!1}T)w@R4E9^9}XV8m&S+$u)pSkjSDZXj(7CAHi5r#1$Z zJN>$=+WT<9SB`r4q5jrFx#}KUz!|S!*+}Sk4f;lveY&d*rhnDz68>CLGPaf={(m1pA~vP%{8zF;Z;v&V617`N*HDzq%!hLHmR=QeFosz;7_D zzw;iN`mp4j8#gPfUbo|~IA?}kT&u<;z|TkUX))2k z@8tnwo3Kd}@?S?A3k65Y`E0Vo7l|1Ebk+YcuCEcmW}=e8;33z5&wuEa`3If2p@B?1 zfyD3dSG=ymmjt-VO3DBXSv6==L_9IbU(i|NO$jOZwd-OX{D9@;b*WZNu`#I$Epiwe zk=2$b#H79`OLHwf@mz5)@LBDj;wn+8e-i7;nPMrgDp&!|A*&|KD@Unq0NJ1`w}Xn| zsw@b3q%O-pC4SKagVbIARM+E*`GKKIsf?#20^FsLdG{5?vIJ8~F5$weI1 z<}cyYn58IAWXWRV=>sv_K@b!BjbxU2(IRjfpU+r6T@!79USXo*1L{{{^f=z zihTzg6a4=x7>%;zH4ggH6ru6Q5NgDj!}czIdT=o`vN5+RH;jqiSl77^ z85`Ij4@4-r*;UK9!*<%lKi z_I**+bu(>oac^1erjjs^KOx}2CSEA)!9;8HZjC3P^mmtvsarZ&H|~WI3TgQs8BO#h zqWd36Y6U6RI!@L;^ea9!o$*VIPvHDoDZD}aWGkf=Kkd&d4fIdd?MMjj8m7~tgL_W; zN58m@|M`c-C53g>xK)M7gOzDs!b`)U#eb^hR{p8n6LkNH-TnYlu0(hsFEn)iopN6B zf9JxVnc&c_L({HhJ+Sgg&l^1D?H?-q{?N>r?PC8ddi8SEXDxep&6mf2Lj!y)#@g^D z$w^r}$M)dxYsGy$^wTPrlAf-TFbShn@vO3meK6s9vin-Pg=_H(!DRW`s*X5!bK^Oz zlX}`6C(7W-j~Rd1#dlu%J$Ff6CU*N1#jIxAbB- zV8kds$T>YmqP?d0amhKIz}kPLe+Y9Ur3rEM->KHsBEqHZft%1Z^f>Q3&u40E980qQ>F5Fh!vmJi*|E-j_vyaizj-`17-U;Y1#@r(A{ z3#d96)p6iXByxhz*Ud>7Dzwm;_iGq*L0~LojK8n)JN=SX(RYFs0J=K^W|r9ai_6MHUdWvOR>nin2Hc`3#Y1w~D7<62RRas2Udye~(=W%gKCIZmX6J36sJd#l5 zFU#tQ$>z}fUsQJa#czEqAG68b?oK8+bVPTs zS$Av8WKR>QXz_S?M+wxCs`W@9V23(!i@!)8d$Ebdb%`Z#aH+iWQCLuISMa2DmDs&+ z5QNTecCkod`W*?EK;WbnbcmNl4sxuk`b&}>eMM!@$!R{tLV9r-ulS4lr@jBE%A;5n zJoqW$!^4fCf&LwSqRs~Z*1P;n2f0|u?Fe{OR{pg3FKF-4)rFk42rl*aSUmePW)+xx zq>RPwSZGc(h_eifkAwxIq5g=z5M!rh7J7CZkVgQdw-kl%Uw=t}VO z?PB+mSJ8z0Vky>7C-!nTo{*~T@rtiq%#!lh1i$1C74fU`PtCMxxDz|?gcqKA_N%=x z{}n}|{IS{rX7O2=(AoL?Q#B${x@5!sas>rIdx2t{_1&#(k1eSZiK@aLW=%FXlE~mx zPKzBB-w#d)!Mus1ec-O;9bkC)n&r)^$yUy7F`cgjF@Zav? z*Xdc4!ynCbVi3WAyzsF=ac){m7IE{vuJ3!ljnW|KL>QJ#HglNmoGXO7S1r~_6!=0= z-nmDcd( z0JJ^+gL0D{D2fnECd!Y0Jg}n;W|BXWU`fGwjb?%dQn-S6+@Dlb?=J|_dB=owf1uqv zOaPWxV!!VN0B$F7o-hdw}&W`H&P`b zh8&o^bNa^&6yeGL?969j%sBq4{<^e(Y+zACATOWojoCq~23ZnJ7z6_tHKuc*Kw`<& zxe5cDoo0Ywly?9?mno%vhTzZE|7h(I14z>XZ-MRC|HQznLAZpa8=h`JN_d|88AsI1c4cqK=;1>YvT0h+_bZp1d z;4%R5jv&|4&Ib7qF-S1hGHVYeLAfQ;@LN7K79v~sSOCt7!4hTOd=^*`uuXNVAt+(6 zP9^93*n~2`;YLKYULuEK7FKs#!=5b(DO@q(H;y2@IfC)NFn|IKD4nqk8B5AEXU0kd zXpd&vJ^md_iU1MPmaz~4D!RU!0r#>oj%?`yB1&fUN?Z+LL~)A;@#P41dV$w!gf}ih zMRe4!Zeo|?Mg&18VTQ9AbhCj*0Bi#tfO=m`oqqK#HK#^?+6>=Pk zA~BJD5vY-)@J00-+w<82I8P2ui%=NvHlA^sn@5$e+My?y6g4a;hlMFO^aUe$4CXT- z(7?gjHB8RItw$e8Pyh#HnQ^-93HzFA;?066s}t~~k+nDW*K7w%Fo|zi!bTk_{e_NW zM6dan* zj{tb3w0yu~Q<%#vP%Z>dJ?e)Ilqx|HmG0DiA&b*Q1SbMvmhf8%_(=dc2&N^#SfAn+ ztd|330622@OUU30h|IPNz++)8-z~udq^s@;u#`d^o_CFAJ^B`hlk5fu{`6P_>7=!{ z*P`4k$*9`wJenKl3M9@0tIwoBE-8_@&OOiIZJpQZ$RH@yxE{|}G1nh|%wGwr6Fu^L zU%7-1NYprkf_Z^dvotc3B=vA`+|GJ}XFt*o6`CSJt6autn# za(o^6FxUQBx?4x%iH?)m%?(;F_HE~ktlJGi+=ZF?N1-!;f3?BlD58JqLx~-pJ1VDE zj@&===HYRqq}KoXsgs6?QV1P>suik4N;vn=g@HS%h9@EvEr}Q}k_)_28Iyuw1iyLbEbUYJ~AJ1i&sXev^i&2;whK zX`GwTbh8M_$SvWZ-b%`>({9n++1CI%C75EZ*3?X~rV8W6h)tFMe!Kqx+*%&4tltU9 zRM`e`=42Qu9%=S95-s%y^VmP#e*01SCpG_Bh9;L```Db3oNpJRlK**>{X=ioo|kDE zhY4cE{LEN9Q(6k(t|$CZfS&}e5xpqEKbpkw1vh4|!zpYKm3ayJ{XLB-AH57v$ zHS_kZx&gh3&2pn8{MixTv8KhJ>#`lqxo)r^1i?h_BuXL92`~%B1wfi&6ymagJb}o8 z;DCFh0I)5w&>o9NtgG05*wA=nbhP-TP#SWm2MCvbL z9;z_jk!6iR9uk>tAO+*zVs89ZFynBHliB(8KR6tF7@B?s@%hkri zLH~A6uS`1+?rV}#D`;oNQVn!M5iS`bL#Y!j*Ka!9@lKzweelBy@dV#u(T|9h9p?)I zR_3s?TyeWCNm&hLCL10aMl`Qq(RE%osl4Tp-H*YXR`ajY`R8pQ3h26xo3cU*ZMfGE zP-!fUu%QTPMihWg&X(!yhS*-INlttjlybw7z<}bV{q54dV&U!Os{V^E7DwpqDL1qw zSn_DdjrC!2UVhs`$WS^ztrTfGNfrsjQk*U#la|VC_3#+mD@=lU(lixHG*PrRN)iGi z!!}~@sMs-J9RO+92o?yXC1wOBJ9^O}k!*YA4D)P6FkY!=f)km6Lz`&U4U&;&`tDF5 z9pN4){%*5H2Hc_RE5B&|eCqv$ynUrN*#a4?+2l-Ea#fVSBqQ1}QDgp`W#nh8qcr=L z0eRfsK+n4>L5UJeh`g>L?r6)pV0fw9%D#_}`5ll`F$_ z=Zh8VpP|wt3*;i1nR!pLj*s(oZ-GSR;|-S;=x&&{~!U( zjS8$WiM;vf2b3b!k}mKJOewUu6nkqwGaP+UcBN`b1342~-*9QWw#a*9Qh<_&Aci4~da&1hz@}~>NaiJx6*=CHesB~o z%vJAwGPQ)@8XKB_%M-41JIU76)ivDx-CrwYoOxJ1lKy0Z;pA0Rb;T^N$>7bs*Lm|W zwQAEo$EHQMQ`J)J9hz$-LNIO~Dl%J0ln17I<#TX8C~XB9$el*;y(eDEAzhaXM27) zAaN`JIZNa$DdmR)Q&|Jx93fN-n0KgPD}eZ7kI?#o$O9zqOWP>?Wfl=CTdGe~iTn>U znX8)NzQlcYk9D259ZfV18Zi4b!OvVmdn(!K3ou;%r+C3QscF1g5@1l~<%oJ+vCB__ z4uH{|x?=I!!lDK`K%__b0TPLPtFapq=u(K>_o?;D`$NWd_ii=iYF--T{W!&a<}uK8 zd_lkXk}ET>P;v6On~^{w8I||KjXaMBm$eEyH!GkADbZBk!fW?Eq!DQgVV3leE#^pK zdr`0{W|`3ydvA*ps0-O)2#7B_8OVB=?fth9w^bsGmGrB;jsmilprSU`+FRn5A(q zrV`-#V|7t{u*8Lhm~~tfEOUI9x#A3-g$ZVr!)$(z@mz^q(CL*gv}hsWbWMS772>vC zRe?P%G`o9yhGg`+b#{5S%?GnJMtYDfT=NES_I<-pOMp7#;#adb))_yWHXLuLV zJAD6QEU*b6q-UZ5h&^DJAOd6E3s=kmk6tSW5*kQ1g@7#FY zp*Y1=J>)~iZ(~kM9xyXeVxGIk_ICJj-n`rf`*cYX8@nZtA_#UF$WI%X1EG2h7M(-0 zMg_V&I!mDJAN}bCcbTNSLyzQ~97M<+&xjnqQ@`P4rWdX zE8J27$F=@+zdvjpweaTcZq|lY!(OzU!-cEP5oX#R(!X_8GE$lUX!(q-Yn+TOt1nf& zTX%8umvp_hj|bJ1Y0n=lwYPq=r)l zDTi?d2g;l8?j)!?y2G!3Yvd?Z-3P9lu*+n|ikGOt+M{F^0+eE1 z6H5=x;>}_cq(m&`R*40rSJce^OoquE8bmcVXR*CZk={f^AhWe+3At%)Uy?_wt3~zO zF}OGP3KJOR#MYef<|6=`1?B-K#P}&TbZke|s7wy*UCIyZK8AJt56QT)Z?zLy8o^+P zi2ic*@`A`DE@gb>{Yp9Ap4`K&4L-PTS1cZe{w~!@bC-^bOf5DPZ6{VX?chmZ{_R?v-!OYBzyBqD;(ZKiGK{&=*z+_dZz^ zeoj{}=6<2tsdkmkLNibgDWSY2=VKe|x6Qb-qW+u-?e|)=0d)F7kUmB#PCFAT6bl>c z_vQ-|QtbC_{aC#~7qey|s%6-?<~nT;ecA$#&(A9NdtNqn7kTcjH{CiWRCOP5A*h32 z_IPLm7fj;+jz$)DU!K9A#3?&f7!Cz{OCR?Lx>0<6i#C`U)d(O0K2@8}ki)t;fb-PTi59C?2c5)<==^ z(B44|xhVV4eCRP9 zpP5BhOBDD8`nBY`%4~?bXZ4!@S(^}aGIp-fVXr7^u|9pd^Z6?k4)WAz2D5>$@_X#~ z6D`O|Xmw^J$xLDy)3pk>5xAE_VUnD4x?OO!&)1h5sP)HJK&;CqtuZcs%DUtB3kr*J z^6PYSgv6cGuX9(NCjBX9u9{DPSnEH2ng$9CARC-aZJct4fzv|axFayo6M0}Q=`03I zB2nD(L90=&>ww~x2Mrd;ENQs@cARBvqmU&*>n!Noawy+k0f7n}0C@wzhUEgVWa4qB zIy&dvv9;ca)Gbp0oKyx`bb}?tX~A$@N|rRdR)V%)>7fXt_8>intWo%jSYsy%UPM1( zUnChL@-zcGmf*8A)9$XnKi(Xbw(=6|QxzZQ05l2km-7Kqyd5p5YU8?$J!>^)4gA~g z#1Saj|LI+Zg{cM=_LL;ip@poE&ek>%%n^Rww48{3Mj*u=BD*ssx`x1e8RR}FZE+`UV6NL0<%p+&Q#(vE9Lim(LZRbW zi{I?0bZhBkD%#TGgc~2l(A)<7<^U>LXs!jb`cax@rHaoX^7CdvG-%tB>lHEzkRqZ+ zKbtRhDe0?91VEhw0&^r8QGf%B_3Qzw0}F9DIUVC>6-rQ48(1|%t2Tu7Ehf?<&590L zOC(g$T33Pmaqf<*5{$kKrP(A(qxpsAv-HSQYnq7kx(pk~g~K)!3_FeMTLHmciw>p> zMovCfUQ#zl8(96h_RBrn7VXYnEZh@+?{ks3bHh5Vi)_9t`N<{Chxf)1<<4V3b+&&B zzaD`1X*BL&p;qly|)}8GN+6WBvRG$_}ZV#h>`V$-$SujP3G| zXSySkJ6;`^U^YnY+GK0s2@1{5-p7Aoi@E7o!CaKPg9bB9OX3bWdi!84{jh8)07a9e z@^7h~C@@OXLX-wf(`aK7Sri|Vm2zuxZy{*%Vr&va401wqng}i^uxwlWW<4d7M8}SV zR7(W+gp}_~1QM2ziW_L>(rQ4o0_uf@=cbwHx8Dp7$pvzX%4$b(I85@_IcaT=?}cVZ zi2N%(>$NCa!^*U_GgDlr$y-Ax}77AvXcoEFWi z*0}EG@DdijHO-_zi3pz*%m{b3Kb=3MuTyugeH+Utaot4?-_J)BIAb9%$=S@5vUNIz z&z1#@M*iL5vb9VW*>R%i(eAw+P+xmr$+NT-P*?ZcBF5gl-=4p(8-T77-M<}K64v!+ zSz8P*k=eVgM-^8zmN_tRr7dV?I3Pd3E;c5YS@YSSd}sc45LNUFQUr=yeyMU{6hvX# z8hA**T8d(Urvfg~seN|nC0NY8`~|e=RPxn0M~M>odvIM~3TGR?l5F%ghSw~>U|4>` zB>*L3rV^R{2tGx(#qQsYf1N=kEU8V?whV4K9x+8w(GuC4f~j>VX;8J z7cLayGWmr#?_QC)q{}qTay6M@e1s)iYL1{O*SOM@Y)_VS6;O|}8049>zQ>2G&U`dAnls0zHx81hV@>)47PJ5*)1b9obS%AB$fGrL@W(tZR z#G!HFPfrIF48n&i83g3`0mw(QWeFn%j}1Qb zF8OnAPnYKRvHsNy4;Dha7r7FXt+Le;RdEju!`pT}qll9Al z6W^yht>OHUM)#H^-oJFln_FaW0p?UV^>XXdK$j4V>Q|Y}(U)e`YX@F6{}V!dkxY9d zn0X{y=IGtuT!I6fN<>ACC`D*?+#cdC_ZGfVcy)1dx6wHuj`8gx{OXm5J=7)0M84&r zFso^dct6|*I)I#fwrl)wu}|-=_7#)bcFPto0zJI?&e>vt>fwE<1cvVu?Z!|LqQ1Pc zFd@5G&aR?nIL@4Lk~3UgE-3vkFj&r-jUCIRg5B`c+3!k52BF^EPfLJhKQ;Dzt~H25 zKZd4!P__hJYs1Yf1)8tgSJWuAw^S73P?mkYb{+G2u0(Mm9F(&ER0PfVz6{isgRp~6XqZDh6=3%GaJ zcaagkVx$ZRk5m*06q=!nazJLLxfvyT8S|BLpsDo+q-vP8X~wtH|Hej?fF9W`LA!e7 zy&zNuug-fc8GOz0q--|x!sr1St#|P7_4O~wiKIi)hxdS@LB`9X- zi#aVI11=`;^=Gk!@UDEV-Jh=g9_uoH)5;QD{_w4oQfMo#cL#_zuLB2N`hC3gK2wvm01Twok29@rj*qK3s_sEG8?9AUf~;z|!~FAj9&X0wD)QOL3IDH83C``IsBcaS9{ zkr_C>Lgmn%wfi5_H0k8();EU_&lrt^1X*v6&pc=K6@-g7D6RsW9tJS(I4kQNB0>L7 z|4`uXqycyegt_i2#zLvNRiaFD5G3ABKHjMwdb+wyh1)6zE<9(OAqKIJaWXoWp6kEc zJ8`qpCg4|4V8u+^^3Xhq@*wrpNv#V4ap8uCA;g~_#^M7c7q-MWIU|={tgFjA-bXCh zRA#P0=u0 zNmJZnSxP3~ksyvSB~K?CL>JrT;wo<)^ll?-kVW9y7AVrzTEL=D`9;_DvdR6ludPK? zmUeHgW|~dnQ2ir=Z+E&2HXX*$-aRA7+CCK?W3_0d!{Vo7BZABhuj7}o-_~Ca!Xcv> zA*Hj@ELmunHfDqZsAi!c6s=nzm^>}7qXL|M4hNH7`q%}eU=^ukz^j4dto7T;_KR5ePL4U6A1{~`E;t;!>J7eaRCs5#JH=(AjSd` z7$lf;z0M=!tG#hj`SWGiN8Rb9*m;oX)Naa;IFniCh|t%$+vIa6^U$1rVWho_ zO=6}nFeWXj6+yijk-uJ?_Dj1m#7Nq7bAeX6_qb@0q4+)U)__!z^QHn?+JuxLwwEA; zn+xQ<3q_&;615VjasAq-Cs3LWQ8EBnmK+yRCO3K8azKYD7Xr&c#|Gr5ak@B(9K?|p zQUrH>v^QAMroA#Cv&Fq;03;H0hD~w?FAz7~W@_JofmlvKr1Kw3 z#+`pocWBvx`lb0iT%)+PmL+76fh7W*$hb&TPR)HE@O=)HW;?fc@cQ2Cd#zBGR3tOL z>Y~Z+suIi3`$(^{Fdb|VIga8KaOFsSt zrA2PDZ}D&WX(_z^G7l@1+2*(B#yo{#na<8Ym?c{lB5H1z053xtVXX*ENi$>1Zy4h; zKx7_byU#=#iI_yT?uwAykMUQ5%!+P=gPcd#R;1g2)KZ*&IV|$z#2}iWm;vV4zil45 zCr2q+mbw^5-LZGwX7t1Jq;(x_v%`Zo&k5QVeEy zS#VcgLY<_0OMpNGIbmg zOX60U=*t^hzOd!`>yAtf2xvS?zd-&D5GiW#UXF4&b?lU$2r`K25<#9|sVU4F08Hz_ zzg-S>Uq36C43(jtxUC>Bj>t3)X@nwDk6HF$;X$O;9C$!CTmeHJS^|TuwNJ|DK%lmO zg2)$vv|o~0=U5M=UHBh~5Nx`PB0~N=SfMp%aN{7i@xE95tUIfGk<3C*!|GV8NIUhgff??r_$ z7chp7^oT%arcH9H1uX9XL+Ko0B%%9bwyU2*S$TsHW- zNDv3S2WXHCeqyB*#D`@dsR!(cJvm(v0t}@vVDN;ONk9$2kX_osw)g?HPw%8;J4T7f zl*2L&jWA$kfy{s%iSiQ>`e{yu;aO6xRi=m-WK5(HV6CP4rTlB~AVo-};V-uuyM;+Ua+q@hWqX6?2Z-tofonj3DAE&1ODUhFY%xI4RBt>8DZ+r0riOJD1Z`xq zz&$3_(Se0DQP1&(+j3`tr+b3c6Pl-^F%re?GSzO>)&__kwoCQypRimA2^`1*0wmeF z9fzLr{fAT8D3A-o(^u&~Q3`X-y5J#GbK!+kX8wau2US(w*Uy!+y}BSu*6g?)buq#k z7hi}U*f$de)e33@a!ncf^4VdKq!ok-hHp>VN4c8TC|MB3FW5bNc=q&T>u_OeL$1g? zZhCpP;MY@S#iF~L%;q|~Vut)(?WtbL))IODfXsF(j4f{t6_NwlzBQK%xP)^9+)H%< zmaK6@&ABM0j|3VCSH1(ea?tonBL3yo`;L8QGz_gXg;HASB*Vok&2C}wNZZ5{qjRVRrh@4&*in6dg3|*KkXTR=BC$R<; z!W%2?$cO}#uJkngYl(~pRURFzO=&TQ5cEG#ngPRwpn)Rry}mB$`aQz7K*0 zKW}e~4P(H9;c3$j)bG4=w(y6seLZGBJ`tMT9$Uva>L~smG7Z3t4Nm?pW)K)e-?&pP zyqfZCkdF@>jKgYe=5eU@ZgsgBilH&hDe@ zE}eOOw`${|E|NOq)EsI?9-gH3K~f%ChmfscW-NdR`Jsp$uIy!wh!K#(lI=J!?Zz@` ze=k(>e8-A!(mNr&{55R%dN@kP{)E-snTn#e(pvY_hoL$*PbB5bxxXF z;v0`N9ziw?pUw3Ts45y+ca;YJ0T5jp+2Qb<+SB6R-?>6}>V$TE7ihu;!@XV8H>P6{ zXcYSWvaX8MN)d?}z4CYUQ9?3GjZ2@dWx`g(?9$OD5;l*k4>}M>;&wbsTb^{?sK}W_ z7QP+c1)QV(gZxx1&G1DbqSF88{#(G*EPmqEaYarJ9y|f$&r5|r=1Qm$6?j(7ivUZ= zu#MG^>srE$Ee^2p@B4J;zlNG=L;YP(t%$yo;9uiWyiI;g2Q$cK^L#y5)?O zA72$M)X2tXtm-N)!UNHX8=ejOGXF6$hdx;Sq5w&>y?ZAxXy5iT#Q`JAiGyeNG zmJTu?Q@KZ^k!l1%@mK}8g%w8-K3fcwJ4C$yWhp0{ylWh?rR6Fv-Oi9+E=xXPcjiuonf6% zh!rpee%ONztjSvlsud~Ijx`+qy=*{f?l@UQ6R)${Ie%vOsgOhN)fV}*_|xi5?r3&8`irhE3Au6e0qwu!U95MoB`47W0X zvqyr;hOFnFP1Dl0CIrnpW_7i)lW^dslyEbnp;GhP#eZ4Tlg`VICj|D@;HQO0GavSn zRMa)UY%~woYwtDEoW;>ugZ{j-T)tW1{kd?3kjA^HuuShQxF&ecT_7j+NS3buW|8{A zj!k`KfmIuwTKRNIPKfIFWXu<@^7H3(UAzIR;kW=i=e{KXw|~r!>{+UeO#2izXK(ylc+sBXep)K|vF^1QJ;` zzV5PX=U<#{Xy`El+4IQua4yulRdD6T7b0KZGOn>j(iyNsz!ke$NcKVbJ zIyT0CgT+25Y$CtX)al12wPwiPO{C54|AizN#@|_u6X9DLIk-XLwdkWJ*9^ z1s(*~@>NYP>#Vv<8v#!PmNsudvQ_H`nqfnjnIP#~uC%4=zqEuQL-1K2!!TQ>v!0sw z?xi8~zM6-InTvIX0#=?HU@tK5hdE6?l%C-L0+eVu^~m9}h;?m# zI$IljNpDa1ez8bdfx69DE)SdjWa;!-nh&k}R*)_c7kDi|_i-&VPW>|z+fQ8$rkH?L zf3Tg5GxZDW+30aVgHQi=9 ze*Vc_livcTYy2C&-zl$1w&AA%mh!}gDL6M0*=_lsQx-V<$^;UG;8#r0;}2E7Ozp&PI!nO(`#e z0hmGH8>ad7P1-UecuH|uLXP|CgQpU;-2lJ5k~6Hb+w)QscdvH;dwQ)#)M2Mt+10)s z3k=`Qdvn~gPI)Ljx^3+k&zAjnJs$dga$ilUSlqY>FplW331D16JQcHN{f|k&04ngA zr>e;p0Dm?{F$_7eIYFiT9r7&=fYBs)@aD3wD`&jeG(T_X=#0vf*7$8R5{tciM{;be zV8COm_`7CSf^Vw!K9(g~;&a3@V&aTM{Muzq!eu5I$s*_Rh~&KQ0Xsv5=?~mbPFS)G zZoT`t0B?Bp1r|B}_?$RRcm1@smjy3U6r+86*4wXEFrt7gq1!la&f#r7w2qeJkP|71 zN=>@9*1NUr^HV3gVud0Klx!P)thUsD6F~kruZv_ZTXAXL*|X;k%rPyGxFQ~omXBSX z^Uqn`ACFoa;nm)j$1x#eo<|CA=Zhcgv$?TY+f^6G<}n`Wi&H#=%dTb-fW;# zARpl*`S_nVw`Rnix{B(411lovV>;%~B-HQBbqCU4J>$*&Yk>YvZoWU*nmDK)9G|$5 z^lpDI>62S1Adgr)i_a9q^fymBktcsT8|+QHOcMT1F+@80=s6-RtGEC)MzDChD?ceCw0?jDJBS9v5pDp_ zw#A(TNrCM#rH#;uzU=}r7#9%#5abw!ep+X^`EBjdc8CG)%R%iFC>;Vli9ZmQQg!jw zNK@j2h(9c=q8;WtKkBS<(NA(}7A7@hBaKTk!&eRMV@mL>=lOm$te?R~-*=lT7k3_7 ziF6MRYjx{2xsph@E8i0zSA??Ijno@Q1PFf@J%SO}3x7U~`?z%T+Va`gHcv_Z-poTO z?_Atm=7r=##Op&33Fts+-nQE#fD-?IiGabOTK_+pF6paq1L_D6tN5i(a{VutDCBLb zCv=Gs7I7KAU}!bPQ~`LP;{b_U`<-2-YwBU=_ZH_C$gs*vmT1LE@O{(Dzc0$knKcJZ zCR#Fp3S@~^&DLEr7pOA~IGRna5fy*|tox=O(w(7E$zStrCc7LzFvFkO_Pce}--(S; zK*#yuNxhoG~OvWDkK9UQ*-Eifs9LW|4+NTvUh96-zz>cy)8e`58k-vMk zXb9#@I{sLa>+05sWS6-7(%A44%SR`(7*|Su4m}TxYl@lL$t}%4K&jJE0BZlQ=_#05 zz_=p+vf*?_;9OIygJqz-7q50M8r!mOEP*Yy>~9I2zG)!3t;6Z>?}nP`uFwI~`~acH zl|OgvrI)jjcbmb;LTgI-yDl)(7v8N{*EdF=<)P>nzOkM5K62kc)4j*onFz+W^v$z2 zCZ7uYitBiN|D)!uH;ca8Y;qX&r139~gnH(Wc^JJ}v=Me9s;Eu(4*kegty#B=H6;*1 zb*Dggm#1%Pq3+KJ`)#=q>Lmmn9pEbfihs#To94~JpvH;^^#=voJEyHRBm=q5x~3U^ zR`<3r_SdSwsaq5(pLbCkoD`Vho3U1J87OW}*$;dRh{_}@OtsFMjXb?qv@%Mih}>Z} z`E!f!$z;>nL->KikAboW68wMZ$KE&i^l5lEL;I=sczQu)jmtWxbN(wxEZjIU6zp6z4aQryo37ZCoEZMap>avh+gyPHy%Wx3=2N@icsg z`azDHrD0b1s>Y}*jYcN{8`V5ac2sDj>eodE^j#VEv4nteZ#YHJi*$I2c<`}S*T)yt zd!8S*;xxI`4dekm6N}Fz_r$z=Y4u(t`cbiUWr5f(&?c{FIO==IDPGjc9r@~poS@k6 z2PFV8qK9Z08#U#aa&$Zwz1Zb8IzCEU(7=mg0Qv7J9Ld-H+vgB?vwB8l_r<%IJpL}{ za#kDbP|{ItpMzIkdA~-gN#%>roas5` zwBzNrYi!}E?$OHay57sxhh( z=*J*_06rE7X|#1G?MEDMO54BKbq$EeQq}rCM!b9r4^XOpe`fLrLQ^PDqi2e;^ZuU& z7L^@k`X)Yf!85O|waEz*7Fn9u1~eU6_;c^^IN!*|-X)jib~B%+cQOh0CNIM!x%_I& z_`BQd4}J)GQLQ$5RbUvKC<*gwQQ@tS8hY2cmCI+>(5;w>_-%Ho??>5okqH874F4WJ z?#)Z;g;RxU$47eQ5~riDb}t%r7%GSsxlDH9pMRm7O_WIG`(5r5<1MI=j$^y^hT9c3 zW^8A02ng8w)hTh{SY66Po1llEsxsPXH+OQS?sOMp z?TbI#R*(DKoUkgs;2hZzC7r-vbenDb)82`X50(J}nYwyMGRWZY6sSQXLlc6+tBcd`5Rz_aE5XvpjL7%L8yCyGJs4v-t9DN=!; zq(eI%T0U0O|9%-_O{eqzkESnyhwA^{XN+A4BU)sQ8e1xqLW;E5N|x-Q-ChP`4;hig(YW}bt~02^VUM$KL;*p^>_0+-@e=2iXN(eolm@pFK3=gt@lwA?!{Zha4mC; zPueLMtkYwqezUnP!(k&_f-Gc`a9x-X38jLQhkz3iZbQ6_p{V+R1iamqdcu~ z2l&&hRykQ9aNwOF7a!2ZBGCdsAz19tS;*d$=3St<17{==%w70KB@wHTNWY)B&_Ye| z(iY(mwv33tXz4poRE26SCz72obSgRO)l?2H$Sn{2b|@A{;eE6&>52n;ysg+3KiuTV zbQq@MN+k*GM&mP}&yCHlgxG#Jk<(yD_u%-_chdb<` z;LB^ldC6rBa=WGy*5C;MigK-{bQ|=_M59bMib&&$WR=Fh`VDuJx|@$=cQ+oH*Aea5 zntJ-Cs=+X$_s(tH@r9H9(Ef%z7!r-peFyUKY=!>yVt>*tZHrk!+OCyQWbfz56Y#Kr zaQw@%0tDqvg$IS!mp9(k>ArhVrK3Ga#Cm~dWd7y3y)13vu9-lcj>6#w^?S=@8dls* z7z>VD!Yde|+|}oTMkM$c9PW|xo{YT4MuJg`)ate4Bwu!KfjFOXnM;*X434lnK0T56 zXy~(G2)<+5;r-w>a~-C?+}6VeT0W_Z9~MqR5b3H_S$I6l1w+kh@Ymqzy>Y%Z=*t#A zpjWh=q4s4taaPN1Xj;}T-?kA_E&>h@ChAl&piGbfW5qz0)^OGXH+8ogvLq}fPZXZi zN{*V7$E50YC#8pq`j+VsWzG_00tV&FoU+$YtQ*V`i-YyAude7{9Ub)I}9F z0>q&fSe*RRaF$l?Qj@E1TcD$FSr2adcehp+cvv87_QtUev;^sx;AQ*4;byICe(CZo zuSzs<9E&kQ(LzD=~zbz=*vYbs5{EP zO*=x5_;spd_YC7dQET1!>aF3EZk69|xu2n`%eFt<-Mo}F6xEh7M0nM|S-_+vj_@!y zdniz2Ax^hxb>y>5C=(lV!@~Uhbvl(uOo2OGk~q~({GP=M>))xCwoJ!32n4DM*4`;Db`D{o%C9Gp6 zmbD(pQTK3{cMt7)#T^-6Uh9QHnqi31BFUi5#Gm74M5{(INXy5nPYx)ITj+f;fZH9N z+a0Y{Co%Xia7U73_t&W_42dP(-d4iTydq-PT8@KY*gu)ndJ+??6IwhP8q$*AxG|p| z6rX0}0cx0+w+Fsp1xbW}ifW#<(saR)=RpdY-ZNFOcclA+1W{PVOYuHOePRj%~A2#q$-j*83~N-PM(i(zZ9VKM!&7i?qUJ&(A>o4o^TG;p+t{Jl{f=e(}q} zCuNz(jBNhw@|enSc~aM~2{P?6H*gJ(V!i8is7Gk~wO>3=de7#pnNxomRva=I*iL{& zSYrdx(2>M$lg}7xGv`iz0GdZgbF0h_NdT+aq1)S*eOtqd0Y3YQ2ykj3SrT#0BoUqu ze#6NCcp{*fc1V=4(1IW$fGZ{$A~Q-F33hBf2_jQ~!?VOi0dw5>T4!&6lhhXfAtENx zm8{q2C`&7IO9@{D!Wo>u(?V0xxMQg7Rfu&tNEE5*R(V4r+`sKO0f)=3Ni``vAAFQm zP7Nf4vi$aX8D#9uz%YpK?p^j7F5E=X{2^{4Dxq&ydEA4yOlHC?$M9;4V@HQpOz;;m z)9*$EbQMl>3(aBH(p2Kk8El00GB(z{qLksseYNLkZx=Y$t{UQp*z6f)zpM^W^$Vd$fanG-7% zuR@{hT_ZW%S0?k*GGJf;^O)2gRw0oqct=ABQf2yr9FOVwTM{1zJ+7S1#%`SV-VFED z8v48Jk~r?NPH$@rt7M$B*_-e!XOx?No5~h}nmcGpiNuveVWbt9$()*uPZ|iA=xbOv z4FmaSCsuT}3%Y^XF=^;d=@<5X;@ovr+pc6xYnyy4v zq&(CaccIsH7c>X#8D$0WEa?!TOZVY^URLSe&cXUmgO4O_T%s(oZmJ$_^xDg-$I9bw zny6cf$r+}2Z$314vyJsQAx{0GO`3w*r`%w~^?;Eh5snAsf&?V?hVUv#{J^&dRT&xK zTJ*EO)gQ~vohPyzvKg+&w3Tx+q zF#Vg5V}emafVPHDSPbGi;kPv8*`3al4p_n<5-^V#j=E}^at?gzmZeodDN$3K<{;}U zv{{RotyNv&66VOmEM~aP&HZ+~e>8IRb?3)R`~OAyjAZG7w_ONHS4;fu-_io^v+lPa zr2ReM!viBydoQ7RF33km|LZKN^i-JCO{?-_4%Jap!u=;20^E6J`9CSAgC*i3j;y|H zdFEWV9w~N!`TTnpF48MtesYESBsoWJQ7 zp5xN?_uV<>Jhypn@Lu3ApL5NhbyCfv(+SVLC@JlATwM*tx55!JdDb7 z!*f|7yJASa!xHJ9iFDR$;nE|xnDx$-Re=OCi9)*NO~vtaYE5N`jBGmGb|XM`z2c-% z_PTuT>ay@>5iugYB?r9X$!G&?Ny zce{tqz@UA}SmRyES>xRgf7lNaSd`YW@rc<4F>$t?k3-`@m=(P$3-`IhdM0kZS<<;K7 z2)4A%Prl|5Q@`EiF4R7T&A`Ut9w!{kH9f04bSYBDDJ7xctgrZgS6xcZt8e(w{aM0+ zx^Yki`s=np*u)C!+%2>(_+5a=l=lu<8BXr79o!2`@AhWf0fLWRjz+o%!R= zr&&-E`HccD4n2ns2&S9Y9pW(5pr9!>#2R*%j$Az*I@U&Ahco zZSRmsue5=oG4}HB_U@MFqNE9f;qJ_`+s&qceT!I_1Q5p`sQYg!&>=Mi0+B=xbq%b1|z3;;p zwqDcU?Dp`>)yGx)Q}*&|?i1-fC*A+%?agN556=@my6Y4Q%OQ zMSD!zkXWIe+xooC!%E5qqLy+&?27N-w_ezvg|FM`of{uxU{H8*+gsGm^v5~d&~h1U zgys8?B)l$pH+g=A9OE*yiysa@@;SQh{Y^O+sPYv$K(ZeFT&Q1x<;B1O!2u|QHi8~e z{HOK#!cRWj@SqwTFll-Ba)h&S`$3IZ!}wC?R9fYPMCkpWUSI8Uh>#g2N97Iy@qKq! zXg?I$5n2SoGwy&60;O#e08g;mtf_3t0jNtLK^#0yU)^=q9ZLk=NGh})MLvq9ppK-0 zzm57?0jwNEk<)IHIVDZcjXnoo&3U(9iPb_ZgAh}Hh!EuL1-4h{covobv9yr`Oqv~n z*o^mj_{8!?2>L3Y2O)M1j=S;;iI6p3iG{*rLlkVI?);WDwgrhf9V5)svS@Zd)>J;1 zoc`?{*Y*f{U0E>NDaiY@H2 zVfi5)n0s&eRr?*i@&=XcLO#be<%mA^KE70;?Qxd7;rwK+qJUP>Wn&IwrL8vb^>bp& z?e4#&!kGE!GahMjAdH5Q7%Pf-e(cCZ&wDQ9xe0RS=IsXh)J^Q_aQ--EU`TXKbcVnN zD(Roo_8v~&W1@7$VuGaJv37Q2n?iZe_=SsBD(B}Kr8X*Dxt!4IvMcASohpvVh&e>4 zECGq1r7s%;)ikh0QsnhHoC}cz--vb`wi#p(`2R_jQhV5xMqyl#vo{i(sntS30*iZO z9`1H@!L93xAk$;LciPv*TZ9&Q#MF><#6qLx2AOqNH=P+h^j~f{9SG6?Ionj^5;0l8 z)ZHLuLCAriSxN}N7&6BP%#qZ4$$f3V=4p$q5WlIn+ff{ zYZ2-H>iEd%tsN0Qv-&O))*{Xq%7kmeE3hbHHb2A|97rjM$n!L0A0WA2OFS?+;QdK! zSu&hZzSz#XEwsgb#~udD)?DCyaLwT!MYd-)SulC?r-6~*ryW0>rUu&EN}<%p{NK48 z<*#{(ULV1@Vq<_-CAYt8RG`^E-6}QcxZgm3T)=jnq9ciM;7%i>)>fG3){CPBi2*%U z(eGt=C4?E#O3eqS|H~o`}L-00l0v$H5DxY& zvhWaPfxr_notU(gC@7(}r88N+?5S-0S``~-9O=7eZu5w^4GGCi0AUFvDgccea=mCP zAaz&^0l{SG^d*S@k&gvS#ANRWVGsdm&}?G2vj>#cgeIi#{7gL7xDxAS*1{}A?< zbSE?4a)?zco{Bekmv`vhzf8Pz)Z=YntK{sB#k|>HuU5(hC|pt5qLAut~$k zCL`x=q#DhUR-C))&mLWblp`yTZ@guwQpbabfoW?bf zGZP0yo%Zd2i62N_e_-(Z9J?Vt2X-Bi!aVM77$L=fPKhKeuv~7ne3#@$Qd0(~1J7)l+|9g66Qn-_UN3 zVRsi2LYr!X_(H%YoF$kQdMk)GsV^E@x-#1?( z6fJ0!N)W2K0C*vS8Ula{6$(HIvax7BW)0ooOuDzu5lI3sI@pzWTm0(EsO-Bq<+aeY+elgXe z{$v&v@QT$espChD?S4SHaaKT4Qmrsg_%)yGQvE4xOvxhLB<{Y4rsE53qxnhSLUl=D zSw;Yd#V*$6tnE<9=`tjJ9O(;1G&{y!yL!<3PwQCQ!d$D$@64(iI3cF2Q?-TK%iJ6BNv~d_zg+0KzElC=-^TYJ6bnuZACyuHFQ}6 z$>j33j62K�LWwAZ`M#I^tFGU_=??bqi8=Lre{anG=DfMQjZ`h5y7*T521Ou(nF9 zdvSIdE)bR-PXrL45|_c-j3cB+KY|l$3yi6}k=g_0B6zOM1u<`+zu*n<=tqnTa0IbO zt~@@-DOO`xFj1d59vLGN{!GU4^BA7EyiJiCfMcK(O;EabZc>Op z)IG!FpQf{-*rClFn&qA*(c47gdsb1tElScHdd{&W_40HY0XJzV=f{$3GRP}4Y|$9m zS>NiKD{L}_I_SRkZRdKe4Qs7AjH@inw3IN6C!y5b(?0CgOe8h;K4KAwRT$9$>lE{y zyr!Q)0iJGo&me8z#B;H>+qf}?h6$sZ5*;zmN4$|A&i$~<`fqW0%0ZHnWO zy{>qiPx9;UOhdb0C26$5Syt?QwA|h1lF9XDGEXL-m2@O}sw9a0o^cLpQJDKH!<(!U z<^B^FeJb_`2A55oTj5>UrXE_@;*L5jgAPc<;G!0z1$ng73)n4rIGF&E-aPYNC9h)Y zS``lE&W>;yQ_4Cx@-}Hq$H6MWd)`EfK-|vk|GQ+&Ravz6;TVEWnorryz}R^VSs7&t z@EW;3X}!^f_*jQ6sU$XODMiwqO&U)aL94jY7gSA53r@lI?pb83zpL7PHKzC8A#h6< z9$2iqGkf#9=4KWNrhxs?)Px~y6|1D~-^EPe0EDRT-q;6i(|i0EZMY?4Q>iBg4O2tY zZZa)?90~nxC|kRZ&N!Z=`|Uip%`rW7s*{NXKr~_}E^8K1jH%gJ{vUbIC__D_adD}o zJzxJEF+qFH*0KF6%3v95xfT3ZS9z@Tw1oeqiPw!>gsvtbc^neeW`j8(Z=*9nD38T- z!?Q?81DrSlV4HD45}2%siBj|_UL7HsTTyjw&0^`1-c`%nW#F_yUEN)1*IihFv3GD8 z-xaHlf33~hy1;#Cp|y9r%fdh5ZLIRHKbGrw4%b<{z4`t{OQJ82&)i|de=h=NIc=j< z(}eeK4d-Xgcx6!<}m9@wGCrK0bO#Rs{IOA})rC{JDaH_Ja^d}ejqCK6Qdt0s93@J>lxJ$;U6Jhuml9TKIU^g% z6Vg@?O^J+uKSiUNg$R_9iw&2X>56v3B;0XOgCUC3;m4L^jX$4I@t3(oJ}lXaW)1k( zU%oV#74f>0v|~J44~hGbf)}%2FpD*n$tiO|j!_kb1P3&Skwh?@p6V0uOXFz-a(?tc z_DMiKC+!{me@V*P(nFVwa~QM$K$Q)VnGowLl_r>a-{+3cG569uzztLd!O4Q7SvbiA z2_RTYPXuw;l{Pu2VMKb!e3Ti`5mh?;4_kTKVvKpdA zTTXA7ROZ#i$-8JrEiPlkH#i5;--N{5hDtG`h<&Gd(xL|$-{z)TZ`e<~;|lj3IHhhv zCOVt z#s9W0RQ^FA%^V>E4G|1huwnu6-x@qKnbBCSIlyp8{U%@&A}QtnHauq87nG3*nE&6? z$Yo)&TK>l+!0N8$qLmQdBWOW$Y}atq0!=?utt@1&mVx9lC1{n!fG!6et=yjtp|D$- zSXNy&q!%;?M+g}}R8RDo9s|kvHT2%8h#|f^n``~v)7#wwC}_LrEAM87cyXq=l0xtP zc}xlk$){8=*2Jnxu_8{fyj;agdN(z>E}tLcq(A;(@=ByhGQx)vndhFnsxDmm)@#4| zpb4IvxnhrQ;58!)3# z1b?)pbhTDvj`d{w*(s6qU#c?o@wZqNRug){;?iU_QQ0E^^)rgsq@hUOKk#Yq-XPMx zw2aKWyR0AbeR~fZ&o=iav2lBadr+lf=BPTU?uMgjUP?xPa+Edi?()Y8HjdS7ej)e4zjT@N1<&8CiDC@5p-TLGP^(y zkA!$o-yyC=@W^h%1$iJL|NkCqTY9+y0oE|b&BEI|M_5lVi{Wo~{lxe4+?w2v_QwXZ z@i?l@fHneMU@92Wf=taF@b*#d5CzgB;N#cANbm(TN0CaD)vt^ZgX-mu)Cv7BJ$a2+ zp!Na^0wp@7BgLt}P81+@v&Up$K`o6c+ zXT6r6DVN{$Uj4D3ok9l}WKENId?Ye%#9e4Dc3&cn6*`E1mkU#fGtBw-zFK$P@>b|o zU*6LDK+jXkM}=5jL2er#D8UiwiyqBIH~ERQ)ss&EAxC@$s)#FGrH4 zjA7hzvXhpkW(j?oZgo?I$^KlL9P4C(ot?Un)33)oAtm;aQf0<_-~Wt#NOLLxOeQUn zi&n;675liyJO;7~;bH)7uj9lPR(KSPnk6lQfqe&l0z*Dx;&sRk*d#XS(d3k%*=L2J zEu#QhJOYw_11wi83b+C0L+@~drtQQ3BeXioKUY~dK>-76}GRlU7%lxgz z^CqrNU(D3(jtUOXdg;{Tq!4Q-MkGGhkv1o0F|@hzT148iqc0p8SJH?O?>H&8)ReO~ zlwf9g$}Q4HeqQ$VF5lyuR_UG=VRJ^hMMkI*_a1Zcd?m0EXNfTb%4JY)UUpbT)ZL&? zqX_H@*Uzk3M!Cw4X-gZ#2$~jRPDl9vGsJmM7;Qll>GSU@ozyaQh?A49p_WVU zh<&b#Wh^%iefdei4Yl{RMkSXxMVu@8LVc3y6ILHG&HbYi10|!rEHmcES9Y_mPsLu< z*~AvD=T))TtHUkwWh%o}=ZLuVF!y}~q2x+rpsj1A-V4}nYgUU%op$^hpYVTS5Ckw< zJ$yX*53=!$7)ezO5&?EpSxWP7q4!J-Ng4x5MQVtACIA=YB|BGZ=%l$X zYnnu$ds?!X%nZA5Gt>WIYXaW)1U!v50Pc@8J?yHn2Z0-ea>T=H4gOszicN(O8M@`= z>Pqg0Sb0RdAu=NYN05Xb55!e0KUXG54d6*g4MT~2wkp+acFFuqOKyN5?T7+Jeoe`S z#3*OUPEAOD3P(l`92{|2163p;E+uolbTZwlXIp)qx`3|k!I!(}J+5>bTcOA1ElQYY zU*#U&Bf`wg(1H7aRkTB}4s0qY$fmL(q5wESA|R3`dYc|QbpataSi7$&*S`8U<2W%g z-8*#cg@4fpw3kED@l{BPNr>vgEy7zLVKU&W0ZZx>I7CL@QA{S1_mO35cE5dVeDRev z{Uha1+^pEsvOzKkqWQQTUTxX`xKsk4qA5yDVM_`pc$isrU|Y>U)VQ#&?l5}A;q8aS zK=J0*cg>w-%BvpbvE=^Fhprov_QW???|WlJ%9d{Zt5T%@?k%ZO?KP|_v4<-vfzZ{= z#bYQZ*_(FYr}T8boKTQO+d2uD+6(&-@$en9@1Yg76{A?pL66!yKO~}HwqVcPwV2)u zsn85UGX3Eexe<-r5rBnXl5>QWL{^#D(dUV&d4()0n^pf1t~aCaI1HGD-(6!pZ$s+J zx+}I0zl;tXL*7jqs8VMal2b$|(0mCY893zLrLW$SUExnI#-J24pvHqP zl-;QfB5MR@X$~>F?a+@sN8S;VzY<+Mm=!;@gAtAul(b-n00h`*%WsHH!Z%auFNcz# z^R)S>tnG2WEdp^ekrix-&(j7jw%F^a#sH8_pDcxrfSusHAy+f(of#q`ZoPU}+zkas zZs;fj6UX=7!K{)$^uL&rE_HP%COW;8^0=1y%FiV8kMF|X&+Lc_9cfmifMT5LX?k0( zR{Wlk8Dh-R<;2qUq(XNwo1=J7%@5I(e(Wr z9JDbg6h=sD90}$irb{H94?1F`)csEqHWApUEjV^~;PZ5s{b*#E?>j*ncl*cWHiaZ= zudN3NKZ=(=`HRhRxXzayaS@AwPa$kUlAP|sLy5%Dp+?t34f3qD`kyAUp6(|Rp+4eW zLH!Kt|G(@R8)SjG-~!=W(U^|>6>Y>TuX$ilp(1Y#K6eoMoWQ7v6jjjLfGsBn!lzUg zHw1tt9gYURnGW4sI{x505LZo6g|useRtkA=v?ahPBD+W4#TXEQgCGkAG%r-E)$MO4 zKNb!(2DkqYn_bidaswivNHLbs)Zv9>EQpW?U+R#&!9;e7{ zP10pdHn&TmwD;tM&AHrv<_Ojh+LM#&6oN?jrZSxMRcEaVW_|E6;OSAKzzcyW_FzH${TU1t}sM5=kUZ z1h(M+SiZFdncN+r&ne3qg_tWa_bu@h#f_T{1+OGL(&BK+EzOG9y#QnlEJvW_oV z#zka1?~*<5I$bAs@CGV}YBW^#7*cG>`3^4ht`B`XQ zGyU(A+Kc|Ke<)g!vCrYwRwd0pkCi=UL@aOriH93=v9c&SxK~+jk#SlqVtcNW1*DuLKA z^d-YTc=dnvzW((31LI(PSwJkTF%N5*MkN%Zfzu0%W1l7bR|i&EFXQ82{SNrOHDNUq zjy#64l;&~1-j`KUXm~*K`?+_~UtmEk6nz{tCR$oTVXpGaXe)X~A z=6@}+xo&qnqceYBP)`?%^N})>o<8a(KQpqp|IIx)K1uV0(gen@BIl#nn%y-R+Y17L zrz_s5MHh4O&xyuLUGs3-g$k45$r8EPQ_OZ_D+|T0@CrzlYrDA-q6RtUBRscw@1PUe z+Na_)(D@roTNQ6dyck43J1pB@O>Lh3qAee{aXm`tYfojyH>@kyZ}Nc}<<0W9xfYRl zW9I5sG_Q)=>tgP9^){#NXuSpPjlz(jMX(z@rM1+J*^}Sxt@?@fRR>Mg)nF?|@+VI2x!s^a+9rgWG!vR0XkV4w z@I_KAK_@w|oj$^via%)W3ggRGRo$jndMdvcI81ESV}q;=cZt&yAbT**4zrD+f7M#m z3m4U+yJHvet;6G25suxJV=uykBO4-0&+YFbccHule-g9z;9@K~7+J3U6q_E1#+t@cUn?7(84nsp!K2He6ARP7Dr zHh;9@iL5PE<2R9!dW#QO_JKSC!5;8R#NMk%w_%nbis5GsC8F)W9rx^JIL!g^Q@SNr_ zt#kC?U?57~)>kxjWM*g7>rJ2J-c=US+Y+lRk2R*%8LQXd5pjykaCHQ?4&ipocp)u+Q;B|AHe=*PmpzdK>3z55`vOs^^vn;+RFw`4VgBSVVDGaiKldF{9L1VORL& zE|m~?Ep{vOe~83h-yQO=WaYAS+|CbMY85wr?$|@VxA^mVtafaJXlm!O<4zfuRi}5~ zI3Lc~7Nc7^GXWmzD+4HANsWZ!5B-%i$?7XR>NPN%n0nGwXLj{ z7HFl>&DGm%olo}uD{-#O;7X?i&BZ=NUlsmGYA4OTzTm{6Gcj9b_qH%?(YmT?vb}Y-BZa5SdxWla0PH)J~RRbG#xch6RTEket z*((2M1l)tP$L*?hgNJO4zdfQlYi)Zw?a=I{SiH_OUIZ1JpuNjEdek3VwEgkT>8edT zH(Z+7q`v#v=B00*qG{s$%CCL6_~Px+J%}4y}KaBGBBVf9-V(e z$n0Lq{Ybm&3Ix35ioWK|itx>L2|V8$>`FVtrpUX-?bdUZnxPS)VTho4woC{5(leV< zYQp0c;&e&k|oEVE=ni8=x*&%YJBB^%l?@; zT@x?x_X6Li>vqOb;gR3`-9s!Y?apwDS3D8}MJ~r&D+%ESOuj@fdS2TV(8Wy*6xa}& zV@HQMI{!XShm&ox*+<9U-<~k7Oz}hzmmo6T!S{w zGKwip+Ps;aQk+zlkR^;W%_YHEW61&?b3?~tu2pPpx#}2J8VrGh^fp2y@{FuQE zE>oVK@Nt-jaRrq{GrhppeXMbfQ?|>@#ZaN%u^FH*b)q4tQjlV*8QHVLZF7?`I8k2NE17?!uiHn({^Ef?bC2-H z1KWKT#mRm-NeSP%eHgB9ruunXn-wWK3_ zpk>R~!`9~a$5)2pd}11xCVdxa0lx;Pn|bSGc;W`E(KU}*McTY5F)>iPf|1u(g|0;e z&7-|0pAUK}iSsQ~SI$Sfl{BGz)*Ujk7!``V_PF((Jk#doYrZ-W)7Ev@b)SIauP(Bc z;vJD+ud0H6P9XOaBnPsVEnz4G6cLap06E*Wo`wHqNo%UJhO<>K_dP8tVBSw1ZXP;4 z*l4EEDZChpsq+Yw{_{({q%EeXd^|*1#xi-R(jRN!{>Qh4=0Gh`kv{-U`N&KmDv}mW zM=5)X7E1Fgd&AD4P}LWjiq~>6s5?arfshhO(co?UWja#Rw7l&;v9bKIy_ME$r49#d z=8r2vHPuQyM+*3o`*QviALN{7C~zCu;>wcz3eoa>Cc_w``&~rMCoMyu;)E9`TCh4KS*bYQ_J}{f!P0WFQVwQ zPvX4lZ*wDJ0?a2K9lpEW%#DmS!F0U+!m_^n3_H16SlszRXNP0$a!8*Y+ID@>{Cjw7^-@j&BMWY}>e45< zUiVf*)Grx77bf4Vs_*)5^vSP%z9@VSczvzKWbQN-`q1BHpRNNw68&=NPv$D1P zcA=f0@NmbTr1hM85(y~VzV9E&|3Km6LH}sYJeftXcmNw>&%*&zTk%^wA zK4;TSA2j*CU7fRv5orQdSwP7d`(|oMz+$T2?R6Yh>in(!U932s3pHs~nB)sgxB>7^ z=}gJ8Ou<)OLE;z1Mj84=Yt%Y~s7NBFQBMIf+X?JR?Q@O85TWgM-Rpca?Uz|P%uN?R zua-7GdEUZ-shaWEI)7JM8GSI>bM^1D;_~In^_4Aa7Q1GO%$fCj1|I~{%4dhaa>v-h zw~LpT+>1MmhPQ3sKOw-@-dDI!m6{xWoXWZ)%1u*5ns#u&EWxgS@PI$oHCccB89u$( zs#;g#mqMh@aOV2Ghbfh(KmC_Aw5=+H^L0?XQBUi@%*Br}Lj(6j&y{cuf+O=huBD$_ z)hDlnd=rjryC^9kE7{|sPUdkEL z6|$^NwN=agp_t&*J=XLjV2}F`pHdPxpihrHGFV44xp!TS0LMpC?CK}<*mEiiN(qsb z?4Fs;)h!Y?3!-66=diZR@rs8w>~ehZdl+JRo^$X~e%^qx`|^K}oTs9*{&g@WCWg7p zO#F`SnQo8zskcE@xR;8-mp|7e^>S`&4t9z0jWxfWe$DVM*!vupqaLkE$2ET+eU=yF zSa++r9QRi_U<1j#ru){0Bp*`MrrL^sgahpirl;o~Zdd1w;6*8}NdS&*8o|z#? zhZXXkExxEdA{yb_|(tsoV*>&RaHqr>W@#&4*p%F+)4^uei6Q!H<(t& z!hE6kJ%|GR@dfRvNDx$-&Uu@6W?z(F#nx+tp_cb*zP2;;NYLmF#q+s@FMI^`s3SxD> zpGJEYOxi_VJ~|Uhr!_Bil;ysEYkx}q?R~v3R*`v1s_hywgS&$?GnzFgbaLn;(q9!rI-_cm@N8LR`Kyx8}o~KqBTAO@p?97>%B@Z7WZep z;t8xX?L+A*m^otoJJ>bTf_HSqi1tx7dir7CY{oQZvi97~rtllmcx4(x8W!}7J{jG_ z?O!y0Ek3K!Dw1?5YhYKvd|)p}xjpm%jblEU{sR8jYP7RPf= zW+Z%5_Qn>C0JD#uF9y|J*%hmbVups&91H zUsO@@wb?XTf!+HVMpPi3U;SI%&0y>*)CUoPK~{rTnf#TCnPdJIGr<(x0)9MZRxRAi z{7cBAQ?4c3wrPnKH+@F6PEQ=qvJ#UxC(4{V0RC&E`@fY-qg28&_4xqpp8I@er`1nf zMZoS3T0ueR1{X4Y%D&<>@#B#jh7NW&y)1pZma`s3QPq1jl|)HyFeqTJNUw-}H8=d{ z4AsP@a|`-TsOWa%n2*OVtqM*ynK3swyuM$==shMM@%C_I6#d`#;gbt{_=`Lbi6wj} zAoX435$))j7@@qq*w)Bt1CN{S@`%&5q(2>E@4XyYjPf{FoiO`m*9V!HK1VdeXLt!` zLZJ5LN`Kr}L>(=Nd1F)S9vcS7X9j5KZq${0l(|^?HD9dF{f5H6YlKUz z`db1+NhuONk7pP6nh@04UvxuYI4=o`Kd!=R=Ria;c|rC_jQBxw=%c?)^4)0={Xml< z{gU<3rq4{QvZz!&5LD(Z!E6lm>q$NQJ-6wndbE^lI637|hEjbfeKRF{t`i^)2i;|83o68?oojc#g>HBcnOp>{mUUF zZLPL6o1k3f@1J}BHB8QI*SPgk5%pMV`~xF-Svuyjs|n|*%PdO7*tO8IcQqUb_bq-P z8T1t6&{NPp!KTE%OjI_ue=_#A@FBi0Td3;v>2KT{`%S>1FMG~!ou8dO&*3?vcv{4K zsPWg*_RrYoeJKlYm(oxwFAsWHi%_Wpkn9ElGz&RS2zAv+VKEz>}gu(w|#AH;(i5Hr>h7FKCxJw!b`F zGhb-8NL-VK=Rq&I=;wr8+x~V;UE90pp@t2fsq2+h^zzidKCnmgyxr@j(A|5BUiCcU zwdaq;@S%3zEYp57BRN$q~}5`0?b*NwZ#Jr(3A? zPPQH2$2-*Q86l$84IX8yQ>;xLB%kpR_s$8extE8|zBr)tdn=5*bY}8*sGg=(sMRYj z%!jqbZ!T|~Eavg&&a75T`3Ecw+}RPS7TK?pBRW*DLJZN5}=;BFmgH36}`8}`@Q;A?RvjP z*{v=PLmhnvD1&euYj(wE^n_!lCDWR-9fP&GzARb0t$Li`6{Ns#(080X-*BE4RuZEd z5@#z?e4Ng*}(a>?NeV>Fjv@gq7y0%Qfle=@yx)njvh<`p2fv zBpNCAMi5Th#$BZPxQ-g1-$ikc)whuX~(ncJZE`LH;N`R ztfLrebE_!ljWYjqtWMr9@?-2yoeUR0z>L9)-dA-vA4MVCqK?GA5b^tVny!9niE)+Z zSxr6arMf11Mzzg(^kA|*>WW01RYYdQqcb0z4`h{23OSvVMt8J~8ZREeyfv2Q=ZVGm zyM?;ubOa>Oc)0AP@yskzUo!Xqk#yE^O@3_{A7eB~D+o$RqjV`XQo59q2I)qShJk>9 zbPGr*h;&H~7$r!F(mg_2HhT2lhu?etVIv-%=d%;{Irnva?@EAx`u_K?XX|RINjCF_ zCw2=Rq&QkS@&X*fBUORvUK@t#BCWOr9tB;QA?5`Ld5acJL;#B}bjNcE{p~Quz;s#b z4r!09c%S=o>cOAo_HUKi({l?nAJUYL@2k!yo6l_5AFP+N@HgB6j=Q2f$}`_)aN9P- zNG$Pw;VbMURgtAHSK->{RhxQ1Dr)-K!1Mg!*{keHYkCiM69cBm>&7^w`*Y819q1YF z_buPc6ow#+`H+EiUS?c-f}c7`(FL6^Lb6$3{xntzL(%x`yr!CMmis&9HQy>u_g5@U zIeO9IgdqScnucotfFN`m!wm19nH&Q4Q`0ByZ4Kejtt=j}1N$AE2??52z?~k#K4iB& zGt}@?pv98sKj{syZ&{54?5PI48*mJ*47*$W*J-A7pVr*gGllu|w?D^;Kxm1mmEP`NmwU>da0j0eV1v8kA?|%8I%W;lY37ro%YH06!IG39z`S2R(d>+T{xi3yv^hSCd8Z&-To;IB6#<2 z`Gs!mLvw@a`}ki7Hg9t<&+rVl<3d_B?%l`K``$$h;se3JBF5Koi9(t1)nEO$^Lys$ zWRgJ68UyGcepp-sS}4H#3M{$l|Gm0>cX6Aj0Yocu=6$c8DM+<1A)gjHpxw6skS}mx ztIFve|Hl3*zZdB5eAu0#6a<#HerV}Cpf3q{)VxC z=N$n77NLbgVwC?b(_Dbl)BMdt*RQ|tfP|vD9PSCkNN}ZMzUSSr0K#%XAf5xl{W!o% z4J;Z0KoTp*#O>cY#z(N+^8x*8>67&A+6ZuozM2jTNN8V-G}6>hw#V&Kzs;Gq<|WKM z&2DTUeo1vrjHD=F{qIRF_?;B4IW3{5xgwT+bEk`$b_DMazwK9)@PJY)Iqo&WF(bSd~pNkJLa2?Pp49gN{EAD7%ldJ7Cfmi+q{dWKHH5DGk= zgh2y)_D1O$W6@nHYXnW&rFVPw)?bvzcvECtLmKm0e&!crlDXt$)*wPeLRHUNl_PBXk5@wRBR_9yoq6Yr*a^XtDo>1_F*H}Mx(0fgcb?t!kA751W z@#{n#_Q}R)C?c*Hu55qio?YiH=;i}H87t8@`NZD{G1sV;4Ks;(t=VTc_O??))tott zpSw{;B>{-3D$@>vxuJaA00~2|RNVD~WSLskhU-$UV8i-s5Wtc$6o%*JuXl_{7=p-K zZz?r663gqK;GZG+YUXozb6RCG0^scQeI~NIVxa_WG9C8bX`3vdRo_hhNb_sUB?$8t zZ|rEQ^?=w$IR+?|pe&ym)l*V6|MnCRSPNBIAhAL|d22_f*Q#rgHAH=8_h;*`^2e|D z51Bzfic$5xr`tO#mMfvzj2gFxWw$7u3WHOi_ea<$#u2FJ~^_K?ckM|@vg<^qf zo}t8$Pm9P~%?4tH0T=*){KUmUc!iM(vJB(m2FBNaX`g?7lK8NwOUxP{8W>{V(IGfY z_$2*j(Rx5i2xxoo4n4DzJs)DTF~FN03TSa|_GaVbK`%zUC*!OIq*v}*L3CP%kn=;2 z5YuN}iGcOCA43bz*jsV|h0M5;b!Qvb?@$D`U%4u?5?swh;>{I{7zwN#`(;|gL(n%Y za+L|VifWH`o4Fu{(*57duE;u9=&$fRsM1eh9bki)kWP(wtPk4L5s627@lMni}n(2zXA{5>C^VV zT=SbBm|Sl=`Qh_k3*y0=(4aV|eJ~0n=X?x~7oMUd!Y`}N9j<+19ipf1pQN1r@sr#P zV`kyAM%ZXGWS0dzpHpLHE>Hj$;)3xtn?2X$sI_*BX+ym4r4D{njZ6}I_va&j+9Y|@ zwb|>#qRuiNZ$;gYCaIEN@9&S8o({)qtBNy9hpk6OIi?V`jR(%u|L87~br~xWa4Z`( zNeQs!(s&e9@KtJNqi|~~hJjFAI&YvEN$Z*d?-XVB2kBjD{Qg>eR+eFu{*Y(zAp28) z%R{#lG3#_?rPjrq$XB_8&oG2(m}goIBKjtE?0ZQZay3=julWdZD5ya%pxin|%7zFc z(oJ^7vk@OogiGsLGIJk3pp^l@hqSY<$Rf-EWzL&RiWS!4|B^nw{E;3+*qey$mFFr~ z7*4nICKAh`v+=r@4Z#7yvcUQlKXKNPBNq2$B;X_Oxp))tvi!De>EucV0=o}^5p|0- z{J7{mo6_d#r0Qk6!<sFnI8xWh7twQ z>MbrhvN{LxtQfPmf8QH5lXYvRh+dSGY>4xSF~WANDRRI5HmUt z5%H_UpvMxCQOU4GZ*M8RQ0#V^C;4C9=)#2t3`~BNIhPq2F)L3dHHNTVe%!Ys4oDuL zoxbGM;S^)`v`dBj^gXd1lCw!9I2bLSDn3>g1>CUBq#j-hyc@^jZM<5?Nm;pd%; z$|!MzfPIUQYnM?^M)e=}cV{ZN)-vsO{XWoFS4eiOfd%f$H7f^2x7b`i(?&A>8Z5~2xZ9^+?HD!3K=v9F zX9v`OI@SX{vNR>>isl99vdR{XxBMQA{)8^!Op8CG3xk7=2Az7)9OJP))=iS*(o;fB z-W#r{QP$9Emzl%jg`*cQ`Pic#xT_FM*)57D{>z&;TKJC4p?iLjLUIU5poXAxU9JAMrul!1@&yeMm>@-Z?22{2onys(cm+APMBP#p3MT+As z5d0_JXeW9IW2R;2w-HUmtI`5edknLeZzt1bw7IzeSz|QLHV#ZM>2-YR&8s4(T`}cr z$)qYA**zKFpOLBogn!|?Yjjsn`yao$slXGs2d#p>;6K)V>YQ&nw2~A}qvR$TI~Ul_ zdYNsScSiEAWMQ_{R@H1iUYihKp7wD0OYo5`mp$a2LJ9-bty z1wQX~@u1zbx^dueka=+a1us?*R}MEa?qCylh<+{n7Agp^Q!5OKnklZggqHHws~btC zI84_21=@HDC>svY|28LHUlxU&r}fR+@JIdV))5PXK)Bf>AGL4s;$-8#WQ=%k>jb|1 zvC_|C)qWB-F*BS!av+L(= zdC_PNZ@Jn-d8h)W(62wrRL^(*(8K=algouZv>d)5r^`&q8v9bW68pf>txt44>QKm?a^9)b4v(2eoh z>jvdA;qIrG7Q;sfYN*X|NK!PLh^sUaf!K?lY$)hl+g1O5%_UU6wH>Hz%>Km^}eD17y8})R)USD!(3ce=ysY@%%+XtRdL*p zar?9ze&4>8C`Yx=>vZNnmM7X%SK^*3oP>_330bB1AhC)$2VtD<0LN}T5WZrxkMG)K z?Myj~j&|05CGp}>n|`0_bic%>QdKOIN5q&KR&spE50crl25p5<@I-LuXKZ6E9uC0w zH1v{RZ@CBhb}s($A~?m8BKEk_eq8RDd?bv9Fd7-nh?C12ik04d<4Ntw+7*J;kBKi57MXVtaXT$(E{Qn82%H9oxgK76Hz^kgiwIE4c2+Gk*9q z0KsJ`Mf33+6LL16EjM32Aj8FRBW}@xGp+fqP&YHxGR2p#N~*rLXO--Z=Hh{!{4S)- zau4{Gw_Ef1cD9ICTJ8R&{pjmt=WRULf8Q9QREAF#BtQPjDbj!Av5D26HjPwKR}hO1 zQ73^EG2_U zF{&Cfck^RX|WxPSMMdnRShv{W0+=GpRlhCX?ft`dRCjJ!AAuN!mwmz zG}X%5n@!z(Vrlh{MJNr%1SxICk;UvEUe*1-EJIJ!$`?PKoN1@dF`-GOmhvf)EK zXYUV~wvP>^N>xXg^<54XJ6Dk(RI5mcls9%7${!?MNT2JkJAJPUxj9=kTihI)CbLXc zJ{8lx$-g9*z-6BZJ|B1yI={4qK?|b^_X{5axII(frciw58tylnfV>OXYp)84uucjaQd>c(vZHXF2J?^u zA@nv-(wvTb$q8$V2RTBf7(#-7L%~lIf_Ms_?G>7@cuzjfuGnTmlSEsTU)+6zwT4fz?ULJjpYaHANGb8y$~s1>J@fxw zmyXR>i!2URJ*$1O^UC78%7bng8{o*6VwwMq*@`-_|JRJFmPo9$!4wIpodD~m8!t&m z-F)m1EA~|&z5StlT-3x&XmY|Ub86Dc6l~G)$#-)>sWL>nv1vb>3zrLfw!YV7_Il@y z;W)6Hy`Kx8`8B(7aV_MTAH2*NR`V!A=(sh*SWz08{%#dC0M?0dTqB{md;Y5UOrd*= z)*_$weXzDvoza6a;DH^wBZ82B2Thwxd(ne3)Wt>Up%n_4HBrs)GVPr-QP`K+ar^D< z6ran7bn(KsGxKe41i(#*-N(+P(3dzcD&U0nRIs*CR~{N2J$_5wCiu zIx-EU8%?|lbV?rz#|JkIi9cR!?(;UT==`j4IpV&={rS-%t*CP?Row9tHLiD$jz^>E z-J9Ap>r}=h9De={2uLU%C=Dne_1ni+gCwUcJvG2sZ(!0R<@AIY7E<@*9DH%@=;+f= z9VIW1TMp2VX|!{^{MF=fa>+^*aXiRvo__g^dvH|Lw{5!Qt_0N}p&?_TJ5kv4$q-;I zOGYOMjFWx456FiF9y$p?mKFJk@b!u|2nhTvQt9go?7w}K9tE=J9cWyeXPIAueu^px z8|&5;ziOn@D|X~;HpF>W@|PjRdg{-U)_@_W<{=(o<@;;8&*xgdTxfLKtMpR7j^Dl0 z!6N;hcBDxukM?~lk~X`nq1v0iE|7(Yits0ar2{RPP>;MJr8JbcHOG6Qwa=u&F*Oj? zeRk((qjkdw-;E?|3q!K(ecM1O5v^v;s#!Vw;L4-7O2jK!b_O?NUS4|btwA_DAWM0M zKRjB4N3RidL@IZprNo4rbq&yy6VgQ*H}&eAzhkfKz7LpgKC4qYFy)02ekha4Z_PWt zmQh>$MiIuGJ-6A{`dtJEKT(8MTmF$a#)mXmxZ#almOKf#H7@Zte!Jy zJPF0ptv2k=ImUIeat1 zEA8a$60$o6C;wy#5FCxs@5u=9qT-1tEMI7>Q}5Sv>?uA#X7suL`6h1s1nZLT^0|O` zff}6Th~LBB#QNIgF%#dLdO9~&sr=8+4~r5EI;nNoiBvld_rD$IEsjE^VI0hHL|<6? zB6qF_c3jnlSl0Pv@-sWIoJsNTm>(4g!=86KS*Z^{&~BCtHZV-!>xVuCMM!1RbT7&L zx^#B@eXk&^sL#sXuj7)3sNg9m6)(NiD>cSSs_GgBBUrV5dXM-{x_Jty>kh?Th)5NX zXP~(WshpS2zxnj^CXERcjsvo!}I)RWq(+2ao0MkPKE4NT4=OkiQWl40< ze$33LnawnruiDgcDKjnaX>0w5=m50uwpADYX zO-A6J*Tse-*37ai2b@NTO(pYhF4M>!f!GQrxTTJ@U&f3+%6A=Xv3rwYwE0JkO%68v%*~9}N&k+)C*|Fz zJ!kW!R!~mZd-^>yBK;R0A3o#^`7ynk8NZRK3$@JZJR<_ZqM736#uSwgqc4W|j%co+ z3m^V;IsEJ|`kow)NiX!y`u*oGBJa#d*wDMIE%~#yQw{Y#-Z7D9CdJXL^53fNf7`sP zGhd#>4!o+YsDN{RW@COt0{RlAB^3OPaZ}*!SazLc-lBp-4rlTJ0aO=X1vgKCuu$ay z{(^hH`z*cHr1kQb&5O1AM%vEQH*U`cJ(uR~Vr-A0KMSMOuC+Eb|Wif61?Y7Fh6NcJ2} z$dSt&aDw#rMEP|Ie&J;Otwc7g{J_AEkZHj8%~_0`_B~>W9{x@2fg95|2M(n=d%>)b zsm~RTEPOnfOdTo(itD|;xVPB(`>iwN zxxDF}MUs^M^7yu5F4X7#V8hNCYFU*!J?L`b8atz~M0T9egHHXcf@2qH)klt3o0(7c z{ui5Z&WT8&3)pw@*RE@o(tSZ+_AxL0X%4j}aF!(Hhq!)FCOO!oHGNYPw$)Np0HR}VwALt#@57td}ixwo!q+{JfG*j$YQlg=%R!73)U=0uYUx3)jZ0 z{Hl{B@C+>|`mWlp7D?DoUNF)3>Mj9V%?XRn3da+}9+sLH3x@Eai$Tl=fdV0^PExbp zg<-2ZaG)~Ou-xWRs2$jTc~{_eD!uj0#xCy0*xp+}@ZDpjqWm`fre0?Kz>Oro`IIg9*~<7cH2NxqAHmC(e}l8Kn@t>ddp(SMM4cX{ z>dO}Z`r zb2a?)#*E@D$y2k|*`?Up4j(37K}cVL zpsfwpix0y5RcNyGPQXO362qF^0989uP!gSfKAx?8JVgJda;`dZAD45x6x)=T8PGrR zNx3&s#>B?Rkl8?1FX-@~UO_Tooo(;fwnEN$&jz?l?DT&8WiI>TmhS1K<%v^B3;R^^ zP~7K+S)N2OYW8(@V&><}44q{}6a%eppMpFcww*`#bfG%*BprdZqzweGKa}~TzfKnx z*>lQeC|>+-G(bT!5n817r$W(sH=h{M_~=0LU?HLPs{$&FF>< z)vv@2yq#=G4iDaJ`bU4p%#}3IE;i>;M|)Z*`PEmKo?=F=}FE^4v*I?#31*pjTUvFg$?EnyN>;X^?G!TAGg+{spK)G8d1u%W9*t=nX*}J)# zvsj1ZlZP^4|I&57Y=Q?m-sWYCv3uBu z`_$duiV z?OWf*Y?>vBzl>M@K9m`=-11tXEUGOkLx|vyN*)uYt(dfDw?C;b^z3&8{2_Fue7Z z`tmY|sh4N@uKTC+`m&18Oz~pwjRu%igAYHQyMaN0hqVY}Ju-g}SqqnIaNzF;AhkI%cGBN}&n_hk*i0x7rT#R4F>Vpn zH-eK`i2X5dp4;zoNS@XO@>=7F;n6@mf`t2aJX(r=6lv||+s!9Y;($r;9UltV{mnmE z|4WOc^=;qwv!DOo^P4!Du%`H7@f(w#>Hd$W#>Q@s%jPpwILbL#|3w@M$+O}@zYw~| zwMecvKXz?@9Oyk>AQwE|)Q^;gWln_4oiVJj&bc~VXU2a1-S5g{ROnhVJ0?1^Y)OJc z%0=_uRefZms_xR0R6H|A!=*uNjt8^{r3O(erPOVN&Oj*WP|*4nLC;{&dcMX)_C zouKpM#V-Rs11HcviU`a(N`64EQu7=TN^T7=!%!N3CsFxVH#Ga(EY^12*KjCJ&VqM99R#Q4g+p<{A zrOO4EgRUR4rEU^+WjDScEb$zCjfN2NtN8&+T{V>|rzXxpxz9Q#Oxo;MJxa__yFI#f4`DZY! z|IWwl!1-qRm$L0X12c?1>U~(a$m=tnFGnb}yGD!AYc~8blG2YYKI?(FKba$7YPb}a z5xsrZ!C0Al-FH5J|6GDR^!I{sc~Er9JP%u7j9TIGcYIz{Ecxg)`Y}EsHF>y@3JEx8 z3j5DF-tGL)o<)rpn2=U!fY(4YZlE4B;;W}IV?=LW$7pa5LG*y7?9gdy(1QA5waj5Y^k5Z9}*+SGr-4R-I=Tu!e-?8d149&(am8b{>BB`8R zceJ+J{v~~)zT$xLG7qTUyW`jT*O6^Zwvt8%Mzbs`pHG`&W^XB5@k-CN@x$AJ!xTD- zhhb4%I+qsPiqfrq8$r3zS=7q*3F6(bM$HBB3ROiJj(v%+P9J3TpqDS7Ea&$$vS`&A z9z1IjwMoZUx&;$tU&@L{Mld0%FTtG}w$3T8XwEwQ=denACA`n>G9RiMxa?v#!56N7F{IRfgCkY7BSiCWSjg5gKdnqlDjQCH`(+|X~_at`EH z1?>2MZQQv^Go@|TMN5ydVcc~gdAG#baA@Xk0gNgt%z%T056e#*!}Rt%)Y2$CPNk$B zdm{`?+JQrhd$;H9=r&-Hz!Cx%26h16w^e?D-m!F|o9T7k)PcT5a+fvrsy)aQLDAg* zOs{NoLQD$$HPGP5z;8XKZH=h2MM0Crm2ZkXJlO%E*o`C46;6aN|C8qGZ@mANq(h@< zBmt@8IB?yj6T<(4jrQaGdQL!LmrE9+Uh*si36<@q=+>@%3LHTI3ROosc-H^ge))Znb4cc5Kmy$o5#5pv@E`_jj%raf>>NU1=U%-=b`|4=>@nf+%{VTvGly6~1E}!#B{LKFA{E^Ot zWW`6`(X70KvzlOP_yhh2B5@K@9YYn?5)?>NsK z+&C=ktxSK!N1X?Oy>TAkM%UtaP^{CtW|!I^w%p=r1#!=17G&ut7$1^>tul+|E=@1B z54vsj4dly5(^it9ES=sE$MWJqW{Yvnr0$wA=j%WRSTvooy!yVE*W3uSBBs@FMav-| z=-bcD46~ZGU@`K}7m)Bm;$NaS-xOqxs7u8UdV*L)|BCXB1=c|;ACk&FBPMN3-an~K zZ*;H`yF2MFKR@L_o&Ce0%59QCG&bsKSS8EAN;3UEi??^~QXt)9NzTC<2k>t^6-$CF z3PlJ%LMHznpX#=U3v?!kCM?2%rLo;EALJJ?6d2(&o|`L3()T}^?RX;(VX)aLkC;F#0R-0#0oygd@vuwnj0?LL50QUf)(=-S>+Sk#*RcL-`5r>nK@>)xE$5V$L8!%FmGi?D~xoDc@=v z03K>uSH(u}3F!MSp#T;^?E%^2##4X=o!*Qw~$l!w#LF-^@(K zlqr^feKqc5teTZC6!eR~?QZKb*EZ_U@%z%H6n;?_Q;;bl5OtC_dvUy&=b88{>&13a zA6~?3#dx>mwF$26kRal=g!;!m-(j>{G-1pdw9t;aH+fT&9kXyis4hONQD&{`W};p7 zd}pybI1OQzWbyCxdZS;}cK0zPO9+3NEleZ%WY$W0_pZ)b(Pg)#935$Qa@*)8$Eys+ zPrRYSKJ}(qWScFHW@XuG&Z3r{`7Dmuw^=lu-|+=%ewC*e12I_n*WwQu^y?8 zcn+*L6RUVb{3tsA&RcW;2RQrxogsRSq54WyU|G7{+ZbBs!X8{#=>D z?#+=5Z3e}3Hb9;tOthYX{oYQ#YUkZn{D4yj7J03n(=mx!T-u+YjZ{``#Ognok}BRr z2En92zTpVN#nBeSB|^C*E_}=U40(_Crm+ZE0cn>dU;f**J#yX^Qz}2Ax2bhQn&Ynd zoaaSb|9B+34P$=; zwa)_=(OXK3OXk=ui2r#b#Bn9!>2F+ zzmK%Gfce9D;H4u%bFWFq>Sb1+$h6FC#D^Hu8q@;fF@MbzL)M=5w4L_xMjCHK#TEH1 z=BrwhHs2Kbh*^tG_;`}y)a-ux=GT*m%;ZA@y=A5>ZUSmX335;tF{Y)^Vl?>B-`2C4 z0y>D76hK_hmpJ`$S{M9RlL@!_AZ&P)AX)?@j zV9Ym%u|gdPBfjwTRlN9{uJY04+$|`yq=4>S|Kbpu|G~`<>vyTskbO~T5gjc(Q~lj@ z5kSx1#Iv;i_fQp=8YJIE@km2}Qy)|!6<5sJ%6wmF*#cK!NF%!6wP-**n{jF3bnG%PGfh>eDr4Ggk)X$f3{VC_!haOZ#dXB(^Ep$_F&u?#Ua}z_3~o>gOD>Qc zxW~}~N&$s{g`8{unF z`{(r=I21&R^s$Sm*%`xL0hlV#!51;xfQO)cK_SD$x8sZ$Uz*ItPI44r2Lw4<@`l7Fvct$dBW0;p)Mf~R4;64 zUAjcnrQ9XEoAKBuRCD&4CfiRGRi;|s*beGl?~+e<$M;`Ao4!mv_7yMFo&clNVJQTp zcEWSK0jG1B4|X2({$b|e4bz%W1uDfkhzm-2tq=3UcuLK28%;k6Uj!K9nK5HGQutT6 z$95O|ZQCz70hPP(WO=~FP`{>VKaq(`T4>3G?9#@hU-zkAADzq0@m>s>4=uM5egzv* zSa;3Hzg9mRtnzx@7!B%MZY2RV(w~`jX?=7%7*_qr-hP@y_?u!`45Uq(x3j-MV+G1H z7#-4Fcgf39ct;WVkW5bC7l$qDq?d0YE&fidq;|Z`KfM56u18%n6m=2YnwzvicU>OW z#6c#w4cQW1ta>o@0)|`aV@Cup9~KkW1sdXY=H6R5(~H^9Fm8)>3he1OzOU41w=}j) z1Ct?bIk;4-)EmgnOIZa`8sJcq6U~tX)9%)obJ=O0S1z=_=!l3^oUN`x>%poa_TYWB z;EyNXMW@lw`<+ym%W_1fhO70LI?P}{osb4^{kGYD92YxOsITvn`A;2~_;&?c@J2u! zuWLkvU<>5Mtu0`c7k#B&{M9sPVCO|Wm4zGSXy6KAa>LlowWVR0^#N9_9n8hbVM+KtD&<5`Fq;Oo&kI6}4V6%puw^A= zVEY;EuH2ogu1>|L$RM76SgM=rex2tpZ;YE^kyrWl=!UiG;HW~*($7Hk?{hEGmgc&f zDNHvKKhit3dk>swFsV0W!N}~nDT(mrT@myZV}_hI1;QimMBBHYW!QPOuCsYb1{i-h z^CqY}$xtQ@)c@C0qxSDFMBiz2ln5x8d8^9rg{%)ojE2-f)x=Me=xKFGyN zvqfekWZbaaerUg5+Pw`t-oxwAxN9e9#qr7DHUQ(UXv> zJ>#W5v+?||+8S+4xsa{9Fz)d8b4BI>=nF5PuqrM{uP_TkSAU+gx0y1;JY00@JV;Ha zVXEG%keTs>LA>4X=EJ3Gb(HcO^&ca%8>NnK7fLvPJ`bxkdcsJk?yyiFg!n)u!iVn> z#1WL;RQY?K8OPF+tF_X}BA7}-3T#V)y2#<~f)=PiYP2Ui?v?LkJzSHQ)<>d_?oqin zQoIm*($4va?+Pe{l+TX>oMW68q{qnds$8re8K{#L6iI$$b%BY+gzr;Z=CNX4?rgkW zmb4|Cq!siY1J2u*Fb2*gSytHNaO&ri7aXPZy8J=mxE@Rt%Xrx}Gj(FC>0W)vqZm?;`12%aJ z##!A#@e$T}@zANe4R*XdliyarX17N$I4o-3>HubIGZM_ zeVO772ntORdipM_j<+sNKd$oQ=?!QdHca!CK&3YC2R>J?ly2%%K<@pH#=5SLYw^mO z*lD#k*RXkTdOUENi+nknx6I7KsiBSJJ3XFs!{l+K`%f_R4i3XB_ZAPtScML4mx>YP zSo_E%C|rW08R?^c`VA~a(D}0~FQ}5EZpAD3jKtx&J__wo9dbpy{M`U(j)vWQ4-tczchADbo>G?thP-8yWnr~-ddo~RKzT=0 zb)rKgl7PNF{uMNGfuhK13;X^Wn<1#)-UcDV6hlA}HnEsK#Yp`(p-Bn=)V)&yT1x^f z@O}^6P-t^-2$A)D8Pw^l8dwDn^oT;P>RNp{?adOpMg1GkiKj(8^Y3qE`t$-;atF=B zt_QEVyuD?fXe0V8U$ipqWniLA!T8fi)YNt8Y~}z2s)`F@?s|*5Y<+SGxu+m!Omk+f!nAktp>wJI0J@vHrWLPfkGk?F~ zik!jPwcf11$VY}#>WxC!)2t8wOg{Ymv-@&qmg;3kY3AZeE?Ho8$L_gwDj$0()^z}B zga`Pb)C9B@%QItFTtMfBuJ{v)@=hHH`OS2+ChR*|Jg%kuO6_KfGeUJm$t4cY()14L zBO0{iNh(kbia1Qe_T>^c*-%E=MMd7MCG-8+ZCJ}Z0OhvUx&eZ)zU2`u13ZS9g_w$f*# z$eA-LvL+8SgxFn5uD|Lq+0FT@l$v!w>xt0Ux)@4X!i7?W>!h|EV>4@5fx2jms8A%7 zLYZR|-F^}U3xq(wnqC?il6P0aji0$THEnk{6X-9WP8*+1%fuaw&)+{Y1mjnee|nAO zY?^nY?UJ_j!;Y6?{T_trOMn~Xx1z$=OL+VEDqYvA5m5i|3qa_*?Z@#zJOX_67z*Po>XD6oUFvMQwU=un zmnh@gVLkF{%eIz%0jvMa>^FUBU6GmlJjYks&JVMh$ z@C!>7(`)n-lCZUdvVVDczw!SOD?Bbgkk1h^7STQ1T+BB~{6)DYOhj==>DAS8>FkPh zHGOgL2_led$ParS#wA@S@KddP^BSZN3k;7pTRrKRV8az6?Df?(##DR6AKqwe9tOB1 z=eo(l-FDT2&2n`V)W$v>t|45R$@U?5-Qp#_Ct`d?CSbSxf#d1xw@DC7L6UZ7Ct4f> z!gtmsS4(FmU~%lA8FqTbn+sRA8hsrwjg%w1RZsj2`oTmCqy*vcBQl8X5c0{2aTZV2 zXCTFv2@h&#HA5RQj&}n)&V$kTwO|{$TchXB&6~()?p`ZB zK-n^T@?b2aFSx~Z@zi=oLyCAzw3iicGG<7!Gu1_BAQ&COrNYL$bg^Dz4D@kKP-p}| zo(BrxU_Lfn$#nN%e9s{gKkR1)h~nIF7rKXqFl&(!cX;1kw*PQ9b-Y6Ykh3b0L6*^q ziGEeQZH6DLd-Pj_M515H%4T8_*^hJJ$Pi{8np?thAh7NS`I{+qdnn%v59*BOG8H)a zb61$Y#?@^Bx(=K>`~tKEx3nraoa8i;esO5K!|aL(Nhf8%1+XvxnH6vBYAs-%2hx3a z?Y4#+I7Z#3zD}vl>Zx9b3JH@D;x4F}%*isjd6(ShI(-~B`&vu!IYuOVpxOX`T7mt^ zYW|18)@fnW+^Q4?c==mZd6G#Pa{n=nWJ1ak&r7c}LW)^3%d{Sj4CGuf*Q8shzNG+8 z4U>XRIlTFofxB2u73UO0Y9;YVEc6|^X|&f$e5}ai62-FJ5LfxC#MHv_QMe7;(&sm4 zi@d>#`{yoKdGnS_3<5fQ5Rd3Vw$aB;>byNNU1Kmyc}wmu+-*4|*|v+9y}`w$AX*q; zPh(yP{*%b2J@spZ_a6!Kgxy*XIemCPp#3N-39h5>0u68-u_W@i35T40O>77{oooad zQ+N~-6tP5_1Z+Vp+38<4nUn=?oOEUj zFpyVuxGbLO+$Eo?hX(wSez2pRWMsupaQ1cFbruQz-@ z64>|qX77fP8&3a)BT@)4(OyAC*au;+*h$0d)>m(P&lxInzN`7Q$9|zRpA71XVzr^q zVAS(kz`(vKFfhdV!yJ<@ydA^mdn;i%&R6BR;`H?$3vqZ37%AXwaBCyWKp~p0H`WIx zu}gP%gB0)xe1RzBO3~`UZ4S~GehC!mL?yquEyx8VGvFxn84m!g9;4W(TdK`X6GE|{ z^6mkA-HKaKSAqpGg*G=nZ2;oQqp9_HfNKiaJAK=fYLk^$|35ziU{qks7_uTq9qsH@}B zn>6=>ht&h#L{Fs;tFL-BnU^fettIUj-YgiH{*R<94`llP|7bp;!!0T~L+(^4Idcmg zG`XhGL0LH(W`h1S#czB!8nD_%aZmC?p=pIa*4Ow z&^=kJ%Fc)bb2uNSkf9!^k9e?-0~R7;xUz(B?9i&t6u0X>Aww&o!(YZgA_kDddiX z^1CE|oru}Lxd+jk$1%t*R>xW>?5D7hI)=b(y&jdA``;avBpp1FD0JtFKNXyBjIC;& zVF|-s;}UO;K#~vZl`XsxZC$=n3?tCtWuLq(JTHLB6ZD8VL zF%7$NOlG>rukYPqcr(CycRGnxbjgzhcay!dH?*Py+IKcva^n$D`#zp;R;H{87rP_QIQ8+=u8WNe(SeqJfH|Sv2Yh*VV2azR zx_$9F-pMS4*aB7fF54;|>}gFpd=Yv}GwOO2jF2#Urk?jqIG!(-+3P|mq3;X7e2Z5h%$Hy zzjAqBX%kY7c~^cdTiAMTppQzY-}O9+YB$HmunBE#cr6D8Vy zkGIbA)mR=~DJ9Q4I;PG0@@t*;1oV}49CP%&U3+535Ab}G(du*ijr#)+k$s(^P(BSb z8jOsuC}7{@#0#l=*5r&4wn7CDl9{m~03f0WD-^X-R)`uvrcoI!efw0ua;3d1J-2jr zq}ZrT(zhd!?Z0;*=1ut|tg=D^EUk*M;!C)H&N0R-OS#A>j;hry55_+e8F-WNzBCA+ zP|qTP9%*g3#Jr?%GjOgDmz4z)p3Ch4VTWKik0zckl_hi@z+)&W2k-jf|GdW=+1imu!sx>R|7;E)}w)F{RG19G%y>WeNV!G$B+Mg+8K|$0obCC%8NLC zfB@kCBGkkxuqaP|{*P}efq&0{AslRugn`p|u5#p`Yx+OT7LWl>b})|r`!PZAWUeq| z&xXP#|42gt(Z;(}OFnDnf!IGZ)c>vmReODi%^yp^X8Qy#x{C69c>N!bP7Ayh*a3ey z=ECo0xs8$h;c5}O59N{@e*Kv^tyLM8TFC!>eRaDe=;EpJrtcLBo-h?Vn~V(2$1jQ# z`En-9{=)sq;ARJIGQpdmx-N*I?v#I*tE+z^C#7yNTjFzZ)@E%-{M~ylYpHJ8N4=8I z(+CJqRxmR5bbiULnkQGU>cLFZYz2ubUk#d5+6j6_!?$fqjKYt|&TBS!6gX+!oxN46 zDU{`hl^ANcru!5HUno(53}}!zM`dq3S9~e9Jx;;7ux6&qEtL!sbeH3_bd~iL4A01J z=1{ggTNOwef%Mv&Ji;@yW>KT0a`fMyMyX>)o7`B>B*W@shZgTK2k~hm(NKu)LtV_D zO*oXS8GJb5D@0(w#8c3cN8T-d`BT!p&bLQs>+zYg&qWTM!Tp^NcIWXeK@mFGVA{R8 zUYZZkvO_}9x=ojp$+AR^%O~#?DAajy5QANrR`Q2qcZ&%h?}Q(^xV^9VHqA@i6mlG5 z%9O>NIL?egj_X(t$H{rZ_3P9;}XAdXo)F> zyVo{>Nnx`U1{fc~k=Chgm1;HE;dz~wSQvY3<`J-XiQmBEq!K8!<-aTc{iQ59@k62u z9uFe=P*gVe2v{!smyZ5B#pqz|{=t`O49vfSJ{c6y3(9Nr%B6FMw=vYkDm3Ld^MtH- z@70rL&xtfmT^Uo4mt<_>T!>M#1S&#$6|-nk+jnudd4djum-G1cy%h85_;LDNn*wuHLIbhJ1so ze(Q&hX&3JFu6P|b|L5J-t%WY5{*}D$`|{Z@n3sPdCYyFwa(hUU-)++IDN3Mjw>Su0 z7)_hvWXGQ?zErhU#f%)ug#|6u6)LC8p4#2UB|9gyTi}W3W~o?Pqq_&Y>P{fU zCeAk(cpwYP#b2jCpeW6+omVtNZWO8uEvsV?!$Lpzv_?FB@?m7eW9y?K!~ov0*PMd? znDBEv@>=m&9vp|P(gJCBP4}3q=ux+p06%)X{O)vOj{j!vy*@%ff`Nn>>wTt?dz38h za_H_|%Xd@7q$9_m47z3PFhnijB?jTl`Ya|uhUOh|H9ro(8?La1v*+yVa`XpXH(?J> z?>T3Or+Muc&8;LWi~r_Pw!;}~Cp)6~qVwjw_>V=2gl_Z_u3=28@bG%??IoNmA^u9- z*XRVs3vyLS>0q8>MzzFqX)q<_Svw#=mnN_M0bED@e<~AR3XbBtEx{}S_dzsScv_Oe zioW9RmY<8VD7a!Lf;nwzqK9e#c569Ctn&+&aKlHfh4(MucWa@N^48FN_LRsjVRO)& z1ONAdFy~uYX#pJ9aMb2fF?&jMmjY$>D~PjOZciG@aUUE6a%{DfJ=)<~DIm#b0vF4* zqk_?S_8%`4Pu(K4V^9nh*w-0@K1wrfQw8fPvM)?z)B1iKdM^3m*x1SGblfrNv8T>^ z1$Q+L-y}7BIyIdg#_hf3;9+|VR|Y(;o$@q=zP$o}B%;xE_VDfu>gq)7bep)|*{a7E z;(tQMAS(6(^CAKcpTW}qB2@N+x0HDY9v7_#sd=}__++%b{JSLhgN1C8gqyUGjNBuk zeatF`c#KSk-L;k%0{A>dfx@{5Jo>Q@Sv70udj`SxjRY0MM|5_M-#&fX&#BH!*ixub zm#E|GF8luWYp3a%Jo9_Dj+F(ZR3JZt4IZ!(IM?x^R?Wv%f zmHpm^7R<2DR#G~sxPAYBsY?34vEWzC; zQqVJij{_BNp9a@BG!v#d7}CnLuvy^a(D((glq1E0E})6-TybSrvGDbWa~xWGrr)^K zQ)yKe;X>@V)JM_6Jz2k3D~&+)!X|-R2`YCU!eyJ*Ij5oR0+K&7%NXjrP|v%Ev8Tt2m) z$4V+}aja${p+6^2Ir*0Ppj4zn^{nddGM1 z9pko*#=@^vrNlyra>EuK!A6 zrbbVEyU=(oBiDhnQZDCl9q%HH*;eQGX0X8jK>ee}gYJ-tRu+SqLV zY3MzjmnqeME~}bWpM2E=Ir%A%IB!!8>6^L8DZ5Rie>UBr^PLjPC;$2NV)S$Y*g$s# zHaP}MqfGu)t#<_?zS1=5Ue%e?Ep$O0T{Q%K1H^az7XX$|5_fA3vkcm724u<(rlBeALJ)^|g! z*e{p(rW(7{$x;*3bb}6+&tp~bpnoB<1+M+eQ2mGoC_^i|vlAD>)4Uz$s7+u=Os9<^ z;U83@s~HoAbYz0>OKpV;seKS{M6*8-`67@cl1X<*%Oz&-YPIp{SUF+Cl#crYmE zK;ePhbBC>4bri;$8s8L|_fpn!gO?G)KX1tH@DXmzM1uW*o#}@}@EDpwhfu&vxis^DMBAFx73M~bT;w_b-Jc;@_kj{SxIWD!%men-Bg4H6f-!mnrNjH zqZk+ygPR0J{!>yuwkxx=kCNYlHCXr#$eyUDK6d4!Qd8!pf!7~~? zuamK?$kBtn?P)K*8>|)Vd`{H}zUY-4u$rg1P@Bv~ybnu=U}aD?vNz4eIM0x2{WyKm*`gPd+@Jd0p; z{i+R2JZJ0pO;amFhKl--o1K&pAe^*w!B8f>s$1}Z`HxSxi`E(LHmlj1& zwoX>lX!*_Rq~P1+A%-FWHlltkOaH4<3z~_YJ~||^6aFwLRkmGQ={nZZ6TKFq5FB&Q znW1A4KilcQJ9jWZ#8CL9A*8Tc-=N|m%@bN>s2IsL6Q+bO@xp&ONz_>PZeDpp(4 zzke8&)S^T2HjS8FISIECZyZXL-!{^@=RJRS?-^6cC#^n>1td+*=9`x+!vW3cWFU-PCb!w&dy8F}~mPamiIn#-9|RX;&>6$)!P^ z?)p#lERMsnFSNi5ZaU2%cBdqkMUNq=U~47vZq)bhOUk0ry9}=$6jsbCay%&#&MmuW z)!mZXMT-eQ73`SwO#fhYexob@5es6K5Cr;+o+?Q{pIxDIvi*w==v~{uj7reIBXLDk zxjYce#hdDXZFFhELCrRuUz+N7q-<1nozKx*+Yl$v5;`D)jvpFi4w+BXT>fnH=T=S1 zLA~GaWUe+0LxzQKChq>EOn(r7K`VpJ9dW|DFdL%tXDOP<|5nDajyHC@e%4~ z34_P{a_N~s+*^UIJNH(p6NlW&*FrU)jYB%c1uiE164HWrd5REtG=ocUuY67WquXhyVf1CoTVe_K1(XkI1+PxVQIDYDzPga7UPf{j zud)sDdiTo5;7sI_bbV`EnoeVNBG}FOK&2@A7Cl ztelp#maKC9`?_v$x=Jo3%>*G6`BV_ssv2-A;fmPI=*Z<_``{)FW2BoQ8`nEV^qk!+ z-083@!O-xC_>-%WKcYywrJQ6^2P=G*u{@Bp|Bo3D#~}yEj|)jA(W$KAz{qc(_?kps z_jUuHDvMpHXbDDM@fCbZaVaRT1~$EVAgB7MuO4~`9!7V~J29n!r3W%pd_+!sT@! z*9L|{-hj3J(^%S{Bp4ATy5P_AnSJHh6x?V$*}dYw0=pd7sy;QcFMj%a$t~z@R;a-% zHf^dIVNq$kRn0OvetJMh2}J`9r|qIl4nQ_5UjOg`)|Vc*b-@T&F)cgG**+$4yh*w{ zPR+!LkyoI&k*BF@f{C9$d5c)S?=W>YTI~s4@QZMvm_UG3cT(+Fge>WrjYjru@v4Rz zZ+F_g)%FSjQm>WyRvDx3Y~V8Oa$wwZ|Es#mer$Pov2R6)G=LM^`(it#mhknoD!$-E zu};L6ruXk7+9PD=vxj}t?jo{ss~s#N=%s-T>%0HzSSGS9KQtX$ zEZrsX@k{DB%laOBN89S_Ja+2cTHbq*;50^Yej97Doswj$vKI+^a0uH(1^s~ppC9=Z z2waHd=F3dR=;L~(F1+{|#LyG_v^DPoNu@WTUYzH={VMeKOH?oIf#AobQN#vww{Ytr zFJE-dE>xU0o0aR~@%87Pndp?o*|jFm=ba?m5^`UxeY2SXXVc3RATf7%$Dv(TIC{^C>~ zXf&lsD4x7<@EC``Fju^)4ioef@KA;->0gCis-;t}Xc+G_4dF3V=xf6+wAa0=P|Mp1 zqG|^fB*dEPW1cM*7{qnh#sBPfFaLxH+o#h$XnE=NSV~h6;m6Gm`9orRA6GCw!|$%n zc1;I&z7IMMiFVod*gfv6!E*zKcmtoalzXs;TK%~XA3j-6+ShOm*(x9)J#L-bT9Ber z4S>S$z~U$gOX3&n&7%QsjS)J6w(LZq{gUw~P`CvKi!Dv-x*$t}wrt}h4$CknDsb0KadVKJys!nE2w!Q{kKm zGYZc}A#b7T0!zW=-ecXY?M?pUx@ewJx6%qIPVwQrI+~?{Zc;~+VWm<9dKne) zC_EpXwpQcFo=1@b2m+>7!^EE}jytCW~Z^r{GZWVsH@B&O|$4 zAs7T=Mb;=TA(KNIeGo{J z+C>s!Uw_bQxDyZag5FJGZ!68roIp?m;j-5fX0kj}qmr=iG^ zp6DliWXnEq2%O4sK~N>Z5HVcX{6SrLs|8caN?C)sQY;zK0bI&&r7Q0J98pKp)ok-8w^wAhtV z3bQLUZnP?vfIuc?#loc~8D0gkT$!LzoMQt(I^aRtd~ksV^%FAJhHEg;v2*^cx*KQ% z49Vbv`^+dDiT$05z(%roT|>jueL0&F~%E{!N|Ie zxxTH7H<^fgEW%37ctY50`r9!Z<x5cKJ z%?e=jjtuRqgALCwIcCImZns>E zsM;bfg|iq~7W;#nweEPv_ypsHQm$yrzi2^w*#2 zmGt)|CpS%g!EF6-EsJ|ax8VA@8|VN}UsSg=U8qMRU_)E(h|pZif_JiTPBSGquzIir za}20FTtZB7!DAl${8gchVXJy>Aiq6LzPK)i1WRRv{tEVC#SXO-em@VJgUF{$z8DBi zqORZldNlY)@cZ4qQyD%|WoHz$s&vv;p6#G5YP?NeLz02N&3gM)HdN)Y6(`PhE7Nn( z{7q~e33WQ3RaYO!@xScWANvf|D`gIR1yTPxrEg*ipPU6^j9drQslU%eHoJ#0YrKb; zlHV^7=>4z*8LJ_3UCg0^q`PE15o1uffL#zlG@GR>|4cn)u^}b`J`vb!jSRuwmN)`} zw7~@%YbYysrtGU}=RqyAUFA=k0sikRmskMnOAtoqHRkbi6}h{=o6-sK*i;%F-OmIj zQoF@y6HRKmvCEI5oT&Gh3$FafAudFcu{-&)fiBMmF=!jZv%Rgyq-!{A!<}13sj#g- zhgg@xgS-3KIw0pP*#ckez=jkfS&;~dlvtMrAx-S~(`p72TUZK4Fz~Sm2k&GF6@CSz z$YmarB8EdB&a3!+D>@sd{vbp>JGx~waR0mSoTCLTa$%s9BHAGL z1lcEbmPI2NWUmJ0+ih$YX8;$TL`CPz8Udx7wsfQ^$o5ic9g)hZZm*Z$A}wVoSMKc8VW$E=oNqKZZJ?^EbhQ z`6w!IBT(9M&c>|ZYI?!s2Ixe(mFeEc>_xD%FSz(y9#g2RqHg@%FM9O*8hQ-tXa0I1 zHmw(Rfjdf@Q6rk{y-w~I6n(=B=1LF!WDSdoT0K>MW5VA^cm;*GE+?w^;cg#V`!`ID zfmOIPDs}nbL2EI;@%RV387w^vP7%k76$AzFa@s`pzO-yqAEy_l9Qk?V`c&+FOCYtT~qcM|($oMbw2Pv?L8s6z!uhTYH@mxi{ur1w0nj zmG-OY9ij;rJ3mEhIvKHI1T-VIi*s%=r)lMgRX`U{EulVJ4O^w#obTS(73jZs*|hSG zjRT_0@0&6-cHM!NI3WU$MRAfM2w>AhhMn|}ZB@$PXPQok9=N4V{d*UhauBp6**VGT zszs?c8a)hn$b?HI|7cDWwwB9#H=+DIe?d!r$B~kxTU_n9lFLeB@BNFug-;lIz`mis zN=#H(ggexxhliZ?7s<)Yw!IxMBLmiJh@c9|hY?{Du(1hZQq=b$fw{Lk<4M_bD z);-eW**AwOySk{vjN6r5vH>}bXaYq{sFo8s+`12#--NIiu6}02&9r>2Kat)+j!>P#){wJ{Fxj461$+*HuB_5G4+W8%6c)N;15x>E?hGwC zor!4H%=Uj+79YB7BZ0dOKn^3BtCdXKwqOb^{}jCRZqN~PZ44pyoZFGkuoeIN7MEp( zNWAx=65e{0vHrNgy+O{SF+{OtUu9VtiJd~OeKR%mf@xCM!}|g+CAX?;ZfVedH&|V` zshFbTB+_IhO=nbPJzf_}cA?5Kk>7@#-JjvNR0#9)sJsF?3*S8Vs3f9#go|&ks`W#A zaQJ{M-pOS<-pnL|hr1k)K5 z_mbqfVPEm_;!3qbECyZsyW;lVay7BtSl>P?8HSl$drdf>Ty5uLcu0}AM zgABudk{U#VN?R?X?pqaMk4wp*E8Va!u{(-emxFCPgv$x_Ly@1JA$(gVSGDcS!~Ht} zv4@0o)sqW9vXfLY*&5{WhX?KufAI{@5C$i`KHl#fNGC0Lsmf1Ag^X7Rhy!D)h>dDH zATMcu`9@UMcF@c7(kdQu$%oi9pXjv9p$B^oi7A@wq*9sT90cOvJv|yN={_aYTisyj z!1-HARf^Cg1Ll`idUQf?xQI-4X(@WgMf@{JgDFx=3Hm_ltsI zkjj-o`=8{Umpp=l0RfMlZkmZII|h6Ds|vp)oT@eqX!T(ct(!N}uMq7C&%~AAx4m3R zxVAe}*m_TA%(h{ZbfeOzBhbm@-J*~LXHj8uO^uSqIz6-dG0%Hd47UEWBq3S&`{xc` z-1u-;`Cf?Sj11TEsUDS6dfqnE^X&tO24U-zHw%KIKtg*d-80gfytoHdmS5l@h?31j+Z;AVuYRw57lUro#MDnEBM|la z+8f_QxGmkJeHLNtf_4-Qq4B<4YS6#RT7+TWguNf6C(E1{3;Q5}aouXI&Rr>+mrnYe z{H|U4;Qr4qVuM*f+l8zW*<2anTYCg-Aga`r`$A7Ic%)}+-GQd>G(9IQ;Cm6Dcu|38 zShdpjC^@e4U2_-lTbrq(X^G%&xzsy2JA`JaO1{y;afO|EN56=w=%6Q4bVEf1+I8=1 zKcZ2$X3oFR>O8wY%l+$9JqmMp=-ZDVjm{M~``zaD4R84G?lHcW?}w0rl|$KhynFj) z)HLuV4AzUyKB@klpE?bQ!b2X?m-Br`X^a6%bir{KU_zZqtn7=++tMPsBVsoz+xEgV zn)6-g1EN8rtMN~@2&=d0)NQ6M+wNAVR>SP~tNMVg^_^EeqWWx8PSVl5X3xmC@73ho z00nRHI<+ShuOtI`+5Km-l|mmUS<8^yly?^T?rt+2yIO!awO=vET3}qp>h*=;`V~Rx z8~Gya_d`1brA6MVBtDgXjQ0Q7x;smN68$~7X2*IZy|dBYxbd2Tx+G3z)Q!fYC7pGj zo8ABY5K@zOd9|oXdzxRVd%o(zC!dvl`>EZin8@m8Ny{zl%B8ZH?cX#y3{R|e!IRqk z#)#{zntepNkLP#+6x0pb7lT$GEF2>}vn^o@=dQ7nmBkVE90oo3;F?|K^74l_G0nB%*5r zFw9Ul3S;oj^SxAt<^Xzod>n#|L1uUQ;R)LQGrzvoPZ9Y^{x`Bwu~*BdGc(A^CNbXm z%nkkeX{#sqSiHes_+b2WJmkhJ9)q>w*%hDmD%N9|7<95Abuzu0HZMN83REYFVxCH5 zEDgRBTYLLDe!2o;f-*L|-}<6a=~j!NXae_4<9M97K!Rjy^zP|{b#`Gah|NCtq%$oV zw5|sXzA~frwb$`mf73i4brk*M;6L2$r`1qBpv{hHK$|m#GAEGcC9yF zb9?_ybLz+*%Wtcz+p264FR~>a!I|ww^S;%CqCv=Mz{CKHq(rNBXA(lEt1rqjEi0hi zyz@MbWaG-rOC1icAB~6_?|UphDCdmkOBboz#$$JMNQQdsQ!8=~f=RloKvc%=@I~xX zjI5cweNwT(zz$PAiE>WUPo+v-7^L67qM|It#dEG)Chn~rnvYMMj<}+zdN7tJD6d#) z6i-@6(ph-I^Xc`7qdX>Zo2DdeYi)CR+fC!>7<8Rqm>V7I+>#A^Cr~zgYr`+2b)Al@ zY5(-w6T1qJ&w3EXcfTRQ;A$sKnG2tPU~d*zp(mm8DF-Wg=0J~?y$gXb)MRVu0D?OD zx13L_l23Y_2Ffy@0vLJcn&NZEZ#yR}ddv!T$V!OX>BTy!y}9cq5<-&qD2O41evv3` zk=#|L(O`TIpCS00y!-b}&zY3By|c>d)FuDq6ZZlhV;QKR9E zb6N2WH2KOYlD_3486L|ICG`HW4dc}>UA+nE!xN?`lJo3Zzm=NF_3a74kay0T@3}-& z*rOASs&VO7Nz-#w_RwydUUbO{V>iF~Yi#)I5BM=xX;Cu+O_o#8Y$%>DMPE`db@W?=5fyaG&O__i?q*W&G+9m}$2zP*3S&O3p_c#q5jihy)O{I)02Q$|^d=+e9WLI# z1Oz0btaHZ;Iv{JUAMvzjVN0ND7PGHF69WLmlrYQ>w&nzgn+E_WR|;eW0}!)53@wo> zCh!n6ZVwbGwN%c#$0s54cRUvrZqO(0kQ1xsQE2x*o7%2fa<+LRAt&=1-wP#W4Z^00+y};W0Aqs$oxy}t0lri=sv>qwCrHvH4-u^%_h)Tb=xh zui5^h2Ka495rmx^(RCh{Y%k=>*t%pT!RcKEM*Hq<+azGWcr+m?OAqg;j*V z98S{ZHTZ=P&lR(JJTp!cA9HtuK;J%TR)z_}HLv)`ekG$3=+#@9eRHpuqRN9%x(2o>Mwv7EQ2dM^vOO(* zZq6YG95f0cMgIp7sG?s%ajeC=K~XJdV=S1fo<^1{xY+GX)xyRd6J z_wGEpQ5JtdT>o~CExt?f%8aq79sU=m#lG^r$_dIu)W#FSEiIpa>6pqn=A{g1n=BLE zX4PcOh|7j8`-J2?(;<<^^DyGMc3)Rzq})0D_sjJD&<4aGqw>I%Z zyNDJ1jj1wNXOw!m_f{%y3mwDscXMA~gwxm~=!=fCyttexit1+&fU7V}t((Bo+gR)>~r;Mou2h9(e*AE+YTBJ@a1l1i_n3^>uK|268XR?Pc`JoGsE za0CsU9sSfm%?;NMo)E~q!Zwm_9PBEl^wAU-_phL+k}C3tUmiVF1B`!Yc=IPe&lus1 zd3PA*!%2D!mQBaA5nxFdPv8&na{vgKHEy8WMCp+Turw)EOskyBLBD`WVmO3#R=4U> z@EitNoq_85l^@1fogWyG$~5gA*s(5geLMxp$mFI3ZdDNd0l$k|w~j8z;6aWHh0>4x zsa_TjI&jGB^C&z2eTVER9mPcGd?hUDyjxt;8hV% z^2^9k)GMV~Tzva4t0SP}#nCgfM0nzUDcg7Vv4U`KQ+|fMHc?boabQbTEuVe#60v+^ z-TL;$o6(>*Z~Xd&mH5j_Wy?8LBgLsdnYc~;Ay!CjcXO9JsKb`(F#fy`#Q>6|{g@uA z_*0?}WWl&0k?cq5|7!S|FK@%zm@r8`yl3~Pc$)m%T{@wHnu3QRi91n>!#jzhX3b_P z&!ey(J+341_>rK@3=a|(qH+dLWPz26xwi8!`(Az-@0G0yPk5qNTND1D%u4#LadLa` z{`q&N&##2&pAR)tNC{XB6C>GWoO@;eO#5%)oAYg0upYXAu)J3{A9?D<5|OpN#|^|V zE_j8hgwkh3wj*@Q;3yHp9#VzB@87Sff3;l=Jhv9y8S~y;cZ*2c#3?sU3rT~#u8FSX zrd&8;f!!3b2Vv>QBqooV{@QoWiPGOTE#O?Jow$d_Y%{GSGMJkpSi-@(DG8((%r-az z5o_qVbxKUA7OZ(vS@vn^&&AAqb2=wOJg@d!y_&ui`B_^yxCEFlh5PkfLj~?}RAk}Y z8>pkV)1U9B28xXzBKHFg)GT9zJ=4bWpNYVLOX;F*qQ7a~`=w}g2J^6E=xo-Bg04~? zDiBYs9Bio9NA=-WbJt4?C1g&}`?KHoL{;c~VbYRZ85yz%PSrfVwr5)aIHTkPPEI6q zP6nijG9|}s_+nsf04yfHvqOl5{d?cwgP-{FHT6zl&rxOPU%faS@bhe{`ti>{2a@UR zp$%007Xyu=4`H`9Psq*4Kec3R00WH#=a*e)iemyL1=R|t-inz;0h}spy8-x#KCA|9 zO2bP{77W{tuL`OV(%sfFhsXF^Jy#7#Aa6DD?w8=NSAUtzUj1e>X_7#g3+A_hyC?X0 z=eb-4ZlnKD#XrxzZ!mA>Y8_$y?>BSfY|u~-JaADcbK|EI_9jDwq-IpCo-FMgP}|Qv zUf5|gY6$>W*|#FAiV*vX7xmvNX3qlK$iFWWBrH$H0Vnp1`mCqze{RAA{#Ljw8YIfq zw=A3Z1L!z|4D2w;yUS?s_hJbfe;mc|gi0{ZnqHAW z(ms37)xO+d~qlvClq{mU+#KDXlNvwr_Zk9{Ni)A|t}dXsz%* z?d2_!Pv-*MfTiHug7HW$`EnBe*wWSk(G&8nvE}&jHC&>J3;Mt7ut}L*+hrrqQ|T9d zKBg+OUiZ;E%LApftj}23p~(l|#@lSR@n)XO_4SItr6;KBT833rsWB|`q{wj%US9$fgzFO#sS8Orq&dO|K^PZSnN3+ z;cCVvW6DMuc+7uLG!|F9dY;$tcQ-pHo_eAUO+Ff~Keg?o*e#po&PVi-FV^qRD1>!W?t_34%19 z>_bD-o%(Kc31WzS#-!#&&qLuL7k;P9N- zY2H5OAiLsc!DLL+wh1BNt3-0>n3MuDE;43@c_9a0OaKz#p&pXC5qRxS06Ls@fj-QlHe0?tc#=_$dBvg*0C}h=M!GKfMg&b=_nabf|T^I5V5ef^NJuThe>Kw zCu{~f>K=Rg(FJ?X>nJ#I49h2WQ>_-}MC(cD1vOX!yF<|cANM%2ASMxn1-E^P!+u51c+H^;0Z(^&9B-N&|utF!)UFIa>)SNvTuEewGcW6l-_2pxjwIS8HDndJl8J->XZzo9Ao0SEkw+^k>tj_ zkn>P1LF6nr7VHx+VMUt$`L70v``AtXq99VaW#Qu`t@DC6=4Lpg5l359D^Dw5xtFu1B=w)sEbDT#bN3Y14fNTsk&E-OYG^P%o?Fah$P z_}Gru5^1Hy6SQn{i_T%^LtHf*)N&HIL!mrLc5s`$s`fw(ed)rERo2}@fdw0-l562i z481!KjYm46QQPQTraK1J3)Lq%F6sZ-83bFD-ZS0nr@fdG>4;Y~gYWW_#4S`|fBur@ z-dGs&yjbFq&*zRF=(|6sb*Q3Z!^0seLq^<7L$P9^MV9*8LP#V`(+){=?r54!jBk{@ zDasr&TG_S4PwidO31)App7CyRd~_)W?=TGj5S8E8i$IlZiQ`GY94*NBlk}Nga&Y2cSK4z^<4atK`r# z30jh3=vS`7TLN3eq)~N$B{X(L~)D$gJ1=B!!nh@SYGazw+{H4Haq?lvFG&0 zhz{~0*R01{b_=(e{7#JVNNuAn|G`QN47N&!@=8MRWk&oyxT1BPoVUH2|* z=q-G$I%&Rl6L&?%fcpM|<;JaWB^P#%IBhO}JBQ@pWYPgQ(U!iDQ^T>~n(eNA%mJba59EbL}mX{@4JRjR4vH7M7WdLD!SAuQyG+&ul2!d!MGFc?E~JtQJ> zQ9~a!+MH6&^~?YdG__LO8U`$|UqiAV37*$+np>LJ4%m83WL0so3>+u-1)Njeg&%gR zW{230r<|cZ*IA$y)%cfVvp42XOTK^=W2<4k$nG4Pfy?UpDc8e!`EEW#xl9atTb9q8 zK(V4OgwA`BF({qTZD^Oj2v@%ns_{a*Ck8!*HHHygenFimgU?BH^06wwqp}04{^l7I zYs@t0>PC@=Z_f{>T(sc6l2hwlHDFGyVlYIQv%6jF8X|nlML)(^C5Sbauja{MA5BGV zM~xA?5Sd-sAVoQ^-#>rL1u;@gV640$V=(4SK!fR#9yUD)_rGdU_D8k8O8YWm%NH9RN65>L3$}D=jyhU-fm#GbxCOp@KZg8^!Q&UJTlR$wTq;KL9ofL-I(5jTTWs0Y zNt4Pn$eqcALym2_*Z8|ISGRgyItEa)EXH$IlnJcSjXB##(cxpa)WC+ZJ_C#Fy_0ZJ z35rLKxnMx?&!T;im6UTT3k3*ebs1*K(1O@rohlkSN3lz;Dpg~YK#6&R4}C7NWKJIf zAbeNE%^e_*d3Lm#hdgysy{&>;(s+TIBk1$ zI7P*QBcXTP!E-f%`P~75y^d^Nw%2f_m5U-^x>9~Dt|X-zI1&>9OImWOdN9r6!Io`Z zD0Iv!6P;wiSSA+ZnUiwD@a&N$&1GK|7Uf57*z$P_>zjWH!>1}>ZXx1gBLJlX^cN#X1C(VX3l zS=%N}EugmT1Z?gJP9#!=Hw4&F(LQ`EDaD&5nl=rhc;pF`8Q6aUj}Oz1>H|rto|?#! zypHWF-j6|i&;+g?`G5sMP*x7)2_J`MMO#*G?fIGuJ@795vC5!LMzXkhz(~wCuRImKG7?4s9w}a1#1~=rvIJlG;Vs6*)qC z%wRTuPgHr6UB`2DGO3uYK(mDk&m+ zhwNR}b&<>@MYikO+r8Fxao6wT`+NW4aou|#*Y$Xu_j#Z98P8XeG%6DE9&KZ@r%E{^ z2Tvv1yQ-F><)C3rZ(S6xy&BBbx7~u!D?DuMF2xsijnw?fvb01zk)G;kix+zrv7~N| z4qZ5s4nao7C=MeC->;*m07Zh~Brn2OnH?X#7Ag3O%e4|q{nmUG4$r`juG(a!4M#)q zIb$bGh;y&U$+@jlLnAwg)Ez$`YYVw%hQp<68N(To$g4_7EP`PA{4K0~4HGm9q(#%P z@NN79Kz;_37`g6mQXr@+&CE;bIkr}Yb zHmNT0&M?-e5f2qnC1yDz(u^)^H;zbu2Jrfb@(5klE^i&^HogS5W*I|20Qt$JaMWb^ ziT@K(KO4{dHWcV}D8o`(!jYj1e3B>2oszXXfPhNN=`9$_tO$ZW(_qD{!AXxoEuY7! ztna@?hXa0?6k0Hgi43W{i6TV4EsH=8%OFqHgU*OLHiq!?m%xRas0*2D3p-or$lNCC zw1pb>O-L2!?q*lj0W7R<*GX7Q{v+!4DFOf3Z$Y!SS3R0dzL;j3XunALDqW*u{TaP^z&%bJ?V?Mg!z*jd0g zj-8;&9%vY;J;j3XnFDI!1nb)U7P>{_EB$AuAi1UekPAJ*)LxycOR6h+N*c}^G5D6T z7jb5Z@~CUK{30^OF`aO$juMNkiu1O8*kk%xS0??3kht*!jC)GMlsDg zwp%0{(J%x8*#?OmE7Ff}aomoPI7qYlf&c_wmZ|KVsOgBAaKM{a6rw@4)nfyL(xuPwI@^TuSM z7xX%DsG(#_!6y)*zcaSqP&IqnmD#3P3PnU`T1n(5fbo0Ni6E7Z*%7a|9h3?wD8(d= zH}7_kskzNH;5x7oBTJtg#6i9nyz+Nr}j*kC$jl@eGZNL-Fxh#=qu#;2s#5Qtz&k^nkf zXLTwEnu^rvn-a=Ke;5+6&Ze>P*7TBQ(ur( z)sBT?QX+>JGuX`{Y%qL7eTZ|j2=_^K5(6nE6_qyD<-^)YpV20HOG*@*u>BQ144Zn> ziTx@!3?*82Mwln8L=(fU%u&Lg!1wZ#_NR<6dXeSbd zC`i{Ox`W7hxi3dw!AAU8EM%B~_Ow#VRJMt^mhEB3tEtrPeb=n;+8Ef6^0!GFPMI6= zkId~9O_Rjzf1$ZL+IHP>f2uj;Umka^bo8YyOt$Cf$<~x%{cpayeBE~^O&P8nDGmu_r&c=zw>)Mw!?lWt&xLhO+`~ZU#$`F=ZvO_Up3=4)k77~_%5b4? z**4H#sDt{CCg-$ZFtZq< z`kZzF>U$I&gHe9ejNfMr~3M=*1Np(4XJo`Bc|)iItq?b+yS{-#N`3AEGO-b>|C5w9r;U`5h zPnsz682Rt_H4|1&(>j}OtZvD?YOQDb&buBXBPcP!Fs>Ys*2bsF@R%sIEme|1{)Tc1 zGd6y0(#*gxJKmeO>)x5@L1)0zKnYSdECsfD$j}Y+*_q%V~|a5da6xoBa?ecuMrfEYU1szyL9 zbZbD8Lkx@YE)|DCW@OHxB6BU6)Z-zG^^`V7Wt8qr=rB2ER#s%>zU%XzR_&M1<{~@` z%36k&l*oR|4S98)`EOM|8#dfaCMQ>me88Dyxu^Cf=z*>6|$w=dIe; zVjigKZ?{a|_)#nsUU4FqYPoiIb##(rEe^7E-}rfjX=ETvH@~4y9M{*qxi2M~s&tE5 zu;Z5lcx2gR{}mJ5&7R z>HSwmPqe<`+$VAC%G}j)-e9=_)|AW**HO%=8TGzU{E2hf z-3~N;Fou0!o6~Ye2M4XZy&~_*av)WdAb#n^CdNWlD$XMxt%DRid;rSJ&yi! z<<0Q7Ivc3*Rh1t}Pj6Ax-%AugRC&n1cukT?GE}pFGiEj(B7Rwwdi#^=5#MX>`Mv|` z7gl^d{sv{|gz#n%d91P#5wT5kztP^HbWU}N@ zBd)2Oy$A6-bIHi-y6)9nR0oIWn25P}_ac@rD~)!hm+AP-|K+4?(b)&kO$en-`(FP0 zq9IeO(yZNVXiu2xpE>i7{nnfBO06Gte+#}#MJ_xTxjDlQw;P-bd-ed+e0_!YD;Hhr zg2CRS)MT7k1=70~WPt05_%SfJqeI-&rCX;NFBnP9x-?&y-ge^mDQPw}f@p{IFTn5nLpkLGE5Jw6Hh_|d$!4`3|= z$eRlUl#i8Ij1-fpTk+i|CBs&ObBWjmmhPj<+n&BQU%t-grH7!8$EmrzDz9ojvQIP> zGavz^R}bU4*62Yq>fG>U0%>%}1=v}ye+_vdz7mKm->rv7x$hA0|GaYWJP`^sUMGLz zIXaoTQ)kwh=16#Mp|O_>4<-q@r0_#Wvo5OYdZFTx*Z`BlFRB zJlM5QGD~8jfaD&zl%kgDQg8#p;o3n8SKJAjc|a`&1Ar({6}k87KQyA)EEWbxuJ`Z+ z(&`Fq^93;Nj)SEmn7Wp9+gu@VAiIx7F~HV(mOPrRB(L8^goA!40)9nY{aG5XJSCe4pkrY*_wG0BGWqyU$1T11!!nr~=dT}))A z5F7LMtW(AeZ8xaB(Uzkei|HV>+65DBJIleUYt{=KN&PBX= z`JNpycBZP)y-snvJR;unG{4o4x-JyOC$-znOKx>vtLMoVC%sjv^gCvVuVww4CkXE>cL>KG1i*J227V z)w2w^;dUyndt6+Q?)3{zMz7y^K;W+4i1z!Yl@P~~Ou)|{3z&@Vq-*x^H0;(#dylqy zSsY31R$}QX3_q{To%j!B$@Vg~uRW3}22a|Ha^d9JQQ@z#Y{hN&!;kXahTOKK`jm5b zt*b1GJ`#G=r}@ccqnu0V!H{sr0ylQtrIF_HO5s@9UAibMGL0pp60dy`n7hJ>ESG?s zvV7&Qj9;mmw6EU5L}Ra&%vB$@dj=n!ID0XOp*PZ#9{MTz79OmkNFBs^jcqM% zwPhFl3Qt`H;`Mh7SUWI3e-rHBBS{_XfZDH)Qg1%q(+cl843iLMo(@>rg+1Mpf~1-q z=_NtHi*)EQhqHBo&MxIt{Kd}Wv30J}%b3D)U+HH(s%qA)>uDvAuR0?j(N|huySki7 zdn}c|EolZZ{f6F>|rhS2o0~SD#TO-%ZL{_J13jcV>r40rH zEU)=TMIt^FU_hb-n79Ix@dMfySk^fhZ2sWr#!^GQwk|ABxzH>W4&eI>_6vbP0q|^q zi04H}UV~I&R=*UKBv*59{S1}TNGi$zD6K#RjG!L(&L4Q6ziYz$Ss;3X@>YZ81@7#x zCnmyEk;{P^3vCGvM;_7vXX8bMd%=zYDLlLe@m()1(4WZ}$Ywn`&ve=a=6{!4P-0D$ zl)P^ad@NBX6fLg;vzDD^l8G~m93tqyr!n#pl!!a>Ivy(<6+z}?bT*; z?9cDm+=F^vy&9t?6SMO*2xAdR74?vMN?fN2hx+#F@8TzFzF`xKg=5oXYM+~%;JoQ>~j>#C94?2?cHR|o9X`a5xCdcGoU*e6ggG^WbgEx@GBvUg@DQ$Y0$u^`@9v)*>Y>NfZIZu`U(9nQ-Vd#_E9 z3GQy!g6F!Y?hoIL3`Dm0sr=eEDlYR4XNY}OWEY+oCl75O@362I@!J)Pt~yQ%?F^AF z(=RYjqFTASozZ9eKZ_0c&;sLl5V^wkv5f1N`YUN+AKI&*M&YC_Gc4*h|9_1#JfF}InubNj@l*vDRmicK z|5`$?_N6Wz`m=1Faq62qq2EGL_PHcAQT4~CebgBhowj80m#J*c_WAfluqSotq=aLw zUzMV~knL31#H2aX^)_ra>)mBXLWA$(`J865%7ky<&he%FnJLL&-J2@vWJP3e4mhtK z#DRhYnk)%VfYzYP6OpJTD5+Sb>7Cj!MbP8QTKBGd_2ukQzi1`f?1*oX`cbc=swo%K zn^xm&!Atpc!&>QW(2as*p4UC>LU+EL{sibU_*Ef&rnpz6EA)k*tQy+Z!?uRbsEv~9 z1ryV+p{A`79VV4#+->}MQ~SA##@q}PEAkx+cL&xg$J+a^Qd_$-ES14OpRroW#gZ-U zzqg8H&kD+`SMogmnrWq@Y5P)nK5m^MExZU^>+ZUW{r=`A=#31NGHgK4hxg#Q%o&fA zRBKn;4X~m2M#3-@px&7qxdB8DQ}j?`-0XGfPwAn*?R=9rXuX)-Z)>plg==fza?`6onU#KKjCF|T?Y`U8r=SSV}R6pP!L3XALh_aYkuumt? zBQqiZO<<)eP791c3nplQ0Nl~ZTS@vej4dWAv#x6ucxYl&b|P#xa7w!#PG85%NcI4j zm^WcJtC-uvJ01)-JU?0(8+o_SsHR3eA$ju6e4ZY^eXyTTe%ZDRV@7f}U~(iY70E1{ z{E8>o&phH>m-Jm>OAL{Vsvy73%#7^=f){{}i2H8N&#CpF-g3~U#tjJAqch-7Vkko6deMW3FDj0$f#pwyOW!IkT@tY$+7s z(qpGhD7c&}0gzJ~4O7WZr(2b7fYr@hM~>W{*x(H>r%)tFyHmY;F)OJuSYMz*dGG`6 z=9g7y`h4W7UZzH)()7$u=!qF!+FSFu+|qyaEpqY#p5+d`Q7K?@y<(-->NA=r`l0de z7U8-1gPF?@#A$+lx}_blw@rgx~uD}1xA z=JJfvi^z3oP%I`-M-IYR2u)TV^~sch4X3{teyNkDPq{UNdtt;?mO0_VtM_?~YK3?psfACb zj$B(lQ%a(iOmqVQ^9S&!+50MFX$-1>ie_-G?IQW9qb_kT?%9aGEYcQl@qXdNjtGeo z0CAiS(Ykg}T$Un<7Plrwem+dWMwuJbB|KR*WjJyz%BfSW&#c8}I6sjyQ<%%|&nmix zW_h$}t?1m$USe_2GmS6aDLY1^*xOs~%D9BfT(kKr_?=%9p|zjHqW1pW*-63TgI&B> zdJMi&em65<;~H4{DN_jrQj9dp!IOfs{xA9bk&!K5%4Q<=lUYB+q;XVa&k7RG_OZAh z3t+4cv)4I;F78_!#{(nxVOjA5wvj9?WQ@eT$Xs{T(*y7R9jZWf+np>r;8Mv`Fxv zb=v*Bmi_0Yq5U2Ijj4`bML}w7@<09EJeh9jODFE)d;>L#$UM3+U~*7&_aITuS^A!S zwsC7uMtaa@BdzK}TsOp4L+)u3^w+4*+g;cY1yZp9RJX*2YcmyO3EU2mW>w zpyikS=&;Vun`-vPJ$lVjORYFF!XCaJ`^O%+DqIol7p5>G(NPNWKj1+q6wy|#eq7pV zm5ZDPkzM*T+gYC}H8N`?u)QMZF9crj0toyF@x!=9)+Mi1T7m@19&* zAd&PfWrtQ9?S#4$jWR_*LGW8)^-AZh5|_IlQFE9igdGK|Xl-2LWQ!kQZu7AT<2F;l z7e>*l#ioD3SrwX7PoU71(D)hkEFRL1A(jMY1MvJ83%HPSM*X9{BzfVjL%VLo$B{qV z4r_Qn_-eZPg#sTBUNdBr*I?kI^zsac=i+_4uuI)S^gx8;W7+a1PICFbEd-!m0e6cX zNm>wle`T4o6JEMP`tnJg{bwB~qcD?^J=f+}_zxgjwVS|1L8_5yU1|5S*;P09rH_p3G-QG96 z^`0@IY3QzH4rnJu#_@IEsx66_1-3+ot;)dA-VbxeE;8bGdtyQ2j=4Sip8QqjFg)qx zM)D{u9$H;cJfdzor!?e#R-eyguEO^+O(=l)r?rfV^#tZ~UlO*Vw#fj>7S!L(Ssg$M zHP*6HM49t&)3&Xdd_{HN>gJD;FIAB*km;(<*C^~T=lfoMsvdV_X{NfOL@1%~)}Tz* z6f)j*5p)R3esqbfo83-M%iapv=#dzzi3%KxdZ14Bi#zsnQ}^N1;wkVVA6ATb{HxTW z*ofBs_b8lZBkb9M57Coxbxd+@u1$FMq)F^|j&plCVRqwFB;@;SSm%#`<}z(R8ir4Q ze<8BC&%1PfKI{(%Mt0Kz5sk-8JyjKMaW<{~sU2?`lgTM!NIc3#zpe#ZO0-rRzcFV? zUq^Lo`4Uj(kbWeS-q4-2+7w|ID%^~hTfJFVKvrCPu#95=%Lp(pM6T;(>L_P;8kNr0fuxzTe53WwqYXjDr9Qxd93F7Kzf9f^&^mxourgII%dGAHQa|vkb6nGiWuz(%V=E#2^ zn@je0JMwQt!aH6^X3$`zED@AE7+M=?N8euwL+@MpU(5G%^==81@?IG zgB+emDTi)!xG-w2Mbh?1J!+T1HxO61s&@0~yL{zXdHA|KM2qfE5?j{Y1vY=6J2&@h z8Q`0KB3+ydhnU$(a=z8QpZe9)g z{w84J{>0?2#iKEUp>A^i=^La}t-Jp#>xkK++ zxQiN9zToRB&0Wk4H9yE&75HA(ic!;}NM4ZE&PsHV-5Qbi(G0K7uK-0o{;qH}DxE6& zexAZGI~=f$Z?NrrCAoxZnWZEZm6!cQ)xReJQKAg{w-U29(zW{nXOK*CD$izC?$A8f zr=dd#JX3AaJYnv!34pyk_Rr=RG?)cMD9M?X_s_6LsSn#A(?* zmrTg+pbH3f0{I(QjyqfF_1SNKEh@492-i_AnB&2Ftds!>r^Y0NerLOHWWf%2@%^X9 z#^!VX@s|$6xKo-!M{t<6yOcFn?GH~>-Q{Spf0fvw-8g>BD}4@%BXKR5PA_gke;h^D ztjSy+Sp~TxIH9TXa1C8LK=pdczYeif&C>mnU@cEV9>ia$MO@t0&_Z*OO90COkaP*{ zWPeLfB-VEA>VD8sEufXj8rss~b)07`|_4Kkhu z(T2tp8C;k$3Z12?qB^OJbj7;YCaLN-FEh)Auo*GQmTo-Jab?|W!3DED0f?LbB|ZS5 z31G-=y1sED)>(0Wx&{#5^Ju9h9n5+SFv8zk9~AVnIFj9+_jUIxJx8FD(7_v$>Zmvp zGV&nXpl6qtY|gk{@t*CY%YROKs)MMuqlkb0rKG{!eoJF#J!@45CQV%#Q&%U^<{cJ- z1guW;jO;v>@S|(gP(L)AH&3nK2bnuJuRkT3P`0OOnh-Ju8tcA0Kby8g&b+?GcYo=wzl+C-PKW}#vn}-GlNt+IG4+w3-{j1F zq~0kn<@d+CM{VbU=OyXbFww#)Nkyc92BPJ73XWcOv^-I9 z-K9w`V&KQ!>o=HNhJN{#lgOpO(n0g!wmB!e^6tX@zSDH3V@;TA*U))%?A=?G-ad)Q zPak<2AmIdraYEK)uWwl5yQqw-(e2FLDg;w;pV#&?lC7VoIq2o?GZc7cCLHPgT;G9i z8Ghs&tDch)x|zGlhwBVf9ZOS_KlF>gWxt8iF!MpD{y;YDo!yvDB~)iix8EeY{YN5t z->F{ZUtff(B)@L2GIkTrNF75a-Q5lLs~024p|J==$ zSkBCTp>d^h{qW|e=U_!t&ne$Oj4BDND#qEho^+nngGC31e=ypkuue4Y(p)7|+XY6^ zh-#)LD{eBn9~_<;vh|A$HOoIdA77yn+I4oj)nP+>!riy}ip@Zch0xa76xmp9qZHpS z=y&>HBGSr|hWyT#bm=?IdTO^*+hmny_Fly4i^c8mkMiyPk$7#lx~LB(?+2s)<+S-q zziz%=OZKBuc}JC|g-W%?ec^nJm-jlk21c@{+!$JICWn{rCs0Hi{4J)DH_gYrJUO9^To3D{QnIC{ z6qDphFT<6LDDS;q@G*|wO@DS4{q}juNb(K275OJ&oWnvkQhMsW?B>SmXZK3#w~|vq zK@aNh(mRR}di`9cncV(~A8{r24XtX}%`+4RUe%#zs2OvA`pZG9b6TFTxTrwktuD>t z72dlzq7g(;6t(nj$Wmq~q;R{Yro!9FBD|E7XZ`tT^Y68$DgPu$I`iL{^wM(POLkQ3 zvG!I8V_woRbFRK2?w~h9l&w$hvYPsye&h=UCqdB5*F{*V$m&ISY=c|lW510goFkva zy}5iU$(w)g7h<-PrAHv%i=;{_WPEU7VhOMPvme`fvS%B*f9uk1V;E93Ld}T_8t#TW zz=R1dGIjo2X*1l~YA4Q1#prBoL*~-okK=CVs>yv(jl9Qw>f$iq{&t}9&8LT{qj_vK zc^@5~dwz&)@TIWkhtQVaa;YMsQP{n`7Y_^$EX-;bE+!}Lc)w9MHEJdg{$s?8+Q2Sb zg(L3H+P56XWP}3e`h~0Q;syD|7)q_E*D2fr8)o>`?#}sGcVfeC#W)1&A?N0zj&D;e zfoYThzlb%t^iq%sxZ2S}7}cJMAkd)*xdm-+?ihE3kdN)Izcv)UYrr+!oAS(fEW6!K`SMh1 z2VVb6kS6!xN>VCE;BeBoknnszixKk?`TLJ}=mW6qi6wr)z+fa8tJ05qe<TbaLNN&S4u!(4udu#%BqE;VqquvJaEg(Y37hY zka{acXt^}#MyEUHvLEYm;3=_707quLm?)B2eC5ox;6Q5l_3UKYIcSp?OrLEXxrViJ zPS{jQ;e4RB%Vf_Tep(j(l_p9fY9=Pvx%T>9&Bt?7HA0<+7Sw^a!(1L~Wk~4{$jfX5 z0|UUn3Y@x4Z>S~~t+^(d9%(qQl%E~WozMS;N%QdzWkF;G&lP5(`M#=PrYwH9w-hi^ zK1iucX?3sg*v)BF5Gm?W-uzUTy2^hmh+c59kXuCEEYpC3O8Qkn!xS&g?fGlo~TVAGw@a zR2I28AIvZ4@RY{e&|?OLUW(>TnV5~_q5P(^+$}NCM7TH@!<46fL+GC{#-iX-IUyz9mHRR3($k^ki6WVkosJ zVF8sBrnksGzjLDS({a753t6mpNdGVoM?y>R!v09WumB7GTibCXnwb$u8zA;?rL9JN zj^pfGBVwfC_Zq^IEsC7D|CgUfUz~@VbY_|ukeu`FTXzzMO*|LfWF!{j7HZ( zNdTC85dZ)`5exPt)uoT0Z3(9wPs57vY$7x^iVvG`U?B5*?w8)X*Id^c@Ku`7sWbdJ zzW$P;#0e>9u4WV&F0dEpb3K!lT`xR8QlM$RDbAWKJN$;IiL*g*71#KFE!jZxQ%n?d~wxz$#?HKC0l>OVYUJ z@Em2|@U-p6d$1N-JMo3fT-g@wYiu~Ejkv-AT z6Wzoei+;4Grw;!iy{I<>UZUF?v}h2o@hfKFd_1_^)02yz3u0-_BA2>#TXL!XdQ7yV zlT&x$KJ)A&IQCGd*&Cr9`QdSqAe~ZywrIh7a^og`AGQ6Tfs$!w^qRp= z1iU8>xXl1@=Y1Nqlt($HcWb$5~OErho z2g9-HH?TKCq|RQ+SxiwH`~Up_)#5dBxQJ%=_6D|L{@bz)S5y~^zdsYE2RX2J4@zTD}`sPLut)@p8qQK%+A``YJhL|lRFlcKft<((YzVo#>SR0#9tz=;n#C7Pll#y37@7N;UkUy?_6zhh?x z?pDJtX=^*TPA3gc`~6Zv%9Y7A$Z04RpUQtXAJJRyUukE&H%edZ5YFlH?UlLq&9Ks> zDI1(=`WyA`?t4xHQ$IWC0_N^QJS7<@ia-)xC4nVP@>xq_U2&PW@G7lkkD70U=rMTbb2nSop$w)_QWIFZPbGC#$0{k;H<7AOH zk%q#$(m+gi2vBmmur^>3?hgYshl@v%J|5=Ohe7anL-PYoQ&augh9f!W;j&L`zxP)l zNQNE|6g_6zXgrcAY|m&+@}*5~*oZ_+q9KSbbiH2yb(mgo{cbY%r#|zBL}1z7dkf_h-QJS zF36SHKG5;KX2J_DuYM-|M_iAkm9Y>YRNH)dsXYs=)3T*J9LYewrw6m zEPonq9D3D^t`fe!r6v0U_FL3EQzkSE_?^@F)n9 zV~yS~Qu6{AZJ&_R`PVaoVS9D#1qElJu1Rh(uDQ9MZpD3cU=s$FvDAus-LNl#i@y!y zj;t#Od<88fmR=9$V|L2N{PxmS_ie?nLSs=r%jg%3He+$fcalGMlW!&#IOSD5S*=of zCf5VZygJM%pf-B8J0@)04#`Us-+sSbWU?{tP|EOAQL9js$@~xN3*n*pClxX*hhmP1 z{KH*c?9keBG=xnV3u-YI{}|+7yiAa9&DV`XW4|+V-{1JOX7U8DrbzoXDw^uEXO-^b zDf-t4{dL4>UM-bc>!1okM>oH=bf7JQvHRUCdMzlD_Jt(ZhL?dd!S?0UVORunH{CfY z3F*~^FGvPQ#oA_2`XS@$saQ$6Xo-Ri(%ycDk(&4+7S|VvOoXqU*g~LE5_4O31{^|R zurKT3Bg+l1uh85;;cUe4cIp>44lEL2kUN13C;%1Sv#T=&$Y7rIKQ3r$TwX}!)UlX# zBri^&e8qK9&W5ry41v1&7_p@?(Ys)^Ku|e9J^I9O@YLg4ANloY9_S)Bo4wix0OCvp zz?j{7(PW^Q?+@B)1Xk*$84FvV*)J{|`kwfM1g}NfF;3Np}=djMnhw2~AYuGI%lK#SXPiy)D zveNhPyD#$QP5ZGePzRPiKjm^$yEmKD2lW7Zn~8}} z#0eqDG`s~KkeLgEPfC>~Z$3X#gofoD8wG)>Ie#q1(U*!~JQ$*RX}h&3Kg#-C zLs>kvLs8n@x!MRc+M+P%j}aKwX!~nCA`KJGeB(N8+p(=NXJ;z4+8{-8iOws& z`9@ll>eE2gPD4IzkC!5#{X`LXj*L`k<%=kj8vUWL{J}+A>d!@ulp=0M#YM6kzFuq? zg`S15eDzy2YwyV2?rx^vx2)8!`_gJgCeU6n`p>1{3l-#K)bk&VB^A0GRhf%l_|a%F z;qu06zqmz_T$CpI8GFUA-1?ZaA*lJ9;q07REF(xwnl6VTT_Afgrw~$|_T}TBXbWh` z$)dJHcZ|Z(d0G1CXsY1$;N_rD8oLqx%Dpf(j%K9^K+E*)>OS7)12gy`YI7tr2@RYVZ3(Ghm?!dsyBfPXNCT zywqn8$SJp6XvU)x`hai-`#^s<9fkI~d4j@9Up^j~U2}s-rMKBBbVT0qC?h0(GI-LL zT%wTm$U)|%p0EgTHUpmbtmh*TH+!Lg67M03w6pj~J=8s@=xsaDLzIEMMJbDhjuP;o z$Y*|wOrPlz_Wtkc7Z~k(E@xZOm@OPm9sQ+#U~H}Ef76&S#%rGC;OS%Oa%8v{`A>gq z;Uwt^dawwo|)IV2kj5Ce9Av> zg<5O=`K`fPQV;3tPo+Vs?0oJG+*xu`6AZp^)MGY!_RvNjn{RD_Vya^yzF`%~{ERew zf@0&><4(R(-c77QDE4`@w3{x{dfcMi9QCd_QZ%fU;;A#kn{#V$wN#Ul_W6FN9O zaPltw8D_thTB{jl;fXI8cZhD4qy`Ul=FENf-nWwKbNa3siZ7H0_26=q9(@sTQAbn*lO$eH>kKRRLh@wI3_{8yrc11R5ibc!yDNA!|hvhlHVWlaNBm z6|o*({b=9r$MDl;er5^U%(p)62KMu&)4%9#{r#-T;FM~g1_o9TunxUqPPrpg+sA?$ z7SR#{i$Y808%D$=I!!Ku*6VxlCTj$ONZy>g5d$ds zwGGkpen7J%C=Q)(t0n>WfCUs5K?l2NiL(W6z|e);84*~ZtgWpxC%J?`4Kd zeE#@07K7nbKoUvYp3JS0 zx(w%)zZj|Dx2PfqQInr(dJm}mJ{hmc=xkm$te;^R@tdtax|!UwNKv&lP8VvXGFl+&xYo-2z}=8)s>XhwTmdzB4f zqK&TIHZ`xKUrWE#%A)p(8jm=BLY8#pI<4R;@)_0@BSfAqr6S%3h!SNs)T%UZ?O`XW z#HrN>+emWzjMoD9D`WZICms=zr}}DitPvYR3nb*Dktc<+|(1k zdC@kBTfjYjM491#k;H;_!lIHXdH}cC1%KdO1=s~bVYccX2~h_tRF~aZi+FnVLpaJX z;EztKI$_h`_;YgYsSZ!4yiJX9MEEV$P`)k=UZEH}_4sBOIFp6$Lbm!FtZPaC|9%Vx z!^Y9!fN!{f4U?vh`eZ$sG7`VO>r0}83aaIqdf(bC~FQ?;pz9d zZNPg>j0c``f?|rHX!U+-s+Qyg|I|)T}BIHBRKW zDb>Ez=|V#e*23*#E+&*!9&di7zTOqw{jEiW5cI<3p7wQaq< zxDXT@EHwurttQc!L1FGe%s;$+8M4g)t&BMDhb2ulP!g>jy_3GGV*x?cu>12<>jhaQ z0@o@#8*pivH-iQrqOBU#8ZQDv;4o5Pq)wh(ooeWfGm%J46?djLsMz(34ar3;5 z3~W0uSO3#)sIVzq`BO`lQ2Xmz70rrf1aTyLX#6iAL-@POOa^UuZ^j%_(Beak?5U-0 zW8ELe;3ptIqUJBbWg8a?M(IcAvLIPi z^DLere=OZi&cx2^NNxLH?y&^29yz{04%K;J?W`+43^ zvy&N$t-#plS=tbb(4XLz3op>JXT%e&923o%j`qrX)ECXy8TL1<=)s2zGee;c<_rAm zWk5h)sZ;3<(G&pv?dxepO43!evr>Yfp~#J&uT>U`O5|Ym+K=iGm3(0ge7GeR)K=)y zTF5t9)IsBi)dcJ(4NlNqWyC>_*Y*`U#l$g|7xdJs(pRNqlKf|Vx;ME729M1{L6THUoaiPrQT!1e_gNl?)u9FL5*)=h}bC%AIC7%+_QTr7HQGHl%AH zjEz<;InC?#kGJ4Do)gU8aGg#yQTB9gisc@84S3m@d5kvOQw$;bIQG9{_rIpKqaNn>JaTVI4SM-9qU5;fIa$lA}T-RA4f1*YG{|52_;*Ly*3~Lo|ixa_4;~n z9%P(EMqNIS`+LN(vtjlIH=fEf{3!2^?qDtqWtBc}%4~8S_QXsKF`h3S>I<8X$Be#mkmyU+=W>WaqG5Aq142X*mq%alfXhVSUX#>A+ z(#^+$_W51B+O>cIB+Rt%6)vQsq>&PQf>KtJ^G@l#TgD$*=w=i{y&TPyYy&d{IzRDr zGYdyqvtwsfAgL%d{@zCid8JA^Fun7PONNnAl1Acm`PbSTKUN0}gRiMJl-gGn)l-+- zi8P?^bQC>pxK=hb1U1SZUdp}HenujAq=k36Kim7VZYXfm@tWG5TLP3B!I7$Ef@Z?x z-2HJPH^fF#{yr~v%9eQqep8Sk)f~;Hrlv%LH47cuOv_{v-WPaVf_?&lbequ}dUUjf zf&j5d6G)9%6S-2MDFv*(LY7d*4pI}RQ0!`+B!6?YwWiN=|JkJylS<1BEWZH7Vr_bA zL1>1=er42+r0oM$uX8|db1qV&FPedlt`$P|N>*fK)39ZPx^|?psV*+= zB_t`Nkge=J%DP;Vk)6zpdu>_w+Sk6nx6k+Y{=>t=<8p`dKCg40=lOgdjY@y%o3CZx z(^pLO?PeZ9okXW&%GX*}Fk1&C)p-f{JqNx=IN}0dxad@ZG}p!(s1V|~iMPR*ZsbL_ z##rk^du`=W9Z)A!$L>s{&Vy;IEpo!Dy}+kZ{aVr)?4xB2$|T0`XJru$yO`@wDKOQM zMBN~HJLHqLyBH_W{P8JKR;~BFy@0!bYUM0+7!`5Z2pfN35N(OkXKRrr8+7uL%~xuQ zpAm=8oD`nY)A+9C`dqX?dMxJpc=^pQG}pb+$<{0oj_CCHBy?YKBW!Q(+bhkwt4gj3 z2oGZL!Y;gp%&8wR=iC#aF6Zj-X^=O7iu7^uymx3>6p&un0HQ>2B(|98&ON$$`? z>k_q%6k>!8Xw7o$bQF~+HQ@J09`8H4&@xZyP0Yg``Vjg64r~H0d09>ID}#pRal{S1 zrR)1^Rj>=Qp)EQz(<)d@^wJ~mWvOsQn$A{}KTUUiz5b}&%#Elp32JWhdto!=KK)?( z#pI+IRE(XDE<(Y?=s0F@cuH*tz`P4h2Qv`_CHUI7UOIZ_5sNakiD=e&jS zk~&JTVZ4uRZ~*z?j3zpi#lMtrs5ZqO92RHIKZ&eGG*?sR{&d)7V&g4mM6P*!Ptu{b zC1UEnvI4El{3E5WtO(Zg?0^WS2gIG{7jR8)OKO3$9c? zru$)qC~ll4sR-59mEYqRths4r65|TRel{x#(u43*|2==LLH}H(D0yv`uT=ujvQury ztO1bVfR5h>j%9HI!wrw|%MpjVGX7t$opY9f?c@Mb54a6b({nyz8`9EL2U|c}bP#`q zbbG=upU2lp5-SEP7Z%=LYg0WFH|u`CR`O|xk444^Mjz1;4p>5{_e<1h8eY)6N5cth ziE8J<>s5jTTi(NRRa4amkbQLwCC*&%roNb}#L*K8G;L9F&*$ksUK;OAgCx)cYn5An zmuhRCo~5=H(X#dh-jMF7GGDdtI!4=T9#A`==_&>8Km!|z$gK-v?=N&jand`!c;R=O zfcIG01{r~2I>2t(t_3{!nbs#f&*{N##gt>Pe7{3MSL|xpz!jLfiK$3x$l)!DtUMbe ztaa~xy`x-kJh%WtMG?qc(BygKZ$aa*@ZuZppd4tXOak$i$4w}&dhjp$P`2Qr{0jB( zR(5sx{f(=WVmF|gHehDv+M))$B0WfjJ3 z!8baXrl-!x0FiOv5YnQfWBTc`?!;&DQ6Y!yDJ*I3b=X|y9*(plfII!h3e2;B85+V> zI84^f9?Gr#j)%n8iE;$}5(mtD=jtM29tuLU(GuCOdt$Bh#cP@R72o~7_a2b^)&S&m z;1C4f-gNDk)>O=pFA0J0Ldada*$-k@o^09=cZ07E7#&HP3>_1f5bo*VWWbAR;;)>( z&8_%{gS{86rQ=$2Ca3y#NM86Pk!F?%cB+=!oIZeJ91zKT1w?tW=d}Cej0Gz{!DjQ; zkr{GVd6y{HuV#Qo!qCfZ*YQy&>?1y*`s=9 zl`z)~u@++Du&>7WBJcB1ht7DiM5l`LoHYB>X2{ii)Yy(dzJD_9b@iJy-J{U1Xw2ik zNtml&ub7D1U_;k0^@obJ#}K*+UdjP&bTBmwQ~Wb zQjDc={{o+bv!w(ur~^`hr&wD(uwnY3^sN2?B)sXIbcnN~dIa?XEVtXa*7Z4o6u9-k zXMfu^Z#3{ILfVr6*N~iUe+h`ZYep}AEt{)F0y$8$jOiW3n(cK&t7clE?ar##s!VGw z0yA}DNp6iT`wY^)mt_j9;#$f9432^4AP|<{hD#Cl%NxuNG?uIZlmmd3wok-N&;QQ= zLi<0?_jJPrsFv*(e98*h>>D8^fj~9?C(a~$QAM4wvLL3%2YEHWVhAg2Of8*&l5Jx1 zL6aI#8A@>RWk)oK0_wKJ^>c5P1%}%%jQ4BaUq44g&!<%CckLb}6u>!- zc6+9DQX{Es&kq5hq68cbegdBrkU1-(r-0MU=M1n+x4Y4f)le@i$C(c@dB+CBCwvVjzq><~7k6P;}G~W#$y)sb$spQf3TB*6|>$Zvn&gGU4 zh{IzNV#lfP=oZyiO%3$zuA`WF@IT?0IsZ&mX{&ZlIhiqT;V6=HBGI-0P^@2>~lr>I1P z!`O65`FOUR}DDGW;BT}p1eUCj$Ni*$YsH9aOF`?%JC-{=F!vo9Jt%kf}tcjkc zrV|*g9BRR0ciI!%MafrXG>5?k19={GnPyS@sMzG8GqvVNA^D`De@`52{@R-LZ8Dy; zX6B6|xBrS1^lt%5-gDtCQO4=fB8eu6gd>ue=OjkS%2XVt(F~^1vRa9UT z(gx3X29v`h@IAja_ZV2(lLIZ?E68jG{>}(XcIBm5yAOo zY|Va6rtB*@%UX)T?qEK%w)ZRMjOPNs-15+?vQ*-?)Ri3##qt4yl|})p2A>N&s`w^wYrJY_J@CzQ%i6ZSsrKRDV0gH$3dprTWe*{7z$bg}lrdMp z5)v0rRZN@_Dqq-fCQn;T(BV*r+sxKkpLT1l;Sh>n&F}2(#~Q(=q5eFtv@(p3h_1Nw zH87M$2V}(Qx3V)wST{-@zjV{1zdolWB;o~3a5C}ek?(MMeeuV?UAu7=7Ib0iBBaFS z6rhAiWt)j(bjUYOZ+|=}joFWv9Zb=?=~Q2RX)j_onRC?Ij0E3bTXBiZFmaEf;g1sw z*R*<-cu52`>Vvk4#n1}B`7PwCkK=6NU;A8Clu0CeX4}*b)W%T5d|}vwQlh%vc7A&( zA0-Xz0?wxKqRej_W?TLT+oxz_(2R-%j+mCA|5JleDV{tG`yf@Q*x807VOio-h-(R} zCX8=l03pSHpMEqjodNhWb;b@RTdBeJ*VL^wWKgq2(c=@}jJ4|KrDG?JI~$3WWll4$7TaY2R?t|?#@q6fRs=F03w}321^rd}OAR+;fY}&ox z6?$MZsEn}{Ae=eACLTQpWG>4s8z7g$*FI9{(Zmy*aHpYPswUUQ*}s${@hS@qMHju< zmU&vNeke~_1zDA)i36wie;E(Rn5^giHzja6uUHfjD1_603WUQWZ&UAd@SH#7&iSDX zoXHOkN-BrmGHDrF&b@2*u|21*k8j)oo)l=xfxXq|Su@~o?EI8!o+SCLZzawz;^O}Y zBT!|qMH5R5T9bgY07$t6i4dJ=I@@-qD+D<3*4tD~qkFg}X{#xgQ0485G1uDB^lNTG zpR>tUR_4=>o08wRIj`jF#y*o`^;Bt))*EP~gz%IE*o+tbQ6Ozh2mpF`!$dq)kKll zvNj0+hsmVLo&o&3l0i>9^o{t0<@K=l+8m-z9LipO{Ir8*w(e025Pi|&N3fUN5>);?;NPWF zM>C0SK>bv@GqF4}Ngmz21~Qpq9aEg{I{WsUNsEJ7E8Fv|^--viXo+2e%h;dLmO!u) z%a*;A-lPT76DlP+um*cL5jh9&@_21SP$=h(Bab4aFY*W9OD*LldpGOrl(SFXke*yC zo+na*Hyxo~BX9B6KU5C`U1(Xw`&*_*>MG|!9a zuG(kv4P2k}T9Tb=qyTA^2;4~@D{mVJn{q!w(N}6QmNxGWeKD*kk25+)ZAW1}i`$1G zMB2K$sDAQue7<0V0Kb5bQX1Dn$p@xEO=EAqcDC_T+~cIJ6CbTxD!2;o*tJ<>vMMp1VCN**>hap z(12z4Rv9Mmm2P6f*OBB>Bv!HoKGxYw`}*~|%zYEj9AavpWAuqUV$*4RzQ+D!pcmW7 z`gSy6%ho<5Azk83tuN%h9r>4cTn{h=`R`hfVer2ERYmAA2?+f2XF@JK<~-+A0;HPr zdmnf?KclkvP8>W-INt3pT&=v_*SvdJLr!*Jg#GZ#ZZm)FOl-FRCgN1 zD<|tq?XKvu9;ZsiYXLj#OS0gI?(+823A{(emx9bqS0L;otBGOcQyT?7nx z)YTf>beEQ;KJ--l+TXo1)`3|Ph=1*tVA_yUiml&f_!R4bulL|G0SJ4d+JNlj}t87O{VA7CTvJFLB zK;8@SHmx~JQO!8979|OdTk9aW7k=+?jhQvgVq6q0k(lOitunjpDG`PP zSJCONZs;#jISh7jYtKO;96WrTwtOHLv$S@4s;n?pS{kg{t1Au=OX|J?)WHNOaGoH& zC7cyVzbOk^kDG|FEZf89+I%k(qweuP-G3Gbrh)N!KeQZqf!PJWmk}r;M%`m+Qj3pf zR#UEwLZ|xetMlu;x+;6;fu$_#O;@8RGvm5nn?`^~?+u~q7+`fd;kZc`B9N^;fwW8j z_z!juKN#Niu_MBwI9-aqTyq4fSb{{)dy;=Uqvw)r6dKzRr2XzjdI9#c?$S@tA6CL}W1aqQljBv}JqNbm zPr#51vD2T`?b{d=j_9<}cf)2JXgU|kNrY|0Z*F5LF7CL49wHJ`7~EQP!VD9iHfRq? zaNb!@IYhJFk+L18l7shouCv`uD6LG{5J_NC-|+d=cyYykZ%FS?mxMXHB`1T(Qf6aH zs=$5%r`6j2!e~NPTvjiQX^RI9>FK8v7k_S8*Y}MN`pcbX>U{-NRRF6@erMJain zDw)E0dL~veib1$lFS;+vxUElK__FB`(ia%$W0n}YgpHGVG2N=nYYSV#0MemyhzcHE ziX|M1@FGV7o5g4=XbZ%1HEc!+?m-!P1}&*V4r|SvgyIM4jouX+l=E8l>^aLgA_{_L zX}z>i?-Fxb>O5%c5I`Fm1jFD(7scpgbUDN>tbtqTWRc6HAx$%ChmYKj5s*tJUr*(C zPyN}!-uwck_Wxk*p6XR6$@~~%)iYJ&9XG$O1%8KlO7&+8{d8gF(Hb9v^ zyye_XLUm+1TIeMHKDN=w-X>HQ4NPg8$aHo=ZAyDrKiJJ(Ic}{7E8q*t$i<5XY5c7j z!9ly3h~^kA`jv+{UkIcynXpT&Z~StW2M~*$6X;GV5YxRI40V_lWz5bU!nWsKhv)pz zadt;g@^*y1kIi|bML@|l*V5XSf4Syj=F|8W8?5(O1(g=uy(Gf;THl(|1ZSinYU za_}WG)0p){!?8KrfwxQ@o-%UD(Ct zKhJ6l&kSodl4%yKy3%4_Ak;If3m+&xcU$;n#S{>_VQ%=*eqfE4ciVXcGJ`f`3ZeO` z8BKrH_RBNHBvDUNumHG-f=b0O^NwJ->78G}j~c-jLdT&Pn#KOPhHw1+CRQLZ8=eZ- zff}Wq&CZL&uJS@_3ouIzXYe%6&WRSYG|qmu9sD zL%p!9KO8Lm@A%uaVqxOs-mD?L4J|KG+0ivGw?q!j&_^WO8Bf+8g`9@+K{>RE^+>|$ zrE3=)lvfYnG?G(=q~or`8(ra{h}SbYjrfWLp6P00lw&l`grE6U9aM%DR2Ko=XxhU< zHBkU#81)=ZHYCp)LT-@0Vk5i`)tVa3-l5mE8?R;Ynp`q&Qcv+3{XpSINA=^jClRx7 z{OkwF1gt{&oInf)K-M8=8t2;zMh3+#t#o(%;8^?O2D3zWbC|gP2lcrCTU$DOssNLl zn5SpD%&(IXAE|@w?~k`>!h9JDiA4s9grgQd{y0aguH#L8DBnMsurk8O!)d&=!eu&0 z(Sa+7YpiJ-rPiE>L98=|ZcSP4IK<0xa6>BPqU$+trof*`};o zYB-_RP4>cwgr8qSt;HWTHmf82T|ojW8>?iz*U&UEg0s&XG?%tUKX_ zElvXKUedn(@%_gAQbO?5@q-)s=zjLiVeUvR^=~E!6gVXGzWDJdVX^JeKoJ;069zL` z{E@B^B!_lA*t~Ba4EH+JaNbS=-I+DJHFXK$Zi7XJ9I6(!;Hkl&xEr7u$UQT&k?qC+?(N|Lqipzu|9se!ZI`=^h*)q5_2&FHI&514dn(`!$^}MAbeF z8CMZ&2fKzEby>1l(83HY1vg0#6ylFJ&vw?aT(qpPNDu+~Tc55RiICzx9@$aN=@k~! zBh2@-tK^T`d#tC!GO)Lv0C~l zQATt+71&y5&KzgZVgO0K^FxfN{N-s4ao~hHiS(XjvrNticuu zM?d0ju&M?lUIHsK=US5JfZc@>IKttVtnC2xqk!tgAHa+afY|E55##|xaN+S;U_t`w z@k30UyIfIZ<7HWp@0?iqcaeHNO^O2>j<6Xx16W8`v|+<7 zDD#6Z=N*u?mL``rKb=XCRjO^O+&1Qo`TE-kN*gP4ua)Q7HWWM}jR=#3$qihlPr?-P zKlUX6$;evUc#{-#rgU=_NOjw7(((}jC`ruIzaNV`{D`t?mxi$!E;VHkRy$-jGY$rr)=s*>~K=i7_@ zM%Nx1s?`_mwU?x?f=#LTq_q6`DAJUEhzVl|9}!q5{<|UCQ$u*0u-BlorF!JA7bAT zrj5iNmDH7W)Jf%}M(-&YnuN-_`##6)tq5@badt6^H|Vm5&)V?+i-f-5)g|YbdSEAa zVAJ0mrRLIcqA59|481fC98ArRmEVfabzz(ewe4%Vs^<4i_*pOfQWv7=L)cCi zs+)k`MCLI-TR(A{%^yk-GnA3J8rZwPw8d|Kq=9ibW^3M~-BCzLDUFGZ4}wwLAmz?b4D&RRyr!syfjtBAC)(p>3_#yxhD6IBl8k2M@5x!M zqJV;8hMCS{tudc`8!)iaBBc%w4UhrHK?*rfqqC*GmD<%U4zN93Z`JVvEdSR_sk5PH z*r%7aHGfWvDkO|_b@wHy^PjY7_d6NjK$&f7JHErH?ZfO>Om7z^*aH&`BAOVz6lTBS zFJ>kf#JX*tPk@p;LVDj zztq1S7Bv3$?`+hHEyMB+F3J_T;9{>7IBqe?fZN>V#iTJ|FTw(B6oN%s4xf;ZgRW-N zS`MBD?qa6hB0fTo>~I>}D7eRF8MVtebt0aZfFF~S=g1bJA-&&1xv?S?lcO{<<0y8^ z)}(wEhntpa6nl-$M3XkmA6upM>#>catz_KJ<-tJ^R0q@@C6sF1i#wl%E8n^kf zCqLBinMpB=BSd~%y>VJRdrg1eIl*XNOX!+htAj*n9o@|BMdIhhztOxHOv9(Zb=;Kn zTD^5@JrfN(NrAmqzUNgp&tm#ceecUk?>nTkYz$ym}OO}a%%w;R7_8wgvTMxLwuk20tZoBUXU zXy`*0n{he4rd%lY=IU|6E#rT^*Bdq}ZX;van}=CMe2W(=Lw}{h;k| zjgMCrM6a5amw;*B7_IFS^cA;|QachgvBo%g+he-6nd$kMHibhYjhzJI4YT*ltl9BA z-Pk6@mz*ug(?_Tm5BIEEK%fWjv{E(i9{lUe<7`A7{~TQWlW|zrb(yas>Hed+TgD zi|ShV)KSwz{pdOG2ey)ypNPLTVUS=6gAA`oZ>Ia{Sp8{4ZLC+RgEK|Ewgbj$dIANd z?MnfQUcI6lZ^q!LrpBIe;qXc(3^s6Jel9x{t3nufuM2>1WTl9M{Jx6}e zRP;mo)qg5M?Uv@IOjg$H?~uacTHG)4<@+uPlQTOXrQsKAzYP6J;!WM^z5Ycb;h=n& zJmjexvi(E16Y(6kPuy2v;x+xIv`ft!Dwwp{c@mfPdiv+T&Zimi@bp?Dyf;fY(!>da z1YkwUNsNtz7}y?DqyE?ql~;K~Vc+k-6@qe*Y+Ej>Enoe+vExRtzjpW8Z|pQ~vc)lu zJ%&9u#2#?)@p5>Uw6b&T3#jypSx1tF^4_A*B5`sI%Ou#3!U;2{1UTpCm2G6xhn}m~ zYj%PS7&N?S24?6)m?B0dzr7xFol;N7?3Gnfw+ZkHVL~$3<~fI(c}pGp;o$;uDA)U=m>DMw90*! z_nK~&mlB=u(|tl?DCt9aSq3T>B&;L=vY63j%P?A57DU87-h)c&mpcJ?x((~5b!?2 zzv8ix$VJ39RsC}G8pKJ{&Vxw~z+P3I&&P-b9w#>}pdrPOcoKx8_f7Q=q zKwaqQBc$a*Xb%4^XRB0TAzNcyPQuS051&8Dcazh_@RY4sRwz({w4vIGeVkrHFY~rL zQkr&j-)x`M!se^zC;bj2w()Xp!k@UO=(Z0U_qUg(HDbb9%%-Dpz}>{WWjkJqt56Ab z-i#1cz20#?=L5#3y|aXE-#%i@zap7h78mo}e|7zFWuWx4&o4wuexgKmZxA;C59}yX zwPO2s*PQ)X(Ho;x$SM#MzZN2FZB4iCuX+ic3^j*1DJdc+axT zl|P|ppjX8~a^cOxWs@P9lUTN&PxQ#6f5|;FG-SpwuFK_E`HMfoNOqKbArb@M5i{c8 z3dyEH6=I|}t@Ky=(agzwlVbn|-f&cp*`FjISTTEi8d($099lV%Nd2v-kA1%~f}BsI zf!}qLYhx8>%WT>xh~J<4mPVfUcWDtB`s45U`DHzUSG4Wj1-i&LAb3L@%YNg&K6x^s z`}LU+z^}v{g}(;|3}Z0vY^k6Q;psI+EBu?}SOnqv98js^wn=Q`Wp1Ckt+*s8oJ^Rl z*oaduemZYXWDbr7=w{4KHUuAwT0ZnB?K#%?roUMR<+^?PSp_qMI;tju^Jqg0|bweo3WQtNtikk9WKEd z(1Sy$svs#ZPw||#f1q5HfjhQn&6Y^m8^3^4iHV+rOW7QCU5Xl3cu@q>a-3`PDx|-d zW$mU_kVH6xkrc3Go9uk=BjZf*K+$W}ilKd`u%0Zty6yFpoedz%4KyU5DY~vHWs)cJ z>FSuqLYv32A?b*H_rez=!C##eg(AH91C^)chv@Q{nghrqXQ1dG=x%JGo#oB`GkF>v zwflNGXL>|CQyuAk)#6dnbTj>jT`LMKVchC5FoJn(rkkxbbczeD_f|dAzS-()Zx*0R2X1ZYXY643M0fGll=9OO;SLF_Zi4Lbm8xLxf0R(h ze5h=w2}>v9&6?q#_jeB}s`zLapHTaKqT6s9T{P~41V8!0(OP%=`pMmcmHb#T z$%}63B#@EZ9cxlcHzOo`h@(;~mV~3$x>oXecO}qKFVw2fo?(=7fI^M-g$A+zv&FGT z#*pOm&k3#mjxZ2 z$>CXP0-=XYb}M^>9e4ee#KJrxuxYBIjAl|JdQ#epQ)1&pHcYR&Jwez{IAMo)_EU%t@x<%M1BW_G zvKEC%LQ*r`MjP5Lf?8;1`}iONjVjq}dzMA@)d@~GZ(nOs!T(NWpDTti1mca*jD>^bdI0Y#H5vL2I~vGj;(_wQv*|BSl+Qe_b`u0kF(Kpz>Vf+JdtJf`GSMkY$` z_K0o2cZjo+q6zLH0WOTii%7EklMRiU!hvm6*t=GGvlm7~du2>uW9a7XX0L~{z8tPO zUx(e*?7sh0qWRfSd^U8JX)>u6*tI`yACV>L5FG?q)Gz_yq+^y&K639OM69)sSYryF zDR75vNCAr&IK1vH>)pp`@u2(nwF?zLC*%#}R)=M4m+(~h|WW?pNj_;ad zW&+zWo3ynQEevYiWl%@LznjJTt-F5R@o+d`yCBxxZR||B*>%|FiLT?=cl}_ItqRh^ zEf1gGs%;iB3MZakA0a1Rmq5~~&T&g7wgYy)h=8)w=_@zQhpV666ZDE||M*8aY1vJ# zsk74rpojXoU6@_m;KT>CFVJd4J7BRpdDEhf? zWYWl(Bp1zY^{2w%HD{{>ARtiG)2+nWMO-t01{O;#|Ul}0cy zdtTO&2!qm<18-Ub82TR3*&}?%OaHUa>ww*2kU#0!9V%U*V+RcMq?G|qqV423iOv%s z&LDzu>mhWWz>^aQdk1FcMtalB*`9L`5zPgCi-diNq^3#Cc8Behr48F;rWA0iJoRly znc!`A;2)Mex6WV&Mxwz+x?gM(4N;&>(0hQK1YB=KpMQv8g|Z(z58%lYSCZ(&bXAs* z5vy+0!LXE>j{YSQj7@@F%lW z6h-;An|Q?nf<1Z_$V)Fq3+yu(I;|etbDPm&QrC}gmut*0Su44!>Jrf5{( z{mdSBAVr|lug?*+AWykF&#{;X&a7#JxcK+%J503N=Z zR7C3e7YA+TQ)u>kG7J&Q8J_q+x4VKv?^km2f#$&FK2GJ#eedt&>kfq7+KmU^#gU3J z$-xiSin_XH2Q!H$8IG<}q~L?TDY1i;50t@?_I00u6GUKiwG?hSBt2MrBS4+?2W@8F z{>DnMcLwOX*Q(nwaT()THB2r6J5!_kDNT=_x%aIWUP{A)!nPs!dGr|_u?*lCIK@7Q zzUYN~ce*{FbVGu)+>N8i5@68zp=4_h5N)|7(fo@_7_ODGN!OG1*aLIo)~B51PSNR} z%i?4@f}J(hFw{XruqnDfIC1*(cqL=h{fs;Jra~F(o5|se5}EVCQ(~^?;e8Y``g zhVrG~z2o>HFy^m}t~s~-0Alt0YJ`P>$C`j|@bl6Dx2AM@>VW9w57Szpg_HI;&wl`r zBx*4@**Bc0y-x2c-S0GC=AQ<(1pxG1J9r4N%k@L^RY8Xy@=S`S3@`zoZbvk2DX+mw z4S&xLZBG^x1NIajv9cWH$Aa3x{Pvv1b8P-JKLyq8f7~4*-$8*^p|61zA%LtZ@4d=o zLkg`Y;e^thj+@zwk<{@g9^+{ph!-u=WdF@wr{JFT6{B0JH6}(e2HvNac&Kxea%55c z&{tD(MgTRhIU@RYQx2WX9=QH0qYh3h?}?PWdc5+1?4CJJZ3DTbe zb`kA*rBydLJNWgxNQ-O9ah?e+wrrJE0+1!=!NWWhzHGu80*pe|stw{N~^a($NYmc4{xHT-My&<<!@g!BcLk16Jfda4vu`gJI9i ziXUJ`vB%z3X}J|TbBPWJNa9OX=s%hzSHuBt+-=e-ZJP?RwoR=cIjaQxq6Uo1w?i;n1QD4+}?-CsdPg_1P@i( zQ6T{YB4Uf~U4UE!&=qh3_cpH&7AZYAR?UCRV$}rfe3QL%R4G*QSf*?1rq9)nc5L43 zt2QOvn|FYFrS}cQ_i$;D8y@viE@}BV__IcZd?J;ME;S5l#|d?^#2nlbqc2L_=v(tZ zSAqvKl_Q3N?2a> zJt%#9<0MP0!zxy6U%_uk)E(F7A70q$7Nt;p`)O<`ai z%m7JnJSq3m#QNiRvU@j&xWBK7e!Im8jvfRTbZdTH%=10^>-*D0bo&E9FIZyjc*27W z?Le|7bQqJIz6;q4iaAT5@>=T*ri}lhybdMnwhvSQtMqDhleo7WhGnTI;v~K5D!+Ja zwWB-D=!{aCp$Yu{mU&^&kVG{j!q=?^^JFli@Y{n(_tI{z-Q=qMDIsouNjd{QQa5=d z;uP`Lf4dOnmVhJgzT(0C1uBT?={3PnzFU^S1GnO{3Vp}g>z4E?8-xfd>|T*0jg%G+`R7R)a5^X> zb0UCsE$7}Huqd_q5E^CoOMpknsC`S!l`N$T%sveCz-_R_q4tN3Ut7e*7=MEA2AORN zItD3ykR%(~%I|kPDut`l#1`>e-5?Knd>itpi{X1_KJOv>Icq$LX22x6PuJ)@SgKY< z<#fKOe+Z;Gb8;p0qnKK?&3;hFS`KH>{6z3>H?&0pR7DYGo+@i=;n{ra@l&&c`z?AX zp5x{GmCVT%$@;e+Dt0bud%AC27>tXFClIllKrhD~DuXC48p>?gRdu<%`sQz=$=q;7 z?0vvdb|>MTA3<>+zGBsxQgEoI@K1?7qVtDku|>!O6RSzBxC+=$u+iN&U;HSDsk{%C zetpN9cu28^#kaJ%c(fk9b3(=p7rALZ_eFk6(`KO$U1A+At}V5~TKN7n8$3ExFY<;; zT;K>DO#UTR;?krTG#mfGHw@XHSQF;}3$0}MbjdR(oIZ7Mc%hn4A!Bw$ogE6+uRV<2 zmoN@LeCS$qv94|0SuJ6*;(D6sBh5Lw4sWrQpArw@&n}Rz^!k3=%ljPt7BbG&Vg*W~ zbN|D==->BrK>ypV?!3pilEJ!aQZth77nsrO6<%nKTwAcL*jj})Aip}Tx0E~f?A>+! z6f)VhBv!BTYU|3@gJ<<7?|a3H+04|sIG%3h>XoF=)w z?cw@I$>HxZs)n85{JmH$yl^7%S}TU^Ay1q&gu=7bPO&NEBpos_6ivI8n0RcUue~Qk zwx+35q^G}bz8ov*@P+E*b(`7{)h~^sLAjJIuT}F)gOrQ_Uyyy%a9UJ(A}j2_uq6=o zH(9cTc<|BhF3y`O3p1s5ial$t#29u+c*Oj~x@#*}Nq)}3%@pV~0dR?QN%I-7#07wm z0ANWHU`hnW08k1BpqFpaCoX{JH_Z!G9eGISRCjzjB^^)>1C&c3857n!EBT3h&Bu!n z@6FqYN#^VcKjx^`cc0|Tr1xcSsb7=Hk5wd}R5~YcbQI(#atMcz7bj=a0w_u3eN{tE zt$DzaVWJHlaqP%aeTk{K-Sy3GF5uR$*=&5gQ2N)v(>MmzalH0xB(Sq|OyRbMNnlBz zt->P>&y=+lWwu0G%6O<4K2b`pJeufnD5T+-=HIl#ua3}6qASn zUu4t*ogNrfhp+7JODMj}-?912mtt#S?Vbv8RK0l?Lq0IlHZ*W6+v{LQ9msJqlcej4il%on zD#T`ngPjhxb2A}(jn*pAnQ^1zZ&il%sFn)q4+)6KG^nI{4f(8WniO`0_7@OF2(Qib z2_&ZRmj5N*c9yy0NAeiXcMIbqTsuw{-`};vorWvjA4pHOIBXgN(Pnq7elPc&<<M zX!j((RO_$>^6dFFH#cyG6Uo+51MEtHFg&!rc8*jW1L|pZ{tlK=-4N9K4xgeY>ayCX z4!MGmTAD8(SI;tpK7JYgyZ$Iq{G*6ASPo%AR)ifS)?*b+bAxV#X_N<{=1-5K00r1Z zK)64v^jpwPrmOSlS?|vWszoDN!+wpuTV+!>{jC>o(`S0z9x1R=2+q&vR2w5-pcp)A zcMACCW^`y8lu^VACT$A7O(^{nyOEHx&~H5z+xFLHi}CpMId}`?l+6YIps3GHl}Jp{ z7n&0e)K8bQT>RbeU8Jn%Pa{40S4nXHVU5$_1qSvuW#3cK%b$UDT01IWhks?8CEVbjz&Q_!7Ub zj*H0iMNFH#?%09jsNM$D{M*GKo~I~EHC^<5ur{b^+?=Wl!8Ep{XpYHRcswt9oulBd znm{!rdXzGhkA+6pdD9+lTVflaab>wxoSRR_L)lMhP9Y) z6(w1plMfn)^^r;T&0T||6fmeJ%cJVWMIv^)3~EiI1g!#J2h(vuIDKawE!qQo($Yvp zdjF!;AZCe0#7|Rhx-GOH8vrUSz5-d^sVRQZu=bH&CITPeU5{70MS_qi!&WS2bw^9BOJ6-W{8YC!c;_vN#uO9Q>QlH=Ux zQ=YF-iLL$=m|ai6<7og@tyOT_b_v15Zv&1mY`_|uNP7AG+(+rt!>CTKvF5)RPIdZM zoWMN3&J(ICj+D2mBQY4TwXctYmp~{TK}pN&w4FH(sC8D*yWzClp!%A=25;j&iUWza9I-xpR3nJvxZlyZLFo)~~_%SB>)ZTZ(L@5P7aFHV`L0w7YQ{x(l?(x0qHmg;gU}0?b!xvHn47vfJf6v( zS|cdc@vAiEti7(E>r(9~=#2x7Pgs~r6K+}C>}}Go>?F`9%efQsNyCM-TDiL?cWEJ{ z)V>ny)ftHPH!K9tvV(~HXc?*{Dyvgw@Sb#l;{_`R^(PJ9N z_Lk1QHfEmdgLPNSf+W)KmOg3j&>xF&s~vs{VZFweW8$7(?O{0DrW3Ed2M9&t2=BKMLK{$bi0|5$qc$m+OP!XN&}5E>EctP>H&4hs}1^zhLiyBy{&Sp^T~i+4ih zS(zFGo_ak{O;LbO(V7k|y3rhkP=<|~e1zr%krX4=go`(x!eE+TXl~iQ?t0ub*|m%R z`B_n8ml`CJLJSn5rIDp{(cf9d5NGZ}9KxOz)xPPR52S9`T=mpV~F*gV|!#nH~=W1a}qaL=>@q*Y* zp_WkSoI+W0sjLmWi#jf6F$qKjs+XIOEDzOKD zXs?j2FUQE8o2LP72OwuDKi{_ilxidd39valzi_0UiO5i+54s|lH{7?RHTMCm@^Z8W zd~WZhpz+Q7`d@bRVmwjlbB{Z-_2S6Ads5$?Ibic1l?ZTcNpz<8>(ekBB*3%yeID5DHuucD(e8n^De&OBxM52 zZ7pb1?6{7c|JgkBI|Imd@n<^Ay2t%b&7N@XJ#PG)*)<(M6T9RfI(co0q@hg}kgbPOZp2 z657sX>1G8Pfb2M>O+`YBzO2>+)lOApLVsuc=f;yWKKk_3Fd`7%p@C6H-WEt0|vtw&nY+B z_g&*@UR%spu#7=a8Vgt=@ujqag0z6KJ3QXtku&$#M>ciqSTo0DZoZFWi2Cg$6{Wj! z#Xo+*dZ2Uk`4vE{-Ke{uBs>XxlXtI2>4nirGkFPT$0|aqpMfJba0gYa7q>B6+LXXB z8iW5|bOMjXoUxvN1;UGnb+~qGX9kaHe0ovtR`P~*8?4k=cf0+=lbUOBlKW~al$2`! z2_%7j1c-97jsW1@dJgIX+r!?jIG?ezPdEKDO9J*|JAEAIEc8Cv4w!hhWkQD?{}T{+ znsUq{3cqTZ+rVLE<}&S4SMGjoP6BT#KS@CS-ST&ZUNR8>tiPip$~E_A1+Nh98S76U zW@lY`WHx)^1OGpoz5|}>|NH;C_DJ@KipaufJ z*R?~^vfW{4-Fqo6F7E&3^Zotr<59-t?LE%xobx*8d7e)T^`<~;+ZY~PUcJ}cz4ud% zmQD`iaD~TP%OmQcu^YZue|UUoUU7zYawTMor=1!aYJt2;kQ=F{C?xrHGHqByg)`^E zRiPjKa>)X@bCIw_N;x~Kwq5mub~{SJi)I;ARJ5_hd>snK15DatcZhiHYR3DGD?&@U z#cri?@Yff;#l*tau8jBmbun{-^daddU;Y)T(!NYg91fcwJ@%Hh;dwvAn8Nc$HZo+p z;dPn+d+qV#v(obBTSOf%SxA?cU)G3%OmAh71BU*yutWHzy%H2D!;=qTGAMZf zFOt^Z`_(Q#qH61Ljw>MIHe%a&fOv$Pm_aI^_jj5A-H+HQU$;gaD$+NDN9MwwCv67D z?eD@7e&o>$?@NZfYd^^J5}=u<=v{;-#|Vy9RFHwd%kHB|Yzo6WrkUfo`K|$RZ>+2z zQ?LZa9Ubhsc1&E^I7vx?PUhxV^sU_g?Og;CyMms@Thzyr6IW2TM0VnBUmg0#U!V;{ z_qGNNOf)&ZM-)#!tkASd9pgLVK%s9|Y3ArzzU~v7oK^R6f{Pc5K|^&=sFKyuBhz9S z5;b&D)9|;_WjBElxr$ZYBueezz9Sju)Q$a8M2v6=&som@$ z55IBS-T(B)z96yQE8SOWRqkjOdT52xk2p&pUZ&Z+k9k3{<&7SPt@hJmVUk|jH+d8>o?Y% z1To|aHN*pcFfh~;!bKGNO$(Ey!T0SkqU~DP)6`!}RMiot(|Ndvm3#a{& zbE1Iz8i>F*4@c*W@i9bcnoU(Po!(2?G2XGOqXNggDM1hL5Bqt;WSeM@YmQc zxi)T*$d+>V@gy=hl@^C7py35`0-uVZc8zEy=)kdjGR$uzpqIxi)s!O=&n|1TlrxeSF$9;w$Wi`{pMqFc{eRVQQNMHi)>4Xt z-lq@8cYUt#KX%D{WGeY8@1~+3jw}1#B+)4IZG5EXwfZoho-^~Ke5Tk&TPIWU-TJ88 zc{`=wpKpa#{>d?Z6O7?1?%9|q)`*jFAjC7C6X+i}x^tN=4tZkm;L5@2W-2T>SQH(xPxrWT$>x+mbsE}aJjD)lP_GSD zCr^B&UG*?MRt$y(eFo~{N_DZOhzE#M4By)x@#&bUO34aFm(=a;UzA!L$Emq)z>5ov zbaSEHPrQCR8?ap-iB-TLr-NVJ%_shocZ$W61^dv*gj6Pxa|{tWI6S=V)C!Sj+O76z z%FInlJ%X*;VKZp`0A{mu0nQ01j{uW2dPFFgnLK&+;DbRJoxW{okEh}Y(_+9_fNwq! zI24*e9oq3%3n77Ht#6ox!86ClFeZAXp6bQKT(nPX$F-k(jKlre+M4FE1bjy1oH(~aHhqJ% zdN$au*YlJC6#6~Io5>G5EDX1;-n=~1ckFI{9u&eS=-^Lfl8!-tX$sR0D7-*Q<|oP1|r-xJ&X zeqdH06LCr#GA?jBs(DMUs5b2E&g`>F@u`pp51OtoKT+!@E9=hZ)c(AaXK_@ItBF(T zqA4AxE%hpzBU<6vgc;s=+)feM#~ayK3PJJ;+z#Y(;6Fz?@=Qs5l)QiyrK(OM$4UDZ^I z{d%Km^zJDFR?M0BR!q6r^~AfexzEMVkzZfHMi?PtkYWY_-p|VU!qROgZWVZ6H{4@l zQ-h${KL^rq-fmFx+QILV_bm}WkbQHZ{gDD45?$xO*eFAU9z%_lZnM5)&8dV5O)2wG zAN%F`Z5<}5N4MSY=;8M`xlEVKFj(_&ifp3G-*wn%LLdjZsq&5wYNm$APbaj5fzyVT zYVDP9!GSItkNM*Z`qzLJh>lGMSwjuC58&?K7bKojO9WrXThUrq7!=+2&Xg!eW%rqM_5C8jC7 z7<;8sc_lF)I9wFS8m_b?bH<=hhpB{L(-+W^KjfU5K`mXfA%P}qh-=A-wJE1{P!Ic` zUAJPLo~095S-R)DT=UpRqo~@}*RdVq%+eX`4{V!sL{ECcrgl7=)i6G9u1p}Rp88(V z4|ngdLf$y%wRN{@w_Qk8@Ydd}OF?MZGQ6W_?$Vse3upAKiD!4&YczA`dXD>wK8fTR z{Ef@N>$0WVK7r~_&yub%aCwuM_QmZb6`mhpoN>Z1{cca4=s>kB214u<59WJiKArqF zRX^^zo8kxsV*Er~wX)I2l(#Var`m7Awud*9K1a#%C=0;0jn>!mwC@VO z6y*9lZTa_IqrxbP?2kfz#Sk81$E%rY-VL?E zuF{<_3f%Lrd?8s@8n9wOqiy5SvVD@t9X!>2t~706wTB!pmu6~JZ0vj70P)2R_}0po z4#4$@!Ytus8GkCw$e#9bgS`>GXl&qZDZ$c5C&w<%GMU134poTV zxxmli$0OC5g~36-w2P$62wtx;JXOeR8c14{Z2!48s;SCWdz79#*EgNwF(={7lZNz% zdb%#K+tCI+mPd0g^Q^>DB&6OLeBl6ppESeDS1oZGq&wd$EHbX`BvbE({gcx45sw|U zzi~2sET1#N6($h4cplKwWpgL{q|Y2~)VjmW@_yyYIChtKt*m=2KLR}n!B~suvopnR z^*SvTbPb6N#7nvFF+T^3ba(S>bL059pkE!Sx7Pw^^gPuV1Ok7E>My4?2iGp9hawkp zMER~i*+e7f;#&WmN?fc%fA(#9om_g0pD)#%VEC(JILVdf@6^)(=m%E^U>otTWEMk%xPRF3-olCzs?? zL?mvCW~SB+3`;HJG>>9RWkRFRxCybAN_U>}Y3urXF(KG^YvEA{b>xRc6vICr_Q6qM zq1K#s9jb#s_uMWeiLL4MPYLEL3|vWJK6~!wB}`bHr*oXJ02|N}=y2!}7bddEP)|*F;=1%56am0$X_a%u6#NZyY!GMd(9lZg$%m!Q#tg6t&A{iBW zfIlNG@aNKbYHgK>pLO@SZ|*!DDuJG>h2FJzaK#Zm)y~a!NQ1RCDwoZaNkJ`N| zCt*>I0IbB@|H=X+m8eItlPDDJS+>wKJgx2I)a{PITbP-;$>xKsPQ+bfS7K5}lCC59 z{?ijsAJlY~$95=tqH!o4XzY^YwDrUrNh~oRjveeTK~3Vey>o^2;1@$9`EI$(gGwm%R^j&pvk0~Uf0t3%V%x;GbX ziu>zVH8;cc*PgAnGTlB7xs>qT^1dB9HKc_zT4`5~O5ldPOnh;n;r6obT9^@~?t$wY zSM`th%Hfi})rJB~uZL4Pz)G}D%_$2GOjJA`(%K*o=;df zq4Q&?I1x9{8S!PtWTQkVQVWl_g-o*p6qmUAEBK7ed~06L~QNLce@iM zzR0`H3JkZP5V`Ilw9>ox#*l|c7$u9k+O*`{JqL>FE;9zJayKfgH){n&kFfGwVRYn^ zdEZ-|z`|cvzc;=ntF472)lD&8hsohSPBL^{G zJWi`^2_${>5n^@9&p6q5ia8v#h#!>bbC+6Xrnm z>Fn1Zeq{W%EMU4NV^-QF@?&?7nb5w5s9mCAQh2DncMDIsiM(}A6gW3_(VFk5FP(td zzLuSwxzo0|7b4O74GhX(#%s+a3gEP@ezh@5)ReAY27SdLSw7vyuz@)B>W9J1$IKVj zL!-jm@^w$jhH1*(ylpcbSd&%JYs&I0t(v9p$BltCUkazcly^<`84kCBnDlCMiE{I| z>d1<7n}4P1pUlTk6*SG&jt9TVr5r@u6P*|p#^-BZ3-PxyFjEiCUt%r6?2%b$_W+e#L9IX}1U z$K-iDN+v#pfE{<+#MD$lVq%wAxf_G7*!#-#zgOTSdFOxXF@5C*g_Ut%(^w99()#Ii z2)^*Ik_z;;D8ekkHe$GO^!+B)(Nd6s~`LSYEr79ef}#)C{w z3DqL^H$^QsC1>T>j&{oO?}h>{FFw5!kI3}xoA8A#ilrooc^zpOEzJwqROAEQ(i$-J zfkXD*jXhwmBJHE569piWD^y1^eVXIm1lHq0jWA+NfEPcIxwVsipL9)W(ASM}N&1)gp7;t_^n7DKZjr*v2fd40e=85GDd1p9 zK1<)MIMX4)CH8^6@~#}-{KO(n$nr;(r@RTGPMY1zn$1)!H8xKhmfyxfH2cjm-0(2# z<)=+Ek$k5QYP{#ax}Je?9I+w|D~Ph=1D-cN4Wc}HSB54Ef5!@%Fw15%Ppo{-ZQ3P2 zRAne`8AXmGO%1d|*IIrBfa;FPeZPCrcdxtTcY4H?Fg&z%&>Tla=c+CO?TB_ZUM7a@ zCi&c%vhIrfSmIk=K=G9-fcI}Po$h}&DcgMqV6<6bt^_)vHzKamwPHQ9r|9^dd(0AL zU0uPf!)kIy}14Esp>hKu!wp2mP;8BNA6@h`D zYu7gp3^`O;k_0?P8sG{NOeY;r)=vi?-+hmu67Lj8|g#S@sF@lavILVFO%e)FaUHZ#^k4dCB-2!PN8pz*O&`=sqUc9w|C@!w?y+yeDbs3DY8;$R)4 zE#kTK#sQ%{TSf|OEPtk9+l@|~@36RJFv@n*{tBiwtVQ7mToXu}q}sj_gXs3L9ShB~Dc{E_n~-;eLW(||I# zR2%sREkRW1*zW873)nz25oWxlISFu7$n5SS>C9;{a?Ur~rvmn`RuXMEOqdmS&Dr9Q zd{#NV!cS&6#{}VWzR5odFMz8P&uoRY`Nuz2joz5Zty`$P_iwhEAsxtmm_TZWHFz_> zKl@5mMDN6|tMa~)9msflxj<%9Q_v$wG5Y%C_%j@8st11)rp_@h7JN=U}Ge!dP z*8mVMvf|?Y83ME9P4!zPOBvr+*O#wZpO*@K`s~Z9!5`?hD6_6pTbw&NEp{{^l0z!B zWn`}z&Q=<-Eeqwbh`|vMt<0!ytPg{WCr1-on?@S0ao>LKfYF)tk5p4NTp{5?_1eK( zDxLkKY_zBLMm(M}0=Y@JZ+9Vn|1RM%o(#WsTLvyybmP70>N1CN-D%rb+A5m|7!4ho zNI%ci>@=5zaN<5$nJBSI^i7n~!zD*D1#?2#;0I(;KIZZ72R~SJ=sYoa(nj=K=)a=_ z#MG+E?Kp=gjE(G8`Vo7Uuh?qhE+q`_@BU2mFY#pY=+Z&;k?DyFWHa@>l6sv@;u#}j zp|95ynm>;HG#2ZXYN``Z?8j(6iwL4n7El=4Ki7=lj9vIG4x|AwDGL^_%gdw!8_g0C zIN=PofT3d*j#jmtLJA>_c_kb~R_gF{8@;}`@GM`u=kUUfnjD9Ri-gXjT%UqInVy8{ zpt>}A?)=nD%oG#p$a0++x$uEwT5ie9(|LD2Q@(ryMm?A+b~ijfZRpK8Z98_FS>FmN z+kCJCSMuZtEb6p@HCVvDE~kanl z_+T?EXVKU2T9%CG2}lze6K@p*mZqML5>gI z6WCBkJz$lPNu0crAK-b!iv#KA{%F&nS!!vGcpG9W{mvE*KOkq=sZS9X71gC%hO#9is|C9QaMN0v zlE+V1kM1d`InnnB=TBi|c%^V;7=E4kwmjJA0T!z?yazcb55+HX8EoIt;IK{m8hpIz0 z`1lC9LH$4Jcl>Y%R`-~hyZ~MPEg?nu-t$&AxSaz9K)!Igx*U0PtuLUZ+TcT#C&a0S zpGP5!twXbl4C|wmBZkUrDbyiFy~Pi=z$mi4XfUCAae3E_tDRzaoWsr_TqU@zXb4Bn z9rb5a6kw_Bu?m}t?Q6=H-7Dc}Vs#}RxLQ`e&nVK7x)=_xEohAj7`HF^V>Z@_Ql~hG zE5hqOeP7Ob?DAs2ynS0Ay5}qXY2Y(k)UtOUbVt(sOy;i9VRIjS z_%HI`Vn1OoI7wFvQVn2TJ42L&yc;^l z?(4rA+bXxSYvXkDEp=q8-Rb)C+Y0$_PQHPab)8dxhgxAcfpAQ)6&O|#|28xVe4Om> zJJ)e>Hc`6D)5U_pd@qr6XIJZSch67Ks1*$8c0>RBmnZx>Z;Zd*x{BCLxDQGmB@g%h zP=Cc>10L9FCr&@lKe4q^RtCpFWgIP&d zF+B6#KHnm1K1_p$My+&aJ_a$hl}^VyjP{llS+W1L?-#^Ad;8J==Stt^GmK1p6zm8e z99HuG2%TbxVjwbb$|hg`EW#lDC3l!;#Z0R@sa#bnBC$i3b1>wJZ~fr;Ym|Jzj0n94 z64PgYN`gw(M%SJ1Lbsa{TuOVjuzn3tLjVu0tF2L>$?jE@RN~|$GH()~PLLx`{=5%W z-FUf@jeb8>6krb$T%6xr?>u!_w7Rs|F^RVEc1%o1t{&F?fe_(55~fn^FAyN@h9i9I z0R<}1cDjgLb!?8_516*7MfucIGo~(P&D3&n`XYV9w_|fzFS7y1_#2cq31=m5=IHkP ziE<(jy^4Mg%l*3(C%Dz_Qs@NyCOFVb;#T{mC4JKFF0*jjp^Ibp>J^U3KR%hSvLily zoD7_+d(f;ggE8Q6Jwy<=3Qhvw7VNzWp_V(6ieNw#y^Xu%pQ3!o(NJ9PC=yl`_iXRI zkY$q_?tonfJp2K>I5!lT!&nkx-W|KKZJBWCp@@Wl!s0XhA&90sXqqum=~^*8y{YQZ zV!;jD{|`P$g7a+PQ0Sqj5|@GHk~Z3Qy}S+UsNjyG59B~)?(j#!=_m+pii9`=&-%Yf zeSmFH5D1#%;AsTD*A={fxDxO)9>_*YCi!q_`DFSKze@O;@Z8=rpB;`>Dl<0?N)Be2 zaDN)MQf@PQ0jVr}b@Tc2k4$eJvhI&t$rDs&0Ao#*mV@MxGqQf_3#oqP^ZgE-UY0RX zsekw;oqerW{*WQ6p3X}0kSwVHRqQE7@{*9f{l%H<)4;7HvTK{NX>b|Bru_Dr^7&sU z=xM);pUNljj~xqB8QRh5tq;e{JO+3oodp6sCZN8*p$R67Z(m zZ9#c>Q*pE-*@n}-^jK2aGCc}wCifaoZDUkr_ex#^lN@lZ&C!+O69a^eUkEHWTjI$? zp0U%yyx+Q(FuozF+xD-J79k$gf4}LoW2Iy7g&V4)v9iZwu(uudxV~G(pve`kB_--V z5eG!O?dN|7l>sH}Lpwu}BiE7)5aEAT#_9?59JLUm1`U-SkHaMeUbDZI9JPGaICiP~#)4&EkUeus5gA7qH@h#!SMcK5&&L#6dY z`I+VpM_91^tcIC@WqHR_x<)03;u3Rsbb@NQ=YHbkj#dNhiy<*5*`Pacu%HQWCxeLd zSBFAh$Un2ZA2i-ZADhu3f3R73ecw}!SMzcERM9I*(|a#pJ)Dq6%c>}4?6%bl%PQpT z5JaxIBOUEKkDl!>NyaumPR!O|u)LrTSL)`QCWnm~a40C3N*dN&7w1d(s;SYmly ztji*7)F4!rLi|kdJ>{FNFCfB^(n)5#5@XraKE{J>*>`*>&Pd}H1_ziT^%Y5$BDR`Yw{*YBrq_?58AEo^*~L7z$=7}G?2LTqop0^tCplr`_B907&^bOu1$+zsm<91x;*qcBQe zMthqaoTxPH25ZNwMf9P`?Mz!diUBbvLT(@#1q^m-fRF>S({6FQ!-QKbZSfYzN7=Ai z&L$VjSTYqIHD(LA!Vk^*zx*NHk$k1Wg(BKe7t-lJ>@@hJXQZd2xaxX^(f9e*H2j7PE-DHSK zqp}rnIHDWsV2$=}b+QBa>GrR;_FleC(bB9fp>TdQyj8hqvb$8qmz9Ziw} zie)Peu8`qOK0iswS|eD#dmN`u3j~LOgywlb*AE?AB>AXOnRo8BdSfjtGXB zza4pbXKBwcl(0*nCu@Pw3UUAdb@?yulDs3la8+rnCwmFxY9K*C{}EfY zMHuLmZX0c!1Xk7L;iO@=Ps`UXdN|=nm!_s{966x=dfuyx7l>yKjhH24 zubR6#dEQ35)e_Wo-&Z7tO6Yc?l@3W+>KF;3uWRU&P1__G8&NpryPl z?GDdfkfMS40eXMgRQdT|L45tL~1UA>rG*BRe}nGvuW z;k?1dJK5+)dgOh1)Ej#@!5JMGxAv-|3gTK8V6_zQZbUtM`tmOJ;LV&t;;3h7o@)O0^2_mn>{M*)pACvYNo!d_<5MmkXs)=lTY%8c40co8Jk`^4;RTE8K zScDpfq{L`%q6b;u|6T<@HQYVj_#yLMwV;OrQZ=t>F`;oU1HDa|hSe_IS^BVbAad1) z9)NCK;gY*_Tb&kXbI>JsY4itAX}M{SyouPfDPPV%x-61#O#IwwR2wW_S_-Iz9WEI> z#e>CA6Ok$s1^|q9&!8!1H&^ucjzDg(nKW;=#SCf-cln+VirbebzPK00L1a0(T!2Gk ztdV^(2Q^Hu#gd>eVqd8g`d-S@!w(j0bK07zAGo$+WB-Zk( zSZe(hpZvZmozz!B&Zrp5kyPH4#f{y|JG(6dbZ_T@U!+(qmuEi=AW>L;9`^zo`W`d! z3#ZqL3IZtv4Y+t7g(32>Pn`$E8E$`s5R}Q~c zaC>}n`Um8(a$p==Od4T5Ru*@_JJseo?B?`Ukm-jzEo~+4yS*z8uaJ*w6n05{Z4I#` ztNrK@zWT3N$!M{X9_K%*oX6c4;S)v)zjQSwT%i%V7sRd^FdMbVa(ytj{>`)o`KPk< zrtmw5phkuK8m2PnIW3WGc{Upw?9=i&I}I7LuN1K2M{LaPDs7g0NEcU498yfX?~&GvOZtsf-)t&=uG`W;V zMxW03M-4)L*sox0L1^(fJqm;2Xe(R~zVAuCi^W%if&K<7vAe(MxqsQ`e(LiLd93y0 ztCN01kH*;S!Qdq}K@}{vM@&@ZWejrpkRR?ucRDj9(*j_Qcv{5%XBzuQo7yVE(g#|n zm)(&BOa4h=LUh+OMSca(s~~3Y=bS!+TMV)Sdli1L&U`yY5(g!@5K{3>~Pj(r$QD z&?MM{hH;A?`Npc6dTJmm{NYokk~>FB7U|chs}bx+kFPm4nWzcB)7NP`XPXT@sH`KG z;0{XY=Ps>rkYQ<^68qsq!=s6XFP}Y%0|JHK#@FAMOujD}J;o#v!67{aBdGDZ)#d-} zQvFmL;_BY9=~~fcCr3ltWT{-AEIC~^UC5reI%##~{bZ~zJ?r5$=;b)`ol%3e{g-!l zayjHAY_vD5v?l5Ke+{0YUlasZP(J`WY%(?~aXnd(YS0|^NwX&6ubA-ZX1N!f zkp;4WS7UG{k{rh*OJQI{M$Ti>^C z2gdG$esL4;4FM(y(fq7Cs2;>|CDj&K6;z9RT9`G9W1wBf6SGoDInY0$EC@j~&FC zuh6YviQf!{%RYJ0(v~EkSs$~lWHdrNeg-|likywpUo&oE6lw_?{nj{HZ>3G{1m26a zGt=_IF z-E)c_S7q)w!T4tddHqa2q524eEzi(FO%-Q|xC1DXI@jvvce=*N?`;Gib8#IPi8MAA`L-rba4(Rj|A}u0}9{CxXpL zh+3hMXcyJyx2xYsaJ~l>9-o&rXndhZ2VH6L_^!6x18bXr%e#VD9S?5&RMBU@ilVxR z=pUdpkL&W$f18QHSR8wX~93!9>?MC@DbDkOmcC@zB*rJ;?Ydu zV#05ErL-aH0M*hi^@R1AeKO@7SJNBCz?oWQp*7sv2f=~yctkSLj{E-#h21`NUz{-R z8w|g_1h?UF-C`*GuqPtt;+rVhe=hK51B(%;cre%ZvB7&cxdZH9{f$BWOb(Xb9gC;D z7#iKeX@-8Gwh`G@XUobRbgk-l(vcbhEJbfkEvuhVJA* z&n$1u#yz<_<^(}|Zat;{{r4*xEA91B!YgCawWY2RyZD5 zO8&O|rhvAXr^G6n@nDS;CG)k{mDN@7&hoKD_9lMB8fRkhV)QsZ$X^z*8(U4@D|#lx zfPhFB^1ub5I`*oY{mh6swy4;@9=yjTH-4(zYr}t)l`uR1<$Tyan%*@nxNH2J_gLuU zK?9kCXh<_wj^BrM5xE98!edOlGHh+D7`QPh=8X9Hm5u0Pw&Z;N!2oMPG%3oJVKW4?0fjnnf~ld_GZm|^7r zF&Y(qhM3^n_G?7*Abzv+4AbKuIZ--02ZV!;s#Dr+qt#SQlM^&RXJut&+ldRA@@YSS z&OsEdz}C0Xf`%6)I{UeBL+F8gk&R`t>pUeB%EJX0G!}EE&bK?~D>{<%sn}Z4!)+kg zbcfMk+qI0!px&Aax@u|N#{tVAe{R*rK}E7(2Q4cIzsU(0=Gb7mI-LAA)^r0(8G=79 z-?dF@_x>-Wje*~SvT0wNU~L59?$iBgH%@ zHj8i;87QUu9y^Vf{lbo%tSj+Zk)gbKy)_Upq&3tx`1r^BPW1Oc6{md6m3esxyDTJ} z(k0K5eK{g%Ywxv@p?!*8kPy9O5pI<~D9``&m5QqoJsa(0QAt=CHDqN-tWuaPl2wV1 z_0nu-gT@Q-NHuQEAwO8xoXKW>Dc~U3rKb?KlN8`zR54x0RT+h&(*-n+|RW^k!6n*6&7Ou!oXVH1_pTi0%&unbYp~xceb~(Iglqi%zSr93mhf5L*_b02jVpD>4lF# zp-_Q0%H)%-C#S!129kYIfM4J;;uPWq0~>#S-tyZ$K#lC_)M%ZN?|7q`4%f)s@x1qM zzov@Of&8^G-e&8dh$xLfFcAY4uKX_A#lGZ1WHIvsbl5~sGUPK$M(`DuiO~cBb_VMg zVXzq2Hn>K@?~W8%E4@~SVVyh3WJ6o-)~30E#czKDhOss3BeC&s;Z{RCTk7bC!91IF zc$ZLt(3H}_g|JL53@ES(31e@lgn;-gWhoVPga!d9ao`^bBCkUn^Tm5Ckq7Rr# zJYASf_9J;X1lsU%J(Dpdx-vJBS30sv21Ql(i)jF$p0wf$$d(7jh#yZgm89nrege)l z6gAlwQrGl-L{{&Ufy2Mw2cN1QJjT<4>}f;K1~hQ8MCpsu$8v*YaEmkIMCEpgmLG|4 z%U`f^5sh_k?^R%m)Y7$Jo_7pIL?&D} zH`*0-gQ@9UO}po3`P8KrqFRPztR60WdjjRl5Dk#d$}1R#<%S~EC}XETzPFtFw(HBx zK;(fS_?P4_{Po>5WO~Sskn?)}928Wnb5kbS#=*4r0_S87pPC<@!4jJKrE=Ax`{qQ1 zu;W1B>8-H*s|XV!v+OYi#k!Ebn^{+rJ0S*;F@g7*=<}N|r(H6Gsve=V-i?I?Y``nM zI9koHZVV;>U?fFCot5ohxxhL=WT%|tQ`O?O*3huY$SgbNKH&Q1T143I6fFqCuty+g zZ)jRw=c?B9*v3*I@G{Jot6^I{jrW2J=8W9G72kN5zKnc`8uUS*KpHRS+;kkg%Au{a z_3E>Vvme9=6Sixz?o@q?d^Ifc%7~{b-+8y2j+`E{iT4Aef|!a>J>Q(e`8uW+IYla{%%TBpA{L>OtxZ&e;G&VW19i}d1RDYX5J-&$gs@^aGC%+G{IH@z zp(dR|f;V71^CL_(&RU?tx<2Kmlr$7Bw)T(Srsuc$!{%jQR6aAYY(qRmbcm%+yoDc@(;I)Q0XFgV zOxYz9V&1xdhJ+05YYTZ_$J{|ygV+o6WNtBL_e1*uqha(dc@&J%@&#ri% z@LwbRka-X{f4X}0L}CD3LK>7`!BRoBcApaVf&Ex>`>Dit*B*AY%{e)R!0}Pq2eKM^ zH+E@sxP7~A3q}}izu8ib0Y&qiw!#j>bAp)fZ5!8w%X@hGwBOPl7If=?+|1lnNX?~WuCszuEUj|k*;*hS=D>nrN32E4Ou3I0NX zmbr_cDg{RbZH;~gRFmlW*b)AOI;KCf?u0uG8%)8nkC6`7=Qc}~(y=@v{67t!3n$p} zrw`(rD|UvsYOR6Fje)iJ73&Xw2umhI!!(5?qf#Lw%`mA#%(W{+vFG%ey^bDZ-v}~m zt0*~>i8vLUgcb4jKECky^1$0K4U@ox*&s%Y=e|9n#(qL+Zs?+$T(jQ0N#E0Zmp9ZM zA&0k-+8!*;JegtD2tA=t%dIz-@(Ym(z0EY`tk@vc>#Kiu8IX-WVNmoP%J&gRZcH}c zfc-73!wo~+zblLfEMIG!(p%P46ka6HlVe%BnfFtwtu5 z8@$+v+zF8L>1Cc1EOjHe`pEtlq|x4YgE6QblQr99O1M`2RIFHLf3N=&(}>xFZ6~P( zl_Qn$vNior|9n7;!E2uY!9~U{-P*hvxHlAmfJ2`3*c({5tRxO@vaWK{)R8=^* zx|*ngk{#okJtLJ9=xr9SGaU0N$sRFb)4{Pp09tY^Zl}6xbkr)Iov3Y}+_&LDIR1Is zP5t4C%Auvv28up|+v&b?hwIovo)b{b@%7UR9bR|91fJff;M!uU{%&VGl8r1_PKDzx zopcE#zspjJ(QFRWTanWnh*-N^5#==D*7Vn&tg@oLq(36L84DLmmpW`%#Gn98u5ifi z9a`M64vQ0NR+tycsCXblATqgpXEvVpr#_MoJI&%%1=REm?9#_>XR|2otyIbst*w#! zXzLFT7dlJ{jr+eAGia{gYMSyOkw|+25*dWh*)brVN^v}7;UrZLrviL_ZAvILNC}Mw z-yt*B81%5WfIi0O-n)6~J>-IVbRj7-ruMZ4943`_uA)z&toLqmFtjLi4_;g)5m%rq{Qx;JOO8F>E6EA`% zlDF60eR8x~DZsdiNBgrF_Y2ySoVH^6bRh&swbPq02(rHNtJ9dn2VVDXNN! zl0}-^i=tlp>FMm$1%fmVBrfm>I4E{{PuD^LtYkiZVp%zz>cA!R4x)okPy<$;#1Nd&MmWf*pRN zF01?d2BQ`7kaPrwISFV@fLn^g4N`>du%-FHmi>Jm7Q=m#$TnuL1&&9tDPbn#<>Zco zH^3{?wr=3m^@jp*?YMZlfBE)tlLt?^Bmnpe78OE%EX>o9B#2rAxbY{}Yg_76Sp5Qk z>W)TUA)^4VB8m`g)7B$+h@tvBOCE^teQ`J-xFUNT@O*uU+qiA#j>FL}f?x^y{kY9p z9n|FE3h_)&!_h$h3H_7dB12r5>;F zGklbH+X)JzsGvPp(37`HputSyQZk$2Wg{QiS=+5`8jmf4PN8UR*uKI3J3}kZ#Bx0D zNkD+7$2WD*HF8CD>4CvNN+Xj2R$;vWQ;F>PHmau9`uLs=YQD$$bj*&yRPFX4xVXh< zdA}Mzd{`QLD*tt!q3`3>j|AZuM)OGa5jiSl3v2 z;)akf)2A1=HsWTrz=Hte^`OIBlN@X`ZejkTC1Y}H(y!455b~FOYnyE>S)1E!7dhcI zCk2}tn>;%pyE=Fq_m<~uXq!^Url*x$f9jo%rUdy{XGf7+bi^};6K8&HtX~ct-LpKF zxf7cG1{N1`j;Y*(KzXZJs#5Vw`-6>*0n*x&V#3NLcnI(~JyR=1!f)Y;f;_w(X6QgL zQlNB%M}K>2Z|RYv?TiMU&ip4ZK7(r4Q3Tv8c?Ww5s*WN*{(mf82|UyP|KDbWB=?cZ z9dcDFl^mTaBFa&7Rp?tWM=}{UR|=uXDp!{jxyvv++zF{9G&>LyHuuKZ{_pkse;$u| zWNq{Lyx*_)>wLbRuPdi_ZXBBuNzXs`Jn4UO8=W368b!pJT+=uMihtzz;OpG;Xd)0W zJbH{jbJDXFsaXWHL+o=L+kG$WgN6j0wUm0U?$>Qa4HXWpO|_Fo1YuS<2edl<@WF+G zW>XrAbH5`$)KdWa3Q*-Fvp_mb%HW=pN`w+r;cC-kV>VO%>jNVg9M(B2zN<0r8JY?# z1B%-OidVv7DvOh;t5cy7Yj*b_Bu*MC0lF$kUX=ZiFxZGnMT^{NUG@G_pRZC#nPSJ+ zJe)~|bqb*MbGv<^sN?OXmb~Od(zksbJLjY%{{FGg->Qdgb{kkudqrO{U7U}e$L>9M zDB`FPHG`62md$90${91mNn$%1+mQx%U3k&LJUQpoI($a_%T~ z;h#6TqS9GgW{5`#fmAVKM-r_Urs$k#8X!aFvw0Jf&jL9Y>UO(UJ1bHQ9z!|vMR#tu zOUbe7c6|A>Me2YV2$(EeONgflwRBH^pLZ!a9z%KTg1(PG>~~PteXDG1C7$n1{qbS) zfW@!{waPbtN~BG?{e&tt`t<~%zp=vLr}98q$*=c2nKldNJ5L_$a6^Qxo~|DbfYS@t zI?(()3zxWE&N@n)_p`5tAG-RvNA9!wd94Yf6S-}pPr1i>A!4?F9oYi5fcf21i#%(V7jag%?Ip_OZ8ze2Z+W{3g>o@sB$&*I~f^#p+4ELbfct_i3^*2wN zB5a#Ny!h0TwUd`LYj01q)P-tC3?3&gR9P~0d@h%aEelk3GXS=Y#xOtsu~OpDu9{y( zHU+U5?&x_R z?NE|`cjECg(%=Wa0K5;xT*<%L!IGb@LeMX9s-3Un8`>C4 z>O8MyM1&i>{B1e)b#jm6e*1-xEjIMey&JFF<<)fN+&Za5NuJ*P^BwWf9hB4h;g!!$ z4=-w!1JNC;W|mI7?R(97B=M?_<}mg##we}qZdBg*asAFMI9UbT(sdy*sqO4jy^2-<9xlmHH zkE{xOkF1a;7PxVB!_%jdV%RAO$B^x*NO=DD!EUBgBFtYE-5)En4W>q;C$_#Gp#NgF z$h`MQ-!ifXZgLsZvNpl?P-r!XXV<4-&{&>z1;A2%)n$#32wp$a5Un{ zP1d1QI@k;V;rz!LmHcmK-Ww*A-&98}tj_G)I(2gn2Q~{0M0a-6TP^j8_GqHvtW=Jw z?z*0p@#&I{n;&bs!OCmoa6k;)f~p#rV6o~EZs);GB6ZSYLnvx!MDwl_iM?Y?yZb2U^S>XanrOy@b`QHl*@C{~quMI=qB(If>l zyU-g96Y3XcM<#n~2G?@e_fMwY_A!H;j@>1%_O2=$W&{5eta+N&tg$f1DW0u?_M33ZIw3s* zaqgZ=L!~l!IKYSmRuf#nMuh~pm91uaY zUBRO&-IKuYnPGh1W2l&k2gKUH<5Pge01*9WGPu7#2Ia~lHXgwqN$m;hMINlf$hNXY zMj-KRcdCBndlb*E-*Rg^fs6txp50Vj7f{2R=R>WbP?4y3tyH@>CH1GYo2qZ#1ZFcv z&4rBJKoCjw-k?$Z;3T?MyuIPo&KJ*=HD#xyz&uV!prz4&`eTWUEN!wn;uGE zWLLUp!#=Jf0lfr}J^H9P!Wd*2tqEu}D#8(FZ1yJwA2?CtM(Y@UY9ncB$e0gUEZRb) zS{AHWU-p0qCWc0qdZ=p;GgBi<<{bsXxB)EQ48YV8enQ?gW5sJXUe!u<)#a|@hfjoM z4J>4zm-S1?EuM-ZI;50EzZtEfo(;~ID9UwYbYBX>QDlOpWX$TfNd#^-7<#wAezw*? z#@4r*mkwx`0y%peR|6;eEchFPN(c-E=84iDPwo|}Y*5^B)2-jcypS&LV~`l91t>XY zWho`06F@ddnkf(g;aQmT?@PuD)ka=3sU7((eyTIQ;Ko3?Bn?s$+@!5&{6t^Z?zp`pz9tCCcvcDz5;qs=zV|&ya(&U^L%;yHKouH zQknkRK5YCCmsQ`Db?YCM18ac7q%(Uln5Vp5aG zgwKMKo73(L*X%HST_JkD=@rz63DA@ae#SKFl*?<$8r^n+B7sJ)in%{$1NThSN*f}! zZX->4eJq3^nHTN-mP)clfhq!xgiETSw0jZnT>*pqogRX5K8N(mTWAtvgBJ;vF)J;4!G0iZOH9Y{XQc0|QuU=!X`L6hN z5`~YY*fap6hm5bD?SE%XCIcFUD{!4T&j`cR+Vbnp(Rw%@^n&Q7;1)$Sm-fr+JStN| zq|0d=*wmFqND0`|;d>ANqIe9d^3K4#Bgq&0Mnc;RS_Bz9TRSd!iRFuKq(2;Q_yMf$7IEiIi!$A9CGC-63Bb~TCZ%aJjv4U$z~be zx$F-AU<1!OcQkvXdc^#2pVw@L>g)qRcAe>=Uphoy-oNJ-3#hg+U~gLe4vmph>yT`u zQYgq?P|0ncfC)yi0Vuvjl39+@(}Nmi;Ekg+IY>UGS>JHVV4!s9SZlapsce!Dv(dpk zkv==2{F+6cxt;kp7y9YDghH-?{-e}k0klNgi(Jx>q6tHXnQ7jyB1V~DD z1L!16w`p)?*SkO&gB`=jmc1XaO1m=Tp1(c8HsPI%f}a~uZnMjdYInnr?i{HeA}Oa5 z?%nYLqRO~-01=|yliT7T>LFsam@oM7fwUE>FIjT2wy1uUv6+Is;>q6}=ix44Yu&wqzM$EI!|x@wwBJTV@^ja#{)+jWl6pO&tN)71k&pzwr@T^-d{uJR zimXA@ymEvDNgH{P-*#%-cYB;Zh*4N?OibdPh`IuhTU)c|0yr3$SlslGz+g&4T+_Lw6 z!F9Ird6WY_ZjC_7@CyhcJFx;zC5KQRCaV7RJiUOZrQCm?->BH-rRkix}3Uz}7s1d-l@-hN?jsyI_-rmCX&O%~6Q$=9v-V(t2jQW%47M1MIt7 z{|?{}t10oD{=C>b+RDc8(5YL6zXuI6F3RcBhphP=GeyO$caqy$QDj|4gh!l>%83{- zznVT-#oJk*DvPVVzRID|(%4&$FbQ_LowHtz=Z9r+DAX&u;)l-(Qv`SN-ndPE9#|S<|73Q0JOAGI=b}v#=I;6zv-x8kDEd?D zm6L%$O#pWixJG3h5%F_jZXu>;&}qwT$>K0|`$ZI{d&?`=vrRYP=4jHXM;~kCdocf1 z_YJ#j?lHVpdOh<+$o0$ueuZ)de2-`&CzN_``|_m4cwGd-QvR7u$@1gvIhaC=V>VUnm{LtFUN&z~Xv*Sp8>f0~R z%#+sZ>RpR^r>b?AVHR^wJ_19}@-U_~Vt1x!1JxNLau~MXwBS67RbhI3Dv~~HRI-D8 zzfmG&s7wkn`c;A{9y9{F1~>YMIa>2B^djjD$DcL_9-T+vWw+1mk(!b(xUzdWMDEe( zt*I-&c?(`_xl@kc$r+~sg;;}n% zWX$;*s|-pP7vb67=v0MeAbdc@tSQPq5dH(TZ1cjCMxOuziNZ7@IVQ>`45hTKIr381 zbzY60-rhauYmz({5%Sw5rOCm5h)Qjf1j2y|EPAJI2R0K}4A8Z~Gj7<8HCrNY^-Ni&MS2aEIcpug?}$8@ehKwo7<)Ci zOdOfmU*-^V2{GAy-BbO+uxcOYtR`aRsvai@sksbpxuGkZJjP-aT0CjJj`P++t!2`* zA^+KkpOI@XlQt=t5*d=v$WRVg&Oc0!Dj#;dpIYxQ{P4R@X!Q_k?|ZlF1qZ}ltBYEM zl|3LFkGz_-_X8K3Qa`;?AOnFZoG?3-^Cc$2KJ~X@I%{R~w(g)v$pBYAB6-)_xqzAx z+w3oQkS(>pc0!D|LUZGT-xQwlHw?UCemZSXrf&vw0sugIHtJpg+-(C%a_K34cocES zuk(ucWn1N-D-T+)Y(MqA6LsXtaq&^{UnTVN=pc$7^zv$PnSFZs6y%LYkZ4|?9PMBg z*9{)f!+%#ZWY&Leb=CnkIC9+?klZdNDSNL+1xr4n*K>`c>9yiEmz1LEYqGGTo;>FH z1ee(wSa{7%YNnv4XSBgxJW1vn8TDsajv(BGvboUTl_bNk3hO^!EKQEw0mOUw-9#`Q z2P4s%0%F#$x%)TkHgX9BMs)(*tB<95YI!cCq5aF@^I%s$ZfID~Hg_Py=WJ2v(F@B8 zW`M6`Vi{qXm`$7WHAHqY87nYp!37jUCAuW4>Z2U+J2B3J-z=sUk>yW&V9dI zH3;#R+m!}njf1YMUY7jCCIHxSyP^;<16l~&=w}m6xWFW^3GC8(zyscrx}8QVLS1M4 zuxUr3>Hi(ONb%enp#7cb(XUq4+1;#2cdyL%h*(xAp`z|yfTq%BNiD0P=K=u*?JZI# z8GAp@#0p_tTL$tc`eSUOlBk!C~{A%AWkn{JT^o) zz3=M#)(lm_SOTi-9Y^J_RNrdHyB2@6IS12_fEj&a>pjs%HIP`#M?1R_z;_XX(x#wE*}m&G@GnL7^DoWs!Whm|n@fE*x= z;a1d1D&Gft0pf2efiN|HABgL*CM{5@Ab=zaHwX2gl)5D2hC<;Z`(EG_2@{vU8>t&J zwjN70vyDlWBl6RD%o<$aUvZ7p9`x>(?U)mwQDx87 z-gi9eFqrXqQ(B<(XJz7>OCWpaac?noZtk|!AwTelfa_$)E-7g6qzCAhO?6!sW?#sV zqecd)2K#v@-x%&SJ5&2GW$JONDqsjA+`FFos z%KXnylhl!Mp30X^g{R3crBSGn6Hn|2q;yGDp_fvL5RMoRFF&TcDftck*JV5KVFD44 zLXXW_l}q(O%*%;wy1dU4asDWp+VR)#O7zyn z;Qs@yL5Kx>j}YT+&GA2%*<-4TM35l|&c_J;4zkflW4XbPfl?$C2y-|~aXVf}_RPc$ zfWTIgMC7_ljGEV&A}6nG-5dCby@BZ{4fAm;hmDsVN=XRF8EOn!P(E$*=>c!3qO9+t zf4==PD8+$WLZeI-Fd2SAlmhA&>dc&blJO!rb+AVU@IV8>tXm1FSrD=HJx;prM8dzC zYFYKX>zVhoxJ{9w+lS+GQGM6 zx)&QFI_rl2KBg}<)xy>OWLhzZt0>S8<@`z6ZJu>NMgY^-uPr;$QCtM%A@B4w zpF}P(WBgJjP-oaU0+lBk-9rcI)P*cB)?yx8w=$6kHmo%Wg*jl825c3>!lL!dw#Wgz z>bveW3F?}YDVq8hSirgqK!prV6WC0YXIuqrfU|biU__n(&I7y7AxWa@7~vdL z6hcWc#{CenRs2@PvNv-5$nNtPRA_;w)BVnT$Njj*?-u+7N8IqHmM5|&LJ)=CqIxKx zrob5MRp#j?9N049rC9lRnPZ?}Q11ZB{J05@$Iu%`s%O0uR97+|cQ1U1kj0zMiP+oN3%=(IKjt=3{`5E;53ALiA+HL~K zBY^(|o*;?*FUbIY1SwGvUjpL{!U*D2s&KBR@S?xpr12VhU))H*-8hSsD}L{XT#9|G zZi6+o`b+d_kqx@^M;dZQnMUU#U^@!AbjQglSMJ$kyX?tqHdEGzGC9vS_aLprY_e9y zvCEs3WAf;$%(JuapKsWnU5zTxo52UUJLtXuV zZ!}7+&oE*nP9+w4wWwsD;!)SMF1X$FNHFmp#p$|L9)w?8?bt1XpuME>E7i@8-t>;! zLm*U+z1aLGzMRA+^nXpEf;8M3_AYSDLGB-T&j2J)`_h8Q@-}Yn|5gD1-cA!xNeXq7=d7XVtwBm zd_7Ln1J=`UuI!g*UfXUp0iLq|$`NDB&OEoKT4)XC>o}j^Q-26R1w41DS%!VDGpdNb zj=~z9^FB7Zu^&jWiFXJtEN_Sps93UFuX0I{ckR+SxFtjMt&^THlIE%)={`SAMxjH; z^x-}-SWj{~0l5^#_z0t@9Z^w$MdPD`;67dO+TEf!l;Ygu3j{#FAZ4Q=mm)NsUU_%4|v+m|r$_)Eh965bCif_R}AYi5OJVsMHX2292~byt$_)auvF z5|BeiwgIn{q_r1zvafTEJfO~9xCG1sZH%wDalw=ANqMV{ibRzUZsV&=Jcz)pd%H`> zXq=Ih0uE1je<1wqBR3PvIj^Po<0j#R_)JL@`IJEq163H!>h@{HI{9SG&wNgB#*O_t zF1iE7M!#YIk=Kk$N!z|r3<c&R0Qv$U|VK|>Ca z97j!9h9;J^IN?bK?a#s!2}~vml^8N+O}m}`lg5W<_?w-HM(nrm6un)z_m}yLevP`- zSH7Po9+<71U%VH6PD~2B@x$g=JFT16$vg+o%>^Oe`$HMeWz=p>dn}YaOY490mf#@q*k5H}L-gjL?v|i$6tpBdqp9^V>@E&~M(SSn=UlNNRA_6MshwNnP7Ev8b z=QOd0zG`BtBMciEYS(huYT2u8Ho4+Q^a?IA6?WPDi!Vm-ctSE)QfUteH-R#t8@`vy z*dy}Y<%i>bSi~Nym-EHAI*!e*%R8w~(takQgQjE|QLUf|H;8~V+3qE{a1)O#h*bzV znoQ1K?`9cVCkC5Vo{Ax&*a^SIw_Cc=>EutFZ?&XfYlhi^7??m~Pa8zJ0m}-K1b-y5 z_UIheevc^J&lj7%d3WwVLX_l_7t(AL*=e^s$YpL|lQ*Xw^;nDF_ABPKA^B@!6uInG znIQp9nCtB=IC|HDB{+BT7KtM*X&=K+L}mYC9;D21x2^qmJZ)qvu@{BH zQ3@c{)*7Gx;&d7qY# z|2*k!%8U?)WDg&HW9a6a%j=kQm9k^Le@E2|^n8E^n_{RTUuARF>Lt~{;?~^MljBo= zK8R)Pf!)i$hzdVd_|C@pKEz9Qx7T0L#F!~Ees*znO`ul@{nljmn*+4l)K+z9m||FE zDxTs7kNa3?Wonw(;5#fmWnOr*S;JPAIMEK+0rtJvQ}9=SRBZnJ zn@{$pe1%=;qboIv#<}Z*=$IX-4eLDDiVm{T2G`>@LHzqgNUatV{FKxs9HvjXpq`Fr8iFc_$z z*sznS;!Ryd-kN?K=&dYRdD-!JtbaC1^?$Jg@G7I+jUc~f&x_NRCvjUqlaFbPSj7-R z*LyYScj5J%7!Vglu_nfWXH}pzV1dj)P z)|_!=YahxIv68=}ZV3i>fN0AQ^&xh-{m#Cs7P5y$bC|pDb45tFcELp3xZ`bzqs&1l zHao-;u$%^a=t(p$?VR$$et^|pG)3dTN@}=`zWMwHE<*Z{d7t&q%7FOE>=uo*eJ5_)`dY|}*LK_&YkPE+_@LQTZ#RlIuoyKCLzb+G184yAIev49NXN`ndECJitieBRhlZIH zqlh!!rWzYVBBcA;TA2A9#bg%yZZLEkgD>E7jZa(j-{$Asgp4J=pq&4OD7_s$D&p?U z1EuWw3FOFjKBQ*Yo^G%69!;39tAEWGor`rSX}iGp&RZcV25;tX+sC@My?)-KkDhl< zTLPjO5PK??cYb%x>n{(^M|B!KvUhvtakI1HPgB4l`>0t{ac%oGqLcM;L+qv-_!#fO zNbC8iLo1|NI1FY3lax5(!T3AY+VQyIxvkXE%spsobL@7(-pl#l!~RPV8R&m8tmV2? zSjt+lc4Ed$+nlqbv0*Z5AxoZKhQ(_}@}!y)im!+|^qy!tZu_vCI5+-$4X!x)uyNe} zZhm@MP9R?oLSWE~8*Ri=cPgDIhuwqi+zQC6NI*?XWZ%LhkC0p2(#KcEW)Hx&GPp6J z)+iRI=WgQejZOON)~OlNbgLL~V1T{EPg^-hN6ad2nbI^_r?~`A8c3VsC;X%_oxfRhm z^8tRSs~%9FILgKAu3p{?Xp$1eflsr3pHsVYl+&7H_;^e3_(1h;O6nK2>tR#hQN)#; zkb2fzn5$?8>`$z?Uy!L~`)OxnLrJH%io7au!rC+3+H=ZUeXo~%R8y_^)acyjzsrl9 zH>aYcDlQ`Giwj*kdn7t{5@=i#cx%H7ZdjHi`T`y3b|=O}rg=`eJZEnB`3a)8dt^Zvpo7emz0Wtm*@Xm*zW)o_c!w7*qcrNqQqZWkuz<+VGN57u@L%j`<@ z&GXg_tsf0{Mvj-oYWONTZLglK9)DR|iTD^rlCo(N)e&VLfkQVj6vim{yv=T~Q&4G0 zNZe=8sn5~<4C%z``6MZqRlGqv;==fhvTZt=Ami0lyJmIQh4#y(e$)_?B6e&s@mjx! zt;qykWKmq}^lKL1aGT8bP0fDA3(TCuLk9r4JKak#cN|5Zp&uG4pv@O=PvB8;n}-X7w+vF7XKD+6Wg0cn6`hk6&rKRUY0>r0a5iIx|aNb3(kP z$S);8%9~I>B)<`C0z)nJca|wE`h++tnN^S`J|&%U&aN*AwIu1`Dx7LXx;$vj;0}7cDiACvIK_hvDK>iBGv~W9$@r8L8GnSGMcT%SD_pv8#B zNRg2tfih5Q&<~(N{#}MBa;O&@5&Pf9V_$^PyX#1$CqVrRb4M&MZ@;QJ(7=GrgJE|9 zZywlG+ONv&=?(5WC!g<3I~;W_wHSdTe<%#txz`9~GT?Jcf`}YFVBN3h^8Vfo5C|{w zo=-Zjb-b^<++JjB{&#LDuc{n$+t>ovBbz4v&JW1hh`MC01pU!X3}!;P05K{ z40+~Q?cm*%fkVZQtv+HN-N|?vi+DbiZC2oybm~|p8!CRz?!mhd)D<2hLg~3S_Oo?C zNA-2y^)054t7dKFXUY{>8@`!$yzKSCP<<4>>Wew)JY(a<8Ix#g?$ItF}^7! z2NmO?=GlU3y%>ryMfsbrXKBB?e5``brE>WsL4PWd5ixLFLzW1}mGShAgh;?z!k%(! zpOiK@fkNMk8~Z(_vlRQ`pDH`u^$Uq zPJn}X?LX!VPa!iG*xWtB(Plsc7{0fR3r|-P&`#&WI^fycIzq#JIZSUGZ%GuGa} z;e*5`0^|2Q=r$07fC$J#_UvHh+3=o{<@gJni!H z@p+l=HLnbtcS5Z$Tuu&hJi8{aA6R)X>Ob9f@?Fc`i>ep+?q+W8SwsHpokguNqT)DV5~VHADB(yqR+QshYLX z>+fo5{W1^`=U^)~V6|hJu}F~Hd>mje@s%mTygPG+4o~4qv9VuXI5PbS3?*jY*As*1`8Elg zGc1qjVM~mw0J(>~WYH zoqhy*#6);(fchi`eb4|*&nBL&K}g_Ad$bMcu#FK?5%5&F zj3#lO9-UeM>doL3v1Q_5CsE-*48hg)`+)hDJ7?JR^6zWXBAd{4>)`t_sd9{P)<`u6 zNan4$s-aefpz{j)EW9emBCY(vppf_&dVw2IQ-9 z3q0c%BB)93kqtE}IpHvA{j#If<#e~cLYHmH`!W+qerwo?q&+{4zbgj%Z!vuMvnhYV zPwzV3C8xaesbw%MB=%G6a8j15_jRP&;^T5T)kJ2kEbMvcq2-8|R;NfP;;=jX-hP?! z&)w^;-Wx7wVAXRs#UHgGsko5#6mlfr%3kk%*5~{C7xRIcL;ldW+ZXM84@hGr(y=L` zuu@ZHHuS5M$dTBny2wclNfj|2Ian-}_F=K$;d1gR87uX~#^Y{(b$OE-vOcMjFJ#W{ zaL8o;KxpG)H2&LZ_|fG;eUK;oZF=IYtop|(@BW{pZmp>cr04k_ag4mFnYSMAqTD?* zth9221lE5Aaa9(=!D35KtlJw^Y%m-5n({EuID!)@8m>{6%LoANPiFBT{*NOmJujw@ zL`?}Aw%Cw1pO8S&7-*Z*o8kfi&c$Nauglxod-V~e5z5bV?Y}3yjxcQa&W6?i6d3U1 z(10j0qdCmqa^UC3l_5UrSW3uAzN>=8KV39}54p&r{sU8!gvjdhECVY^0M7-W#prAP z8^}uRfwNi20`xlD7*TI%@kx@!im(K8pm~>teFA{M9Hdb_xB%1yzKuqg_f;!e;^ywi zyK@x|juef~BQQqyBY-EK-1Nov0K#$%U+Kw973x3)$O6>O;)DLFNTCe?Cc>2IIQzAO z{bSN`fm@yvTn068*)_9r&D(bM&Fj1?{z_Kh25)v2q*5J#(1(~DM7AR53z^ilVHJSX zHk1L73B^vXHTUR0fOaxV*cjEOTjZ!0>x;I{mMJz_t@m?mWk3AgcSdW;!RAFwu#dK=J&arC7?OR=_sd#DDfVX#_&}BR5JY z1@JdQ4~oFi&;gVYd8+(P!8!Va>4HmR(e6r7VqvmDsA*`O6Q%_B4@h146$ar;bb!Op zVfvSR^=vA>w#qAc=eMR0w2g4jXj7iCM-Q>U5`xT2gY}yJ$&PhbwP2~B_;L)J&7LLX zk%)uVjF|trfsLZE6XvoCmP%RGkw(F58Yqb%(W&S$dlY%)q#TW&18xgs(|rsLK`74d z&pw>Si74aHwZsTSVb$TE+x5c$Jep7#KFk;;n!u58rJQE^a^EA|5F$e7UeEi^#9Ja8h*FJ#CqTROqh{%tfU*!){h0%6S` zcpDtB^xlx0!HK7H=p4z~2B~<-1KQ&(qW|0;Y>rdG{JVNZ8L5`_YL$TC2 z55CxFYM=3W!kby5D`4x^Lr%pY0<8;rnM{S!s}+3qG~mW~dSYMrvS7+6O=%-{7hlo` zxWT=}ln)j5wlU=-g>xwn4qfjmJpcTx>l@N;`cU_m`9{OB zo~oCnJ~A@<9TZB|)B4EMUZ}0Tsq>QRALxbHw+4#slap4| zwT}wj%KiGfqVXn%C^`PjH17>2LTs-%W$U>^uykvOX3DWf0nzg$5*lhA(b?YQ5{QL$%pqKEpO zyZ|T6NZvEOv36Ez!F6u~Z4>t)KIrfTOwq0*ggM!VpfnDwbmd8X8f)P+;44;Yb!_nS z0Ib6J{9D@V(r;xGpWlP39Pv5UHq_r@jooz=HUqHR4SQksiu847w`er%lu;qsl(mFw zi=EckaBgsSbY+ZZ=HCkVkjNK!@E^-tONGrgff4{eL$CNx*sAc&(&qI>oUhgi8R_0 zDL@ev;$7Ut6*A=*ZR%ZAl8~IuaUV&M?0XfgvFY{>2(zDFh2fFOW8QY@^9gz zTFc*7ij5lrik}ty;-ryH*GEmQ4ikIOgj!ETrFR>$6QCdd<*~V+%GjVV4SHJK0agLn zUf^4@cds12M`U;6s_L%lejf9$^N6@-AVSMT_LVySy>9JqaBwr3U4k*>K^D8Ej5R$uYYYZDfxf!Qn5^E~O%ox1zZYpwh z=^n*+U8F)>9E@z_Y!&xyj}9N+roHmf?vhjOn%Oe684`MxgI+=b8)mMwnHb3)QBXS` zaz->CqB|cOD#?j4L=!miKHQV|p&TU3kbL0zgF;06Pw&W1vF9h$J!hPE1U0}8oI**; zK`!*|yeb$sw2qpj4;3WAUYQ4>-J-R|IwOwF4#~wC{<^-@DFGX*Rg6NQ8Sau18&x#F zN3S2bJwm8`CAV@p6?V+~Riyx#pYyZg({ZH}$f~jT&Es+Bp8i%ZiIZ5jpb$( z)}QEd>)|LiTxx>ZWXo>reCXMk`^=+E4^E`9qc1lyksI$9UfcsJGc5-=s9U28#-L@} zOtwx5!Forh+AWNKWWL=mTTGafwrUOKYRUm)ZPMsYDA-n4{}a|?1vfyl=GU~FLf+67 z6rbQVi$Ze>e|a8F{(Czt-|mWIpiju=IOy}2Q-t?^K@C!xI&m2jSx8=ODMyhu6(Qwe z)3Mu|17Zd}+*Y?BQ$92?4HWXM!VL*zdq373z{CS(b~t+A)qCjnSa+yREY`)4S_3xA zCG%Ylzra4frXL_hI_W|I0hzEdReb0u5!gT)+dV+sFCpadsV6QpHgcXN^2LxDCN0M! zLr!R3epb-2^v>6$xWpt#vhkFW>UWpH^e`5utHtd@VQ?wCb+yLvtWpEyu8)S8$1&O( zXL)9HC!*&mX3GZ6RNZX^f?mtPf?aQ*O3vLb9j#=&a`Vjbj*Ve>x4Q6;Ml}xZ)m!m_ zRn|}L7hdB?cy^TMuNw{S4Q&KfYK9ZNR9C*I`b|7ILixUwydSe*PnjtVM0$^F;p5H&bBi9;;|HLQr8oV~!lYO?# zZaBK}@G5J0%oTskMwanA4QCng^WL5v|td(!WKHk(Ii4BxaCIU_J8G;BFeI^+? zN|y7y)b9m-D>i(nq_+I0NQENyDNOtf;Wc3W*-mF+%3ajl#*IH!Np+SChvNS#l8F|8 zy`4M~6gVGEDzF}h{pb9PCP(|7JWysRDf!bet5%i*Pr&Y0ZFDz>|GeTh1L_K*>U;<- zkX*D@()8p^#qB*Y4rv8blEa4aUH6j&uNDI)T~#DwvtCvrNFF8ukV^+d93}l+O=&#* z4)3#&Osw09xIe4Q3%8R$+Q?K`VIL+M+%D~x0e3S^s@M^K?(II&$DPUAy(aj`u2-r` z$1#Aqv8#3>Oa1z;$DdmJ$Mx#?yk2~b9ENpvLiMX4-Ef|JMjfUf`=pcBe>%jAwc4cs z9lz^+bH`mMH-~7^(9&{rr{ho8cP`Ua>spj!BFC1CDdNTI!oCIfSk9r+9-^>~5~OYn zQRq`ptvDG?sD?o_-OJDoWxToqfQp7_lp+ZC02BqJ(*gFU_Prfz)*x<8o51n}ZlNSo zZgt>V;T{a5e?1&h0aYOY#W_;ntPj7yDK) z&qb~x8~+7ox7uR!f?{ja70@dxN=!KJl5&s8~}^DG43(@2S1m-k)Wm0bDp0VR3u z`@_o~u$(~Z$2T$bvU0#Jq;S)P=IQMiXh~fN3S`M{67_2@!ap%gIaM(^W&dn-+^>E? zK3j7(Z6<7uj4`D|q(FCUQqT|&LUYw^p6PYQZOFFhvOh`jbx`9>+J-9rc==)E&VrSr zpf|hcO~=#F$7bR6!S7#^-P{B@%Xh*yJtBOMB29cpMVz&P7gO`-D+hV=7#AbY@f~{C z44HWcEq^HQ{KdY{S{wTL_4n3#j~q@^iFdrrkywAgn^G6xM#RTlxfZT0D5^iJH8OK; z5d-}S&q!FI7FdmqrO8teA#sQE9by>X+-oo`1g4Bk^Y*-a7)sb_vt6L)*gxAQQ*!$> z$y)%L!yu2w3LNvuGY$FWyF-INZ)TSong8uoT>IkSY`k_`XJV|WQ`I|w`y--SA?yB+ z7bN;@oonK(nR?_^4641m>%PFG`j|=&{W%wXa$%ZI9b-_sRhx30U#GbtM~EZ%&FPjk zmzzWd&)6>PgVA@0QSnZr9AA7iKI}4brvisatWE zC+a?L&5z!CtsRd?q4|42uUB?Y96=GF=XuiD>jQtTqmGufd z_~dd3fUT~s-T)` ziM|c!M;8u7-L~yOl+S*F;K^MRcD?xC|MIEhLtg26QeGbrp#ho32XEMClBOXKuoQzH z+|Qn$-$Rzdf&lR^#$_~p;rD#0)D()%qZmVi;pWjC2hn>|whY4GimvBp{~!mA4}~w@ zIcN>|%@qoFm-)3R{at-(>PV%-YSl!K_~+>ZPdaGahFxltFrhJx)l{K)O{UR&B z;cIAE@0{Ctw@ZOSj#LY|I|pxCM^Jm$%wpBEeS+TiU0VM@Rg0A@$0^^0spwQ(?CW{S zwl^x@s?sFy*Eu5dHff>oX7o*YQ>o!wJ2-mC&}amnQm^CEAZ#lXV!FY_CN(I1D`-5W zwe|$Xu-`7aiPbxo+b{jN$gb|XIwN8SOe4coR3p6)6Jk2YQ2fNM>kMAXp0?sdnPDxW z2DZsjt*wi6R!V{_kpr&hM9ex%2~qiEy=T3dtK))eV`$QPH9K>1bE%Ix_jCCU1|B(( zWGWKi-grBkgJN1!e#`z{*|G(|4cC2+#C_rchS%J?^HG(LCC#@zYf^jX!BKnoc)Dj4 zJ1$$t2)$;jHo=V&Z{FV*+_aw`aCj`=H1^Ks*L^qZ%u!!AJ~4VGmGR}t7e~gS+ht17 zTzS!lCTCq@0!nq9u(>7{CcaQUrts2*%|5A0zKdC&S2wP9OzvY!q;33SmRiDjdn?iN z=6ea5AO0msbB{js2lf76B5ze;&g=8Hk2#)E!v2Ae>hj;&{c^DjAqT4+**%wDvzO#P zF_88jOkOo}Lw`s1Qt7ocN?5X}vvn-~Tig1~W4#2EjJ9Z(yTP_0NuLjY%`VH#6j$aF zEjuvzP=r{cqvemGE4OP;c{`usZ052V4v^xe=jRVN``NX27(BJx`z*YqaADu_J!paq zt7PhQp(4u(%;}}H^7EcgE$-vUj5&63MWGI0T{>dxZVAG{BQixI{MN+T(a zQqnCVEesfgfs{y!5+b3bw9<@Dr47lSQ0=e%% zD3O#V&vHV;vbER@pa*G;wp8K0l;u=N6|fsS4!Im?PpkMt`)0m}&SPad8xr%fy2@+S z)4`LUB+wx567JDXSraV;6?e4$P&LKW?S<$3hbs%*%L!rx#VebeAB3ncZt*dNI^LEI z?3{gRf{3P~WV~}&uuq+v19W>^i zZMKI=7tIPcG?^LE-8*dAelqpc4i_mbNXnXJ|LpGzo`r^**bFy7CTF;^thHVgi1(f` zAup@?Bb`pNz+6*B8tChMdiLN154Wp&m13S_;*mYFErHHh(g`n%ewuNsW!i*tVZrP7 zNpn#h3N%X8&77vasLjE9mnJt?k_$p?-DF){)jT(Jdw&F+uv61{!q^||IToZ}iz3u7aPT5c;e&zqCu2g-&nuXWzX+uyM2?zt z^TsivUB5AGPwoHh{$j&Fwe-eW3WhQR{RXmpX?YnN#Wx&)xq@Dr-0+wFZx${%OYiQh zzW$JY_xJ}w=O=@;Z}~$H43_`Oig^2Q1IbZh=4?-qM{+u$;ku6-R;454FH1tq$0}t) zKjJ4NP*@c~QuM`9(}>ZTq9crr(iQSD}o)xNlcGj!k%h1n92%;rM_2Y5Xkf6N$buF>7-RH%M|4{z+J}pp@HN-)Fgp(6mraaamJ(3p z#+KAW9x8g!kLGivNcq*Dqj1*ZU6}}hlxw~%a9~i#T>`8dZ2*8Qz;;1&eqYrLcm4fvUtq3#eYa|4$^gVy!i$bjV%P6gIXPj4 ze|#=ay$76!HS;&1M&`MVmC`z3vp7w}l5+JF*tw0dvg5kF3 zD|TAlJGz?v)fq=GjDM{>In8MSn*WbILV2u;zG#dhXH=BW|YzsoX4-lNG{ zY4|>GE{5(w#~~FgkkC^x@neC}4S*^<&QJcN2SUX&4__I1v z+DZw_m69<|g|7PQXQSh8su-W?odE8{%f zfBiM_9*5&d#F6utd=(Xw1?Qs?=4!v^(oVM+Np!|W1IvN^P4k52muYBu0i5lREM{x3 zXFc&JW=|;n$UWm5$EiC{aB9&qzg_2*SIDrTUn^8jY(X?^YZA71soD;P6TAGVir-7&!YOde-?2E@uTA{71}XXkv;N zXawE_woIL30e%)I-B(xhO)w#sWaM0r3%;TAj89+BA0LX#LWC*^-t< z!y{uAQZxzs#uO>o0&+p6_WgPZGo2_AK`Vh%@WQYfw`HMPHV+Zg`A%aGX~B?Z`&{_Z zhNOuoqoXyT+qO48vdLbUOY$7Z9J%Nh`YSdBJ=7^xRh9fxgq>UaP3n+Oi|aD7kb**W zc&u{32@*`>-YIs=g zstCyb4F9tJ{55&DH_4Rz)yHtgpCw+*!_OF`#||$$Vi@I_tIZZ+LVhsM%HZSL7ez2I z1uR3ht8lpJ@!01PL;tih(0t^tZPLxBO2PNew8=|JW;kQio2D$DU~|2PzJ(MLi_?=u zf@-uVZ{@XkNo!kL)FBuXp4AxNNJ}X&)v$3;>hq53(w1jq9y@O+j_g{0bNn6D**OkQ zCQTS3iP4`7&+>PIM_kYl#<|}S3sk5n++^mUC$Q)HVPPy&vGE93@nHvahbP5zlu+}| z;R5f){!WV0*f_~EvVwc*O4tmy4MmjIixi8yZiO5d>gEB5M>7*ng1e)9&pDUQ{Y;O) zPa7D7cQoAob54#{#uuQNc19k~%XmPq49U^zc4>we&3L_B1F4aP24?_V#rS~TAo2Ia zoOjx?ujYJ@9I4g&;evC2oZDL<|Dl?=Y4naLeb>%d8z7!`M0SP|ff67_?{V}S$Hbjc z=3$vtcRnXweA55omzGE2(jHpA4W?IlkO+C-6fyA2NR!|z0jJrCH|Uj@!Rf_S_{28F z%R78mjp^V3un~FSf2s#S5*Ikag{LA5hjxT(ob(%2qxuVIoKFHDPUBBDtntC2q<$gS z7C&HjXaIWSZG(^qSzsjwHQau9a*4iz2=|cxhx7dJ)3xdt{hJj6Ow~I2=`eG^SOwr< zQFg`HqMwA_>{9IW!Xd91=Q4nAz=4mZsLb)XjgFIE6_GN-1hvkN^rZ7>0>I{Zz19Kh zm3`jsr|YM@Mw%dz1x@duaq2WNPll-|?_OfD-ta{}G7Xc25%FElBRE>nfW7*Hl+kUI zU+b57`ReL#@I69rg5+IY&TU}E?ciMjRz~RUzV>Ww1BT7Os9B)KI6>s@h4Nld!(nSp zVENNdp3X3^Hi>;MR>x4jm5P;K^QmpQpXI^3Um6li63O+l23S*p1vIaE%BTCaM_~jh z5Tnzb)s2jHAnKg~VOs%97!WwChGD#A_m;@j2gH1Es6y$&-afztAo__gaB|2qd*Um~ z=%fP4-lJgcIR(HzebhOl8vUJIKbv>wtFXZpf|M32hWG}Q+-qc#K}BlJ1;&AcUB0RQ zW1^Kcadh?$Y)&tL({8P>?BfPb@pG*;MupkFC-uVLQKeRf2cKp@${u z{%OBNeByk-Z?eA5GrcHFoIfK&J6RKkx3;g3A2Mhl;hA23Un-w!CSy0P*9t9pKf4 z#ok1LY!LIVJ2v=)eQ)p1Is!@;6O3Uq9BZ`>GC_E-=_+OjF1Io9H#-ZFnu9z|8~K!8 zE_5jWSxwaWNcO5*p@yQK!4}XIGIerv;Ql@C&&31j5uG#qj9$19;MC2*`c~RxaY0#s z3bU8660Fy|#Pz($YL}9fG#~h=AfyHC zWO3Jeb6jIg59pl+2G^8L(*s%~?FhrGex>>vW4CShrl~EjGDra!NMG~cu9qI#g!;en zG_nn|Hy(Fye1x&oUBBb3)Gn{V2oQt(m;+u znnI=3Q8&h>t)at)x6iVsETUtc=J7qUN@d$+j3F zHe1qz6j#tF>L~t8TWtzH*iIBRD&b-Gt3QjnR@+czAWDY zWcA6HHQn^oeJYNLf^uGQ+oz>TW&?B1Jg_@c`piQb6@g_j*jsxkM-oT);7)r|KV3k7DjnD zw*G@q$0@N|!Lmv(V{YEP966I0+0Xl(FrJBaA)LY|PCb=_F)ue~&77viEZ zQgmS$imL-NE%&W=lGcI^7&|pO2v3D`)+^=pSGbOpOjM*1^G3Fe>v7pZgMXM}?RDS* z!SdKh5Iy%{=%s8*!O8oTTQk9-;tcUTulCZDg-4rDFT87Sl^Blr!|TV5#F5^EMUJB_ zhk5pp3eZJl`H+q~t{fZjSAYR%c*+ETiU0O|GNpc`_C+rnU$Q#|GTy>+J$ZjO4GDeu z=M_eG0!y?WnX2A!8rtR+Xl>m%gr?;}Ttx$fnCpfhW(R$r@7nGL%rO`5C`jIF%eyOq zK=hGcubd9BB(X{~@UZh%=V#i78+~ZYfBLVx?x3o`WMEK7zkLpzvy~dn?_(eWC~f`$ zlD9YU4ghlSzYFtTU0Bv@PXdt6Uf)0AeO`Yt7c{g*fZzfO$DH&UNjH1-|D~$^9Q*q$ zm?D*aL!IHBNVf9vT=ASQn~tHJ`k`U+l@QgPpC-iLCLRtei!$FD@M3iLxOash#eixx z$pqPZ6-G|IO19ofO#Jc#=`ZO`R%(m~Ux}MY(*FGH)MU;=%M5CaWqEjStDJn|3?Qc1L2=6aONPAh{G?sb5$yxDB=d0617<5H_ zwyc0SO3T{J>UQ?Xz^>ErBR7$f?`#<*B+JO`nWwT;Yu1o|rRC{^ zrV}^N4WxM+F8ofw&2{Yaxd2z&8FRACn+{XM8Dd(eA1`yQ^xGYwDRLce+cp&)*;sD9 z4R93*S_FKP#JAtR{WoEtH!;Ebr$hg#(C+ttO`=~G3${@fLt_)AgEO&Qi2)u$)ty2c z{ppx=wk{%jz0^yEyweAUN6|hEXaAI!VkX1kGIJao^Pc6RHd0AwCB!$f%CbscW1KAk zrQ`!ZZXgN}EEV<(P)%eAQ>HwY_bAxvPI$C}u`CqUGraCHjmKRfJD)_55^ys>mU93x z4JPjV?=4maD6E5toy-3BU-V?Lqk^tvBWpZKFpRdEeeKb2Sx=c(Y7jqIv<<+_6F5#tT}$jS%P+$AHXmy5QQM(#b0u+_Zg;h+x9*Y+UO1snOn_hNdjAE! zAeU$MeJ^^o8S3feHXf2gM$Fd2@heWG{*ONfkpNDYD5ZEKFwdnGM`E?3f}Sly9K+Qd zzA-Ui=cj!nKld@Nec~MzUC*55Ndv^`>p*3)2A@f?)mwVq@sqD2#^7(ov>LBy1~j6MNxtp!0S$0}itK{5(-7 z=^_8LzIjaPbJXFzr`hfVu*s*}dJ|L?xhAOu<1!DoK-C4fsT-5LR?qU~O`Q5MK4Pnq@nVhE~(PLTsOq13Z#EjoqaknVKD7k zdu8|tbOy$VWAyF;?)KFqBU(Nm{%*7&aQ{SSl1Au`#q|7Z6L{?%i0bKN_?kJ`@pNtM zOY4QeoQ(-uPUR8ALn<(%^46{i7oDZhdEP&k2sy@`oh=OfeDxAPsU)IF`dGX2a9tng zYbUYyzIsR?(lR`qEdK*}9IolQQ3p-6i;Nor&XK@63;J6VxjFLM(Z83lXn9vr;DzSA z!K1zY_9*UnVW(st)!MBdm{CNFcRZhE8DM-<(~i$-vbcnn$U)FQ01UKtsDR@3#m0rp*Yni82Xw zsH4TE$}2qm156ILpA)^86thji?FWMVOcf-2&n!K+rPC6tH zRS|l0^_A}O4b-S{Qc%r9nYLCDbB6%u#6mV>;-2vs3yI2cSOx|Lx)g%+!?v2xWlrmRNJJ{RwlQ%U5gB zYzqO)$_JaWxktYe5my9~IUUc=0bT+HuAoi0?Ow5=6+n&W!S0;m-8L@?BxPxk?T-(0 znYy2IOnS;~c;ip3z*1#|DV*Wzb!IL=%}etYZ*h zkpTsGQ^iA|!eDAcHZBp!&mn=Uzc-^n+Mu?tLcQxn6_^LCsMXW4g8a&QK3GUpCuRyt#(aLa`q%dv~3t!me)+07DBiE$a7}zo|oz4)g6sFFPgGfT2 ziRT*2AId(TF$c*^U6QtrEu5GR+9zjly+blLfVHa zQ$<9;U4t$3&VhD}LYsQ?+N-VTf&P%bB`u+GB^1ZEHZM8S9*M!a_RMDAwNc$o2X6v7 z2BSNq&;IP1{#A0t>C8r36D?{js19IV_u50gg&ZC)$ooKeAxn|{>L159ba{Bf3;2JM z)Ly+<4!=ndC2}`sPV9))OFr-FMVj|`D!S}^)^%^YoR#5u@mR&LdvVQd(x0hpvK5Qa zc{W4(&pBA2UdLVERx|J)dw3HXHck4ifNke%{2hnoevBx^1D*Xlpa6RsTTn)45*H28 zz2bibJ>^9yK6&d3{osftvQb=8++Pte|Y!YD_4d1 zubhbB=~D?Cohoe4=~Hwj*(zI>?{N%MNJa~5cgOQwf&~7fsSEN>Cy3cmMc(hRB`$`+ zN}Mg>-5WIRw|=cocYs5{AWrd@ICG}GG9r%3kAG=Y{CD|Ako7FW z&Zu`8Z#?4J56$Iy)5k+Ky3H1SUq-rt#dv7CWg2$wI&={{R-GN;UKUu6;xIm~Y)3`! zKHcoVzd(*e^V2Bf54=2O`bw355AraT{s15Qo~+r=u@??V#cA7q?RBJOXq{L$4q>)f zwPGC}fAo1WqCvtk;edeUE9Dn_rE_%H$@lcP!ixh-Dz$**2)2mL?4rznHP}0I>hhxP zRI+FwP@ctoKJSUlJw%1&*B{Sv*li_4v;J;APOG+1Apf9Dks-cSx-HS|@8c(492YAl zi;Z}2*R-Wbsd0+n2?N32vR^<9{(=dV2AQ932*Q>SX%5VvVr<6Bwx#u6rZ6>5PG;-$FbkY_GCIZm9z8;Gkr2zCWf(Tc>- znEB)BtjX!-OJO%Mj_r@3-`r21<8hY8KXbht+#q~IaG{1U%(;c@8y8)ZTgA-+C>6>> z)`V}#uw}=((u1(8gXyqmJ8!+*fc{{R=GsDwYCx#@TZnNQg?@WRt>NDB?8OhEA6KrX zii8NnX}rgkH}Rd~#W(eYJ4BJ`v8I`y>~itHEFCIb3GDt+-Rl5aLPQp~7Rw4pu;HMu zS}JE2)oJ3PPg)5OoOFU`)#OWD&>xER-dEdXU!tte+<L^aqeaIWu_P3EabF@B zD#TlTsBwrca_f_g`)NbT%Spcco3u)lAlYZ6uhA+Gccw3R*lM&$_d-FbS-g~W7O7vYaTCZpbr9hCU@%Mw5t5ZjVL;6ooNg4DDc?yte)45<2!S3+Py;(eL;?C9lt@&bd zD279GB&asO$$RQY z-NIA@G~LmLRqzJ_R_&U1&5up$^9s9Z$zM3gHz-wL8oKXPdyhS8qD)Omf>)wm<}!Dt zpPZ4S#xBKt8bAYU>dNn5p8HKUntjB-Qqksv0|~KGs8Fraj(O~^wX?=DuF^{d(*9_z zp4%(T=@%@`1uXkc(5Ackw6qf<%wr;XpYXcBdr>f%#ltzFn_}b}kz=A)C zfMIbsORlVWMrBJnFi!zw;q4bLXdf_;ugW(e`myi+| z3}^%0<0RFv%lLs))iyF>i}(T|s2KQi6)wd)_CdmZbseQobjUaaD^FJFoxaN=65zRh z9Ac`!BQt+*`~5gYXWXp}bAhVUb^UNvP@#9T3^R4VlZ0}_)U;cBX*YsLRVrt;HO<7J zuDpT)454)3{&)p<|3}EkK(<4%DQ`3d*+E;!YHVVs;phvxSaH5L)6r@@yjS&=KXPkw zS>xvX!e$#rl!uxqxo>wRQRF|4)LlRYNs`*c1EWAmqWKy6vMc=d3$Q$Bs5iI^$|k1(A&eR>FA#vTkYua4kC~o`Qtr zntsps-|zT(hvrlFFxv1)%nFYUx3BR>8Z2`Ku3XNYMbcGaA|aWz|<|h`!J6ZgA7t;bx?Dq$7Gy z{(x$&DI@R9Gj+0vBN}|SmDU@XW^x)t`)WmKPX_q`g_2$vIpBJ4ol166Eq*t#&T=(F zq|`rUl!ygfxn@vRi* z!9o!*$6frEVQ}U-VX%0ir#{`N?^A(*z`fU!t)O_aVb&LUn5vHd!c%~f8!%`I18bA< z+B;2;2I#T4kikfmM|A${8(nrM-+_F=>-%9Wa;EybG%e1%4G9>98zo@N>I4ix7}l&< zvQy{~Rw6MDR3=5% zly3I#rGqtQT>qEP;qtIM(Gc16h3cLftFKSZ4$h$kAm6WUhssP95vs{;JAm@vcPu9F zY|#T@?@AT!-4ht*zFEasBirG<0XX4?`{f}BCP~DFjongfdXU}fr0q+W3l`Q;V@UlC z{R9Vzf0jaNZ0tJ{A`x;H?BqnHv}*ZZda|2h<41wauqr||LeYy`g`0kAQMVlvjN^yc zoB)9X2e8KkuVg%X4MZ=B(knCo%>^K&i~d~6CLU#{s^i-X1S4D3`dZ~t1Y+AikZS-P z|E35GAmo}?!7-&T9JmnmoRgEXm6Vrp^xO^tXCEU`XKsFG@2tEHO0e)Oi}uy2(bgK8 zsf>!vIRD#?!@cV2q<4^C&BIAfKHtdWFt@6G(FsY0y4yUOf32IgjQKZ(b538l^8!#x z*zkihz%n|6lI=;P-h z(DQ)H&;yXC<;8~^p>Jk>Wc^rd4FGV0z`)1<914wl*fjzSZ2)Qt@Vd8Q78aegNnE|# z&QVFmR}0f;eWN^>e%`o&f9@1REIhI^f5!N;LP@WzNU5Q)c5IO4YXbd=J9zxs9Dz5U zCQ z3gz{w?{W;&=Tpl}JX@DnzGS&MmX_f$!h454`@Kp(##Z1;w6*}MtT*iXLOMcnH5ngw z_4Z##=(BC#S`InQ^|9!WWLie3jx#?kx3FIk37vv!nP(YOTk11cVYa{1IPzutCSCaZ zo_(m|fGn#I&U7}H$C+7&R7(-?fU`A{IYs>L&mncC?mJ4jalC50>lkAidDFP;Xb+|J z`o2&V_hKvU8&tj0$ZcCSDz4E=AeZ7mzK_3-^NPUDO zXWt6`fNxvO2c6?`iWSP3NBrl}ikd|Hv({EWYG2m&_1DJ zzjJ)HtTMn^={(BHmFxMi(+HFpMa5rN4avWZ(JAtXl!owp!WM;ndBz2*Wl%nPG@n~1 z#3XDcojp5NaLZ~ZU@0>$hOm7sohjN!C);zf!wYXg<)w5v5`PRgbC=nwc}7qn;D6kv z(-^_cs#0?cTUC<_@^0V3Y+V_;7IufVT|iV)85eOlAR-eGdtvZ^@&piwd;JFs1yBfZ z)HskDc-=R>Zq#?Ya}dX1!U0@Q2lFV4ku+MfuQu{s=1jj;O*4;#JU+bR7}rnTwlL?n zKL_-<)|<5O-95mQa!0+z8c|?7g-$8C8IoRyxV6dG_f~F!?Z9$mr6%_Q=zy(NO5n(a z@>1lNR(b_a?>?!v&{Kx(aP>6Q&~9 zVw}K*^PrW|z+gFm|s7IcBtDWd!ZK6ZwT6oHJ{WTF>B!6Ixjg z?Uo~Bu7kjgkxjsUm~o>ak&8zyS{V*(cYc6}9acnfMr7Uw{N>h$45|wwQ?IFGNT_l- zfP$U6?7v1M1AkN?o=(bdWS%H~=7ZA4+V`&sG06IHp6VSfMPKN}^omhjH7d`?NB z{ujpS3v#$qWLw50T0jM%6uvSmEmNG5T(-;oD&OSh%gx7A6tzo@raIf?Xl^f93jAky zvkfjnPMq`M{qQ=&Rz)+D!avv_JHmu*h#{(CYHbP+I^_?G=N5?Xtf~f8r%Im_af@=4 zD!(W^`&^_^;Y0-yZrW`kYy2i1%kU=%x^XkcB<+b7Au)+u@eyN6i7`&V~ zY1VnfO|=}_>Jlz=NDY=)uR4kx7mi&%e{{}iq(|N<2&SvcBVXcEwyCgcoOqFUqE4~w zA&8kq)PQ-FLeI){O{*Z|cZZ(0jFZVSz_(jP5gA{yzjzsL?)!=bOwAW+w4<$m8D9f8;uBHmS~~`x1SBv6h@kLVB=Uqa z!r@wag`AEGYEWUoj1PTvj(j}|gFF3zU5~@|hP7>6?q`OGBwt91l-NDCjLti`A}yew zka4w`;-|26sYiQ8>j7@2$~*geTz%gnsY*k$#hU2On3nTP$FXgg^s+x6P)bZ*x;{`9?+n;;fam^wTsvK!fW0s02hxgg3VBi<@f z`S3AiT1ns^SM-&ar>R_!AsOnFU>un;_uI_=I z?NwV_dEOjp%m!e}>hrZc2P9%*sH!dL>qo%n+t=y6>-1E+-f71~xwZqO2c;<@dnQuO zXmO#3UbgNh$E7cST;)lB@{3++L*3{I`Qn(Spk&4%{uhz|SESg^vgMbYPm24-RCc{W zk!JH7w}J@yWt{74MtPIc82>crx1`LBPP!Q~+9F%;ghK=2-qz%of)6G^uOf!YdB|io zEvu|x&~ZY2ker-}U!Tj1LEY5gHk~=MDX?%lIpQd5^{{(KGri|8Zxz zkbvpYd(j^#jdKB=tCjY2#JEp!YDj?jis{leAY@`yf7DhnZlhy zSzK0jLXCcJ>S2fI-{mHVWX5H5rk=65hj{~1Yyu-|^1<^Zp7~#5pS^AAGR`-{oj4k^ zX)b~+Ze3o58?O*K0uD#yK0bmZ>b!ju$9WCZIHT24Qj*v0KqNg3f9>Bd*26af{2S*A z4euttcHC8%Bl~Jf;i?w|FB@*SuMdNN{l8pCA~o#QO-i5Fy_YNv$yg(<2VynlQ@9Hmwf z=5<y-w zR}Ub`TahheJ*JqLx=@x)u5q5GiqnP{?OZb!y6u-8+^%tbf!}?-Osct(}tdmUb`lEC>=382a!Ms8BhqOJ>Wi8;3@sk}0)8u) zp(a!syL=}DJLs*dS=x{Gpzg`W?o2{tF3G4V>fga)%C7{M6H67oj;i#IgjY`bYMwad z1$H&Y#8Dga7C)%Yqli92Jq7aTymvoPZ8i2&{;~GUfQz7Kh**bDVXZ&OnRX+GOA?1V z2#jhT=`M!XzN1kEzc&roT0h%)0SVH6In!pI=b_#DY|8+X{yE`n`U$Nt!r;NS4FE1f zBj}?Z%}#FVn};XWC^3`An3}X>>kf4DX=!tJJVpFtoE}sZONtkP@~NinRQcAZ z%Al?!0G})cxpp-y_G|E4mWOCdHI*yxXafNR$4hFYj{+V|LSGm z^UpWFx$`Y#%AN!0F0%ZnqGOw;e>2OR#RK%VGmZB+r%JEt>;lT+ke0d97%deSu zNif%Q>(-hRJgM9*Ll~NOeYARoF_>AbUc*rU+0?5f8_Ar9O3nF;?i*jLrvRB^K7~Vs z9`a55ImdEi!-=VSb#22zasc#}#MC94OplWwPE)_MnMFu`h{M6u-iipJLEJ_4(Nt$i zt8cIs`ifiKK9n(oHyMafzqApZ=!h7OFpSROU`c!Obqh;pzt%WxC;TbN>>NHHY;&(! zDbv_e@is+-7tv!{5i5<~r|mOPQ>U=j#&C#gzU7vkC~^7#rhc666T$t1KF5|{gLi2n zoe!(UWz~HrHR-D-XtSULQ6W7WP-$nDt_obb%p%81`Je5;ty? z0pKJT7A}K!Wu15@-q7n@Xp%~<8)qT20840%7IdxRreDIk_jujn--ll1w#rn}QDGs< z_$X29Jm?$x#Qq4QcZDXRWBX^FJVvCMkbjK8`D?zFK^BwCRjYQlIJg3l_WDgZs#aAi z-%#toT8t|4l|9o-*kIwZgqOULc67Q-N2pZ>*A2D2f~F1HtG@gGWd$C+PEr>EZ0{?| z?RnMavZpeoHubWG27A0O)t2_N3x&G*0FKNTmBp^B=J-R`i#OWuYAmrrRvaA!141 zL&Wx+VE3gl@8uXCEXUApCNQQ#pHJE}im`6o2+?h;&TbAhxYcabMtTbM> z=<=Kzr=r)NN%%Hozu-v+j+Fa zJLG#_4I12Ove#NEkJ^2IAp>QBfxDjKV(~83RGEwX@ z)JmHml7%($qj&NZCsz;_KGryg_ywW$dhiyrfE9m96Y2YMtDdEm(!r;YFW~TFlW3UV zMIZrdpt4fH!jZTcZD$#Mz3xlHM`Y26UE=(fwT9)9jH%nilFkeSoI*;<2o)86!97YP z+IdtSP3@DK3ItPFqGHRI;dxl7kmon%PQyl<13UcmDj%}d+7f-b-yQl--BF8f_pNi$ z{^IGpkdgp823R=SKcv#)C&ZtSMjK`aL^|flwBFfyLOoi|Lcn~j}S!VFHKhkZE79u&*gOty~eTp zO||xWj7`sil=A69tr7}9n94_y6)~T4m4}f6Xuk}40xe4cupA?g6BF_emg$dQZ-=i% ztW1v_rRdf}{NE}MgU|J6$R#Zh^}+MQcjtTfw6vazONoqq?02q%q>-=gzsT>~81M%l zwbw{MRAMM1o_JjL-p4G+B_AWH!$@S$w#mHO3o6?chaHM_D*18>AWAZ>MQ`wGeBHzMbA6tHbl0Y zlML;A8}H=BgD2fziG$4n{pv-T$%T1OC=BRJ)UD%pHMN?3Ti+>yde~y!<1k`s3K=b; znkzXcaYBMvSV7(cb*=lNVeuZRoOSfu;m+Wz; zsKg@MiOrueGx)6_fibK{1s%{~Sk%hqg*HD*nu;o2e15auq(m~%g#4cM2ZOX@UO1N& zbrbDt7O!WkhH@i==rCNXNWAzeMm%A&Byf|NH0x%Ui^~tb{&(i-no+&mYH_cxc1m`1 zA3C12-n^(x?eA1s-%Tce#eK4yzrD#jUk6pZMDr}AFgWP#3rTKHn`e}Z^-@WE$j8n; z=0;KSDX+txH2*=RO@lkYN(4eTxtU+YW0e)|LA#q6ZZ*ky?H~V;8PI!(`zarK@3WoI zw$Oo?{?DmjpkRBxh^DU@&+7|+dCfPas|S|eB9UZ3w=#CWh?2-%Tyw0ph>q%`3nl2q;RD$E#m6^ioWuPl#$zn3)$Aya-XZ@T4%vS8J(Ld)wCJpU z@`9y4HULQ}{h#W}pBC)p+}Vj#HP0(JT8mt6Q*`P56aJ3g=OOfGwCcth4WqL8AlYk@oJz@lW0I$J<799xP|(T~t_2(38#^+kIvb8t9R& z9H$u*L76h-{SLfk4B`ySX7Ki@31wWvdAIM`u|y9uu8qH{RxcFK+!9s+GfF|#C z*9#kl&YTX$H#De-gAw)so6k7Dj}7>AzrMXOL$F`pl3Rd0c*c7Cz13LlxDMPJUU{Yi&fY=vPtjb7;H=@xQnJs zkqJ73F0BkH4j|KXr>oIcU}nZ9wR%CX?FQ^|h5|$ZklsV?Yj%-p-{dZ7uvGj>9^dG< z(DGh(H;^c zu~Yf4IAh};zPs4$`ecdj_@>RZQWgt>AmU|TyO3N*!8`tcEIa!Ytptyc z$O}j9J%ZSDdVdW{;eAuKiL|h~+dfxv7Gwpn0)6Z-+&QrW-3dGMILER#=Wf;it3I`2 zVwCnqwgM=9D6}$-Rm<DnI@fdhTg6XzMe3=OyCB(pG>de z>3|6g&_Z(APg0>EmE0#@NvO&|;PHLPQ?uj)Iw`0`h3`MHKB#utoU))?KWSu`F3|D|0n0Xauy z6xwhXEdtiYwXaqSb3voz)$QSJKRfiDeg5V~++4#A5PpU;B$9td3m>hh74En6ovJb) zo{Wp8GfK!T0PU2$&QtD20P}x?`pQTP_Jk3##4RepN@R}C&u+(L>EcrflB^dtGqgpn zo8SuzV{>!=gw27i;F`K%159pqIB8vEg@&F;F z7AAi|66jqS^;>)AwLtom0CH+zE^upGU)Er5*v2Hr5u_16ssB3h(96|Av^8&Bo;Wh1 zla8C_9~q&{mU*#t+tBDU;|FaQ+ULmZ<<;LqoX6CJj-riBjsH|EG&X+uFSWUx5_Z}` z;NPHA1Q!IIM%*8^&Q}mnL@MX}{Q1rf*|`^ZG9t9T-4GV8f`(?K-SK{WQcjTR1yS|i zh~F9Eg|$G8*r_%tF}yvGHo`VN2vu&{XY56CE-V5N(l$Jh2l)Rhj32Tq#*Qm7{PQj- zypN_Fjw41j^ed%VBExof$$1eeJujT_Z8&5Yt{X$KxOY{5ii=2rfF;6($v`HS@M{Mx zbwT8FM8of0DW9IoYW#rI*m;-{3B*V@z_AamjQAB#D;kdt2Y<5NWoN1;)bRL01mIG2 zD(n&Rsv^LA0KO>EWe5B&u(|({blve(|KI=Gd+(GT${yLfva)5*WR*?kb-84PQiMKs z31yGCbY0h$9kSxO_U78xy6*4Y_xJw4N7CzcUgwmr#*`dr?Y5 zTO^8Eb>Gfc72xcN_}nmOq0oT0DsZg;vWi59eX|{Kfl+h6ZxhA=e{DA4OEfrkQ7oGK z?cL0WJ1lMvz8sa1=J~F=)<@Y+k&qH*B8$ zI@6@Lu;sY&U)x7QDZ*cjq)+@j_AlY^X9)~Q)X;d`TWCO_aZuN8fKE#qYrGv&0_y!s z#q$xlX$;Ni)M==FA77M7_`;4}=iB6EYeh%boVDTa{4FVr?m>br0qIBO7P75mu3}<5 zU)l_9KIN|Rl|Y4F$CZkeiwOGoWJn?ht!*@@cdLU=Ki{MYj7?NawJpz>whM1IrjC67 z!0IUPr=wo6=hG4Z>n03{voQmM)l#h>h|$IvB}(=|{4$xC>;5_(6c7Et6a|^v0R=vz zmn|}~_01a^%&a8%v-Ba)$i`Ibmi?2zS!hCh1JptDu0?RNzjkv!87@p1mCkIN!7dtq0c{0IvUyMHa9-Vr8B{*UaKeFyM9 z*jr$FFH}36h2NX<)beisbf^aWUw>k|apoi^#$+buFASom91TlhmBRP-w-u=3cc zCG{r`)(LJ;jQv0h6h}BBjCv%L^J@nl7yBBHm|I211HQ}ubX)?}50P2JbYcmE7nD2ekooO;`#Sy$ce98Gd> z#I6AFhYnp9l{{hrTb#c~kV8?8MU36p%DABL)g&yB{W{bDMVj`sNy%v191_3`0C%?q z5x}Q>6m_tsU;?c70D1@zbP8MiNc_Ho58%pKfkR*Q+=>W_O#0?7ZrA?>0YM=e1u9I| zU1!X0Z1i=_gu9#1fFcec!2b>_GMloC^Z#Y7pB^KeWsX<8$@f3(T!@q-zNf%m+0xk_#RiPTH+T_66qG$aI0HE~B zJrFv{u9wR0-%xo4=Qiz$7dJ^l>Ud0*;{%Wdz?09R{38siHDodCbY0M{uD2+S_hzC+vz0A4@@nq}mZil4-# z;D5#fKg!wse-A<+vM1QiT@4{QC*7HQr-C<<>A>!|!M5)Pf3em%p-ih;HDLty7Z zCQ*FWD3sS~GCdZ7mk2sz3&_CZt32F7@OVn@f6`MFYV7jhzNQcG?C2=Q0l=E7_b|1`d=(C1~qo>&><9EIO!D}5F(?YUIC^y zN87a3zrJSm16<7gI&=R$z9@8)(U0Wb(bgndi?}-UvKvw-BRGYwsWRZiXndMOnkn$rj zwg0zWjE9#nhTzV$KFh7o7N8y6OK$GcpgSsCHQ`F>wHJ}MpdX3YDG7=EKe6>$9C!6i zU(+1C-I=K9gnkbfR>*GOz9YQk{~*@%PkBTTt`H->$hXpWpB|d5WLU7Lx7xkFZx|rG z_VdxyPnA%H!4GTk$1-mUyj_?dw*-u*9A;Lby#q4|Y&Lyv<5Rqc97#JRM(O z;{YzKssSPZ%PfXHj;DpH2cM7pR&L}Ztp>`ti z)&_n*T6M8VoM8(Vx_mp_wJU}mcKGn%k!}^Hk~+>x&0+lx_e+W6<#$1cA0;>6%`|8W zM6KUo1+byzph^#p@~@sg=9p-S8Nv~eps=|wHk!?L#}X9V?g;u!S`lTUOC-zeWWhWs zaza1=GFjV8Sp^|MmYoj}@?fM7D3+CqB-ZZbJ`4%{1j;7l{5zFS)Xd%fK|Z+Dqzc5^ z&4_Y>PaQb)SR&A+qVEVjgO6`o!@$pX*RN!sf zJ1k1Zz)XxNl;K|Som!c#qACchR9129ROXUqv;O`*>`2ou6#i4an^?&=rs5rGz3ra6@v%{Mlb+8Xq%c0PJc zSyHvuC#LVHr+>P81X+W6Juhns!FXK3{g+%0ZbJ`VD6nUbF?O0(@P8=)-bMr z(#TOIpl2m^vHyr+JY_RzhU!qRSF({>sg{oDRYymc4bYP8LnQvp3Kp6ZJf(k4M^eY< zF|s4^H|C==7vbkSsNq{iduH+etRcnIum=vv1=davLiU})$%}�{Q3!OqDEV5^s3& zTa^kF3B5&$Hh}VK6*W<)%tngD8h(6wbrqfQ%hGtAB4qO3jj{a`OaUu&Eb8G9oeZ;mSKZw59|vn)aesTPy6@Eh{&zD z&dv-BWNIHfRa^U;_cVb=JLT)fAP|JfaGQ-{`F7x3;Z5hq8hb0C6RI5{jF|HBgJr2TWm3X5tXrw979plIe!soAllLCKie5u)xaSVRqlj?v|u%j{NWTO2HB) z*G`vUs69`V4XA|RmYm04_hc5*%%5b_(X>U`)vp9>i!pxlAbfei&Co#qopq~llivxG zFc&iP$jsNY#S1*a3MleLv^S>ppX`K@c~d&G)*7hEJjaAuVMyC&wap5j8V_w)eAS(z z>w&%|`ZTb78y1$W+yZzxQO5^fp*^+>LyvC?6Jwt%mr}vh&D@b#dIhXsFeB+$XH%D9 zk6(K%Rj(WC_f_2bN5A_fsk(gJmNjYa`d^>)U^P^FK=da}ajU+0+egdi zqK4Ho2AhfgqtddzCjTtnoe@Al z;de{%34|5)MR#h6taIVvT9OGh!&%cAM;~coG^B@w3F#<&c{h-`yl$X?8)svZh=9F} z^{azw!r+hw<^4JsVBDTyz7atSp3MU2m z9^=BO2?(zr0*tX8Mp8)=vUD>?B#A`{WJT4nCVL5LEfS+T8RkLW7wxhAZM=U2Qy1^g z%XI9W-*C2j(**d!lOWF?Zq50X6{|1a11-w{c~HEWfD3vztyB9K<_wM~%e4+#R_U2) z3@eKO#avZ}Pg7JrU>cD*bLZr5`w!yxGGv;TllM{k!aMdFcM03I9q)E-NO(nEr1Y;H z37oFn$M}k@Zly^qP=ZWX_L2dT6AFD$0vOK%Lx}dW4%eJDtERoiu+>CrRpH>f>v}Yg zFyJ*|2No+7ZBi@V9-g<{TTN{VI0h2vq^zq@3$;cx8a&UWz^JKX1_lPE!4@xHG5t{8 z>%!(lPR`LJ=0X`q;vx;^xvxGjGh@AOMGWgH!MW)Nua}CYriEyGuCq-|l`ctgs(Ix7~Tq~U$#@;2&$QhcUmcz?_blwe$NYPu4wr zsLI4{yhH*S zR7Bw$P9#Hh;RtdU$}&VfM8(4s`z1L3$NrC6S?IUaR-AGxqQxeoYLQrqnCF~}qCX$~ zSXdUSQB)YxqE zP0IvG@r!GV~T9}k&1F-1X}7rI|`nZoyJ-IV^G?+7eC~3Prb|1; zHoaK+>dChQdg$5{k}MlnPk}ku+tq^I?h9|rEE<>F^j%5OtNVzv56Hr)|+0mz|$?$t88FoIoJg zZOz;wot#zWUtgW8Oav}=2WJkDFN1wUmVa8@Vq4y8k;u(XFWxld zAk({$a#3dMeuN`kvr}QF=ruN{vc+sTR4jq`k!r7eH+`GfnB3Lvs#nE>fJ42iwf}cc z-zJV7n$x%1cdx`Iae#0@qCLdjwE>4;3F&JtD9e5&168daIL~QJ7{0@fuQ)|KvnPwD zgGd;mS`^DiVRFlu=s?7T7nhw#gV6UELvFL2&sm}5tJJX{PsN@(+)C+(Yhi(%>x0QC z%(4;x&dZ_3t#CXX4*^LodJ1Jxt)z4*u+LO(Tt~nzlutm}p!ZbCn3N1tO!o2)5i?`Y zwlw_Isa;yKq$D_R1@qMqtftUP!W_x!u&4ecG;+?@roXVY1=8cA?z%A>?@!j$6k3^f z9YDl4iYc`1KkGwM|01RS zjPg{~n|q$>zkbcu_s7z{TDrBZEk8ghAyD~^J!A^pe~PO*jLqK#S|;G@?OZ?cS_~lZ zii-Wid)dLN`tF7%rdCw&x75`eEWgqyB#ehq@--qvMu%mlrhX0>-!RkzpioQ$-5H-5 z8a=v~U--bX*{?ig#)I$%eiT(7)#6bMi#wjt~%? z6fzl9t!@u?PUy?eT|M*mP)zWr;Y4@ccqjHohk)fOhw35%&ep`blf5wRzw$4WoKe3< zcsJ%VeAA=OC9F<=w6+pxzm5SGFYY3Gxg6t|n(bnz$JDi|K>)_q_2|CKV3!lH(CDApp)FYage_>fmlFH4+N@1Kk z{vx}*T!y9fO1|(UmbM|1^8Neaxn9X>H_ra4>`o%lvy_38*JIT~!*3?ZiJhe%Q;)x0 z)xIoL7Zvm)eB@Scv|EV6dZiHa-^IntR$GVtO}s&HS~&9^I4T!jv?ccoTS+cnj@Z7a zD2Qydo1Pbmo8i=rkjn`B-eCCsdDWcfWMVC$WuK|Ja3^af zVU{f2+-XTGlz-w{PKwnX4lZ}nLw|No7(ppcG(Tj*22WB9nr!XQaX_1df8|+h`NHEr zrYNvc+m38_ctejwMNNi5P{$4J!l(5$u(FDtT_ay(pF!HH2V=SPVP5Y$8S1Sf@tVIv zHQ1|mWlc$)QB3Q>Qw_s~F{#|P3W{Wsc==2OP}*uA5kO*7U=`z#8?_2?Wek^vyT3Ds z?0FrnR+Vp{B(Xcur9j$RoR@oy^{7GC=0YF-^sG7w-zfu!s~zamLEnzzJZC;Et0zPR+#Iu!Leh};->>`&_4hW^HJS~ANvu2#v(f<8x z>a*GdwbjH??YR_V(dxcWVE3h8RLTQ6}#ea`j{|ngrA&;$D4HLulBEoKQN(It>e2Un~c}HQv&GFEwWdy zKsmhQ)L-|)j;0cm!#5?VA5F`g4%3h=m%KZ^_-rjRL?s?S6(+hxEb)5Do&pWUyKr`& zcwPUucK&LLv2QXg$6YU%Pn64-rISnc&xFw)@{`*Y+UZ+XzH?^~#dt`w)HX09*ZexI z`$~zsP(F3*cL?JlP?4^=Z^_~czh1Y0_2ro5<|s?-Xvts|tYowUZv0J{Nlk|urgo_g zUs3eqfN`|I71a7PGJ0!O7Y?e)qsYFM>S=O2=8B>NKKVz>PUa}U`cw1Qbl@+&SR2yS z50rLV2td%1RBoqDw9uY5iU=W7Wcms|J6PLadUHdlV)9UkKRq)MU*eB$wj!q`YpqL_ zdPwPKw$*5G@C-rAzc*wVJ-C3m)^g%7>ksKj6Z>O#*EOxA76_n@PMdAfD_v8``|gd?kh*Sv4UJX-hfp zfq!zs2|O5QnvR4=WC$25U!3IMr95b7)Hd?B6roaUT6(Tc_vm^sd#+2I#%j^)XFtYJ ze06uL$<^cDE4VdVyync?2``KIy4TS{x!O@zdZ$7wi4VdaIA>*G)yk&WhB#){)D)+_ z3fOr$)fNz6eOJAyu)b6b%!u^qRgN*?6D*f%^FUxoi@uT^^Z>c;uve#LTYETZZ0V-!fElWrj{dOL--FmRbdA@Dtx>l>{kP zpK#{hSR17@D_SHj!Jhcx?!J40{58IKHj=#P1BwFJYv#DeLS;Gahh3{im#DNxBU<6T1NJNW#QZr(`Z8(T?vb6O^PYYl@cdEEj1qi0_eRW6~Ua;TVxUHh_boQY&h-G4W zE~;)>&8G_sc&mk}9X4c~RRKLWO*w3E+afVy!8+|yjb&Oxpf6sca3xcn|LyYJz5k*` z-TNjZN9Es|1@!}BGG}`ZhajBe3tRJ&K>JoX%A#6^lm6_^X5#0@DJ~)NuVJE?rIi-6 z&bcus^|XA$^$T+`$k`&T5uXU9Qk28_;5uVSEgtvK?Z0vTG9xJ+34D4K0Oh>C2~nX9 zE;4Hy`f#aSDH6*a(^c;0n=EhfB{SDS>Fo2cgB*PdBYl3&JB5(rZ8-EvZ}{>D8SOL& zS6-#8%OhgmC&3(VBZkp4>r_hL)0@Fo;RTH`611sX>DoR!6(K9{4C`cf4Y$*wx2bsz z)V~S&Zq1AgT`hzhi1!+5s==FktG>3KWk=$evdb`TiT3gH6OT%r`R?7pEUaFXfB81@ zIVj=kMK?g*#rH6xPk0GyrxNip?SS1LH5Y1j7pf)XHjzgQXje6@cSwh^X5vi)yK*EP12#sv6w0Z$JX743@B=Z>w#oWAkKwmWICDHzd zhiaT6UhE~1!VP?}Gmqko%&2Al-_`-pR$h*Zp9xI8XinJ2P9Wl5jt_ z(Lchb;&#akhjzdpEV;h-kGdiPpaK76e3xD1P`?6?!YL>2H?M;CZ&t(sZVLo}t}yoe z|Jqy@c&pSKg7-4P|iKitaWtDMW>{vPW1x*Rt z34@%JkdOZbKWU%?h$9~nH4YFlO(1~Zvg^xNP2 zi}TC&r-0HO+y*h{(RUL(4Nb@)mi)es4|!(KyZB%0jS=Guzvw1TRc8*9P3{$mA($V_ zeum*w@b{uX!fpavXa`3IX911q0Q&R0b9C08h8UDx;ZbBQ$|wx?Wa_xD1~$x*z?#izMZ7DhfMiMu>Ahs?Rd}RTnFL^wx^{l=_4Q9?EP}> z?2CkX^`q)V|4ztr%#xF=yl9KUiVWs-&M-cB+gkcr*(`rL^g`Zk>Ya`e4?6Hx$sL*h zK()*qQH@#Q8|$#P#`Eewi#4n-#9vE(`<==-9r8oEDIoUVXh?DcyMx{AThJu$f5ex_ z6SpVqxXnLa|2cVlMcztBp}kKN{G*FLsa2lg{$S~Z{$`s+4e<+#`x>pa&2F5tj<&B+ z!Rcpu^F`C+pGr~6NQdy-#O{=%`y8S?vudlEIQp#maD3-Wz_O1wTvXZ?(sDJB?G#^2 zC}TMS+y4+A%z^i)R$TDAmnxAp&=Ppz%QFu+ov{?r(wCmnU3v%#1%9fri6(2FXJkqN z;?hBM^Ho1FZvvwmW9Jz5)UOBcsfkks&d>I^_3j_{e6MG=2;%B|a)-S?Qa<4viBBwsouea#g@KFmFXt4XYweE; z--$8&2M~uw%Jn38vEcDvj?a#cob@`4h)4f<-~R7Q?ui;)iGfnkGq5YgdI7}8h2Lfy znE#amyY=N%OS{m6DB8HkzStGIm%H02MS?J|Mm->|~WyV7Z)qS4ZVX1waSYK=o zdMD-^ks1a4$8C8MU%T2bjQoXLWo4LPcE%vApRbL#E*2IbN*C^nY0o5Q*#mw?Ywv~PTunldszY;iKC^P#EE6D-cP6imd#NM*j`5VZ5ul-WmRy3^9es;9La3NpB z)9X7Wzi(Km{q)s93G9W8UY@NTm(taL)%YI_N^>hk794+XAKWyRuAHCo*Y_B*`JK=~ zYn39ab?)98xKDq%o-aO!BcPRL#rO4yw>=*Fx}kLid+D2bRjBA{B}SjjN=7su=qIc47(1Gag1A4%Mza z1yAe!h3opc62^8(b*&kK3%8IBB`)P#dq2U$6*LlaRmRqlAZSdH#rIFu-4@*OtKV(U ztw2urwpV!*HAWX73so~%Q4~j=%^c-W?Nyl;)#9y-FFom~1ZundR0Oz0r{FQa9fnM|r_ zqNznP?&9+EIo8-1!t3%2>w&gSY=ZM@`Afk47Y`>u@c2S}r{u>ZvK#qv{4Zk9 zrFZ{s$Juf8(zP`1yar1!riDF#QxNB!;-VVeUGNvo%F%>tWOYA8yv~*IrT{X4LY?jJ zUytx!M-#tZ07uxr&L%sK)IrO4pqc<>V@B>Hd z%WS`Q=!RPw)sB*+eLD9nt7N(6XKs4-_G~LDcvo521yA&b|2r*k&-1%Quumk)>x3vM z4#$LYR_o4weXkB01HI$$Gwp&61n0BB^}l`2du&H3gLO43SQ-(5pa!b%ygVSBgFf$b zT>pUV9?HwP1POd17In{rjUQk8u{eT?HOf_P#Yt3&WZzBEleMJL?Dp(m`7{B>Y*Axb z`T3NWOzys$19MqFI7wL-R!Jr{99SK;bi|sQD}H0FQGKBmM#LYWt8==uUHqv;D9u$N z-RDW4ce549Rpy5K_}ni+zU!W_{sYHL20h+fi?eU{akR#IKWG=voUb?nnHDYNq#wn< z??tZsQ2fH?ADH};td`mn2xh?<$}LDM?$3X+8g_EgoHj4sT$nYeBh@&+%WZC=hn!He ze83I9j_~5`5dI~0^h<)|%Z8EX?|OcJ@-FLbjC~mUGpi zD?#ZiTAO^J*!UDZEIR8I`3RF(k?sd9WtO7(asFjn=#hZ$k9Qu}BzxMGY@UC5^XCE| zA0TtUc|Jk#F&|ge^YKMOdN4WMwGIpbV47^wS-47@F z9nRE;a*;st!{p<|=R{ty0Y;9%9l^&7lT8CJ&%V8{LN)4;Qj2EMYsnCE_ZH=#@9r#M zN`d{&K}s2-pwDk} zpz~9x%Oecxf@v0BgJX)d;D zrkF6(c0qIpHd?=o_Iu=jT(4uYr-^?P!FI(rsrqa4-Ml|PE+%I?o9`Y)+}?C$K}xe* zK_qTU^+IN-Yj1~DEcqxUII6+toIReo^1ZoG!(eCVd*W55UfA*ZmaJ_c9L*g-IvPCB zdDR@u7d_DoxU!85*TL~cj+o+Y9ie%@5t*UI9$hOC{H$QbqMYSa#pA>7ZlmCAgl7XF z&I(42&#Aff>(2A@@0?)U9dyow>5$UD;ZzI`@1{GO86tNdTorD%D&$nH`}Og!F0@^w zOA%_$x)Kp`1SfEXcHjg*TK)<7l_ATIEp_E2P~><&VT8=6Vf^tE*CBY+bl^>$pi>=& z3&oY&E-LjUqa=ef~W0dswA zxtD2E7x|SvR|}Yla<6fgcbB69!E7GCJU|aPENC$&Vsk=XqZ8FNFcXH?`)UmXm&Tnu zzZj?W_c00c`564=hhj5Q36 zYBb(uhxNEcwi`QSdz}gSq6Pyc0^Q#2TmrB}d)SMa*@1Yv9(G{D2(aTkxXUj5L=2vc zD$NHDYd0@xTOhS^8*rcjI_PlGS4*HD+TRD<)&Ch0UXuvxK0#)z$hcb%QJ|il-POWt z*U2_-z7FaAlE}4dkox6pE#)y=2(zpF75**u*6Jumuzn#C&6TYjx8+~Bob@Bk!k^n#c)Y(k&^FaOd zi?pp!Wb={gnazOp5kmsv6GV{7DC<)7fnbs`p+y*z9L4Vzr-Ic+VOUo9OV-nSMdVY` zsp^;ZUDCLf!i{-gRZC)R=J|K>JN@)+=^U=zDHoxP1?<(k%X{6tn}7Oj%((pWf>%EM z{`AYVU!lh4W_Mhg%r&Dy0Y-?*4ayw-#?i zW|4%?wB3dM(#%@D))YlJLe|0c!k_JlMMCXh?uzl7V25YoVI_N9bT5YeS2H{0C$A_8 zD&6NkYGcRE8;l2ES4Y2%4D*9FZV{dad6(nGE8nJ~-7WgX)lb9IcLMgMib1qT??g0J@OXu^=%@?(%U-})bk z)8(~+l0na761J7)cIRHI)#M{3{9RZ%RJXpNtLL)bK3UtLQXm~DWS+_jwNM;~gI1m}mSN97(_+BL$3WOKP;mc!*RT0tz<>;V zz|79ZDxWNJ4S2-P1BxK_4}f=-z1SRbg%9?>71uu<>Ay+BrP=2?55hTUj)u8yPMTt3vLD|2x-5Bv){<^ks=Q)#Nt5ml7Irj`+)R!F_kxHfLyM}SO zjp&xd#y{5-Z$IcYk9PP^j8k!$TzzlXR}o016pXmOf-u2(+|=4uH}3hu6Z2Ez-3T8~ z3`bMpr<`QNJp?#I{CuFdb_v#FoOLhRjCC@GM7z$?{m!o5f{9F);=pI^9y;wg8|VU$ ztG<`PB86_?LJw!_xWKNKT(_7Nr}~7%XS&su;{zp1-ox_51oTNGeszu756a5|?RJ@B z-zRE+`mS1A#%7jSl1d^AVex9~DLuli5PQvgaEmRP6e5b3i59 z&m#+}KdG(!nO#eN+swWJ;hp1$ny=iKk@w#CHg|A!J0);{-6Pa80mIH~EcUAC1Fh!C1k6qThpEQbqB4(g6w z_LHr~ynnUSCy{~J!@eA?nR31VnVWO$>_RCR8ShVzkVspfYHS)>IADV6Cv@9l3c1!k z5&oi%QOya`Z>t$#h^-vDup5kRyo!#AGl-IefxGP&S!yYtd2Bs6*Q(gJi#ljxI@m-X zMXMjvb#?9r;2co<6tS9n59XpIe4P}FB4T#P8Bl0gC}J6CxHjDA&$C6ArRK|ym!ixU zGprXGx!C7!wzqH6dQS$#UNF1-c?m;1&}uZ^)pf->24Vg z(R6w=TP$5@Bnyjf0RGBQ5fd^cCg(rA3LQHr z<^Qge7+A{BVS>ze`Xga&Go3%#N(~@X0U03%dRNS30a<@%tzWaPJrkl>>KyxK1BWuS zlfM6DTCvP3L5aZdg+~mvQ3}v?IN#}`PM2On7}}z%T&(|QjG1*4xTtf?iEEKP?9*%O zIAaB3ErHR!qT7%~i)*gf@qvyyW7@~B#a_)_JbdO@t2YUFU-E)tWe zG|Nt*^GyHvmRZ>EC_te#_<5%TDog2bKB|7#IKekm?oj4Ie1Q6if*wnmncl~=O2_Ur zY@4sjKtpD(Vh*A3LFr!3q=-;j*A+*_uaV5(zkOx0bnSkH)PED6{`0|0;jrQ}-37)) zBb|A3$>$ko5bAa4;}KW3{GYej#(*fNQHmD>Hl~&LdW|cCV+$oys4P1%_4B2@W*qM& zQ1+it+$ZZreGhBu1)GvJ7!SzqaR?%6Cl+!JGbI{#6hZni-`qmMg?GZg+A2HVRURHm1ozRkCV1v8gN)wjlR0J|4|;w*dzxIBX<<% zky`&e!q`Sp=M_>*oCea>Wd_)Q0j{ws`81D3S9? zPNx+HM#I#nXy)cgamBZ0JI^JrFJxGYRzjr&-t4;9q?(Qm*TKiXIp~k#`+TFHMMg(V z9@pMv_6|6mN#-AiMb}W06t?c}5Ys9+Ps-9K!M_&aDX5 z+YO${^eG8;%U*h%1+)0Fm>ZI}UeVyqe>N%wz~nO0)f4>5w;hDaaTyJ6WNCWwLPY_e zy`l}G=XD=5455pJ3G$+i7S12A7}V=bKR@vWuq@=FPrBBXm*Gf-b<<>FSh$wd1EnAO zPv1YHgBA%2$)lXK2`s4;h9|uXMEtu7g*qp#tq8)t<4j^KlG3ltKhIQjAu@#uLbYqF zK^(6tP`43cEx0@%(}uFrCm=V5?5Ike&0)oOO){%)*oAzIJw=xQ!RQ_F7xp-j(--NH z1=$_%#a6z2^E%{tSH1pR1^_QhXKuYVt-R_D!cUQWk|WmS=Ga%>c!!N~wkE!$KNW}^ z%noT>)Qa6JxA+SDM&!n9g@SPSo10r!=niGLew_l}kSBef2DL@{s15JQQ|l%b{^xrB zr84nAvQ5KIT|@GiBX8G2!6O43@(h<{jqEuT%Wc1}u`y&ER*D;vbwZ4D){m}sPT9krP37TPbq;Lk zq^yfk3qVoBX(YCC#Sq^HD8#gC+%3a``%^~#bu(2*KPELEUZ}r|e{`5G8VVVjA91`U z8+GRU=e~LnXJ&;ZMuXk3muW@f!z`sKZ& z64AD6e-9+PkI5-1!9Xd6DJv(V<O!ygVVMy+P)|D<|jXgAMX;epfAb%^h45sA;k&+I8ApowipGShU( z!N`q_&SpamUgYFy=q2c062Yxr&1~EsN)>)pavg9>$!(u0&~xq%`_8gPamSu-^=^7o;iPgi&5jc zp$$E(6HYLCI{#PH?WxQ@)C@XE&3vzOzsKUq?lrhS;lSpDgr2FrkZaNLIW?4VMcL)S znM$BQZv0PMq}iDoNP| zIAmL!l&l3?;WI|-}kl}`YuMe44mBEhDmutHCf+;pMD*-WDHJT zz@R^oY>MP8klhz;0v>2M%PrbDN74gw&%4aPV3aMoMFlP{6&Drx)o{;-dtKl#nunf1 zVY3(^(uR#8X0ji105nd1d~{j!gzpKsq3DeEQWBCydaw5s@6Fwp?L~nhDMKNN+SHYi zQNT>D4`^(2{jE6WA6KBM(|OU+mtI39=Lu$;!iqr9fqD~Q*!r*We0%+s(m1TL3Gh6w z`xhPrpsjXrq%f%AH#78;^>Z|8Y+iz#dUE?!-@&>1Yv+xGUKW=Q+V;r--{?Yz@2tOj zVMm)AdB1`6?dioG1jNQzv~UtADB7PmafFqAZb4Xql#J2~tuS)K-ekO0{dy8wS?Qnx zniS#ef@*Y|tugdoCqqHw2CxfRsErq9dvyvlZqm{K3XuPoA$S7JDwk{>#cV>57JZDv z&09{wp02%>t!-jj=y$@YmK3tZ``_KVadmtiy(T1a=2|ygbNss~$*akF8!;?UlKWVS_yCR8$XDPyo&SnY;019 z7zuVzl($_a#8Ae5$SI3|IUP-Bl3DdU!Co65kuy1_u3eMm!ov6<;f_*qWp1?)Jcd-d zTBvS-+BZY`+kc5i1r3)ZizlwvPLVj%dqKM?dtovhK2K-I>Bm3Zuvz`Bp(Q1?7v8M4 zyf)9+D>EELsIyDs^e4vE26ZqHg`hZruHBx{J@I7X_m)-}3yo!vO0H!+JBueBo8lDH zf$nvmG*tdXia^ne+c4NpNm;u-!PmQ}wb$lU&PM$)v=tuBHx)4BWrMx_DBR*c&HH~b z7yH89YCDvzd^(}6mKbK2=5E(QiACO*rUVb+m=~3ny^*SeK!%Cb00SHtv)`~1i;~>3 z;h9usq_hxzGm&&Ki1Wg)9M0Sg269Dt$JrJCk)d0gc{_vcMkD#+6E_(N3SkSxtwnhc z;mh2r424DJp@s0d1Ty`RaGyihTa+~!=bRwk7|oG?=~F-pn%v_TY40h>zQ@7PMj%G= zn#Dl~UV5}~`=aOz5mPDl)if|f-Bw!Ej??7b*tc7WIk=lhU{ii9{^6Cbf>`PPHT_e* z_;@E7-1ESbnbfbvHV@4OW+P&ZS{Ka#Bl*zK1bw`-#7!#24PfGG^Ndm}w|vAcDBH@JSvkb0kU*uaPH-G_GiO%}qB zJ^sa?OW+Sg%SD=C!-AqovPpZ7Yvn(M1x@?;lL@0?XEaG@8##J zabc(H|C~Hje~=aqaV{e?F_W&OYIUy^1>dg4+5dceknDa(X{a)kXf>{vP2p*sxQ}=F zvk9a3i8K!PP2d^B-|yEFrp7qmvvuFKy>Q8U_H%W8-ROQU)$z&>!IU_S7O}%#8y>EY z(0qT?C9z(h zF_&j3^;7LUor|WYCh(m-Uh6w}+2LmXL{ymtb?}{s)CV!>vwG!`0eOXImEu_&$smyR=A) zH#T_`3~$b+Pj;KPAxZeo_Zd%5@mO`=`fs#+DP2*n?}{uKzPzHvX(PPwE0vjjId$e5 znBeZ%Qr-C}`PAE2BUAresKWUjFx}qgU_tG+)_uiOq<^Bie!X&Hn=q2iZNaM1hrUMB zZ9SszzQ)^*;nf}8>HaYO?j6BOT@H42epXFc#_X+_WEHdPrk|Lf9>yittVo6=Tiq8T zcs|^j$4)~{qT=TAuE);>rj8gQc&h%;z%G=fP(Mt zw6yM5c2n|2z}dLTff$jq2%MK(o#bVOL6nDQw(pD`otDY0LSKZWHu|IXN<_UfLzYkK z{US~Wf}Q?L68HB`{kMDE^Y?V}kBm6X%)G)ZG_uvY>TdYgGfsN13}?)9Yz|1WG~&&# z+YD0wENuRm|6}sRi|vC2O>Mnu=XJztnrM)V+y}=umV3^W_C-8UD0BOEHcKlc$P4@P z90A9jLEHI7K+PMo7ae_eBJIhtNWH zOZH6X7s(cauh}yrZ!!l*b;CFNnV;e|mh3sd$5f=y242kj_c7+$Xm4UGd|WTBCE18V zRQS^!Uyzcm#{VeBXe#V4>1aRLHYqM%If6<-DbIzzCDL7dE#JJ+5J)WpQ)0743lq5L zmwY0y>BKzR%oWA*Uak#2TIk%DMmUfy$dOpJ@oD2rLp3X)gs`NR?w>x|GyWX?CEc$x zh9G+l_XGWC!adeX_7`e@eFKj27+;J&^dZNg1Rv$fT9sJ8*LjmxjvLM92K<-$r7oM- z0vt~xZ-M&RP=nZQ0rLgywHpS3HbkL+FDC;Y)qwx8-S7(jcWnwX6uU3U3qbc(J)D5_ zpj)NxNZYYYqIA_A8!Q_l!=^YL`CQnsDy0K|#>;HQK5E$7%1T^t)fno7W@F`#q|Ov` z7EvQCCD{8vn!Y`r$@l+%bIPg6`54Oi7!q=%T%ZNK~d`96O8%l?|}abMTQaJ`oBCXj%v#HUmJk*E$`ppzC63Tg#vi zahiTikd8CzpFn2UbQX5i{QYN>Aqu%A4IGV0DUhBR;yw(;*R!T-qm_UcTz znyOLj4a}J#czI==>_@=oEeo4_`d)?{ZL~2F^3h*l-jTu5`b^&kpFUyl^plk|a+c{o z^u!D;py+IJ>*Atzw5N!eD76>cbJ zwejEZ{dz;Z_|`E=|J}UfSxY6Ydx^eDMoej)2+Tk`d#?Tg*c`SqXRg~EG|BX)VSL>M_8yOJJ2-#1Cak~DETi7 z0kNzsg(XGYRjL{gh6XZ;mBOd!wb|-jOusR?LMGRF0%bLGvR5gHI;m z0(^+I|CST5tdh12oB2%McmVS-f%v>*FgosCuLC#N|5{Ge89IQvEBO%tW{)-_c3~nx z$)B6j1eJa2Wr$Nht1DoupmABYtwwK@??s)_?@WW!2fY0bGMNyK3wr5$y3fd0uB01= zttd#|VC&dE*XKpjTr=q>N6pnzprPcxAS$z&YD8gwHosFJHV-vDfU0km))1rBicJ1FG?4BD^^Z zHt}xiv6rS3xlAi4Z{NRwAeL*!`6A}p6-3sZ(4&p>y9ei1D4rIZ%a@c}Ct0T7*`%1H zyjxRHLg)0(R%gDN0A;m*5ESAYZwuw$^&RI5>332?-G`6xGe&cLb}hMhJni%yLwF3zotgWk zX>EQI?jP^SU=S%9+?@5{_T%w$_hwt^N*a)5p+ly77dfTx&i+O=I666F7^_5h{T%9@ zcf+I4xUewK6rXlA%cSK#%4? z`gdgW9-KrbA&ykS-;o__i2*VsR^2uYg}p#|4!XF=f}s8xD(|#CIxRP<6zl~dj@Bzn6V@%9$?oHaw&rR~sq-!K5cNSs z*W`7nTgi_aH$HoYWjjvb6OKadA~=|VxHm^J>`2N14+uu)f6@ZK{o~vXf!B$V_nm!w1COx-45Gr@hQ;PM? zT=uA#W|kOwzn1_ziROQ+llVfE{#A8S8k4rk`HSl!$LEiq<}6BswIeDU*1(@W0fV_6)m-rGwA~8@y zcM-ey#xBwzjJqiQlpbLv3ai}&jSOdwM-J0y#t#&5(8BDjS?X}`7xPq(;SFj?ebCRk z5=(}#3)_;v$#p93x6Xl$&9NKz-beu2Wydy!Uz3wMYU~VFX@sQ(36Dk%boHXz;q5ncSr9!s zT^9652Li&2^-;uME3(`H_`1;ZMQ*zO|r5R|CQB_k06ZL26<2Se6NJadGx$G4V zkq8+NV$f)X(reROD?!tZGtZKyQC3(lOLn}NU-6^YC9UC?G`sCSde9vIlYaOQ2gZ{a_JgnZ8WQ1jCVWP0jrcPW`nRKL_^z zn%HN1YroiuswX*a`ZTY;1l_yW^3Dgx4)3^HN1|pAc9*>ZW@n|ZL1isF1nsuqXDF8l z2A6Z{Bq)E0JTdx>Dl!?1yZfbI`Fw=XzVUs99`R8Ewg*OIy-N(HOP=RmMOHT~Q4(cb z#77V8%3uA;o|7x5C9kM#B?nt12lA_>Br6vk^|7DPAzm}S#mS+E=u;v_rEQN1oD!Tp zl}Zr(JbRGo)OzZ(#`~uj4%ZC1q$?h|NOH^C1b@5Q$+A%LzqZ2$c7*kl;bQ1yVR&(W z-MQlD@C;b?j<_d}+`p=}P}!iW<4o$9EsHNjPE-I7vVS4EW;GAg_X%&~fI!wf~shBg$b% zEKH|es~y)Ys<}rbG7s?G9M=hv9ut4c5tV;fKFPa#o7q3e{dLEc@IC57dYK+7_N7c4 zk`VRlBKY0y44&vo#tIHbQs9BA-rlxcHuU5I3>O|q;P2v}8KdCZ01|!|RaIPFg<>#f zZ%K^1>uzG_fnY8I39&2Yfl2#Mvn^C{dN@hqr=FLxw=baMZ4DE+vCpty9$Q5`Cxqg%$0 zS?+ME&jY}uUl?F zG$W1vabD=#6q%wNd@Gq;BHI~&fUo$C6MQ#fcE%P z?xtx37iQml#CP*dJ$Ffeula)0`_R+&6#Z8|QVKipw(AK|p^QwYnUnYynv9?%#DS2P z!Pa5d^|`I(#$7CS(az*rr^*3qT2QYuj0girl&Gs*Duw7)G`?gNx#gsn)Qu!!esO>D zyEYch{>qtPZ_q+PBmSPv-17)iO6q|j>Z2B}UQ48k@Ay5HOb}Qe-g%s#Wta+uCg)kz zCWro+59MRHk*ZA7e7^l@UvoZId7&*>N%vU?9=E=*f z7?-?v)dQ)LR>J)a^3>83t7^^gv` z^;Ex%X=@v-RneW6B7fFPno#<-=LUad8Rx1vh6Vp% z;A8u$Fn8!jLVjHpur#|G!&Zam(zuy$P7Y|4$1%reEK}-$+dTV9D&l_b>zjiWKc%ETDhMxd2)v#E%hqB^d>Q+WdrGIjJ zDx8FI^I{O561fVeRJ!TJQbq_2fIOG{u?y)%y50*7aH%ek9V^J{ilq6Uf9e=a8>mBW=*XGd0jSqcu-s<7kEbd zd3f~Maw*toq-KmWR8Rfvjjw*|^9eg`!ONLRySA0_j$P!MsMUVyknhW1D=k+-W_|qr z#ZbyCwH@Wrl)l=KmJ_G?o5mF!i+b7hxg!}$=kT-oDoE6OZZX#Dv3It1GA}s^?ddp( zQ6nE!;!PQJd{`eHI20b&>OdHx3`FUKHErQYP16h1aL87^=lO_x2hdaAO{J5}B9>F( zn75g{4sI+t6IUhgUL5!!x?y}F7>yn6@u=0(IAWdvtDjTj;zS(3L!XmR2-QbcQseO1`ku#-_x(1`e@8g+*I3J}ac{d#JDH`Uglw#vV;ZIFgfdxzMR$Qd zy`P%|#dSJ0K;d9VmUo8}{9P6LIJ)&g8h;1Zh?kG`2p|qMJzVe)%V+df)pUt1#CMGNuxMzTA_P7Jo+fFd{j@|Rnk?GUD+CeC{Vps z=^7z*gA;-HvD}rw)&o;@>H(5Rn=5LQv;*qjNgV_5NmLe=gqQu4GP2MVRm2>l$pkuy zyWHC^9{!;>H2J`IpZT7N^vmZ8YA*j)UNgTOjB5f;;V7J@<7XkoY#wFzHd{yR@#Gx= zCxDF9QBw979=u!rLWQ5kmiaC4LInDUayIn&;=wRC5TZ$-Voy}rI!v)W;Xy}V#2461 z#d%cZ*x+HA0!q)@uE!zxg6g1ho$t7WSZcyFgXSN>)E|xrj7CQ)!}aBF1}!6iR$f0P zRRHojvUtN~&-JBx=xBLx_l>-=RhIgV|M)<=iq$ND1PA`Qab#o6M^_w?n7Hh8o>WnA ztd#Tdb@F-G0O>A8|DDr^%KKq%)Zf8hq#w|802_4|ge<`?ZkBm#bb0QOJS-WRn%0uJ z`glioPzCm7bVhV2Z4$OT%RmT~aJ9X+>3NpU>47lvSnPp~1$FXwtWDSe}51ZsRul(spAA5?Pc9XVS zt+w6I(TNXeWH4+@b}?WzqB|(864X;MT0E(4j;!i~_}}Haas^dI@W|R{p&5bELYyfj z*i5a%2TlpkcnLG`%QExbs;8-WX#lIs^5($Rk2r4KgnQk^#0;=wprzb6K!~98*gH6ziNDvI3-}($ui3`vwF|3b zdpr(c)ivC48_qu4atRD)Rn-vKI-hA*hqpRAmyzdj!UO1)@7sAV9*q0;W?a zG&?vBEN*uP2s&IqWH(o|SruB>cQbI`w84c*CB}tSkeEXOIspB__&x!Me`)a!Bs<`y zr|OOx_zxW@FVjq}0v?M&3c^2}RZT0}u91hPZ&TNSlUlKaJ~27ZRz;v7v^w3c{yg>@k)PjU z69u1QnnYsf9A-J*L)`lVJgVIy*NrGUnDn+KX5)Q%MZmus-{Zo)H#g^i#j9jGb6!mm zjJxHd#Gb+op(6$t>NHn^L+#w{%^Py%%P*@IzIAY>7hKE*V?B|$%bf$ja6 zD+J?e&jU1gm*jT#wC|CXb>vj6W(V^t%b=TuJhu(X3-6)rW=}b{Cju3#+?;SE74}F} z1v;67^pna!Pek3Bt9yI)R#UvV_#cdlxUBc_T&vcHX|dm-vXJ0mQs`Hwy@p`NuhEz1 zgcDFuUE)lY?>*;*TVKwoV19p zm3Vr0U3|Sk*I2-=Y%DhdtDQanMb466aYPe@J2gEudCS1k!3dr?j%tXscg!;5{)gu-0Uc z_;w@H%2!$fRlmUU?2*0e`&6Jicrg8dKO_g7mCksSPAsG}Mn<1y^# zOZhd|ACFM^Z*TjLZcVxV@@JODoXD>e{%rP`s<53K4gf4^HI*%K>Gi{$CCj$lAGytQ z;vt%@=#o=k_?w#zshCM%v^Z2j0dfccI*b5xwog2XP~hl5piP8<^99;mA%Bdu$3|-y~EnRKpqpJCs$l{x&+;K4sQ$tYw9fH(N6hRT!cdz7oUDo9C# zegweGVb>E5n;wthc0{hm@l?%}NMLN)>D{arfwhj-Z(98zsbWwRp2#fJ06B z5=!z@6$O=nB`(QZL!n0eXvAPoxZg_KH@+Zzf;RL-0r(YQJsyJybn_tUkO+_rhCM+< z$-Bu6UmmF}BgX<7piniHBn`}93H~uyo*6ueuYP!Wq1G`+so z^QPergHJ(hqZ6pBZvp2$pp4=FkF_~9+x$yHvTC6TmKe0$uYX*jDG#QNDWD1dWBX5z ztf=`o_GT9J`b)Sjr^ty!RPVCZ*T@$XgHfS2+BWMyLGY0Vt9vvimwOl1v6_C7iS7M` z`V8Be_V2+>QEnzH`d)HC{H8sTJQtjO$!|kHgLQi1xk`p*4?F&pliFP()#~Jt1Pos3 z+%zzJm%p6_Q&pCO%PoT$u`QmAhdF?4zMT%iNN;Ex$?ip}i&)&8?7bXUBNaPvEr+^F z5_NLcv8sJ_{2?)>=zccJQ`a4Yc{Myu8v=l@7v|Qvtx=76>ic}7PVc?g@d6XBaQNj8 zy@tX^uf)?1DwOd|rjxTOO@5`?HPqcup zfH;|5J_QQfTk@A(qI|=OQ#9@LKyfeccvlqgx6A!qg4TaOh+0~wcYd;F)|^L57hsUD zgW8(bkO7Z*5_2F%up@4e$-GVsXQ9MGD4ptg20Tcb#cgdAA|6hxQ$5M_)9Jh!i^H^; z$>jmC5X1c-v-ayT>0llP6Hg-|-?dsN>}TLPcGUd%ys$F*i3vXNB@lAoe{&jd3ATeJPPwzPt_608m(Rxb_K5 zQt8sDxAO^u4-XRzd%_aT{f>k(7^J{X91H6tboSdIz3OV_C1tsyzUf?m)s&~Y9y2vPQbMz=Yyco6NbfoHvm!Pn*qNi4t-FH4DdogPF zrI(lASoH372^|K!e6rYa!SDQmeLE`Q$Lo0jzRE31ApgiKnD5N2rVfk^6*$K{J(a=u z$(ET^mf-vx|L0?7mX(X`+!8^ql|R&$?+9G*>g z`I*l9wm5-TMUC#7EmB*~EtQd9>AhD~^%PD9U#SguOe>*O>6UdH5J58iyx?ET?C!0E z*C?8!HgN0V7sdWMCQc5Uj0tVHo1q#sj@_n$bwAGvF47gQ%C8u-_g`yb55rx)t|RpPC)EXjIz+{y(9!gERaZA{vw0us zu4eMMk!4eR8`CfApOujGDsqPr^M_92WuX(f)wE!~{|+{e$|%s$o|cel!56p z(urEO(Og(`tslS5;n(&Xe6d$mm7sts>4y9)BoNU53GbQUQWC{DlBRt~%;G?2x%;_= zy17F)?xK_Ho3%+mb?bknmJt5M`A|s+@X?W~tL`LwVn}tQgZ!P3<-}0qB3pTY8;5c) zB4!(j_9S7b!62}IZw+puwrG6+SNB-vQX&;E4Q>T|cZuAu7;XF@gs9*rgqtRbS zjoSY4l_4rEh^h+*^;#yzuPbiv!Ib+8VZYBnoUuW@xFIH<^Ka#HgCOI#L<=>1auw5u z%WPrn?CT##OyL^Mb0#{zAzZbsrUQ554tke;4q4Xol+ut9&Hp@%IV_0#xzs1bQI_Xc zq7=QZv3AJP2uAPm0$>)1w<4{GN0cs1R1$wtdw=8?hH9V!0!YcdB=_ z&rqKJh<79H{pk43KF_k~EUd7hE_N{y9xnpHQ_7=e6+f{~7Wxm*Lec0ZQJubKD`Vb` zxzvgKs$D!+$SXGtd_T%5Ip#xSu3QXYz9*Fh%h&bKX)k;Us=BF30+Lu6aWr_#{`mHs zxQqXE?n8TwYG)YRxlgh*mnislBUsqQvlaQA8VPLW=9!fd4u^;T_UOMjT$#?FcX7tv zKj9&TuIHd*;#rJKb;AjMv~7X^si)PQ zd}Vo(n0nL@^ES}&FvZsahjA&j1?{UG!jGKXH^KSrO!ng<*PlA`)RL}nr16@0)eoG^DmZItY)JD)2C;n9m z|0p`<31}e|Rrm80$P~HSNzihkI>94hv86vgRz?d3lo!%lTT#M!pm@S@A*nh(F`K^G z4i72p`TqJR@d)pXJ=oKGm^*Ospq@*V?}=)B>~Wf*60+j5k=o%MfLB z)i*P*?Kt1l%h5&U=>zSAxjh^b4d~Ok|I@B?>x9ie((U-4MmcFRP5ic!2+(xbRf3?%t6>EZZ!DNaJn8(Z+s+2n}4KaSbaKQ9<=Mxc`@Yh zqRaQ!&$~Hgwpr4cV&2P(z4$#%nHyK(^lqqqaP&0y=UR`;#q9UqU*T^Vkr9{pfC6Wf(L57K-lyY1}Nsu5gK%rq+O%H-**+8nZ4vb~5`YOTBwS z5wyx|{LIOZJFtfNYuk5@Fj?Mo35ha7zpA<5x4Geuo|vXjd4UCH)T%Llt>lO~HZUuj zl<}L~mb2s1j8_>hzLqbJCc@vJB`A%3Ut-j<`krEq>XqW2b}~sPP*6nfughKBpVhud zSqSy8-r0T1cP$pHd@UizD#;zLo}^t|n{;Z}K?v2Y*embFhW)l3t42r`x%4`xaLl(y6blW^X7?2wAPbPYQ8%TxVnS8NP&7lEQn!UGJpjUoL*vO7NTCaK5_wusA{QY-^8c3U5o}AU3 zyp>E`ar3CJpj_6!)cHJ6$zfTVb_?~2f)0X?a*Hh3Rib>A@u(_QD3T(4)T&WdbS!Jq ztznR>(aTfUuAhKB4 zGiEr&^qltNJ8u9R>~G-}0)0FO2$7fAk}lk8g6;2vJ-}}`LMniuC4rb{DM{p&HN=|W z+*L_tU3UK_SuD=R2KVW7zeLtatZSH}vx9Hwgf{ElhWLxJj?4aAw90KQrmLQRJ)luG zqw{X=ti(WvxfRMB3vd zY8=b}*anJH;P%}(n<}Vr#129$D<+Yv*smHostRbXG;Lo_=DrzScT~Zjggd%6ue=4l!sqtK8CJ4cL9WJ`#|$rV!I z916(Rk-64rBz{PZ9f9un7OOW`{=FkZmDmusePPh1tvphmv771B14V9zTY_%a5DFLR zfjWQ1;Yv0ryCYDzDlGDlAZ%v@>$#0ja;C~t|b z^5q$n->RKQJ7+|7!E>G9iy=+Ir8Zs$Er zr-g$STX;a5=*i*G)vI0OE7}TzudbtRFAlyK6sVeYD2ic=W(c@??XA6y&dB}rG_~?@XRCWAuZZD--AN?dW9)#Ylwn_m^SX=d^{nA|CUaj4pR*TFAFD1Nf?EO=r z;A761+t=oWcW|!O1J3S zRVG6v4fM)vPW<^xc&H_|kT?M5WK*(1y|xA!)Chr;J7h|-D35n~M!)PA3>CaKzRB3H z1oQ_TJ>+H;?8Av1p5$NIJCTd!IR1P$!f1=8brmDpF7WxPB{UL6AXcz~`y&OsgWQqC zDA)GbZz>tiB*e*ic0XeB^5BN!9d^mO<3Q7%fujE0kJ)WZ7lWCWxYyW8gZMasR2IqI zYP>nKl*oL<0y4+3bzY3eiL#RP(U^U~I-+WK(P3dB4Dr^zhOb7BF{IMfi8ZEA%ojUf z!FJgzs(y=Vpk4jys)x<}qBmcmNVogOr_@#s)n-QNw0g+y`ws<`Yc>-;hO29-%P5g{ zK___CT4C`j{TcSrdsb~)+61`ubX>bTYiOAZ`!~?#V))(X8SkoBPo6fdM=KuNxV}<8 z72vFR>zB2x&nz~a;797xVcK!fagPXHDt}s(>pMGf=0@ye(UkF}N$BkLOzu_jI5~xq zNQD^geR8rkef`;WMUWW=XSo3zJxJL@EsJSVmf>*@9PF`td~-@DN3IvxHsQMZ;DMi} zW#T>ZsaT&+{KGN88yZOjA(E723+WX6Ez^5)8!jC05B z6U?>QP^{*XKt4nLEIirpj`97UKd0?qKfQg;GUde4JYTskm#Jl9n>m7&@iWLwUtFO6 zR$|3t)0SlG+kAiY2TzX@xWXJMZjLH;%q0=(KW=sAI%moJ$I}dgv%n~q?FotAM2<8MrDE8L|` zv>EaGY8RNvpdQuc&t5;>^2GrPoD@=l92v{xCHkv?PC~iw7Jcon!fRYfA?C=EL1w3b z1Pcm=`&oVxH)c*}%9%KVfP@_)*3sHaG<0e^r+?_^(VtBfF9*1lXi^OKQokD`F=T$#Dpj!&HKS1^_^aI(kDa<8{` z>BvclQ&o~$j4~*gL;eoK)alLj{Kji^fA(+esu!;eMrlMWQK>P!!Ju^4?}rq}k_T_0 zRqgMXFG2*%uI!eKHU$_1iKK4ZNb`-Fb3P#d_ zJ}(Cwsy$0uIZ;)VWWk@BBXUwPFck-@(U zkj)U#TX+q1{)-#cP!oILP{Hy`tiA3M_dq2DkOH4aOD7_Sm)1%rD_a9bK(Gi)!QWK9 zsFvdspP}9C3^TRxID$X$$mPE1PRoy2k%{BNKK;UAf~H>fk(G@H`xODP3Z)nhRQ*If zE`(<*e1kKRa^L21WQK~uQ2!b+@TQ7o)znDn%pm8U4<8*+x|to!&_I z^`MSNnuA`03PG4d;RL|^4l8O)loZ`=`I?1L7M)Rw&mxJbnX zf%|b)q7^JU1V8kziImrH#qt4i+Gs} zf)j7?kM-`2^)U*H5Diz}%=henU0TB?uo(Q1{&*Xe-1t!CY3u!yk3~xiR<&3Dti*QZ zh%R^NM;;9iI#$ed2#Gwp5&ogsW+T0vlDl01a)~BCqQ^$3WT9<>T=Lh^ypkN)%#<{P zV@CY+0v~_;vG%jtL;U+y#LgTLcdZeB=jp5>W{&P^Lwy2T?yG{nj5>GL_0600pa>|3td_j?$DD;1fN0LIzrW8eVM5G zvmQP=(1^iw+Z~thK(=ssF$*z$Yyzz<7d9FxT4G04cRsFfY{!5#nKL|=2)TuV_S3AV zK?_VZcX>ug7QiT5PH#Hod`=Cg(<^Q(3@w2lEAzj#X(;+oc~Bu2BWn)pq8XH7Bvv-@ z6siyrH-(pr`*^AG`RNIyb6vQoGgCAhG%{BW5U;84P}#DY#_pBjLZ4O%w-@3r&=SbN zEy-m9AZcYWe*`DST$%<3fIzQC=TH*aqwEB6x0W!Z_*o|0+~p4p*Jd752x$X`K@>3^ z5)=ZfYAK$7f0|rG(jNClWdFBwc{-W&ow3*-7;jLm2f`wT?!Oh7-(Q`)4nt%-!{}pF zTJjr}s1)Q6>1D_*WBMHqA39Pud2V;Glub=*WUAObw4GowFy;kG1{_!qjh=6n{ZWuR z)z+^WN~QQuV`@Ak^^(JB<2=Iieb7JaATU-2TCq!|);TZHTU>4T-xw z=WtN&aqo0;23LQm?CS!wJmTib$jvO~*S^-|-y%vAE`u8V`8S;NAAJzZqDLQvI1V-X zf$p7e{~G+6&RN}}>{1m!mwhSm*6VxtsJ7#(fx>5NO{%wtCa>tu?ye1ka~pGyjl8pc z?(+YHv^|+Sh+#{QNr}rV<+t8ElA<0MKT3~RaH=Xx9oMwVe5BGhI3=0R&IpQo8rPQB zJZsQRj85!vIO25ucV8G5%`qH1D)2aJyUFKmOCY z^s*d*aOB0RQPVU=vhMtrSr_pDr0gs!&cWtdu4;K)?5iEAfoGy0V9@A4P6qIOT078o zl6^I|ea@#zCAsQTOaRQmVc110^6i(c<`WpnTQ{hwOXs2?dKWe-E`$ zm5rx_HYt=iXDffv<9OS*gtm1r|H93MaBZ5@Nb})an}G(GjGHoFe@%ITG~n;C^fHa! ztZ9OXlY_(x&`HAD7s=8VyRM=_cOmw=a2<#G1Nk5kP0rCyMX=p8ATb}albgCf)(8(V zE83YDcXMPI{Y4x$xJg3eQ4%iEvo!ycL{hUW47<|%`0vwfvxq-W0A4l3d6M=tqkJBb zuQ7p=#vDO7;|k0xO(lkb^XA2(p1@csrgUjX=facI7VLP_ug*`JWU#AbIQ|kC514{_ z15RE61qH=W^x;WfA%-_5nTFdn4RvH}p^Le4bSJ_rvGA5b{$ai<%Lhc;uh` z=Q8`A-*C=TvkY>T%^^rUgn?V?LQh~Xo3tOpo%#5Lyw19qk5rcqVyV~O)xz0Zt56s; z9KC&VmWA{i$H>*8J^JsKG}DWM@5i@p#JrZk;!P65NEOF-M#rM1zA1gzV`tGf3Q@1nk$VT{HSahT3#9_X6(Epi~_6kNtZ zrXGkeRf>uIc9RpChnI>NQo@G7_>HoS&`_^q#}6U6=AYI z^YfAKR?pwASABQFpUD#emoW@ldh?H8FOaUPH;lgDYm>Nm*}N|;qHgFqy$$>-=G7@s zIJ1GH@@ljg!xIuX4ACy{JPYoZ%(fw?i$j=(OE2b-{7M{#&cU=W}V_8 z8`?_CS(6@i3~LPA3LNnocaSV>-TqS=6D7nNtsS9_IRl#*(*`YS9}lt913^Z@J#fN zhuFrxmvOL3lbEPE^Tb$#sn#U$BgXD;-7f}y#+bajTgOp$rx+MVxQj#6RmEG9x|4)^ zZ^|y;Mh-+FXNlq$hH#|F_BBpyXJ8m-z-9YVY$oaOxG;=tI zv;xY6;fCjnn#RS*R<=tOHv>@xwq*-z~a1n{%A_b6a##B~we=u*7pZ`d6D$jKgz{-LXL@1SO#A$tST&xaBwi97?SP z$qY=m6ac;)jGc`!eUq)%=wRN^;eO}TsfPVki5?OG8}(FkOlFcDfBP@X=g9o|#e6b` z`LMeik_|OzD*XB&S1L2~ic~A&!E6=w$J`2kyUby~5vJqmZcn4x*d3}%=M;m*Y}eL< zXC%Lz2<)X%Af7nOe4j?)r9YieNr8&SsG3kABa&?Nj^RVdIOruu3~x7|K{Y$x1jgv2R=EGMH=RwJ&8UL=Wt^)M{~zv7L)5lF zOeoLk;4J=^I#mSi+l0qXml(eUY~TK>twGrq2*|z#m1~#+J6tuU5@k}BS2lg8AUx3O z^G|*|HVFDwY4@ba%_>H-vKxNBys=7fcuEzI+h=fS{4U>L>899iKenrxq|gH z%k*cO#oj?^_Id5UXxU`6@U2pfyo-YwuL2}76l1K0QOeWhhq+&`Bmusuyjd?I)70pi ziiRzc++Vb)q(S5FCf=-ql-|8P<`>cD$KTHJ^ugiy&pQJ?2k61jf=kP$?d(79rP{dH z6A(q)BTO^o4}X>xWeYBJQxE$aTY}+PLXk=dzj;Ej74e6FW@E7UoC@>?(sLgdV&K z&W0}SkK#g)mxy?oDFq~U27w{QI~B_|P0+Usn5OBQ{+7O5>(Q3tu5nDDYiyOp<)}8+ z-#vG%M%^HCC<5u@Vr#%dL=#Gr$lK>^!O4ov2+$BkInQU4i?!|7k#hpwy2jUyc0(*2 zdQ4 zwL0146OaewB*437a{o1{TrBq6|0NLk$?QF%&qr1H@ctt~!}MSs>hcQE87S1hgkVtX za!@d&=@0wQT;0U8i_My~-Qz&N&(l!Sclj&7ENpf2*^p82XGgFoaqvs%4r)=z-Ul5$ z_A20%;mRqhg^q7l1^LPJG1(*P(BC1$?;53$;P~u3MOu25Z~Ny)Hh|2Ppt3GR^#^(P zQhx1XuA<-}4`s)<$37${N+aotl@#`-(1Usk z`1?8AcZgh}{_~877E_d{I(ldGjn?WK`cd!8@^_RI#ZQu}-i5QXG{frSE6)n&ZCLfj zzDF-o=c`e`2<>E@HCke6@41ue(iG7y80N^w*g>^fUq4aAOH}Sp7^V)Qhph?*A_A&F zbjJu2l8ek&bW!_h8V~4-t%*B!XA&lguTtvs*s^%S*>{9EQXbH zxL)vHe+Sj_@Ys@lP_=PPJCKwt=Dp0 zV&h_!ofpXcKtFOXA~0Tctnh5a^i*2a)YEpMg4t|1GSDYWHnYrvJiiMcLKjxj@;y(5 zbD=YbyjMo6vsr@U12SCdH?E*>PLNj<^=Ous@qv0{)NrbiWgT#-cmXY4dRB*OUfSCA z^{fc^{)&6p^N&-w_gW*|rb~yx|L1I%QDd&>pNPK^hd7SgOsSep)g@^&@FNbqc3k=F zk)v~I3%0mIv4mgG$l4N;PXB#^`&LcCZXj{8`qnU;pQ70^dK)N>uOFM#`pqs-&4}~& zO6B<5njL}K(?O4>;Ga_5m&oeZHef<-S8;kUUwR|AJ;f!F?>`1Y(?Ib?66hTMr{OGZ+mX3f(lr(s-hki)z|c+OLjn>kfZ_(C zPLOUdjm3+#FcbhB@*ZSo`%gD3zW@Z3oSP%txLm!KopnZ=50GcUP{gQc9Y7!q18lC$ zjdAhM@d;-FARZ~Tg{pV!c_Z9CA)H!k~0?!%j{x?oKN)GtBrB`|Xvk$M=^v z=@a|`Mt-9$=rlzi+h>P|7mgroIJ}&r{~~>w=C5KE28p21`?x@6h%5EL>eRpjFynTr4hE#NNsctw&(bN_L3K4jNduu8`t%@)-rH~ z;KVn1*AgvLyMMyUa7-Im*nRL;Z_Gajdm9t|sdoHMnr8aerN?sUeXT#92y2vPrGi=S zLvM?(`r0tt7X(rDQsBeGZL~}iB)1)h(i6{}&NJTP{U$CM8yo9H>y`UT;eRLNg}O2F z;v}t)4c~=#s{abGB{$sxhz*Gq@pqLv%Se_G*zcn{(%RG;saI_NPy55K&3KNYuPp?k z#wlj{LmcmOg3fGvMp(Za<5JAu)q$H@%z0lTNN0rRP4;>WGl{21l8XTK*Kyh{px^uH z?_gKSz7t)k$?aIpwpp31NgWBa8TMwHrh~o}Vi1}Cwi+Dyho90c z&GtG5R%1)1I!kc8`#-7uzw^uJe~V>6F?*cxkntpdDG~J{Af6^Z413G9VvPdFQv6R% z2=Ori8Wuq8*jdaKl?4d)dl&#uPrh{EWi+)dep)vQItIp&ldVIM$K@eOLh1V@H^8s)F4Y2vHhx9R z3BHH>)B~N$d0Zk1-;-AOY|R1nOT5z6!?3PF&y5N|aw2X%OA=xC5CQ=K1|$2IAIp8n z%raii?z*4yf?1}T2Xi>hTDe=i>z3LWAwVt$heX3oMcA1QMpYWh1m$~zxF!GUN_ zY&P-yB>j1Lez+romyYu>>RnAEpk#^9TzS<8gzVDs2@s3neXcxjZ0*=duHKzz=?z<3 zwFZA%3~A{3lL|zJInENto!+<_wX2WNP2ON4qHx7oU_#}A^Cf#75onUr%^n}0U_IqJ z#!2x)@v*hS_O+=q(yz>6*h;MnS#>gbW0-+9kJ#abahy}So1kOXJf4A$7+3w+XSb8u zdB)kDo4>d*II%42f(r`Yb6MXlPkJL43CD?o3XoriwcOS$-jjReiKcQ(+FOF-T(`S$ zo{Nw#jvbXw|1h`m8mT|-0D`d-cG1mCgD==Fv^$nRSC}idFL% z0&$}?H)dYhURMwI_42TAU9ju#!>qj0%_Wv;(Y@p^iHk*81I&NeGa0<`wDwxB(95|3tj{j*npTEqy?nC@ zX+s6l@2lm{)xzOpLO`4?wNNFL3GK9WU_ZII?-@awjC)KdQ<@ZcQE#BzqvuWbZn&rm zZroZwOS1Z-5-Jh)=d&rHz=~yNgy;t zPyk#Kuzx6o)HX}yf7gYN8j>4%fl5u-mg@6-G5POZ1bl?A0N@OeDfPj-)j1R(4>p=_ z@De{wwe!hNfVHiJ-W~Imr1B0vHyxGGYDtDQ2KzMfdp%6&g*_K1k0qA&fWKy*&qF{F z8o11~NwJhDr~z{4i}@Q0;aCf5Tfz5o%*P@0(NkY--K;c`yB<>x%`KA5ezt_#il|sClcy@H`7tTUAKn9R#%FpyrfcK_W=_& zv9(w~`oAEu7Fk3BT~~t3LZtgM+Hc$AXtj457b~p&y>UVMnru}lVUO0AVQr%eiX_kR zM#Yeb;5{o?ql~k5o{VBJ$<+HJ&9e^;KLzd?b^{i2?Q4-7Hz(0vcoa{COmYVUg=7jA zFqRt^Y_yN}otK&~m;L-5+`94W!{Wy%z2YYWEsS#%e&_IWx8i$?%tL-HYr@S59v{6_QMn;6Ok;2TaqpjtAPl0j=VBC(T zw0it5EUV8NyX7W%MPF~)IzB(6u`Q>uPxrGc`?}zgBVS>$uW~J8KZVs zxC#KDX*?xK>RXTbXqfC(#n>(7e_S@XCWDRu1!J4-3MYt<@e&nkSJUVj4#$ne!{HF< zIj~~~3oQSOCh*qb_^Eih+#c+a3)d@a1PnmHF}08$_CXO}huYB`9y9BqLJCEoZKd>{ zfD9ddB(9u3#El9>14fVcbXI8plC8!>t3W1!e&+9ovtDf0J z4ifO4O1w0A9)m{}0s|~nt0c@ccwcL^k6A6Vk>rpZS{du0XLzs3aX1OZ&fmpc&;Js> ztk)O+Yz3_Dc-vq zFq^OS4TT~v7zmZLCyoocgN+oH$4`S)oF4Jzm5l?_cw^Uvo<^1hwzC(bn#sex_dl8` zw}0(>#_aon>vzt1*rSTa5*ptN{7Eg}E7v~5TPb}$eR9|&vZ?#&+@sMr#z>AkvQ?~e z*U~vNhq>cFozIHF`kY;nnVSm8Ut7XP> zYV`I2WRris-A=h>UKviz6LO;cuvedzBxBO*aJw5Mufk+KB&7$cnkZUs_nMsQ)1#BV z3~ggy9i=2cXnMcYENPi!lo`@n!{nhfe{;wiwgn`PIz9l^4XeMUirb0m% zgmbNjABiB3DQ*^{1`5dqe0X6FKzZ0Djqc!s#xy_M9-L@2KY`4`K zsDi@9qogjJ68A;o>hDLqWlx(7N53FYW}&z3Tf-k?vHw?4`{4}<(Ax%RIX0?ql932y z{wwW4|9I{5qO?Qqq1dV{x$}!AN38CZUdcb<98kna0X`!;D*?ek@o@V1MWM~}yWW&E1q$;?W?jahrM?9` zeHrArMt&I)eEv+yUn|02v^+=MI~?tkcD? z;Nh6rd@eZzOc?}jNS_cEwxa!Gtyf{@=vBjLpG!~=TjBKlLxNQgVwbjgQuh zvA`gicugaRUut&YG7xm}^`?K(iZwv@oJYJ`RHuPJ1n+{PU%JsW-nqGJAKxTGu)%bD z7&^uRUDJNdsL|z*b*OXwrh7|@lL&U~?qt^RzY6YqB;5_hTsrTMs$)oPY@?WEiEzru z`?7&k^55TuK3F_>^^ZstMBW@YpHKu7NfwrNtZ4H3R%?A*>cAPOS{^{1uE3gN+8k#u zBj@|^3cDQptYXIQUfPS>CC}A_anVug>Zw7IyJV>4au*dOWvk5QtO7n6b!x0wJ=P1L(=ERls~O1Q#4TR z^BEyaS4fSMQuTYmujzv?r+UH{_z^<`!!JEOm47lUz$|gU(0AsI5q7DG(Z}A2=(XsO z6mTFY>W$GI?q8WMzh0j=)%-dx`uUX3yUt7vuI3PH7QG(e;&*G>6`*>?l^>a zB%8VDOduRtPa76E6qNN^{Pb?ggW~$Aj@{!kMu+tjjb*F91r_Q6ncr^0Rn4tc*+|oK zk@P%ba1rbTha?XNT*{+rX{hz8e*UGQ*$g{wTQBnp1KxX$DzP^?At&c?K9RYV)m<&z zekmT6;o$DBALctis8Dp%GcP(H_Kk(pk&EQQY4;SIft}* zxwgx*^gf&Db!$^dAKKpwAZAkCV7i?NRYwzjSaF_YdzVdSL;RRhU3u@q{r-sbafmyb zmI?ZpkdHczlG=F34I)YUySl`ro57o4N+%yfSDPzx$!qR+WI4RS%pGK?D0(Bcx7guW zGg7g08{|xo6dzi)v%h_2^z%1VKfQE$xptKgC-Oe2um;filFv@;N>w;N4qpLZ;|39a zVAv8cC;_~_J&D9Nxk#kcp(ZSdgoLlC))RVlAE%YXwGI{65FZTzr!S+ov005OG1aS9 z(TlD#!b!KZQ8xM^0E-uS-TfRK#R2{8Bz_SXs$Mij&s3vbIb^ek85n=?m1OcewiUs6 zF(foTlXMEh-93$!M0=}TJzq;X0r>ji%#J?G_T@L@ zS`EFP$cjmc#0d_bgV#A-nuBrXg3jqO2`T}0SKzYiI+TqcG)Jeh1JOvDS?yG28WpDM zdkYbnYBlebUfW|U{t`HKnK)xF$j0Aar+oAfIaN{bsxaaV6HOkIP5-6V&!HQc%{5Y6 z?3vcuk#aS*bsL%XI9BNO(3yWT3hus7Zlm;_a+!_GRsC_mqip}uBASo>N0Vew4VG`1 z@X2tqIo4%g98zK&_G}eF^Xc8%CZwX8w{E3)@)*;88cC#Ld7K8()!e#@fg^-0mh1M~ z{IO7R#pLg{3=pZsTW!+=p&hA3BY(r#_bFj=UTMAw2;03D2RF%~Xo-0XDv>+yl3(*J!<$2rN!3q=y7a80Fwy;{>aj}6jFAki_ zi~Kx47f=zJJBp&~L#OUvE0C??enwVQ*IW0PDkK1iI>=N;w?#|XcLGHc9BPxd z@;zf(yjvWUmN$_i^uNh-@AZ4Y5xKG{a}o!Eq_Q6QSKhRs*PSLwR`X{$fShAnk{ z_CR;QVAE2;=`Sz3yn&!`*f=jWqz5}4A9#`A@=la&W*pm&d}(r&*q)p*XVG!OL$elptgNrw<#$p z%9?%E%(*QtXfn8WARJR~SzUL7)m*nT3Z`0OG;s*~JfKlw42-Sth4)grdxn`D&QNHNTY(73om04s zWNn61z|R-g&w?o-7|+ekT3%l6PCAsgU{774qy!PJ#o-U5@cX@*h)C?`C2=(k-(YTs z+~$APsasPxhx_4w9hSyU zS`lZ;a+99>&k(UTLq(Z8odN5Uj@&mgv^k;d3$yiCJA#UTHiy*Zko#XchLMlX)0ZjU zGuRy;qgiin4r8BLA;2MBEe9%_X$8=UmSu$mdfU)ADG=>39EXZ)ZNTJ}gt*A&+H_z@|ZPwbJb%3GJV->V?PpkeM!dZS4{m2_4T zr^z*L*X+i|9JhW9t*0O!Fh1fcSbrs=hI-KNm;zgQJIGv~C_55SNiah!;Ye5Bm1nK> z>46X;jbQi-p$)%mH)^c0!>3?}gilWqNt&A)EU>i%YquA4Y*a&qh&I{^+&C;YzxDk- zsUzI_Z@iRSKz6H1MgsbW&$WYqe0wjchzp-z_YCzYrY-p|X1vBqQrR@`D#!Zcf`up6 zKBCDe1nz%@t(nXi#Q%4>TqQmnwtXR(JTvo~*RYEv9~!#2IlTRJK}rW!?o{Yp6LEPFPe-^z^(Mz`(W$RCMxpMiKnl2e9_vs*2VmF zbq)U$c?lYdRiV3fcoEBG`dOUl%Wqou@9P(1tW69RBftMWEq%QF-vKf0vO*kYD`Ob- zNB!9AuQP!cqsAU=_++~tg6{Rgqb|2Q+wxw><&GY`-F{XdXTTHSuRVihd#iq?Nq%5$ z>q0PPW8#GlH>ps*s&%-I+JG4>e?qNgWWy3+I?`XC{76Ns-6)d^8)3Gy0y?aXL2i+jaI^AHA1G<+_}!D5p2># znP{9|dY;aOboxn6vmIfw5aaZjd6KdEzz`M&WKNipIR%S;@7d_$FYtN9#p53pm2b4( z1ow+g4g#Fq1D&lwXmD{^tI60uOZdMv$G;QXXqh~4c&QyK$b;#oucEWXA+KuP*#-|k zHTtnbHWc%eBFZ6qDe~PpYE9oyVu5_5z2DFW zTQ?55-6QX2TZcfoDsDzJk}!$rkHVsf*A2-S#y;8nmL~$ySC$g~!^r`i{KXWq&8R8i zmo}KN&+j#f7ZPkxs#UZ3dJol%3?{Y*Yy0Fc{2lzn02;F_3`Y(LYk^SGRLAkv&8AF7 zt*w3D(#zrI9d3#>`JBUEH}=dZG-F^eL1cv_LH3-=D!4>qv&zKrud}a1N8Vq<2yteU zpS%jGe=Cno=iK()Pl1#u-@Tp3A;)wxG!=A*tedShOJyN1=)pDwc(Gjjygs^*_h-Ae zl7DzgSqpSGvWMVO3o__7?Gn>0pMDhbY#>M`rZ&#J7m(w9BbRxHr$~qME%ZFteJCNf zM@fy$_G7`$C#v@a@GElym{1lrV87*F8c{N>=W;irH{-1AFjnr{pNiukJP9KNGPEV) z3}5H|5j()VA(D?czw=06KF`%TFcx^a4V#lfgu6>h_50ukV@opG1i9nCL{kD4+$C_2 zsxi7ONVL^TJd|yoSs72#U=+pANLKFJV()v3hOVeYHQNs+8{757ayFW)55NBi81Vo) zf}#2IU{om2q%NlY_TXK}@33)uYR?0w--expq9bG!ppZ7n6E~*BDb^oDs1%OAz|X47 z7kWDCptjn9=M#S;4DgQL0{PpJkW48-uaNSo2VK9Sq6+|^FujvQV@#6Fk9ky5l8fZg zJIY>3MSAa79HJ(vkmm_r&q#2@lvweIe4xQ6Ghx_NVv4WqxD*SmUzWhPDkMa+KZ&;u z>%3W&Csp-~p1|P!8kGmY*z3bIxqQg|-S(uRT)Jd6-&<`yXW%jeUO|$#H@!-~@v_1Y zAVIs76+ZdrVV99N>}y)Bhnb>W{d>#0hksm7Y2Pd3MySYIo#D*;X#(p&I|#iUYY51P z@_FqUWQ<1x+lySySM4+gy|<8Hq!X)N855nV(D}2pO#b}wec7z-YS)9mQ>OBsn%;Fp zVplUG&X(UiJehkG6u9eOqU9NSW$HJ-QZcN7av=llRcj;{)!9C@Po#2TBjp3Rw+hYe zg#peo?xr5)f*cl9MeM@Y@(o8HnH8$vU88=yY^YQHj?%f|-~FgsmXRCI*xMxH@DsVa zR{y2Mhl1mLyR1D!FCWyBH5LCH9pB=)-`XnU^6*rH1qC_|&41SR^S$<`x7}~|?E5?F zqeZOfZ*-J0=Qqe4hjx6V`Ril7y)Np0V_W~+I!A_j4_b14^TRak;Bi?aaenscO~pL4 zMt5KKFj0j&9mz*-Iu2UD;(8$P`X|QYW>Mj3$-<`?6w&G;aT_0t+t||IrH}4SZ#@rQ z%&M9Y9uU>gQw$nv~X%Y3(_BxT2m$io- zJ?<;kff>FfNMc^ZM&E;o#1DOh5Z$Nlb;9gO|-aZez$)9e*s= z05A?u6M)x2d%4`*5lQmW2)WZ|+2ixc)MJ1OBt4c|vqR2Y>LrBuB?eGE;xoqr5~u#9 zW7QZZ-ad@rNmB!LHHrpZX0U*R+UYb)WfVdrs94uin%YeEVm>^eejZbBRXCsy392$I{l0l4Gw;%!gD6N#F zUpz(xoO*qf$c>^xxz1K3iUoaJf>-2+1_hV4)*~-Z`eQ*La0nEJ2<^I6|NpI?Cvuk? zfRX=to$T|>u7uQ8{KfP7pgv;ux5(pPU-*_GpfIH4HHXSSq=-4NJs%_z0LHfr1r z)GvUqgZY1BwShM7i`&EL${x$$I> zDxJJDIC828;q;O~Xl#i}D*C+oOz*0{_69B)# zLmY9weVe(KB-14z@otdW+#8gz_u$Z1`0%lc()Sz?I6M zrgUQAm@q*01{z=49L;K{Sa_=$obv8-%=k-3ra(sl)YoWe?Mq+Y>)_7Q9ASNOzpbMY zyvULfGX0|jGAVmWRVk@Z=Q>dMd93%x=vFN?v-&5qrE8bLA^d-ew8pM@BdUmk(4W-~ zmnV~#wc2r+1#z6>2$#yNz2qOT{P2r>{6vaoU>3;>RXyf_&Yeejza$cQtNa-I2!DXd zZFB1ebo7=G=c~go+-TRz-6JP#RRx!yyzlSRxl@h3FdHvC0|tupc1JA@-lokw*gpO$ zi;_qv$NL{Y_wsFAoC(zV8m|<(Ofhif= zucRNfxV({d&##_q4JKMDjz#B_s^TfS)1;Hu&en1!bBx#jI3xbg3n#L#T#t_dh!UsO z{>sunHx7o7Iv_B$>&FOg63sVi30@bMpZmUZF+k_v+1GE_y`cH^vqatkfzsyVK?6az zQXo)id$$iZ6J5Oq{|k30A-TtKNgeYZLB4go*Zm@U3T)}j!bqP8hfjuN9s&!)M_Z8o zriAx4LV?qZv{aYvZcU7Sq8W>-I3M0-K`6OWZp@7#Ld#YW_desidy@|5-fS`W?33Ht&ThpzEQR8G;?WWueNcV~Y;UD2Ipb94b+YsemiJF%z2)|Mv9u2v zTaGrTcT>)bj7x_B^a~4duSzT@i>!u6?H-j;;Asd)H)Ag+I?KZFNz>FN*tr3hk)5cI zjcP&G?-^vIZo{ounQBNk$=mv*umieu#DBbc0Z_$p)d+hAb*tVjham5}rq$75zm1o@ zJawyJI-NFYEm;wd;DNa-Q1P0{NZTYzOV`?W7+rtJ*itY=hNVhQCG5$2IyA?Qg&Jya zBDIOUID##wqmAzp)OoeYR}StAiI0QtQ7N~HPKcgL3Z%=AO5C5*%~3+r(e0yqV+gJy zGMv&zmrr92Xj6Mp@22*5u)Gkja@=<>@Qw)CwKUIp&KHT&NP0UsB5sy5EN^hn$olDS zubnmLfDqPb5|S)-{puQX=zc9A7(}UVYRT0KwYoH&&dDsTX1^e5djA`NtD|Trv^E(H0xKJV^aYwp-%SL zlphb?cwyfK#e;%9*;%z4+lo;yBQgnwgaBM02sD@$+=08r#mi*s5c&EhQH+@rE(D

    - -

    - ---- - -Just a little more of helpful magic before we start doing low level stuff. - -Blinking an LED is like the "Hello, world" of the embedded world. - -But in this section, we'll run a proper "Hello, world" program that prints stuff to your computer -console. - -Go to the `06-hello-world` directory. There's some starter code in it: - -``` rust -{{#include src/main.rs}} -``` - -The `iprintln` macro will format messages and output them to the microcontroller's *ITM*. ITM stands -for Instrumentation Trace Macrocell and it's a communication protocol on top of SWD (Serial Wire -Debug) which can be used to send messages from the microcontroller to the debugging host. This -communication is only *one way*: the debugging host can't send data to the microcontroller. - -OpenOCD, which is managing the debug session, can receive data sent through this ITM *channel* and -redirect it to a file. - -The ITM protocol works with *frames* (you can think of them as Ethernet frames). Each frame has a -header and a variable length payload. OpenOCD will receive these frames and write them directly to a -file without parsing them. So, if the microntroller sends the string "Hello, world!" using the -`iprintln` macro, OpenOCD's output file won't exactly contain that string. - -To retrieve the original string, OpenOCD's output file will have to be parsed. We'll use the -`itmdump` program to perform the parsing as new data arrives. - -You should have already installed the `itmdump` program during the [installation chapter]. - -[installation chapter]: ../03-setup/index.html#itmdump - -In a new terminal, run this command inside the `/tmp` directory, if you are using a \*nix OS, or from -within the `%TEMP%` directory, if you are running Windows. This should be the same directory from -where you are running OpenOCD. - -> **NOTE** It's very important that both `itmdump` and `openocd` are running -from the same directory! - -``` console -$ # itmdump terminal - -$ # *nix -$ cd /tmp && touch itm.txt - -$ # Windows -$ cd %TEMP% && type nul >> itm.txt - -$ # both -$ itmdump -F -f itm.txt -``` - -This command will block as `itmdump` is now watching the `itm.txt` file. Leave this terminal open. - -Make sure that F3 is connected to your computer. Open another terminal from `/tmp` directory (on Windows `%TEMP%`) to launch OpenOCD similar as described in chapter [First OpenOCD connection]. - -[First OpenOCD connection]: ../03-setup/verify.html#first-openocd-connection - -Alright. Now, let's build the starter code and flash it into the microcontroller. - -To avoid passing the `--target thumbv7em-none-eabihf` flag to every Cargo invocation we can set a -default target in .cargo/config: - -``` diff - [target.thumbv7em-none-eabihf] - runner = "arm-none-eabi-gdb -q -x openocd.gdb" - rustflags = [ - "-C", "link-arg=-Tlink.x", - ] - -+[build] -+target = "thumbv7em-none-eabihf" -``` - -Now if `--target` is not specified Cargo will assume that the target is `thumbv7em-none-eabihf`. - -``` console -$ cargo run -Reading symbols from target/thumbv7em-none-eabihf/debug/hello-world...done. -(..) -Loading section .vector_table, size 0x400 lma 0x8000000 -Loading section .text, size 0x27c4 lma 0x8000400 -Loading section .rodata, size 0x744 lma 0x8002be0 -Start address 0x8002980, load size 13064 -Transfer rate: 18 KB/sec, 4354 bytes/write. -Breakpoint 1 at 0x8000402: file src/06-hello-world/src/main.rs, line 10. -Note: automatically using hardware breakpoints for read-only addresses. - -Breakpoint 1, main () at src/06-hello-world/src/main.rs:10 -10 let mut itm = aux6::init(); -``` - -Note that there's a `openocd.gdb` at the root of the Cargo project. It's pretty similar to the one we -used in the previous section. - -Before we execute the `iprintln!` statement. We have to instruct OpenOCD to redirect the ITM output -into the same file that `itmdump` is watching. - -``` -(gdb) # globally enable the ITM and redirect all output to itm.txt -(gdb) monitor tpiu config internal itm.txt uart off 8000000 - -(gdb) # enable the ITM port 0 -(gdb) monitor itm port 0 on -``` - -All should be ready! Now execute the `iprintln!` statement. - -``` -(gdb) next -12 iprintln!(&mut itm.stim[0], "Hello, world!"); - -(gdb) next -14 loop {} -``` - -You should see some output in the `itmdump` terminal: - -``` console -$ itmdump -F -f itm.txt -(..) -Hello, world! -``` - -Awesome, right? Feel free to use `iprintln` as a logging tool in the coming sections. - -Next: That's not all! The `iprint!` macros are not the only thing that uses the ITM. `:-)` diff --git a/src/06-hello-world/auxiliary/Cargo.toml b/src/06-hello-world/auxiliary/Cargo.toml deleted file mode 100644 index 0935a0938..000000000 --- a/src/06-hello-world/auxiliary/Cargo.toml +++ /dev/null @@ -1,11 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "aux6" -version = "0.1.0" - -[dependencies] -cortex-m = "0.6.3" -cortex-m-rt = "0.6.3" -panic-itm = "0.4.0" -f3 = "0.6.1" diff --git a/src/06-hello-world/auxiliary/src/lib.rs b/src/06-hello-world/auxiliary/src/lib.rs deleted file mode 100644 index b5d5f4584..000000000 --- a/src/06-hello-world/auxiliary/src/lib.rs +++ /dev/null @@ -1,17 +0,0 @@ -//! Initialization code - -#![no_std] - -#[allow(unused_extern_crates)] // NOTE(allow) bug rust-lang/rust#53964 -extern crate f3; // provides memory.x -#[allow(unused_extern_crates)] // NOTE(allow) bug rust-lang/rust#53964 -extern crate panic_itm; // panic handler - -pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; -pub use cortex_m_rt::entry; - -pub fn init() -> ITM { - let p = cortex_m::Peripherals::take().unwrap(); - - p.ITM -} diff --git a/src/06-hello-world/openocd.gdb b/src/06-hello-world/openocd.gdb deleted file mode 100644 index 9143f177e..000000000 --- a/src/06-hello-world/openocd.gdb +++ /dev/null @@ -1,6 +0,0 @@ -target remote :3333 -set print asm-demangle on -set print pretty on -load -break main -continue diff --git a/src/06-hello-world/panic.md b/src/06-hello-world/panic.md deleted file mode 100644 index 913866a70..000000000 --- a/src/06-hello-world/panic.md +++ /dev/null @@ -1,88 +0,0 @@ -# `panic!` - -The `panic!` macro also sends its output to the ITM! - -Change the `main` function to look like this: - -``` rust -#[entry] -fn main() -> ! { - panic!("Hello, world!"); -} -``` - -Let's try this program. But before that let's update `openocd.gdb` to run that `monitor` stuff for -us during GDB startup: - -``` diff - target remote :3333 - set print asm-demangle on - set print pretty on - load -+monitor tpiu config internal itm.txt uart off 8000000 -+monitor itm port 0 on - break main - continue -``` - -OK, now run it. - -``` console -$ cargo run -(..) -Breakpoint 1, main () at src/06-hello-world/src/main.rs:10 -10 panic!("Hello, world!"); - -(gdb) next -``` - -You'll see some new output in the `itmdump` terminal. - -``` console -$ # itmdump terminal -(..) -panicked at 'Hello, world!', src/06-hello-world/src/main.rs:10:5 -``` - - - - - - - - - - - - - - - - - - - - -Another thing you can do is catch the panic *before* it does the logging by -putting a breakpoint on the `rust_begin_unwind` symbol. - -``` -(gdb) monitor reset halt -(..) -target halted due to debug-request, current mode: Thread -xPSR: 0x01000000 pc: 0x080026ba msp: 0x10002000 - -(gdb) break rust_begin_unwind -Breakpoint 2 at 0x80011d2: file $REGISTRY/panic-itm-0.4.0/src/lib.rs, line 46. - -(gdb) continue -Continuing. - -Breakpoint 2, rust_begin_unwind (info=0x10001fac) at $REGISTRY/panic-itm-0.4.0/src/lib.rs:46 -46 interrupt::disable(); -``` - -You'll notice that nothing got printed on the `itmdump` console this time. If -you resume the program using `continue` then a new line will be printed. - -In a later section we'll look into other simpler communication protocols. diff --git a/src/06-hello-world/src/main.rs b/src/06-hello-world/src/main.rs deleted file mode 100644 index 7edcfeba5..000000000 --- a/src/06-hello-world/src/main.rs +++ /dev/null @@ -1,15 +0,0 @@ -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux6::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let mut itm = aux6::init(); - - iprintln!(&mut itm.stim[0], "Hello, world!"); - - loop {} -} diff --git a/src/06-hello-world/target b/src/06-hello-world/target deleted file mode 120000 index 6bcd2fc5d..000000000 --- a/src/06-hello-world/target +++ /dev/null @@ -1 +0,0 @@ -../../target \ No newline at end of file diff --git a/src/10-serial-communication/README.md b/src/06-serial-communication/README.md similarity index 72% rename from src/10-serial-communication/README.md rename to src/06-serial-communication/README.md index 10149fe4c..63897673e 100644 --- a/src/10-serial-communication/README.md +++ b/src/06-serial-communication/README.md @@ -21,9 +21,11 @@ signal. Instead both parties must agree on how fast data will be sent along the communication occurs. This protocol allows *duplex* communication as data can be sent from A to B and from B to A simultaneously. -We'll be using this protocol to exchange data between the microcontroller and your computer. In -contrast to the ITM protocol we have used before, with the serial communication protocol you can -send data from your computer to the microcontroller. +We'll be using this protocol to exchange data between the microcontroller and your computer. Now you might +asking yourself why exactly we aren't using RTT for this like we did before. RTT is a protocol that is meant +to be used soley for debugging. You will most definitely not be able to find a device that actually uses RTT +to communicate with some other device in production. However serial communication is used quite often, for +example some GPS receivers send the positioning information they receive to your via serial communication. The next practical question you probably want to ask is: How fast can we send data through this protocol? @@ -39,10 +41,10 @@ rate will probably be lower because of processing times on the slower side of th microcontroller). Today's computers don't support the serial communication protocol. So you can't directly connect -your computer to the microcontroller. But that's where the serial module comes in. This module will -sit between the two and expose a serial interface to the microcontroller and an USB interface to -your computer. The microcontroller will see your computer as another serial device and your computer -will see the microcontroller as a virtual serial device. +your computer to the microcontroller. Luckily for us thought the debug probe on the micro:bit has a so called +USB to serial converter. What this means is that it will sit between the two and expose a serial interface to +the microcontroller and an USB interface to your computer. The microcontroller will see your computer as +another serial device and your computer will see the microcontroller as a virtual serial device. Now, let's get familiar with the serial module and the serial communication tools that your OS offers. Pick a route: diff --git a/src/10-serial-communication/nix-tooling.md b/src/06-serial-communication/nix-tooling.md similarity index 58% rename from src/10-serial-communication/nix-tooling.md rename to src/06-serial-communication/nix-tooling.md index 61442aa89..ee87fd1a6 100644 --- a/src/10-serial-communication/nix-tooling.md +++ b/src/06-serial-communication/nix-tooling.md @@ -1,14 +1,14 @@ # \*nix tooling -## Newer revisions of the discovery board +## Newer revisions of the micro:bit board -With newer revisions, if you connect the discovery board to your computer you +With newer revisions, if you connect the micro:bit board to your computer you should see a new TTY device appear in `/dev`. ``` console $ # Linux $ dmesg | tail | grep -i tty -[13560.675310] cdc_acm 1-1.1:1.2: ttyACM0: USB ACM device +[63712.446286] cdc_acm 1-1.7:1.1: ttyACM0: USB ACM device ``` This is the USB <-> Serial device. On Linux, it's named `tty*` (usually @@ -19,42 +19,9 @@ the board; check the next section, which contains instructions for older revisions. If you do have a newer revision skip the next section and move to the "minicom" section. -## Older revisions of the discovery board / external serial module +## minicom -Connect the serial module to your computer and let's find out what name the OS assigned to it. - -> **NOTE** On macs, the USB device will named like this: `/dev/cu.usbserial-*`. You won't -> find it using `dmesg`, instead use `ls -l /dev | grep cu.usb` and adjust the following -> commands accordingly! - -``` console -$ dmesg | grep -i tty -(..) -[ +0.000155] usb 3-2: FTDI USB Serial Device converter now attached to ttyUSB0 -``` - -But what's this `ttyUSB0` thing? It's a file of course! Everything is a file in \*nix: - -``` console -$ ls -l /dev/ttyUSB0 -crw-rw-rw- 1 root uucp 188, 0 Oct 27 00:00 /dev/ttyUSB0 -``` - -> **NOTE** if the permissions above is `crw-rw----`, the udev rules have not been set correctly -> see [udev rules](../03-setup/linux.html#udev-rules) - -You can send out data by simply writing to this file: - -``` console -$ echo 'Hello, world!' > /dev/ttyUSB0 -``` - -You should see the TX (red) LED on the serial module blink, just once and very fast! - -## All revisions: minicom - -Dealing with serial devices using `echo` is far from ergonomic. So, we'll use the program `minicom` -to interact with the serial device using the keyboard. +We'll use the program `minicom` to interact with the serial device using the keyboard. We must configure `minicom` before we use it. There are quite a few ways to do that but we'll use a `.minirc.dfl` file in the home directory. Create a file in `~/.minirc.dfl` with the following @@ -97,8 +64,8 @@ baud rate to 115200. A text-based user interface (TUI) will pop out.

    You can now send data using the keyboard! Go ahead and type something. Note that -the TUI will *not* echo back what you type but, if you are using an external -module, you *may* see some LED on the module blink with each keystroke. +the TUI will *not* echo back what you type. If you pay attention to the yellow LED +on top of the micro:bit though, you will notice that it blinks whenever you type something. ## `minicom` commands diff --git a/src/10-serial-communication/windows-tooling.md b/src/06-serial-communication/windows-tooling.md similarity index 62% rename from src/10-serial-communication/windows-tooling.md rename to src/06-serial-communication/windows-tooling.md index 7efbce094..941a77888 100644 --- a/src/10-serial-communication/windows-tooling.md +++ b/src/06-serial-communication/windows-tooling.md @@ -1,9 +1,8 @@ # Windows tooling -Start by unplugging your discovery board. +Start by unplugging your micro:bit. -Before plugging the discovery board or the serial module, run the following command on -the terminal: +Before plugging the micro:bit, run the following command on the terminal: ``` console $ mode @@ -13,14 +12,9 @@ It will print a list of devices that are connected to your computer. The ones th their names are serial devices. This is the kind of device we'll be working with. Take note of all the `COM` *ports* `mode` outputs *before* plugging the serial module. -Now, plug the discovery board and run the `mode` command again. If you see a new -`COM` port appear on the list then you have a newer revision of the discovery -and that's the COM port assigned to the serial functionality on the discovery. -You can skip the next paragraph. - -If you didn't get a new COM port then you probably have an older revision of the -discovery. Now plug the serial module; you should see new COM port appear; -that's the COM port of the serial module. +Now, plug the micro:bit and run the `mode` command again. If you see a new +`COM` port appear on the list then you have that's the COM port assigned to the +serial functionality on the micro:bit. Now launch `putty`. A GUI will pop out. @@ -47,6 +41,6 @@ Finally, click the Open button. A console will show up now:

    -If you type on this console, the TX (red) LED on the Serial module should blink. Each key stroke +If you type on this console, the yellow LED on top of the micro:bit will blink. Each key stroke should make the LED blink once. Note that the console won't echo back what you type so the screen will remain blank. diff --git a/src/10-serial-communication/loopbacks.md b/src/10-serial-communication/loopbacks.md deleted file mode 100644 index 9735c4e20..000000000 --- a/src/10-serial-communication/loopbacks.md +++ /dev/null @@ -1,49 +0,0 @@ -# Loopbacks - -We've tested sending data. It's time to test receiving it. Except that there's no other device that -can send us some data ... or is there? - -Enter: loopbacks - -

    - -

    - -You can send data to yourself! Not very useful in production but very useful for debugging. - -## Older board revision / external serial module - -Connect the `TXO` and the `RXI` pins of the serial module together using a male to male jumper wire -as shown above. - -Now enter some text into minicom/PuTTY and observe. What happens? - -You should see three things: - -- As before, the TX (red) LED blinks on each key press. -- But now the RX (green) LED blinks on each key press as well! This indicates that the serial module - is receiving some data; the one it just sent. -- Finally, on the minicom/PuTTY console, you should see that what you type echoes back to the - console. - -## Newer board revision - -If you have a newer revision of the board you can set up a loopback by shorting -the PC4 and PC5 pins using a female to female jumper wire, like [you did for the -SWO pin](../06-hello-world/index.html). - -You should now be able to send data to yourself. - -Now try to enter some text into minicom/PuTTY and observe. - -> **NOTE**: To rule out the possibility of the existing firmware doing weird -> things to the serial pins (PC4 and PC5) we recommend *holding* the reset -> button while you enter text into minicom/PuTTY. - -If all is working you should see what you type echoed back to minicom/PuTTY -console. - ---- - -Now that you are familiar with sending and receiving data over serial port using minicom/PuTTY, -let's make your microcontroller and your computer talk! From 89e6eee1555ada5770ebd67999988ec194df8c5e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 15 Nov 2020 19:23:52 +0100 Subject: [PATCH 122/313] First steps of 07-uart rewrite --- Cargo.toml | 4 +- src/07-registers/.cargo/config | 8 - src/07-registers/Cargo.toml | 8 - src/07-registers/README.md | 44 ---- src/07-registers/auxiliary/Cargo.toml | 14 -- src/07-registers/auxiliary/src/lib.rs | 30 --- src/07-registers/bad-address.md | 144 +----------- src/07-registers/openocd.gdb | 10 - src/07-registers/optimization.md | 213 ------------------ src/07-registers/rtrm.md | 88 +------- .../spooky-action-at-a-distance.md | 82 ------- src/07-registers/src/main.rs | 29 --- src/07-registers/target | 1 - src/07-registers/type-safe-manipulation.md | 204 ----------------- src/07-uart/.cargo/config | 7 + src/07-uart/Cargo.toml | 14 ++ src/07-uart/Embed.toml | 11 + src/07-uart/README.md | 8 + src/{11-usart => 07-uart}/buffer-overrun.md | 0 src/07-uart/build.rs | 30 +++ src/{11-usart => 07-uart}/echo-server.md | 0 src/07-uart/memory.x | 6 + src/{11-usart => 07-uart}/my-solution.md | 0 .../receive-a-single-byte.md | 0 src/{11-usart => 07-uart}/reverse-a-string.md | 0 src/07-uart/send-a-single-byte.md | 47 ++++ src/{11-usart => 07-uart}/send-a-string.md | 0 src/07-uart/src/main.rs | 33 +++ src/{11-usart => 07-uart}/uprintln.md | 0 src/11-usart/.cargo/config | 8 - src/11-usart/Cargo.toml | 14 -- src/11-usart/README.md | 65 ------ src/11-usart/auxiliary/Cargo.toml | 17 -- src/11-usart/auxiliary/src/lib.rs | 59 ----- src/11-usart/openocd.gdb | 10 - src/11-usart/send-a-single-byte.md | 20 -- src/11-usart/src/main.rs | 16 -- src/11-usart/target | 1 - src/SUMMARY.md | 33 +-- 39 files changed, 171 insertions(+), 1107 deletions(-) delete mode 100644 src/07-registers/.cargo/config delete mode 100644 src/07-registers/Cargo.toml delete mode 100644 src/07-registers/auxiliary/Cargo.toml delete mode 100644 src/07-registers/auxiliary/src/lib.rs delete mode 100644 src/07-registers/openocd.gdb delete mode 100644 src/07-registers/src/main.rs delete mode 120000 src/07-registers/target create mode 100644 src/07-uart/.cargo/config create mode 100644 src/07-uart/Cargo.toml create mode 100644 src/07-uart/Embed.toml create mode 100644 src/07-uart/README.md rename src/{11-usart => 07-uart}/buffer-overrun.md (100%) create mode 100644 src/07-uart/build.rs rename src/{11-usart => 07-uart}/echo-server.md (100%) create mode 100644 src/07-uart/memory.x rename src/{11-usart => 07-uart}/my-solution.md (100%) rename src/{11-usart => 07-uart}/receive-a-single-byte.md (100%) rename src/{11-usart => 07-uart}/reverse-a-string.md (100%) create mode 100644 src/07-uart/send-a-single-byte.md rename src/{11-usart => 07-uart}/send-a-string.md (100%) create mode 100644 src/07-uart/src/main.rs rename src/{11-usart => 07-uart}/uprintln.md (100%) delete mode 100644 src/11-usart/.cargo/config delete mode 100644 src/11-usart/Cargo.toml delete mode 100644 src/11-usart/README.md delete mode 100644 src/11-usart/auxiliary/Cargo.toml delete mode 100644 src/11-usart/auxiliary/src/lib.rs delete mode 100644 src/11-usart/openocd.gdb delete mode 100644 src/11-usart/send-a-single-byte.md delete mode 100644 src/11-usart/src/main.rs delete mode 120000 src/11-usart/target diff --git a/Cargo.toml b/Cargo.toml index c66b88c89..54dc13908 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -2,11 +2,9 @@ members = [ "src/03-setup", "src/05-led-roulette", - "src/06-hello-world", - "src/07-registers", + "src/07-uart", "src/08-leds-again", "src/09-clocks-and-timers", - "src/11-usart", "src/14-i2c", "src/15-led-compass", "src/16-punch-o-meter", diff --git a/src/07-registers/.cargo/config b/src/07-registers/.cargo/config deleted file mode 100644 index b17774193..000000000 --- a/src/07-registers/.cargo/config +++ /dev/null @@ -1,8 +0,0 @@ -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] - -[build] -target = "thumbv7em-none-eabihf" \ No newline at end of file diff --git a/src/07-registers/Cargo.toml b/src/07-registers/Cargo.toml deleted file mode 100644 index 2b4fbaca9..000000000 --- a/src/07-registers/Cargo.toml +++ /dev/null @@ -1,8 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "registers" -version = "0.1.0" - -[dependencies] -aux7 = { path = "auxiliary" } diff --git a/src/07-registers/README.md b/src/07-registers/README.md index 93532514d..057f2825b 100644 --- a/src/07-registers/README.md +++ b/src/07-registers/README.md @@ -1,45 +1 @@ # Registers - -It's time to explore what the `Led` API does under the hood. - -In a nutshell, it just writes to some special memory regions. Go into the `07-registers` directory -and let's run the starter code statement by statement. - -``` rust -{{#include src/main.rs}} -``` - -What's this magic? - -The address `0x48001018` points to a *register*. A register is a special region of memory that -controls a *peripheral*. A peripheral is a piece of electronics that sits right next to the -processor within the microcontroller package and provides the processor with extra functionality. -After all, the processor, on its own, can only do math and logic. - -This particular register controls General Purpose Input/Output (GPIO) *pins* (GPIO *is* a -peripheral) and can be used to *drive* each of those pins *low* or *high*. - -## An aside: LEDs, digital outputs and voltage levels - -Drive? Pin? Low? High? - -A pin is a electrical contact. Our microcontroller has several of them and some of them are -connected to LEDs. An LED, a Light Emitting Diode, will only emit light when voltage is applied to -it with a certain polarity. - -

    - -

    - -Luckily for us, the microcontroller's pins are connected to the LEDs with the right polarity. All -that we have to do is *output* some non-zero voltage through the pin to turn the LED on. The pins -attached to the LEDs are configured as *digital outputs* and can only output two different voltage -levels: "low", 0 Volts, or "high", 3 Volts. A "high" (voltage) level will turn the LED on whereas -a "low" (voltage) level will turn it off. - -These "low" and "high" states map directly to the concept of digital logic. "low" is `0` or `false` -and "high" is `1` or `true`. This is why this pin configuration is known as digital output. - ---- - -OK. But how can one find out what this register does? Time to RTRM (Read the Reference Manual)! diff --git a/src/07-registers/auxiliary/Cargo.toml b/src/07-registers/auxiliary/Cargo.toml deleted file mode 100644 index 5dceda7c1..000000000 --- a/src/07-registers/auxiliary/Cargo.toml +++ /dev/null @@ -1,14 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "aux7" -version = "0.1.0" - -[dependencies] -cortex-m = "0.6.3" -cortex-m-rt = "0.6.3" -panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" \ No newline at end of file diff --git a/src/07-registers/auxiliary/src/lib.rs b/src/07-registers/auxiliary/src/lib.rs deleted file mode 100644 index ed49cfbb7..000000000 --- a/src/07-registers/auxiliary/src/lib.rs +++ /dev/null @@ -1,30 +0,0 @@ -//! Initialization code - -#![deny(warnings)] -#![no_std] - -#[allow(unused_extern_crates)] // NOTE(allow) bug rust-lang/rust#53964 -extern crate panic_itm; // panic handler - -pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; -pub use cortex_m_rt::entry; -use f3::{ - hal::{ - prelude::*, - stm32f30x::gpioc, - stm32f30x::{self, GPIOE}, - }, - led::Leds, -}; - -#[inline(never)] -pub fn init() -> (ITM, &'static gpioc::RegisterBlock) { - let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); - - let mut rcc = dp.RCC.constrain(); - - Leds::new(dp.GPIOE.split(&mut rcc.ahb)); - - (cp.ITM, unsafe { &*GPIOE::ptr() }) -} diff --git a/src/07-registers/bad-address.md b/src/07-registers/bad-address.md index 18af3efaf..47e84935a 100644 --- a/src/07-registers/bad-address.md +++ b/src/07-registers/bad-address.md @@ -1,143 +1 @@ -# `0xBAAAAAAD` address - -Not all the peripheral memory can be accessed. Look at this program. - -``` rust -#![no_main] -#![no_std] - -use core::ptr; - -#[allow(unused_imports)] -use aux7::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - aux7::init(); - - unsafe { - ptr::read_volatile(0x4800_1800 as *const u32); - } - - loop {} -} -``` - -This address is close to the `GPIOE_BSRR` address we used before but this address is *invalid*. -Invalid in the sense that there's no register at this address. - -Now, let's try it. - -``` console -$ cargo run -Breakpoint 3, main () at src/07-registers/src/main.rs:9 -9 aux7::init(); - -(gdb) continue -Continuing. - -Breakpoint 2, UserHardFault_ (ef=0x10001fc0) - at $REGISTRY/cortex-m-rt-0.6.3/src/lib.rs:535 -535 loop { -``` - -We tried to do an invalid operation, reading memory that doesn't exist, so the processor raised an -*exception*, a *hardware* exception. - -In most cases, exceptions are raised when the processor attempts to perform an invalid operation. -Exceptions break the normal flow of a program and force the processor to execute an *exception -handler*, which is just a function/subroutine. - -There are different kind of exceptions. Each kind of exception is raised by different conditions and -each one is handled by a different exception handler. - -The `aux7` crate depends on the `cortex-m-rt` crate which defines a default -*hard fault* handler, named `UserHardFault`, that handles the "invalid memory -address" exception. `openocd.gdb` placed a breakpoint on `HardFault`; that's why -the debugger halted your program while it was executing the exception handler. -We can get more information about the exception from the debugger. Let's see: - -``` -(gdb) list -530 -531 #[allow(unused_variables)] -532 #[doc(hidden)] -533 #[no_mangle] -534 pub unsafe extern "C" fn UserHardFault_(ef: &ExceptionFrame) -> ! { -535 loop { -536 // add some side effect to prevent this from turning into a UDF instruction -537 // see rust-lang/rust#28728 for details -538 atomic::compiler_fence(Ordering::SeqCst); -539 } -``` - -`ef` is a snapshot of the program state right before the exception occurred. Let's inspect it: - -``` -(gdb) print/x *ef -$1 = cortex_m_rt::ExceptionFrame { - r0: 0x48001800, - r1: 0x48001800, - r2: 0xb, - r3: 0xc, - r12: 0xd, - lr: 0x800019f, - pc: 0x80028d6, - xpsr: 0x1000000 -} -``` - -There are several fields here but the most important one is `pc`, the Program Counter register. -The address in this register points to the instruction that generated the exception. Let's -disassemble the program around the bad instruction. - -``` -(gdb) disassemble /m ef.pc -Dump of assembler code for function core::ptr::read_volatile: -471 /checkout/src/libcore/ptr.rs: No such file or directory. - 0x080028ce <+0>: sub sp, #16 - 0x080028d0 <+2>: mov r1, r0 - 0x080028d2 <+4>: str r0, [sp, #8] - -472 in /checkout/src/libcore/ptr.rs - 0x080028d4 <+6>: ldr r0, [sp, #8] - 0x080028d6 <+8>: ldr r0, [r0, #0] - 0x080028d8 <+10>: str r0, [sp, #12] - 0x080028da <+12>: ldr r0, [sp, #12] - 0x080028dc <+14>: str r1, [sp, #4] - 0x080028de <+16>: str r0, [sp, #0] - 0x080028e0 <+18>: b.n 0x80028e2 - -473 in /checkout/src/libcore/ptr.rs - 0x080028e2 <+20>: ldr r0, [sp, #0] - 0x080028e4 <+22>: add sp, #16 - 0x080028e6 <+24>: bx lr - -End of assembler dump. -``` - -The exception was caused by the `ldr r0, [r0, #0]` instruction, a read instruction. The instruction -tried to read the memory at the address indicated by the `r0` register. By the way, `r0` is a CPU -(processor) register not a memory mapped register; it doesn't have an associated address like, say, -`GPIO_BSRR`. - -Wouldn't it be nice if we could check what the value of the `r0` register was right at the instant -when the exception was raised? Well, we already did! The `r0` field in the `ef` value we printed -before is the value of `r0` register had when the exception was raised. Here it is again: - -``` -(gdb) p/x *ef -$1 = cortex_m_rt::ExceptionFrame { - r0: 0x48001800, - r1: 0x48001800, - r2: 0xb, - r3: 0xc, - r12: 0xd, - lr: 0x800019f, - pc: 0x80028d6, - xpsr: 0x1000000 -} -``` - -`r0` contains the value `0x4800_1800` which is the invalid address we called the `read_volatile` -function with. +# 0xBAAAAAAD address diff --git a/src/07-registers/openocd.gdb b/src/07-registers/openocd.gdb deleted file mode 100644 index df15d79d3..000000000 --- a/src/07-registers/openocd.gdb +++ /dev/null @@ -1,10 +0,0 @@ -target remote :3333 -set print asm-demangle on -set print pretty on -monitor tpiu config internal itm.txt uart off 8000000 -monitor itm port 0 on -load -break DefaultHandler -break HardFault -break main -continue diff --git a/src/07-registers/optimization.md b/src/07-registers/optimization.md index 1ae0a545f..7ef76832f 100644 --- a/src/07-registers/optimization.md +++ b/src/07-registers/optimization.md @@ -1,214 +1 @@ # (mis)Optimization - -Reads/writes to registers are quite special. I may even dare to say that they are embodiment of side -effects. In the previous example we wrote four different values to the same register. If you didn't -know that address was a register, you may have simplified the logic to just write the final value `1 -<< (11 + 16)` into the register. - -Actually, LLVM, the compiler's backend / optimizer, does not know we are dealing with a register and -will merge the writes thus changing the behavior of our program. Let's check that really quick. - -``` console -$ cargo run --release -(..) -Breakpoint 1, main () at src/07-registers/src/main.rs:9 -9 aux7::init(); - -(gdb) next -25 *(GPIOE_BSRR as *mut u32) = 1 << (11 + 16); - -(gdb) disassemble /m -Dump of assembler code for function main: -7 #[entry] - -8 fn main() -> ! { -9 aux7::init(); - 0x08000188 <+0>: bl 0x800019c - 0x0800018c <+4>: movw r0, #4120 ; 0x1018 - 0x08000190 <+8>: mov.w r1, #134217728 ; 0x8000000 - 0x08000194 <+12>: movt r0, #18432 ; 0x4800 - -10 -11 unsafe { -12 // A magic address! -13 const GPIOE_BSRR: u32 = 0x48001018; -14 -15 // Turn on the "North" LED (red) -16 *(GPIOE_BSRR as *mut u32) = 1 << 9; -17 -18 // Turn on the "East" LED (green) -19 *(GPIOE_BSRR as *mut u32) = 1 << 11; -20 -21 // Turn off the "North" LED -22 *(GPIOE_BSRR as *mut u32) = 1 << (9 + 16); -23 -24 // Turn off the "East" LED -25 *(GPIOE_BSRR as *mut u32) = 1 << (11 + 16); -=> 0x08000198 <+16>: str r1, [r0, #0] - -26 } -27 -28 loop {} - 0x0800019a <+18>: b.n 0x800019a - -End of assembler dump. -``` - -The state of the LEDs didn't change this time! The `str` instruction is the one that writes a value -to the register. Our *debug* (unoptimized) program had four of them, one for each write to the -register, but the *release* (optimized) program only has one. - -We can check that using `objdump`: - -``` console -$ # same as cargo objdump -- -d -no-show-raw-insn -print-imm-hex -source target/thumbv7em-none-eabihf/debug/registers -$ cargo objdump --bin registers -- -d -no-show-raw-insn -print-imm-hex -source -registers: file format ELF32-arm-little - -Disassembly of section .text: -main: -; #[entry] - 8000188: sub sp, #0x18 -; aux7::init(); - 800018a: bl #0xbc - 800018e: str r0, [sp, #0x14] - 8000190: b #-0x2 -; *(GPIOE_BSRR as *mut u32) = 1 << 9; - 8000192: b #-0x2 - 8000194: movw r0, #0x1018 - 8000198: movt r0, #0x4800 - 800019c: mov.w r1, #0x200 - 80001a0: str r1, [r0] -; *(GPIOE_BSRR as *mut u32) = 1 << 11; - 80001a2: b #-0x2 - 80001a4: movw r0, #0x1018 - 80001a8: movt r0, #0x4800 - 80001ac: mov.w r1, #0x800 - 80001b0: str r1, [r0] - 80001b2: movs r0, #0x19 -; *(GPIOE_BSRR as *mut u32) = 1 << (9 + 16); - 80001b4: mov r1, r0 - 80001b6: cmp r0, #0x9 - 80001b8: str r1, [sp, #0x10] - 80001ba: bvs #0x54 - 80001bc: b #-0x2 - 80001be: ldr r0, [sp, #0x10] - 80001c0: and r1, r0, #0x1f - 80001c4: movs r2, #0x1 - 80001c6: lsl.w r1, r2, r1 - 80001ca: lsrs r2, r0, #0x5 - 80001cc: cmp r2, #0x0 - 80001ce: str r1, [sp, #0xc] - 80001d0: bne #0x4c - 80001d2: b #-0x2 - 80001d4: movw r0, #0x1018 - 80001d8: movt r0, #0x4800 - 80001dc: ldr r1, [sp, #0xc] - 80001de: str r1, [r0] - 80001e0: movs r0, #0x1b -; *(GPIOE_BSRR as *mut u32) = 1 << (11 + 16); - 80001e2: mov r2, r0 - 80001e4: cmp r0, #0xb - 80001e6: str r2, [sp, #0x8] - 80001e8: bvs #0x42 - 80001ea: b #-0x2 - 80001ec: ldr r0, [sp, #0x8] - 80001ee: and r1, r0, #0x1f - 80001f2: movs r2, #0x1 - 80001f4: lsl.w r1, r2, r1 - 80001f8: lsrs r2, r0, #0x5 - 80001fa: cmp r2, #0x0 - 80001fc: str r1, [sp, #0x4] - 80001fe: bne #0x3a - 8000200: b #-0x2 - 8000202: movw r0, #0x1018 - 8000206: movt r0, #0x4800 - 800020a: ldr r1, [sp, #0x4] - 800020c: str r1, [r0] -; loop {} - 800020e: b #-0x2 - 8000210: b #-0x4 -; *(GPIOE_BSRR as *mut u32) = 1 << (9 + 16); - 8000212: movw r0, #0x41bc - 8000216: movt r0, #0x800 - 800021a: bl #0x3b28 - 800021e: trap - 8000220: movw r0, #0x4204 - 8000224: movt r0, #0x800 - 8000228: bl #0x3b1a - 800022c: trap -; *(GPIOE_BSRR as *mut u32) = 1 << (11 + 16); - 800022e: movw r0, #0x421c - 8000232: movt r0, #0x800 - 8000236: bl #0x3b0c - 800023a: trap - 800023c: movw r0, #0x4234 - 8000240: movt r0, #0x800 - 8000244: bl #0x3afe - 8000248: trap -``` - -How do we prevent LLVM from misoptimizing our program? We use *volatile* operations instead of plain -reads/writes: - -``` rust -#![no_main] -#![no_std] - -use core::ptr; - -#[allow(unused_imports)] -use aux7::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - aux7::init(); - - unsafe { - // A magic address! - const GPIOE_BSRR: u32 = 0x48001018; - - // Turn on the "North" LED (red) - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << 9); - - // Turn on the "East" LED (green) - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << 11); - - // Turn off the "North" LED - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << (9 + 16)); - - // Turn off the "East" LED - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << (11 + 16)); - } - - loop {} -} -``` - -If we look at the disassembly of this new program compiled in release mode: - -``` console -$ cargo objdump --bin registers --release -- -d -no-show-raw-insn -print-imm-hex -source -registers: file format ELF32-arm-little - -Disassembly of section .text: -main: -; #[entry] - 8000188: bl #0x22 -; aux7::init(); - 800018c: movw r0, #0x1018 - 8000190: mov.w r1, #0x200 - 8000194: movt r0, #0x4800 - 8000198: str r1, [r0] - 800019a: mov.w r1, #0x800 - 800019e: str r1, [r0] - 80001a0: mov.w r1, #0x2000000 - 80001a4: str r1, [r0] - 80001a6: mov.w r1, #0x8000000 - 80001aa: str r1, [r0] -; loop {} - 80001ac: b #-0x4 -``` - -We see that the four writes (`str` instructions) are preserved. If you run it (use `stepi`), you'll -also see that behavior of the program is preserved. diff --git a/src/07-registers/rtrm.md b/src/07-registers/rtrm.md index 54885aead..e0f30acb6 100644 --- a/src/07-registers/rtrm.md +++ b/src/07-registers/rtrm.md @@ -1,87 +1 @@ -# RTRM: Reading The Reference Manual - -I mentioned that the microcontroller has several pins. For convenience, these pins are grouped in -*ports* of 16 pins. Each port is named with a letter: Port A, Port B, etc. and the pins within each -port are named with numbers from 0 to 15. - -The first thing we have to find out is which pin is connected to which LED. This information is in -the STM32F3DISCOVERY [User Manual] (You downloaded a copy, right?). In this particular section: - -[User Manual]: http://www.st.com/resource/en/user_manual/dm00063382.pdf - -> Section 6.4 LEDs - Page 18 - -The manual says: - -- `LD3`, the North LED, is connected to the pin `PE9`. `PE9` is the short form of: Pin 9 on Port E. -- `LD7`, the East LED, is connected to the pin `PE11`. - -Up to this point, we know that we want to change the state of the pins PE9 and PE11 to turn the -North/East LEDs on/off. These pins are part of Port E so we'll have to deal with the `GPIOE` -peripheral. - -Each peripheral has a register *block* associated to it. A register block is a collection of -registers allocated in contiguous memory. The address at which the register block starts is known as -its base address. We need to figure out what's the base address of the `GPIOE` peripheral. That -information is in the following section of the microcontroller [Reference Manual]: - -[Reference Manual]: http://www.st.com/resource/en/reference_manual/dm00043574.pdf - -> Section 3.2.2 Memory map and register boundary addresses - Page 51 - -The table says that base address of the `GPIOE` register block is `0x4800_1000`. - -Each peripheral also has its own section in the documentation. Each of these sections ends with a -table of the registers that the peripheral's register block contains. For the `GPIO` family of -peripheral, that table is in: - -> Section 11.4.12 GPIO register map - Page 243 - -We are interested in the register that's at an offset of `0x18` from the base address of the `GPIOE` -peripheral. According to the table, that would be the register `BSRR`. - -Now we need to jump to the documentation of that particular register. It's a few pages above in: - -> Section 11.4.7 GPIO port bit set/reset register (GPIOx_BSRR) - Page 240 - -Finally! - -This is the register we were writing to. The documentation says some interesting things. First, this -register is write only ... so let's try reading its value `:-)`. - -We'll use GDB's `examine` command: `x`. - -``` -(gdb) next -16 *(GPIOE_BSRR as *mut u32) = 1 << 9; - -(gdb) x 0x48001018 -0x48001018: 0x00000000 - -(gdb) # the next command will turn the North LED on -(gdb) next -19 *(GPIOE_BSRR as *mut u32) = 1 << 11; - -(gdb) x 0x48001018 -0x48001018: 0x00000000 -``` - -Reading the register returns `0`. That matches what the documentation says. - -The other thing that the documentation says is that the bits 0 to 15 can be used to *set* the -corresponding pin. That is bit 0 sets the pin 0. Here, *set* means outputting a *high* value on -the pin. - -The documentation also says that bits 16 to 31 can be used to *reset* the corresponding pin. In this -case, the bit 16 resets the pin number 0. As you may guess, *reset* means outputting a *low* value -on the pin. - -Correlating that information with our program, all seems to be in agreement: - -- Writing `1 << 9` (`BS9 = 1`) to `BSRR` sets `PE9` *high*. That turns the North LED *on*. - -- Writing `1 << 11` (`BS11 = 1`) to `BSRR` sets `PE11` *high*. That turns the East LED *on*. - -- Writing `1 << 25` (`BR9 = 1`) to `BSRR` sets `PE9` *low*. That turns the North LED *off*. - -- Finally, writing `1 << 27` (`BR11 = 1`) to `BSRR` sets `PE11` *low*. That turns the East LED *off*. +# RTRM diff --git a/src/07-registers/spooky-action-at-a-distance.md b/src/07-registers/spooky-action-at-a-distance.md index d656be964..a77b73fad 100644 --- a/src/07-registers/spooky-action-at-a-distance.md +++ b/src/07-registers/spooky-action-at-a-distance.md @@ -1,83 +1 @@ # Spooky action at a distance - -`BSRR` is not the only register that can control the pins of Port E. The `ODR` register also lets -you change the value of the pins. Furthermore, `ODR` also lets you retrieve the current output -status of Port E. - -`ODR` is documented in: - -> Section 11.4.6 GPIO port output data register - Page 239 - -Let's try this program: - -``` rust -#![no_main] -#![no_std] - -use core::ptr; - -#[allow(unused_imports)] -use aux7::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let mut itm = aux7::init().0; - - unsafe { - const GPIOE_BSRR: u32 = 0x4800_1018; - const GPIOE_ODR: u32 = 0x4800_1014; - - iprintln!( - &mut itm.stim[0], - "ODR = 0x{:04x}", - ptr::read_volatile(GPIOE_ODR as *const u16) - ); - - // Turn on the NORTH LED (red) - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << 9); - - iprintln!( - &mut itm.stim[0], - "ODR = 0x{:04x}", - ptr::read_volatile(GPIOE_ODR as *const u16) - ); - - // Turn on the EAST LED (green) - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << 11); - - iprintln!( - &mut itm.stim[0], - "ODR = 0x{:04x}", - ptr::read_volatile(GPIOE_ODR as *const u16) - ); - - // Turn off the NORTH LED - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << (9 + 16)); - - iprintln!( - &mut itm.stim[0], - "ODR = 0x{:04x}", - ptr::read_volatile(GPIOE_ODR as *const u16) - ); - - // Turn off the EAST LED - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << (11 + 16)); - } - - loop {} -} -``` - -If you run this program, you'll see: - -``` console -$ # itmdump's console -(..) -ODR = 0x0000 -ODR = 0x0200 -ODR = 0x0a00 -ODR = 0x0800 -``` - -Side effects! Although we are reading the same address multiple times without actually modifying it, -we still see its value change every time `BSRR` is written to. diff --git a/src/07-registers/src/main.rs b/src/07-registers/src/main.rs deleted file mode 100644 index 43b7ba8fd..000000000 --- a/src/07-registers/src/main.rs +++ /dev/null @@ -1,29 +0,0 @@ -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux7::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - aux7::init(); - - unsafe { - // A magic address! - const GPIOE_BSRR: u32 = 0x48001018; - - // Turn on the "North" LED (red) - *(GPIOE_BSRR as *mut u32) = 1 << 9; - - // Turn on the "East" LED (green) - *(GPIOE_BSRR as *mut u32) = 1 << 11; - - // Turn off the "North" LED - *(GPIOE_BSRR as *mut u32) = 1 << (9 + 16); - - // Turn off the "East" LED - *(GPIOE_BSRR as *mut u32) = 1 << (11 + 16); - } - - loop {} -} diff --git a/src/07-registers/target b/src/07-registers/target deleted file mode 120000 index 6bcd2fc5d..000000000 --- a/src/07-registers/target +++ /dev/null @@ -1 +0,0 @@ -../../target \ No newline at end of file diff --git a/src/07-registers/type-safe-manipulation.md b/src/07-registers/type-safe-manipulation.md index 24a1dc107..b4207d86d 100644 --- a/src/07-registers/type-safe-manipulation.md +++ b/src/07-registers/type-safe-manipulation.md @@ -1,205 +1 @@ # Type safe manipulation - -The last register we were working with, `ODR`, had this in its documentation: - -> Bits 31:16 Reserved, must be kept at reset value - -We are not supposed to write to those bits of the register or Bad Stuff May Happen. - -There's also the fact the registers have different read/write permissions. Some of them are write -only, others can be read and written to and there must be others that are read only. - -Finally, directly working with hexadecimal addresses is error prone. You already saw that trying to -access an invalid memory address causes an exception which disrupts the execution of our program. - -Wouldn't it be nice if we had an API to manipulate registers in a "safe" manner? Ideally, the API -should encode these three points I've mentioned: No messing around with the actual addresses, should -respect read/write permissions and should prevent modification of the reserved parts of a register. - -Well, we do! `aux7::init()` actually returns a value that provides a type safe API to manipulate the -registers of the `GPIOE` peripheral. - -As you may remember: a group of registers associated to a peripheral is called register block, and -it's located in a contiguous region of memory. In this type safe API each register block is modeled -as a `struct` where each of its fields represents a register. Each register field is a different -newtype over e.g. `u32` that exposes a combination of the following methods: `read`, `write` or -`modify` according to its read/write permissions. Finally, these methods don't take primitive values -like `u32`, instead they take yet another newtype that can be constructed using the builder pattern -and that prevent the modification of the reserved parts of the register. - -The best way to get familiar with this API is to port our running example to it. - -``` rust -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux7::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let gpioe = aux7::init().1; - - // Turn on the North LED - gpioe.bsrr.write(|w| w.bs9().set_bit()); - - // Turn on the East LED - gpioe.bsrr.write(|w| w.bs11().set_bit()); - - // Turn off the North LED - gpioe.bsrr.write(|w| w.br9().set_bit()); - - // Turn off the East LED - gpioe.bsrr.write(|w| w.br11().set_bit()); - - loop {} -} -``` - -First thing you notice: There are no magic addresses involved. Instead we use a more human friendly -way, for example `gpioe.bsrr`, to refer to the `BSRR` register in the `GPIOE` register block. - -Then we have this `write` method that takes a closure. If the identity closure (`|w| w`) is used, -this method will set the register to its *default* (reset) value, the value it had right after the -microcontroller was powered on / reset. That value is `0x0` for the `BSRR` register. Since we want -to write a non-zero value to the register, we use builder methods like `bs9` and `br9` to set some -of the bits of the default value. - -Let's run this program! There's some interesting stuff we can do *while* debugging the program. - -`gpioe` is a reference to the `GPIOE` register block. `print gpioe` will return the base address of -the register block. - -``` -$ cargo run -Breakpoint 3, main () at src/07-registers/src/main.rs:9 -9 let gpioe = aux7::init().1; - -(gdb) next -12 gpioe.bsrr.write(|w| w.bs9().set_bit()); - -(gdb) print gpioe -$1 = (stm32f30x::gpioc::RegisterBlock *) 0x48001000 -``` - -But if we instead `print *gpioe`, we'll get a *full view* of the register block: the value of each -of its registers will be printed. - -``` -(gdb) print *gpioe -$2 = stm32f30x::gpioc::RegisterBlock { - moder: stm32f30x::gpioc::MODER { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x55550000 - } - } - }, - otyper: stm32f30x::gpioc::OTYPER { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - ospeedr: stm32f30x::gpioc::OSPEEDR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - pupdr: stm32f30x::gpioc::PUPDR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - idr: stm32f30x::gpioc::IDR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0xcc - } - } - }, - odr: stm32f30x::gpioc::ODR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - bsrr: stm32f30x::gpioc::BSRR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - lckr: stm32f30x::gpioc::LCKR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - afrl: stm32f30x::gpioc::AFRL { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - afrh: stm32f30x::gpioc::AFRH { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - brr: stm32f30x::gpioc::BRR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - } -} -``` - -All these newtypes and closures sound like they'd generate large, bloated programs but, if you -actually compile the program in release mode with [LTO] enabled, you'll see that it produces exactly -the same instructions that the "unsafe" version that used `write_volatile` and hexadecimal addresses -did! - -[LTO]: https://en.wikipedia.org/wiki/Interprocedural_optimization - -``` console -$ cargo objdump --bin registers --release -- -d -no-show-raw-insn -print-imm-hex -registers: file format ELF32-arm-little - -Disassembly of section .text: -main: - 8000188: bl #0x22 - 800018c: movw r0, #0x1018 - 8000190: mov.w r1, #0x200 - 8000194: movt r0, #0x4800 - 8000198: str r1, [r0] - 800019a: mov.w r1, #0x800 - 800019e: str r1, [r0] - 80001a0: mov.w r1, #0x2000000 - 80001a4: str r1, [r0] - 80001a6: mov.w r1, #0x8000000 - 80001aa: str r1, [r0] - 80001ac: b #-0x4 -``` - -The best part of all this is that I didn't have to write a single line of code to implement the -GPIOE API. All was automatically generated from a System View Description (SVD) file using the -[svd2rust] tool. This SVD file is actually an XML file that microcontroller vendors provide and that -contains the register maps of their microcontrollers. The file contains the layout of register -blocks, the base addresses, the read/write permissions of each register, the layout of the -registers, whether a register has reserved bits and lots of other useful information. - -[svd2rust]: https://crates.io/crates/svd2rust diff --git a/src/07-uart/.cargo/config b/src/07-uart/.cargo/config new file mode 100644 index 000000000..a0ec1777f --- /dev/null +++ b/src/07-uart/.cargo/config @@ -0,0 +1,7 @@ +[target.'cfg(all(target_arch = "arm", target_os = "none"))'] +rustflags = [ + "-C", "link-arg=-Tlink.x", +] + +[build] +target = "thumbv6m-none-eabi" diff --git a/src/07-uart/Cargo.toml b/src/07-uart/Cargo.toml new file mode 100644 index 000000000..3994f2dea --- /dev/null +++ b/src/07-uart/Cargo.toml @@ -0,0 +1,14 @@ +[package] +name = "usart" +version = "0.1.0" +authors = ["Henrik Böving "] +edition = "2018" + +[dependencies] +cortex-m = "0.6.0" +cortex-m-rt = "0.6.10" +panic-halt = "0.2.0" +nrf51-hal = "0.11.0" +rtt-target = { version = "0.2.2", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } +nb = "1.0.0" diff --git a/src/07-uart/Embed.toml b/src/07-uart/Embed.toml new file mode 100644 index 000000000..ab9e1e057 --- /dev/null +++ b/src/07-uart/Embed.toml @@ -0,0 +1,11 @@ +[default.general] +chip = "nrf51822_xxAA" + +[default.reset] +halt_afterwards = true + +[default.rtt] +enabled = true + +[default.gdb] +enabled = false diff --git a/src/07-uart/README.md b/src/07-uart/README.md new file mode 100644 index 000000000..e794d0d0c --- /dev/null +++ b/src/07-uart/README.md @@ -0,0 +1,8 @@ +# UART + +The microcontroller has a peripheral called USART, which stands for Universal +Asynchronous Receiver/Transmitter. This peripheral can be configured to work with +several communication protocols like the serial communication protocol. + +Throughout this chapter, we'll use serial communication to exchange information between the +microcontroller and your computer. diff --git a/src/11-usart/buffer-overrun.md b/src/07-uart/buffer-overrun.md similarity index 100% rename from src/11-usart/buffer-overrun.md rename to src/07-uart/buffer-overrun.md diff --git a/src/07-uart/build.rs b/src/07-uart/build.rs new file mode 100644 index 000000000..c8d8c9141 --- /dev/null +++ b/src/07-uart/build.rs @@ -0,0 +1,30 @@ +//! This build script copies the `memory.x` file from the crate root into +//! a directory where the linker can always find it at build time. +//! For many projects this is optional, as the linker always searches the +//! project root directory (wherever `Cargo.toml` is). However, if you +//! are using a workspace or have a more complicated build setup, this +//! build script becomes required. Additionally, by requesting that +//! Cargo re-run the build script whenever `memory.x` is changed, +//! a rebuild of the application with new memory settings is ensured after updating `memory.x`. + +use std::env; +use std::fs::File; +use std::io::Write; +use std::path::PathBuf; + +fn main() { + // Put `memory.x` in our output directory and ensure it's + // on the linker search path. + let out = &PathBuf::from(env::var_os("OUT_DIR").unwrap()); + File::create(out.join("memory.x")) + .unwrap() + .write_all(include_bytes!("memory.x")) + .unwrap(); + println!("cargo:rustc-link-search={}", out.display()); + + // By default, Cargo will re-run a build script whenever + // any file in the project changes. By specifying `memory.x` + // here, we ensure the build script is only re-run when + // `memory.x` is changed. + println!("cargo:rerun-if-changed=memory.x"); +} diff --git a/src/11-usart/echo-server.md b/src/07-uart/echo-server.md similarity index 100% rename from src/11-usart/echo-server.md rename to src/07-uart/echo-server.md diff --git a/src/07-uart/memory.x b/src/07-uart/memory.x new file mode 100644 index 000000000..9e2ab65f6 --- /dev/null +++ b/src/07-uart/memory.x @@ -0,0 +1,6 @@ +MEMORY +{ + /* NOTE K = KiBi = 1024 bytes */ + FLASH : ORIGIN = 0x00000000, LENGTH = 256K + RAM : ORIGIN = 0x20000000, LENGTH = 16K +} diff --git a/src/11-usart/my-solution.md b/src/07-uart/my-solution.md similarity index 100% rename from src/11-usart/my-solution.md rename to src/07-uart/my-solution.md diff --git a/src/11-usart/receive-a-single-byte.md b/src/07-uart/receive-a-single-byte.md similarity index 100% rename from src/11-usart/receive-a-single-byte.md rename to src/07-uart/receive-a-single-byte.md diff --git a/src/11-usart/reverse-a-string.md b/src/07-uart/reverse-a-string.md similarity index 100% rename from src/11-usart/reverse-a-string.md rename to src/07-uart/reverse-a-string.md diff --git a/src/07-uart/send-a-single-byte.md b/src/07-uart/send-a-single-byte.md new file mode 100644 index 000000000..791949101 --- /dev/null +++ b/src/07-uart/send-a-single-byte.md @@ -0,0 +1,47 @@ +# Send a single byte + +Our first task will be to send a single byte from the microcontroller to the computer over the serial +connection. + +In order to do that we will use the following snippet (this one is already in `07-uart/src/main.rs`): + +``` rust +{{#include src/main.rs}} +``` + +There is some parts we have already seen before but also lots of new stuff so lets focus on those. +First things first, how do we know that we have to interact with P0_24 and P0_25 here? If you take a look +at the micro:bit schematics page 5 again, you will notice that those two pins are also referred to as TGT_RX +and TGT_TX. Not only that, they are also connected to our debug probe on page 2 so those are most likely the two +pins we are looking for. + +Next up we can observe a new pin configuration we haven't seen before `p0.p0_25.into_floating_input()`, this just +means that P0_25 is now an input, what exactly floating means is none of our concern right now. But how do we know +we have to put our pins in this exact mode? If you look at the line below you can see that we construct an instance +of `Pins` which is later passed on to our UART peripheral constructor (cts and rts are for more advanced UART features +we do not use here, hence they are set to None) and the [type signature](https://docs.rs/nrf51-hal/0.11.0/nrf51_hal/uart/struct.Pins.html) +of `Pins` already tells us what to do. + +Next we construct our UART peripheral with `hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200);`. +This function takes ownership of `p.UART0` and our `pins` so nobody else can mess with either the UART peripheral or our pins while +we are using them. After that we pass two configuration options two the constructor, the baudrate (that one should be +familiar) as well as an option called parity. Parity is a way that allows serial communication lines to check whether +the data they received was corrupted on the line or not but we don't want to use that here so we simply exclude it. + +Last but not least we send our `X` via the newly created uart instance. The `block!` macro here is the `nb::block!` +macro. `nb` is a (quoting from its description) "Minimal and reusable non-blocking I/O layer". It allows us to write +code code that can conduct hardware operations in the background while we go and do other work (non-blocking). However +in this and many other cases we have no interest in doing some other work so we just call `block!` which will wait until +the I/O operation is done and has either succeeded or failed and then continue execution normally. + +## Testing it + +Before flashing this you should make sure to start your minicom/PuTTY as the data we receive via our serial +communication is not backed up or anything, we have to view it live. Once your serial monitor is up you can +do a simple + +``` +$ cargo embed --release +``` + +And after the flashing you should see the character `X` show up on your minicom/PuTTY terminal, congrats! diff --git a/src/11-usart/send-a-string.md b/src/07-uart/send-a-string.md similarity index 100% rename from src/11-usart/send-a-string.md rename to src/07-uart/send-a-string.md diff --git a/src/07-uart/src/main.rs b/src/07-uart/src/main.rs new file mode 100644 index 000000000..c12febbb9 --- /dev/null +++ b/src/07-uart/src/main.rs @@ -0,0 +1,33 @@ +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; +use nrf51_hal as hal; +use hal::prelude::*; +use nb::block; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); + + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let rxd = p0.p0_25.into_floating_input().degrade(); + let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); + + let pins = hal::uart::Pins { + rxd, + txd, + cts: None, + rts: None + }; + + let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); + + block!(uart.write(b'X')).ok(); + + loop {} +} diff --git a/src/11-usart/uprintln.md b/src/07-uart/uprintln.md similarity index 100% rename from src/11-usart/uprintln.md rename to src/07-uart/uprintln.md diff --git a/src/11-usart/.cargo/config b/src/11-usart/.cargo/config deleted file mode 100644 index f27a4a749..000000000 --- a/src/11-usart/.cargo/config +++ /dev/null @@ -1,8 +0,0 @@ -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] - -[build] -target = "thumbv7em-none-eabihf" diff --git a/src/11-usart/Cargo.toml b/src/11-usart/Cargo.toml deleted file mode 100644 index 956dc824f..000000000 --- a/src/11-usart/Cargo.toml +++ /dev/null @@ -1,14 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "usart" -version = "0.1.0" - -[dependencies.aux11] -path = "auxiliary" -# enable this if you are going to use an external serial adapter -# features = ["adapter"] - -[dependencies.heapless] -default-features = false -version = "0.3.7" diff --git a/src/11-usart/README.md b/src/11-usart/README.md deleted file mode 100644 index d03148d4e..000000000 --- a/src/11-usart/README.md +++ /dev/null @@ -1,65 +0,0 @@ -# USART - -The microcontroller has a peripheral called USART, which stands for Universal -Synchronous/Asynchronous Receiver/Transmitter. This peripheral can be configured to work with -several communication protocols like the serial communication protocol. - -Throughout this chapter, we'll use serial communication to exchange information between the -microcontroller and your computer. But before we do that we have to wire up everything. - -I mentioned before that this protocol involves two data lines: TX and RX. TX stands for transmitter -and RX stands for receiver. Transmitter and receiver are relative terms though; which line is the -transmitter and which line is the receiver depends from which side of the communication you are -looking at the lines. - -### Newer board revisions - -If you have a newer revision of the board and are using the on-board USB <-> -Serial functionality then the `auxiliary` crate will set pin `PC4` as the TX -line and pin `PC5` as the RX line. - -Everything is already wired on the board so you don't need to wire anything yourself. -You can move on to the [next section](send-a-single-byte.html). - -### Older board revisions / external serial module - -If you are using an external USB <-> Serial module then you will **need** to -enable the `adapter` feature of the `aux11` crate dependency in `Cargo.toml`. - -``` toml -[dependencies.aux11] -path = "auxiliary" -# enable this if you are going to use an external serial adapter -features = ["adapter"] # <- uncomment this -``` - -We'll be using the pin `PA9` as the microcontroller's TX line and `PA10` as its RX line. In other -words, the pin `PA9` outputs data onto its wire whereas the pin `PA10` listens for data on its -wire. - -We could have used a different pair of pins as the TX and RX pins. There's a table in page 44 of the -[Data Sheet] that list all the other possible pins we could have used. - -[Data Sheet]: http://www.st.com/resource/en/datasheet/stm32f303vc.pdf - -The serial module also has TX and RX pins. We'll have to *cross* these pins: that is connect the -microcontroller's TX pin to the serial module's RX pin and the micro's RX pin to the serial module's -TX pin. The wiring diagram below shows all the necessary connections. - -

    - -

    - -These are the recommended steps to connect the microcontroller and the serial module: - -- Close OpenOCD and `itmdump` -- Disconnect the USB cables from the F3 and the serial module. -- Connect one of F3 GND pins to the GND pin of the serial module using a female to male (F/M) wire. - Preferably, a black one. -- Connect the PA9 pin on the back of the F3 to the RXI pin of the serial module using a F/M wire. -- Connect the PA10 pin on the back of the F3 to the TXO pin of the serial module using a F/M wire. -- Now connect the USB cable to the F3. -- Finally connect the USB cable to the Serial module. -- Re-launch OpenOCD and `itmdump` - -Everything's wired up! Let's proceed to send data back and forth. diff --git a/src/11-usart/auxiliary/Cargo.toml b/src/11-usart/auxiliary/Cargo.toml deleted file mode 100644 index 252253981..000000000 --- a/src/11-usart/auxiliary/Cargo.toml +++ /dev/null @@ -1,17 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "aux11" -version = "0.1.0" - -[dependencies] -cortex-m = "0.5.6" -cortex-m-rt = "0.6.3" -panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" - -[features] -adapter = [] \ No newline at end of file diff --git a/src/11-usart/auxiliary/src/lib.rs b/src/11-usart/auxiliary/src/lib.rs deleted file mode 100644 index a4be7bc5a..000000000 --- a/src/11-usart/auxiliary/src/lib.rs +++ /dev/null @@ -1,59 +0,0 @@ -//! Initialization code - -#![no_std] - -#[allow(unused_extern_crates)] // NOTE(allow) bug rust-lang/rust53964 -extern crate panic_itm; // panic handler - -pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; -pub use cortex_m_rt::entry; -pub use f3::hal::{prelude, serial::Serial, stm32f30x::usart1, time::MonoTimer}; - -use f3::hal::{ - prelude::*, - stm32f30x::{self, USART1}, -}; - -pub fn init() -> (&'static mut usart1::RegisterBlock, MonoTimer, ITM) { - let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); - - let mut flash = dp.FLASH.constrain(); - let mut rcc = dp.RCC.constrain(); - - let clocks = rcc.cfgr.freeze(&mut flash.acr); - - let (tx, rx) = match () { - #[cfg(feature = "adapter")] - () => { - let mut gpioa = dp.GPIOA.split(&mut rcc.ahb); - - let tx = gpioa.pa9.into_af7(&mut gpioa.moder, &mut gpioa.afrh); - let rx = gpioa.pa10.into_af7(&mut gpioa.moder, &mut gpioa.afrh); - - (tx, rx) - } - #[cfg(not(feature = "adapter"))] - () => { - let mut gpioc = dp.GPIOC.split(&mut rcc.ahb); - - let tx = gpioc.pc4.into_af7(&mut gpioc.moder, &mut gpioc.afrl); - let rx = gpioc.pc5.into_af7(&mut gpioc.moder, &mut gpioc.afrl); - - (tx, rx) - } - }; - - Serial::usart1(dp.USART1, (tx, rx), 115_200.bps(), clocks, &mut rcc.apb2); - // If you are having trouble sending/receiving data to/from the - // HC-05 bluetooth module, try this configuration instead: - // Serial::usart1(dp.USART1, (tx, rx), 9600.bps(), clocks, &mut rcc.apb2); - - unsafe { - ( - &mut *(USART1::ptr() as *mut _), - MonoTimer::new(cp.DWT, clocks), - cp.ITM, - ) - } -} diff --git a/src/11-usart/openocd.gdb b/src/11-usart/openocd.gdb deleted file mode 100644 index cafe49b1b..000000000 --- a/src/11-usart/openocd.gdb +++ /dev/null @@ -1,10 +0,0 @@ -target remote :3333 -set print asm-demangle on -set print pretty on -monitor tpiu config internal itm.txt uart off 8000000 -monitor itm port 0 on -load -break DefaultHandler -break UserHardFault -break main -continue diff --git a/src/11-usart/send-a-single-byte.md b/src/11-usart/send-a-single-byte.md deleted file mode 100644 index d59910fb1..000000000 --- a/src/11-usart/send-a-single-byte.md +++ /dev/null @@ -1,20 +0,0 @@ -# Send a single byte - -Our first task will be to send a single byte from the microcontroller to the computer over the serial -connection. - -This time, I'm going to provide you with an already initialized USART peripheral. You'll only have -to work with the registers that are in charge of sending and receiving data. - -Go into the `11-usart` directory and let's run the starter code therein. Make sure that you have -minicom/PuTTY open. - -``` rust -{{#include src/main.rs}} -``` - -This program writes to the `TDR` register. This causes the `USART` peripheral to send one byte of -information through the serial interface. - -On the receiving end, your computer, you should see show the character `X` appear on minicom/PuTTY's -terminal. diff --git a/src/11-usart/src/main.rs b/src/11-usart/src/main.rs deleted file mode 100644 index 16c26e893..000000000 --- a/src/11-usart/src/main.rs +++ /dev/null @@ -1,16 +0,0 @@ -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); - - // Send a single character - usart1.tdr.write(|w| w.tdr().bits(u16::from(b'X'))); - - loop {} -} diff --git a/src/11-usart/target b/src/11-usart/target deleted file mode 120000 index 6bcd2fc5d..000000000 --- a/src/11-usart/target +++ /dev/null @@ -1 +0,0 @@ -../../target \ No newline at end of file diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 8737c5379..73ef59457 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -15,14 +15,18 @@ - [It blinks](05-led-roulette/it-blinks.md) - [The challenge](05-led-roulette/the-challenge.md) - [My solution](05-led-roulette/my-solution.md) -- [Hello, world!](06-hello-world/README.md) - - [`panic!`](06-hello-world/panic.md) -- [Registers](07-registers/README.md) - - [RTRM](07-registers/rtrm.md) - - [(mis)Optimization](07-registers/optimization.md) - - [`0xBAAAAAAD` address](07-registers/bad-address.md) - - [Spooky action at a distance](07-registers/spooky-action-at-a-distance.md) - - [Type safe manipulation](07-registers/type-safe-manipulation.md) +- [Serial communication](06-serial-communication/README.md) + - [\*nix tooling](06-serial-communication/nix-tooling.md) + - [Windows tooling](06-serial-communication/windows-tooling.md) +- [UART](07-uart/README.md) + - [Send a single byte](07-uart/send-a-single-byte.md) + - [Send a string](07-uart/send-a-string.md) + - [Buffer overrun](07-uart/buffer-overrun.md) + - [`uprintln!`](07-uart/uprintln.md) + - [Receive a single byte](07-uart/receive-a-single-byte.md) + - [Echo server](07-uart/echo-server.md) + - [Reverse a string](07-uart/reverse-a-string.md) + - [My solution](07-uart/my-solution.md) - [LEDs, again](08-leds-again/README.md) - [Power](08-leds-again/power.md) - [Configuration](08-leds-again/configuration.md) @@ -34,19 +38,6 @@ - [Initialization](09-clocks-and-timers/initialization.md) - [Busy waiting](09-clocks-and-timers/busy-waiting.md) - [Putting it all together](09-clocks-and-timers/putting-it-all-together.md) -- [Serial communication](10-serial-communication/README.md) - - [\*nix tooling](10-serial-communication/nix-tooling.md) - - [Windows tooling](10-serial-communication/windows-tooling.md) - - [Loopbacks](10-serial-communication/loopbacks.md) -- [USART](11-usart/README.md) - - [Send a single byte](11-usart/send-a-single-byte.md) - - [Send a string](11-usart/send-a-string.md) - - [Buffer overrun](11-usart/buffer-overrun.md) - - [`uprintln!`](11-usart/uprintln.md) - - [Receive a single byte](11-usart/receive-a-single-byte.md) - - [Echo server](11-usart/echo-server.md) - - [Reverse a string](11-usart/reverse-a-string.md) - - [My solution](11-usart/my-solution.md) - [Bluetooth setup](12-bluetooth-setup/README.md) - [Linux](12-bluetooth-setup/linux.md) - [Loopback](12-bluetooth-setup/loopback.md) From 83b37e8156b9910e447fb5e681eed70700d1388e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 15 Nov 2020 19:29:54 +0100 Subject: [PATCH 123/313] typo --- src/07-uart/send-a-single-byte.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/07-uart/send-a-single-byte.md b/src/07-uart/send-a-single-byte.md index 791949101..2d69cc613 100644 --- a/src/07-uart/send-a-single-byte.md +++ b/src/07-uart/send-a-single-byte.md @@ -30,7 +30,7 @@ the data they received was corrupted on the line or not but we don't want to use Last but not least we send our `X` via the newly created uart instance. The `block!` macro here is the `nb::block!` macro. `nb` is a (quoting from its description) "Minimal and reusable non-blocking I/O layer". It allows us to write -code code that can conduct hardware operations in the background while we go and do other work (non-blocking). However +code that can conduct hardware operations in the background while we go and do other work (non-blocking). However in this and many other cases we have no interest in doing some other work so we just call `block!` which will wait until the I/O operation is done and has either succeeded or failed and then continue execution normally. From 67bede866a3317b4c1f2a0608b32cef8b685cf4d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Mon, 16 Nov 2020 11:41:39 +0100 Subject: [PATCH 124/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/06-serial-communication/README.md | 6 +++--- src/06-serial-communication/nix-tooling.md | 2 +- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/src/06-serial-communication/README.md b/src/06-serial-communication/README.md index 63897673e..d28fe28a2 100644 --- a/src/06-serial-communication/README.md +++ b/src/06-serial-communication/README.md @@ -25,7 +25,7 @@ We'll be using this protocol to exchange data between the microcontroller and yo asking yourself why exactly we aren't using RTT for this like we did before. RTT is a protocol that is meant to be used soley for debugging. You will most definitely not be able to find a device that actually uses RTT to communicate with some other device in production. However serial communication is used quite often, for -example some GPS receivers send the positioning information they receive to your via serial communication. +example some GPS receivers send the positioning information they receive via serial communication. The next practical question you probably want to ask is: How fast can we send data through this protocol? @@ -41,8 +41,8 @@ rate will probably be lower because of processing times on the slower side of th microcontroller). Today's computers don't support the serial communication protocol. So you can't directly connect -your computer to the microcontroller. Luckily for us thought the debug probe on the micro:bit has a so called -USB to serial converter. What this means is that it will sit between the two and expose a serial interface to +your computer to the microcontroller. Luckily for us though, the debug probe on the micro:bit has a so-called +USB to serial converter. What this means is that the converter will sit between the two and expose a serial interface to the microcontroller and an USB interface to your computer. The microcontroller will see your computer as another serial device and your computer will see the microcontroller as a virtual serial device. diff --git a/src/06-serial-communication/nix-tooling.md b/src/06-serial-communication/nix-tooling.md index ee87fd1a6..cc44978fd 100644 --- a/src/06-serial-communication/nix-tooling.md +++ b/src/06-serial-communication/nix-tooling.md @@ -64,7 +64,7 @@ baud rate to 115200. A text-based user interface (TUI) will pop out.

    You can now send data using the keyboard! Go ahead and type something. Note that -the TUI will *not* echo back what you type. If you pay attention to the yellow LED +the text UI will *not* echo back what you type. If you pay attention to the yellow LED on top of the micro:bit though, you will notice that it blinks whenever you type something. ## `minicom` commands From 8f3b4c069d8762b31f29c914c3bcd3681a750c73 Mon Sep 17 00:00:00 2001 From: gondolyr Date: Tue, 29 Dec 2020 22:33:41 -0500 Subject: [PATCH 125/313] 07-registers: Fix cargo objdump example The last code block of the Type Safe Manipulation section contains invalid flags because they are missing a dash. Thankfully cargo is intelligent enough to provide correct suggestions. Suggestion from cargo: ``` llvm-objdump: Unknown command line argument '-no-show-raw-insn'. Try: '/home/itme/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/x86_64-unknown-linux-gnu/bin/llvm-objdump --help' llvm-objdump: Did you mean '--no-show-raw-insn'? llvm-objdump: Unknown command line argument '-print-imm-hex'. Try: '/home/itme/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/x86_64-unknown-linux-gnu/bin/llvm-objdump --help' llvm-objdump: Did you mean '--print-imm-hex'? ``` --- src/07-registers/type-safe-manipulation.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/07-registers/type-safe-manipulation.md b/src/07-registers/type-safe-manipulation.md index 24a1dc107..adae15dd7 100644 --- a/src/07-registers/type-safe-manipulation.md +++ b/src/07-registers/type-safe-manipulation.md @@ -176,7 +176,7 @@ did! [LTO]: https://en.wikipedia.org/wiki/Interprocedural_optimization ``` console -$ cargo objdump --bin registers --release -- -d -no-show-raw-insn -print-imm-hex +$ cargo objdump --bin registers --release -- -d --no-show-raw-insn --print-imm-hex registers: file format ELF32-arm-little Disassembly of section .text: From 373b2a3fd439f983ac4c25de803f18b262294c46 Mon Sep 17 00:00:00 2001 From: Marco Ieni <11428655+MarcoIeni@users.noreply.github.com> Date: Sun, 3 Jan 2021 10:48:34 +0100 Subject: [PATCH 126/313] book.toml: add link to GitHub repo This will show a GitHub icon in the website that links to the repo --- book.toml | 1 + 1 file changed, 1 insertion(+) diff --git a/book.toml b/book.toml index 298b7c60b..fbda71fad 100644 --- a/book.toml +++ b/book.toml @@ -6,3 +6,4 @@ language = "en" [output.html] additional-css = ["custom.css"] +git-repository-url = "https://github.com/rust-embedded/discovery/" From c2554cb5c7af92cfd2de70b33f70288873cebc3e Mon Sep 17 00:00:00 2001 From: Liam Hession Date: Sat, 16 Jan 2021 06:34:28 -0600 Subject: [PATCH 127/313] Adds details of how to assess and fix an Address already in use error --- .../1-general-troubleshooting/README.md | 36 +++++++++++++++++++ 1 file changed, 36 insertions(+) diff --git a/src/appendix/1-general-troubleshooting/README.md b/src/appendix/1-general-troubleshooting/README.md index b1f4cb919..678764473 100644 --- a/src/appendix/1-general-troubleshooting/README.md +++ b/src/appendix/1-general-troubleshooting/README.md @@ -137,6 +137,42 @@ Or, `itmdump` was called **after** the `monitor tpiu` was issued thus making - Then, launch `itmdump` - Then, launch the GDB session that executes the `monitor tpiu` command. + +### can't connect to OpenOCD - "Error: couldn't bind [telnet] to socket: Address already in use" + +#### Symptoms + +Upon trying to establish a *new connection* with the device you get an error +that looks something like this: + +``` +$ openocd -f (..) +(..) +Error: couldn't bind telnet to socket: Address already in use +``` + +#### Cause + +One or more of the ports OpenOCD requires access to, 3333, 4444, or 6666, is in use by another process. Each of these ports is used for another aspect: 3333 for gdb, 4444 for telnet, 6666 for remote procedure call (RPC) commands to TCL + +#### Fix + +You can go two routes for fixing this. A) Kill any process that's using one of those ports. B) Specify different ports you know to be free for OpenOCD to use. + +Solution A + +Mac: +- Get a list of processes using ports by running `sudo lsof -PiTCP -sTCP:LISTEN` +- Kill the process(es) blocking the key ports by noting their pid(s) and running `kill [pid]` for each. (Assuming you can confirm they're not running anything mission-critical on your machine!) + +Solution B + +All: +- Send configuration details to OpenOCD when starting it up so that it uses a different port from the default for any of the processes. +- For example, to do its telnet features on 4441 instead of the default 4444, you would run `openocd -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg -c "telnet_port 4441"` +- More details on OpenOCD's Configuration Stage can be found in their [official docs online]: http://openocd.org/doc/html/Server-Configuration.html + + ## Cargo problems ### "can't find crate for `core`" From 9f22245821765cb5b4f4eab5bd0c965bc8a4728e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Thu, 21 Jan 2021 12:02:29 +0100 Subject: [PATCH 128/313] Apply a few more review stuff --- src/06-serial-communication/nix-tooling.md | 20 +++++++++++++++----- src/07-uart/README.md | 2 +- 2 files changed, 16 insertions(+), 6 deletions(-) diff --git a/src/06-serial-communication/nix-tooling.md b/src/06-serial-communication/nix-tooling.md index cc44978fd..f8e3c8278 100644 --- a/src/06-serial-communication/nix-tooling.md +++ b/src/06-serial-communication/nix-tooling.md @@ -12,12 +12,22 @@ $ dmesg | tail | grep -i tty ``` This is the USB <-> Serial device. On Linux, it's named `tty*` (usually -`ttyACM*` or `ttyUSB*`). +`ttyACM*` or `ttyUSB*`). But what exactly `ttyACM0`? It's a file of course! +Everything is a file in \*nix: -If you don't see the device appear then you probably have an older revision of -the board; check the next section, which contains instructions for older -revisions. If you do have a newer revision skip the next section and move to the -"minicom" section. +``` +$ ls -l /dev/ttyACM0 +crw-rw----. 1 root plugdev 166, 0 Jan 21 11:56 /dev/ttyACM0 +``` + +You can send out data by simply writing to this file: + +``` console +$ echo 'Hello, world!' > /dev/ttyACM0 +``` + +You should see the orange LED on the micro:bit, right next to the USB port, blink for a moment, +whenever you enter this command. ## minicom diff --git a/src/07-uart/README.md b/src/07-uart/README.md index e794d0d0c..eec87112d 100644 --- a/src/07-uart/README.md +++ b/src/07-uart/README.md @@ -1,6 +1,6 @@ # UART -The microcontroller has a peripheral called USART, which stands for Universal +The microcontroller has a peripheral called UART, which stands for Universal Asynchronous Receiver/Transmitter. This peripheral can be configured to work with several communication protocols like the serial communication protocol. From 917b1c7eec3214611922daae85402ad8817661ab Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Thu, 21 Jan 2021 14:05:50 +0100 Subject: [PATCH 129/313] Modifications to the rest of the chapter --- src/06-serial-communication/nix-tooling.md | 2 +- src/07-registers/README.md | 1 - src/07-registers/bad-address.md | 1 - src/07-registers/optimization.md | 1 - src/07-registers/rtrm.md | 1 - .../spooky-action-at-a-distance.md | 1 - src/07-registers/type-safe-manipulation.md | 1 - src/07-uart/Cargo.toml | 3 +- src/07-uart/Embed.toml | 2 +- src/07-uart/buffer-overrun.md | 159 ------------------ src/07-uart/my-solution.md | 43 +++-- src/07-uart/naive-approch-writeln.md | 89 ++++++++++ src/07-uart/receive-a-single-byte.md | 66 ++++---- src/07-uart/reverse-a-string.md | 26 ++- src/07-uart/send-a-single-byte.md | 1 + src/07-uart/src/main.rs | 27 ++- src/SUMMARY.md | 3 +- 17 files changed, 199 insertions(+), 228 deletions(-) delete mode 100644 src/07-registers/README.md delete mode 100644 src/07-registers/bad-address.md delete mode 100644 src/07-registers/optimization.md delete mode 100644 src/07-registers/rtrm.md delete mode 100644 src/07-registers/spooky-action-at-a-distance.md delete mode 100644 src/07-registers/type-safe-manipulation.md delete mode 100644 src/07-uart/buffer-overrun.md create mode 100644 src/07-uart/naive-approch-writeln.md diff --git a/src/06-serial-communication/nix-tooling.md b/src/06-serial-communication/nix-tooling.md index f8e3c8278..4fc4e2bb6 100644 --- a/src/06-serial-communication/nix-tooling.md +++ b/src/06-serial-communication/nix-tooling.md @@ -12,7 +12,7 @@ $ dmesg | tail | grep -i tty ``` This is the USB <-> Serial device. On Linux, it's named `tty*` (usually -`ttyACM*` or `ttyUSB*`). But what exactly `ttyACM0`? It's a file of course! +`ttyACM*` or `ttyUSB*`). But what exactly is `ttyACM0`? It's a file of course! Everything is a file in \*nix: ``` diff --git a/src/07-registers/README.md b/src/07-registers/README.md deleted file mode 100644 index 057f2825b..000000000 --- a/src/07-registers/README.md +++ /dev/null @@ -1 +0,0 @@ -# Registers diff --git a/src/07-registers/bad-address.md b/src/07-registers/bad-address.md deleted file mode 100644 index 47e84935a..000000000 --- a/src/07-registers/bad-address.md +++ /dev/null @@ -1 +0,0 @@ -# 0xBAAAAAAD address diff --git a/src/07-registers/optimization.md b/src/07-registers/optimization.md deleted file mode 100644 index 7ef76832f..000000000 --- a/src/07-registers/optimization.md +++ /dev/null @@ -1 +0,0 @@ -# (mis)Optimization diff --git a/src/07-registers/rtrm.md b/src/07-registers/rtrm.md deleted file mode 100644 index e0f30acb6..000000000 --- a/src/07-registers/rtrm.md +++ /dev/null @@ -1 +0,0 @@ -# RTRM diff --git a/src/07-registers/spooky-action-at-a-distance.md b/src/07-registers/spooky-action-at-a-distance.md deleted file mode 100644 index a77b73fad..000000000 --- a/src/07-registers/spooky-action-at-a-distance.md +++ /dev/null @@ -1 +0,0 @@ -# Spooky action at a distance diff --git a/src/07-registers/type-safe-manipulation.md b/src/07-registers/type-safe-manipulation.md deleted file mode 100644 index b4207d86d..000000000 --- a/src/07-registers/type-safe-manipulation.md +++ /dev/null @@ -1 +0,0 @@ -# Type safe manipulation diff --git a/src/07-uart/Cargo.toml b/src/07-uart/Cargo.toml index 3994f2dea..16d2567a5 100644 --- a/src/07-uart/Cargo.toml +++ b/src/07-uart/Cargo.toml @@ -1,5 +1,5 @@ [package] -name = "usart" +name = "uart" version = "0.1.0" authors = ["Henrik Böving "] edition = "2018" @@ -12,3 +12,4 @@ nrf51-hal = "0.11.0" rtt-target = { version = "0.2.2", features = ["cortex-m"] } panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } nb = "1.0.0" +heapless = "0.5.6" diff --git a/src/07-uart/Embed.toml b/src/07-uart/Embed.toml index ab9e1e057..321db357b 100644 --- a/src/07-uart/Embed.toml +++ b/src/07-uart/Embed.toml @@ -2,7 +2,7 @@ chip = "nrf51822_xxAA" [default.reset] -halt_afterwards = true +halt_afterwards = false [default.rtt] enabled = true diff --git a/src/07-uart/buffer-overrun.md b/src/07-uart/buffer-overrun.md deleted file mode 100644 index 59161a35a..000000000 --- a/src/07-uart/buffer-overrun.md +++ /dev/null @@ -1,159 +0,0 @@ -# Overruns - -If you wrote your program like this: - -``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); - - // Send a string - for byte in b"The quick brown fox jumps over the lazy dog.".iter() { - usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); - } - - loop {} -} -``` - -You probably received something like this on your computer when you executed the program compiled in -debug mode. - -``` console -$ # minicom's terminal -(..) -The uic brwn oxjums oer helaz do. -``` - -And if you compiled in release mode, you probably only got something like this: - -``` console -$ # minicom's terminal -(..) -T -``` - -What went wrong? - -You see, sending bytes over the wire takes a relatively large amount of time. I already did the math -so let me quote myself: - -> With a common configuration of 1 start bit, 8 bits of data, 1 stop bit and a baud rate of 115200 -> bps one can, in theory, send 11,520 frames per second. Since each one frame carries a byte of data -> that results in a data rate of 11.52 KB/s - -Our pangram has a length of 45 bytes. That means it's going to take, at least, 3,900 microseconds -(`45 bytes / (11,520 bytes/s) = 3,906 us`) to send the string. The processor is working at 8 MHz, -where executing an instruction takes 125 nanoseconds, so it's likely going to be done with the `for` -loop in less than 3,900 microseconds. - -We can actually time how long it takes to execute the `for` loop. `aux11::init()` returns a -`MonoTimer` (monotonic timer) value that exposes an `Instant` API that's similar to the one in -`std::time`. - -``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, mut itm) = aux11::init(); - - let instant = mono_timer.now(); - // Send a string - for byte in b"The quick brown fox jumps over the lazy dog.".iter() { - usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); - } - let elapsed = instant.elapsed(); // in ticks - - iprintln!( - &mut itm.stim[0], - "`for` loop took {} ticks ({} us)", - elapsed, - elapsed as f32 / mono_timer.frequency().0 as f32 * 1e6 - ); - - loop {} -} -``` - -In debug mode, I get: - -``` console -$ # itmdump terminal -(..) -`for` loop took 22415 ticks (2801.875 us) -``` - -This is less than 3,900 microseconds but it's not that far off and that's why only a few bytes of -information are lost. - -In conclusion, the processor is trying to send bytes at a faster rate than what the hardware can -actually handle and this results in data loss. This condition is known as buffer *overrun*. - -How do we avoid this? The status register (`ISR`) has a flag, `TXE`, that indicates if it's "safe" -to write to the `TDR` register without incurring in data loss. - -Let's use that to slowdown the processor. - -``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, mut itm) = aux11::init(); - - let instant = mono_timer.now(); - // Send a string - for byte in b"The quick brown fox jumps over the lazy dog.".iter() { - // wait until it's safe to write to TDR - while usart1.isr.read().txe().bit_is_clear() {} // <- NEW! - - usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); - } - let elapsed = instant.elapsed(); // in ticks - - iprintln!( - &mut itm.stim[0], - "`for` loop took {} ticks ({} us)", - elapsed, - elapsed as f32 / mono_timer.frequency().0 as f32 * 1e6 - ); - - loop {} -} -``` - -This time, running the program in debug or release mode should result in a complete string on the -receiving side. - -``` console -$ # minicom/PuTTY's console -(..) -The quick brown fox jumps over the lazy dog. -``` - -The timing of the `for` loop should be closer to the theoretical 3,900 microseconds as well. The -timing below is for the debug version. - -``` console -$ # itmdump terminal -(..) -`for` loop took 30499 ticks (3812.375 us) -``` diff --git a/src/07-uart/my-solution.md b/src/07-uart/my-solution.md index 11ae9fed3..a5507a60a 100644 --- a/src/07-uart/my-solution.md +++ b/src/07-uart/my-solution.md @@ -1,17 +1,35 @@ # My solution ```rust -#![deny(unsafe_code)] #![no_main] #![no_std] -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; +use nrf51_hal as hal; +use hal::prelude::*; use heapless::{consts, Vec}; +use nb::block; +use core::fmt::Write; #[entry] fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); + + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let rxd = p0.p0_25.into_floating_input().degrade(); + let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); + + let pins = hal::uart::Pins { + rxd, + txd, + cts: None, + rts: None + }; + + let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); // A buffer with 32 bytes of capacity let mut buffer: Vec = Vec::new(); @@ -20,27 +38,18 @@ fn main() -> ! { buffer.clear(); loop { - while usart1.isr.read().rxne().bit_is_clear() {} - let byte = usart1.rdr.read().rdr().bits() as u8; + // We assume that the receiving cannot fail + let byte = block!(uart.read()).unwrap(); if buffer.push(byte).is_err() { - // buffer full - for byte in b"error: buffer full\n\r" { - while usart1.isr.read().txe().bit_is_clear() {} - usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); - } - + writeln!(&mut uart, "error: buffer full").unwrap(); break; } - // Carriage return if byte == 13 { - // Respond for byte in buffer.iter().rev().chain(&[b'\n', b'\r']) { - while usart1.isr.read().txe().bit_is_clear() {} - usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); + block!(uart.write(*byte)).ok(); } - break; } } diff --git a/src/07-uart/naive-approch-writeln.md b/src/07-uart/naive-approch-writeln.md new file mode 100644 index 000000000..09d8f9cc3 --- /dev/null +++ b/src/07-uart/naive-approch-writeln.md @@ -0,0 +1,89 @@ +# Naive approach and `writeln!` + +## Naive approach + +You probably came up with a program similar to the following: + +```rs +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; +use nrf51_hal as hal; +use hal::prelude::*; +use nb::block; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); + + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let rxd = p0.p0_25.into_floating_input().degrade(); + let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); + + let pins = hal::uart::Pins { + rxd, + txd, + cts: None, + rts: None + }; + + let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); + + for byte in b"The quick brown fox jumps over the lazy dog.".iter() { + block!(uart.write(*byte)).ok(); + } + + loop {} +} +``` + +and while this is a perfectly valid implementation at some point +you might want to have all the nice perks of `println!` such +as argument formatting etc., introducing: `core::fmt::Write`. + +## `writeln!` and `core::fmt::Write` +The `core::fmt::Write` trait allows us to use any struct that implements +it in basically the same way as we use `println!` in the `std` world. +In this case the `Uart` struct from the nrf hal does implement `core::fmt::Write` +so we can refactor the above program into this: + +```rs +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; +use nrf51_hal as hal; +use core::fmt::Write; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); + + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let rxd = p0.p0_25.into_floating_input().degrade(); + let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); + + let pins = hal::uart::Pins { + rxd, + txd, + cts: None, + rts: None + }; + + let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); + writeln!(&mut uart, "The quick brown fox jumps over the lazy dog.").unwrap(); + + loop {} +} +``` + +If you were to flash this program onto your micro:bit, you'll +see that it is functionally equivalent to the iterator based +program you came up with. diff --git a/src/07-uart/receive-a-single-byte.md b/src/07-uart/receive-a-single-byte.md index 9d977f5ef..2e88b9e85 100644 --- a/src/07-uart/receive-a-single-byte.md +++ b/src/07-uart/receive-a-single-byte.md @@ -1,52 +1,52 @@ # Receive a single byte So far we have sending data from the microcontroller to your computer. It's time to try the opposite: receiving -data from your computer. - -There's a `RDR` register that will be filled with the data that comes from the RX line. If we read -that register, we'll retrieve the data that the other side of the channel sent. The question is: How -do we know that we have received (new) data? The status register, `ISR`, has a bit for that purpose: -`RXNE`. We can just busy wait on that flag. +data from your computer. Luckily `embedded-hal` again got us covered with this one: ``` rust -#![deny(unsafe_code)] #![no_main] #![no_std] -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; +use rtt_target::rprintln; +use nrf51_hal as hal; +use hal::prelude::*; +use nb::block; #[entry] fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); - loop { - // Wait until there's data available - while usart1.isr.read().rxne().bit_is_clear() {} + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let rxd = p0.p0_25.into_floating_input().degrade(); + let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); + + let pins = hal::uart::Pins { + rxd, + txd, + cts: None, + rts: None + }; - // Retrieve the data - let _byte = usart1.rdr.read().rdr().bits() as u8; + let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); - aux11::bkpt(); + loop { + let byte = block!(uart.read()).unwrap(); + rprintln!("{}", byte); } } ``` -Let's try this program! Let it run free using `continue` and then type a single character in -minicom/PuTTY's console. What happens? What are the contents of the `_byte` variable? +The only part that changed, compared to our send byte program, is the loop +at the end of main. Here we use the `read()` function, provided by `embedded-hal`, +in order to wait until a byte is available and read. Then we print that byte +into our RTT debugging console to see whether stuff is actually arriving. -``` -(gdb) continue -Continuing. - -Program received signal SIGTRAP, Trace/breakpoint trap. -0x8003d48 in __bkpt () - -(gdb) finish -Run till exit from #0 0x8003d48 in __bkpt () -usart::main () at src/11-usart/src/main.rs:19 -19 aux11::bkpt(); - -(gdb) p/c _byte -$1 = 97 'a' -``` +Note that if you flash this program and start typing characters inside `minicom` to +send them to your microcontroller you'll only be able to see numbers inside your +RTT console since we are not converting the `u8` we received into an actual `char`. +Since the conversion from `u8` to `char` is quite simple I'll leave this task to +you if you really do want to see the characters inside the RTT console. diff --git a/src/07-uart/reverse-a-string.md b/src/07-uart/reverse-a-string.md index 12a3dda7b..a3dfda63a 100644 --- a/src/07-uart/reverse-a-string.md +++ b/src/07-uart/reverse-a-string.md @@ -6,20 +6,36 @@ ENTER key. Each server response will be in a new line. This time you'll need a buffer; you can use [`heapless::Vec`]. Here's the starter code: -[`heapless::Vec`]: https://docs.rs/heapless/0.2.1/heapless/struct.Vec.html +[`heapless::Vec`]: https://docs.rs/heapless/0.5.6/heapless/struct.Vec.html ``` rust -#![deny(unsafe_code)] #![no_main] #![no_std] -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; +use rtt_target::rprintln; +use nrf51_hal as hal; use heapless::{consts, Vec}; #[entry] fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); + + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let rxd = p0.p0_25.into_floating_input().degrade(); + let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); + + let pins = hal::uart::Pins { + rxd, + txd, + cts: None, + rts: None + }; + + let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); // A buffer with 32 bytes of capacity let mut buffer: Vec = Vec::new(); diff --git a/src/07-uart/send-a-single-byte.md b/src/07-uart/send-a-single-byte.md index 2d69cc613..cb9b17cf4 100644 --- a/src/07-uart/send-a-single-byte.md +++ b/src/07-uart/send-a-single-byte.md @@ -9,6 +9,7 @@ In order to do that we will use the following snippet (this one is already in `0 {{#include src/main.rs}} ``` + There is some parts we have already seen before but also lots of new stuff so lets focus on those. First things first, how do we know that we have to interact with P0_24 and P0_25 here? If you take a look at the micro:bit schematics page 5 again, you will notice that those two pins are also referred to as TGT_RX diff --git a/src/07-uart/src/main.rs b/src/07-uart/src/main.rs index c12febbb9..3cbd2d4c2 100644 --- a/src/07-uart/src/main.rs +++ b/src/07-uart/src/main.rs @@ -1,4 +1,3 @@ -#![deny(unsafe_code)] #![no_main] #![no_std] @@ -7,7 +6,9 @@ use rtt_target::rtt_init_print; use panic_rtt_target as _; use nrf51_hal as hal; use hal::prelude::*; +use heapless::{consts, Vec}; use nb::block; +use core::fmt::Write; #[entry] fn main() -> ! { @@ -27,7 +28,27 @@ fn main() -> ! { let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); - block!(uart.write(b'X')).ok(); + // A buffer with 32 bytes of capacity + let mut buffer: Vec = Vec::new(); - loop {} + loop { + buffer.clear(); + + loop { + // We assume that the receiving cannot fail for now + let byte = block!(uart.read()).unwrap(); + + if buffer.push(byte).is_err() { + writeln!(&mut uart, "error: buffer full").unwrap(); + break; + } + + if byte == 13 { + for byte in buffer.iter().rev().chain(&[b'\n', b'\r']) { + block!(uart.write(*byte)).ok(); + } + break; + } + } + } } diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 73ef59457..4fbb3c6d2 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -21,8 +21,7 @@ - [UART](07-uart/README.md) - [Send a single byte](07-uart/send-a-single-byte.md) - [Send a string](07-uart/send-a-string.md) - - [Buffer overrun](07-uart/buffer-overrun.md) - - [`uprintln!`](07-uart/uprintln.md) + - [Naive approach and `writeln!`](07-uart/naive-approch-writeln.md) - [Receive a single byte](07-uart/receive-a-single-byte.md) - [Echo server](07-uart/echo-server.md) - [Reverse a string](07-uart/reverse-a-string.md) From 3a7bc15d5979e21d201540d76f40350e2c856310 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Thu, 21 Jan 2021 14:10:24 +0100 Subject: [PATCH 130/313] accidentally overwrote original src/main.rs during testing --- src/07-uart/src/main.rs | 27 +++------------------------ 1 file changed, 3 insertions(+), 24 deletions(-) diff --git a/src/07-uart/src/main.rs b/src/07-uart/src/main.rs index 3cbd2d4c2..c12febbb9 100644 --- a/src/07-uart/src/main.rs +++ b/src/07-uart/src/main.rs @@ -1,3 +1,4 @@ +#![deny(unsafe_code)] #![no_main] #![no_std] @@ -6,9 +7,7 @@ use rtt_target::rtt_init_print; use panic_rtt_target as _; use nrf51_hal as hal; use hal::prelude::*; -use heapless::{consts, Vec}; use nb::block; -use core::fmt::Write; #[entry] fn main() -> ! { @@ -28,27 +27,7 @@ fn main() -> ! { let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); - // A buffer with 32 bytes of capacity - let mut buffer: Vec = Vec::new(); + block!(uart.write(b'X')).ok(); - loop { - buffer.clear(); - - loop { - // We assume that the receiving cannot fail for now - let byte = block!(uart.read()).unwrap(); - - if buffer.push(byte).is_err() { - writeln!(&mut uart, "error: buffer full").unwrap(); - break; - } - - if byte == 13 { - for byte in buffer.iter().rev().chain(&[b'\n', b'\r']) { - block!(uart.write(*byte)).ok(); - } - break; - } - } - } + loop {} } From 29e116374e39af0870e134d3857a90bb1b6f331b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 27 Jan 2021 23:25:25 +0100 Subject: [PATCH 131/313] Update src/06-serial-communication/README.md Co-authored-by: Diego Barrios Romero --- src/06-serial-communication/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/06-serial-communication/README.md b/src/06-serial-communication/README.md index d28fe28a2..847f03531 100644 --- a/src/06-serial-communication/README.md +++ b/src/06-serial-communication/README.md @@ -24,7 +24,7 @@ and from B to A simultaneously. We'll be using this protocol to exchange data between the microcontroller and your computer. Now you might asking yourself why exactly we aren't using RTT for this like we did before. RTT is a protocol that is meant to be used soley for debugging. You will most definitely not be able to find a device that actually uses RTT -to communicate with some other device in production. However serial communication is used quite often, for +to communicate with some other device in production. However, serial communication is used quite often. For example some GPS receivers send the positioning information they receive via serial communication. The next practical question you probably want to ask is: How fast can we send data through this From 30ef6bb112e2c9fd98ff979d516f41a95ce4aa03 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 27 Jan 2021 23:26:48 +0100 Subject: [PATCH 132/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/06-serial-communication/README.md | 2 +- src/07-uart/naive-approch-writeln.md | 10 ++++----- src/07-uart/receive-a-single-byte.md | 8 +++---- src/07-uart/send-a-single-byte.md | 30 +++++++++++++-------------- 4 files changed, 25 insertions(+), 25 deletions(-) diff --git a/src/06-serial-communication/README.md b/src/06-serial-communication/README.md index 847f03531..a2e90d442 100644 --- a/src/06-serial-communication/README.md +++ b/src/06-serial-communication/README.md @@ -42,7 +42,7 @@ microcontroller). Today's computers don't support the serial communication protocol. So you can't directly connect your computer to the microcontroller. Luckily for us though, the debug probe on the micro:bit has a so-called -USB to serial converter. What this means is that the converter will sit between the two and expose a serial interface to +USB-to-serial converter. This means that the converter will sit between the two and expose a serial interface to the microcontroller and an USB interface to your computer. The microcontroller will see your computer as another serial device and your computer will see the microcontroller as a virtual serial device. diff --git a/src/07-uart/naive-approch-writeln.md b/src/07-uart/naive-approch-writeln.md index 09d8f9cc3..3a0facf5a 100644 --- a/src/07-uart/naive-approch-writeln.md +++ b/src/07-uart/naive-approch-writeln.md @@ -41,15 +41,15 @@ fn main() -> ! { } ``` -and while this is a perfectly valid implementation at some point +While this is a perfectly valid implementation, at some point you might want to have all the nice perks of `println!` such -as argument formatting etc., introducing: `core::fmt::Write`. +as argument formatting and so on. If you are wondering how to do that, read on. ## `writeln!` and `core::fmt::Write` The `core::fmt::Write` trait allows us to use any struct that implements it in basically the same way as we use `println!` in the `std` world. -In this case the `Uart` struct from the nrf hal does implement `core::fmt::Write` -so we can refactor the above program into this: +In this case the `Uart` struct from the `nrf` HAL does implement `core::fmt::Write` +so we can refactor our previous program into this: ```rs #![no_main] @@ -85,5 +85,5 @@ fn main() -> ! { ``` If you were to flash this program onto your micro:bit, you'll -see that it is functionally equivalent to the iterator based +see that it is functionally equivalent to the iterator-based program you came up with. diff --git a/src/07-uart/receive-a-single-byte.md b/src/07-uart/receive-a-single-byte.md index 2e88b9e85..6743ab761 100644 --- a/src/07-uart/receive-a-single-byte.md +++ b/src/07-uart/receive-a-single-byte.md @@ -1,6 +1,6 @@ # Receive a single byte -So far we have sending data from the microcontroller to your computer. It's time to try the opposite: receiving +So far we have sending of data from the microcontroller to your computer working. It's time to try the opposite: receiving data from your computer. Luckily `embedded-hal` again got us covered with this one: ``` rust @@ -41,12 +41,12 @@ fn main() -> ! { ``` The only part that changed, compared to our send byte program, is the loop -at the end of main. Here we use the `read()` function, provided by `embedded-hal`, -in order to wait until a byte is available and read. Then we print that byte +at the end of `main()`. Here we use the `read()` function, provided by `embedded-hal`, +in order to wait until a byte is available and read it. Then we print that byte into our RTT debugging console to see whether stuff is actually arriving. Note that if you flash this program and start typing characters inside `minicom` to send them to your microcontroller you'll only be able to see numbers inside your RTT console since we are not converting the `u8` we received into an actual `char`. -Since the conversion from `u8` to `char` is quite simple I'll leave this task to +Since the conversion from `u8` to `char` is quite simple, I'll leave this task to you if you really do want to see the characters inside the RTT console. diff --git a/src/07-uart/send-a-single-byte.md b/src/07-uart/send-a-single-byte.md index cb9b17cf4..0a308110b 100644 --- a/src/07-uart/send-a-single-byte.md +++ b/src/07-uart/send-a-single-byte.md @@ -10,28 +10,28 @@ In order to do that we will use the following snippet (this one is already in `0 ``` -There is some parts we have already seen before but also lots of new stuff so lets focus on those. -First things first, how do we know that we have to interact with P0_24 and P0_25 here? If you take a look -at the micro:bit schematics page 5 again, you will notice that those two pins are also referred to as TGT_RX -and TGT_TX. Not only that, they are also connected to our debug probe on page 2 so those are most likely the two +There are some parts we have already seen before but also lots of new stuff so lets focus on those. +First things first, how do we know that we have to interact with `P0_24` and `P0_25` here? If you take a look +at the micro:bit schematics again, in page 5 you will notice that those two pins are also referred to as `TGT_RX` +and `TGT_TX`. Not only that, they are also connected to our debug probe (see page 2) so those are most likely the two pins we are looking for. -Next up we can observe a new pin configuration we haven't seen before `p0.p0_25.into_floating_input()`, this just -means that P0_25 is now an input, what exactly floating means is none of our concern right now. But how do we know +Next up, we can observe a new pin configuration we haven't seen before `p0.p0_25.into_floating_input()`. This just +means that `P0_25` is now an input. What exactly floating means is none of our concern right now. But how do we know we have to put our pins in this exact mode? If you look at the line below you can see that we construct an instance -of `Pins` which is later passed on to our UART peripheral constructor (cts and rts are for more advanced UART features -we do not use here, hence they are set to None) and the [type signature](https://docs.rs/nrf51-hal/0.11.0/nrf51_hal/uart/struct.Pins.html) +of `Pins` which is later passed on to our UART peripheral constructor (`cts` and `rts` are for more advanced UART features +we do not use here, hence they are set to `None`) and the [type signature](https://docs.rs/nrf51-hal/0.11.0/nrf51_hal/uart/struct.Pins.html) of `Pins` already tells us what to do. -Next we construct our UART peripheral with `hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200);`. +Next, we construct our UART peripheral with `hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200);`. This function takes ownership of `p.UART0` and our `pins` so nobody else can mess with either the UART peripheral or our pins while -we are using them. After that we pass two configuration options two the constructor, the baudrate (that one should be -familiar) as well as an option called parity. Parity is a way that allows serial communication lines to check whether -the data they received was corrupted on the line or not but we don't want to use that here so we simply exclude it. +we are using them. After that we pass two configuration options two the constructor: the baudrate (that one should be +familiar) as well as an option called "parity". Parity is a way that allows serial communication lines to check whether +the data they received was corrupted during transmission or not but we don't want to use that here so we simply exclude it. -Last but not least we send our `X` via the newly created uart instance. The `block!` macro here is the `nb::block!` +Last but not least, we send our `X` via the newly created uart instance. The `block!` macro here is the `nb::block!` macro. `nb` is a (quoting from its description) "Minimal and reusable non-blocking I/O layer". It allows us to write -code that can conduct hardware operations in the background while we go and do other work (non-blocking). However +code that can conduct hardware operations in the background while we go and do other work (non-blocking). However, in this and many other cases we have no interest in doing some other work so we just call `block!` which will wait until the I/O operation is done and has either succeeded or failed and then continue execution normally. @@ -45,4 +45,4 @@ do a simple $ cargo embed --release ``` -And after the flashing you should see the character `X` show up on your minicom/PuTTY terminal, congrats! +And after the flashing is finished, you should see the character `X` show up on your minicom/PuTTY terminal, congrats! From fe548156526a8cc024dcea88661bc096735b4a7e Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Sat, 2 Jan 2021 16:12:10 -0800 Subject: [PATCH 133/313] Change references to UserHardFault to HardFault in openocd.gdb UserHardFault was renamed to HardFault the following in Feb. 2019: https://github.com/rust-embedded/cortex-m-rt/commit/790e42477ca21d6d0a07270049c3747c7c316f4b Also, 07-registers was already changed, also in Feb. 2019: https://github.com/winksaville/rust-embedded-discovery/commit/1b0979183f348c13c7adb04c2ff10e8956f7e496 I propose this PR to fix the other references within the discovery book. --- src/08-leds-again/openocd.gdb | 2 +- src/09-clocks-and-timers/openocd.gdb | 2 +- src/11-usart/openocd.gdb | 2 +- src/14-i2c/openocd.gdb | 2 +- src/15-led-compass/openocd.gdb | 2 +- src/16-punch-o-meter/openocd.gdb | 2 +- 6 files changed, 6 insertions(+), 6 deletions(-) diff --git a/src/08-leds-again/openocd.gdb b/src/08-leds-again/openocd.gdb index cafe49b1b..df15d79d3 100644 --- a/src/08-leds-again/openocd.gdb +++ b/src/08-leds-again/openocd.gdb @@ -5,6 +5,6 @@ monitor tpiu config internal itm.txt uart off 8000000 monitor itm port 0 on load break DefaultHandler -break UserHardFault +break HardFault break main continue diff --git a/src/09-clocks-and-timers/openocd.gdb b/src/09-clocks-and-timers/openocd.gdb index cafe49b1b..df15d79d3 100644 --- a/src/09-clocks-and-timers/openocd.gdb +++ b/src/09-clocks-and-timers/openocd.gdb @@ -5,6 +5,6 @@ monitor tpiu config internal itm.txt uart off 8000000 monitor itm port 0 on load break DefaultHandler -break UserHardFault +break HardFault break main continue diff --git a/src/11-usart/openocd.gdb b/src/11-usart/openocd.gdb index cafe49b1b..df15d79d3 100644 --- a/src/11-usart/openocd.gdb +++ b/src/11-usart/openocd.gdb @@ -5,6 +5,6 @@ monitor tpiu config internal itm.txt uart off 8000000 monitor itm port 0 on load break DefaultHandler -break UserHardFault +break HardFault break main continue diff --git a/src/14-i2c/openocd.gdb b/src/14-i2c/openocd.gdb index cafe49b1b..df15d79d3 100644 --- a/src/14-i2c/openocd.gdb +++ b/src/14-i2c/openocd.gdb @@ -5,6 +5,6 @@ monitor tpiu config internal itm.txt uart off 8000000 monitor itm port 0 on load break DefaultHandler -break UserHardFault +break HardFault break main continue diff --git a/src/15-led-compass/openocd.gdb b/src/15-led-compass/openocd.gdb index cafe49b1b..df15d79d3 100644 --- a/src/15-led-compass/openocd.gdb +++ b/src/15-led-compass/openocd.gdb @@ -5,6 +5,6 @@ monitor tpiu config internal itm.txt uart off 8000000 monitor itm port 0 on load break DefaultHandler -break UserHardFault +break HardFault break main continue diff --git a/src/16-punch-o-meter/openocd.gdb b/src/16-punch-o-meter/openocd.gdb index cafe49b1b..df15d79d3 100644 --- a/src/16-punch-o-meter/openocd.gdb +++ b/src/16-punch-o-meter/openocd.gdb @@ -5,6 +5,6 @@ monitor tpiu config internal itm.txt uart off 8000000 monitor itm port 0 on load break DefaultHandler -break UserHardFault +break HardFault break main continue From 20ce7af8820df5242683ebd5f1e7dfcca6f368d0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Fri, 29 Jan 2021 11:31:41 +0100 Subject: [PATCH 134/313] remove none sense about new micro:bit version --- src/06-serial-communication/nix-tooling.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/06-serial-communication/nix-tooling.md b/src/06-serial-communication/nix-tooling.md index 4fc4e2bb6..cb0a90a89 100644 --- a/src/06-serial-communication/nix-tooling.md +++ b/src/06-serial-communication/nix-tooling.md @@ -1,8 +1,8 @@ # \*nix tooling -## Newer revisions of the micro:bit board +## Connecting the micro:bit board -With newer revisions, if you connect the micro:bit board to your computer you +If you connect the micro:bit board to your computer you should see a new TTY device appear in `/dev`. ``` console From 7e259bbf29b6ee607664f53d97de95a16be50eb7 Mon Sep 17 00:00:00 2001 From: Bryant Luk Date: Mon, 1 Feb 2021 14:36:17 -0600 Subject: [PATCH 135/313] Fix specifying options in (mis)Optimization - The long argument name options specified to `objdump` require two dashes '--'. --- src/07-registers/optimization.md | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/07-registers/optimization.md b/src/07-registers/optimization.md index 1ae0a545f..1775593c2 100644 --- a/src/07-registers/optimization.md +++ b/src/07-registers/optimization.md @@ -61,8 +61,8 @@ register, but the *release* (optimized) program only has one. We can check that using `objdump`: ``` console -$ # same as cargo objdump -- -d -no-show-raw-insn -print-imm-hex -source target/thumbv7em-none-eabihf/debug/registers -$ cargo objdump --bin registers -- -d -no-show-raw-insn -print-imm-hex -source +$ # same as cargo objdump -- -d --no-show-raw-insn --print-imm-hex --source target/thumbv7em-none-eabihf/debug/registers +$ cargo objdump --bin registers -- -d --no-show-raw-insn --print-imm-hex --source registers: file format ELF32-arm-little Disassembly of section .text: @@ -188,7 +188,7 @@ fn main() -> ! { If we look at the disassembly of this new program compiled in release mode: ``` console -$ cargo objdump --bin registers --release -- -d -no-show-raw-insn -print-imm-hex -source +$ cargo objdump --bin registers --release -- -d --no-show-raw-insn --print-imm-hex --source registers: file format ELF32-arm-little Disassembly of section .text: From 75523be045b3574cf6b7039adc765a51f60b2746 Mon Sep 17 00:00:00 2001 From: Bryant Luk Date: Mon, 1 Feb 2021 14:45:17 -0600 Subject: [PATCH 136/313] Fix specifying objdump options in 9.2 NOP - The long argument name options specified to `objdump` require two dashes '--'. --- src/09-clocks-and-timers/nop.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/09-clocks-and-timers/nop.md b/src/09-clocks-and-timers/nop.md index c331ed86e..acb277063 100644 --- a/src/09-clocks-and-timers/nop.md +++ b/src/09-clocks-and-timers/nop.md @@ -25,7 +25,7 @@ fn delay(_tim6: &tim6::RegisterBlock, ms: u16) { And this time `delay` won't be compiled away by LLVM when you compile your program in release mode: ``` console -$ cargo objdump --bin clocks-and-timers --release -- -d -no-show-raw-insn +$ cargo objdump --bin clocks-and-timers --release -- -d --no-show-raw-insn clocks-and-timers: file format ELF32-arm-little Disassembly of section .text: From 9fbbcb5d4f3037963e5f78646514d22842384792 Mon Sep 17 00:00:00 2001 From: Bryant Luk Date: Mon, 1 Feb 2021 15:09:10 -0600 Subject: [PATCH 137/313] Update UserHardFault to HardFault text in Ch 7.3 - As mentioned in PR #282, references to `UserHardFault` should be changed to `HardFault` since it was changed in the cortex-m-rt crate. - This change updates the text and referenced source snippets in the debugger to refer to `HardFault` in Chapter 7.3. --- src/07-registers/bad-address.md | 28 ++++++++++++++-------------- 1 file changed, 14 insertions(+), 14 deletions(-) diff --git a/src/07-registers/bad-address.md b/src/07-registers/bad-address.md index 18af3efaf..797debd92 100644 --- a/src/07-registers/bad-address.md +++ b/src/07-registers/bad-address.md @@ -36,9 +36,9 @@ Breakpoint 3, main () at src/07-registers/src/main.rs:9 (gdb) continue Continuing. -Breakpoint 2, UserHardFault_ (ef=0x10001fc0) - at $REGISTRY/cortex-m-rt-0.6.3/src/lib.rs:535 -535 loop { +Breakpoint 2, cortex_m_rt::HardFault_ (ef=0x10001fb0) + at $REGISTRY/cortex-m-rt-0.6.13/src/lib.rs:560 +560 loop { ``` We tried to do an invalid operation, reading memory that doesn't exist, so the processor raised an @@ -52,23 +52,23 @@ There are different kind of exceptions. Each kind of exception is raised by diff each one is handled by a different exception handler. The `aux7` crate depends on the `cortex-m-rt` crate which defines a default -*hard fault* handler, named `UserHardFault`, that handles the "invalid memory +*hard fault* handler, named `HardFault`, that handles the "invalid memory address" exception. `openocd.gdb` placed a breakpoint on `HardFault`; that's why the debugger halted your program while it was executing the exception handler. We can get more information about the exception from the debugger. Let's see: ``` (gdb) list -530 -531 #[allow(unused_variables)] -532 #[doc(hidden)] -533 #[no_mangle] -534 pub unsafe extern "C" fn UserHardFault_(ef: &ExceptionFrame) -> ! { -535 loop { -536 // add some side effect to prevent this from turning into a UDF instruction -537 // see rust-lang/rust#28728 for details -538 atomic::compiler_fence(Ordering::SeqCst); -539 } +555 #[allow(unused_variables)] +556 #[doc(hidden)] +557 #[link_section = ".HardFault.default"] +558 #[no_mangle] +559 pub unsafe extern "C" fn HardFault_(ef: &ExceptionFrame) -> ! { +560 loop { +561 // add some side effect to prevent this from turning into a UDF instruction +562 // see rust-lang/rust#28728 for details +563 atomic::compiler_fence(Ordering::SeqCst); +564 } ``` `ef` is a snapshot of the program state right before the exception occurred. Let's inspect it: From 52fa9cd32fa301cc7a18a7aa594c8d0e3870dc34 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Mon, 1 Feb 2021 12:06:44 -0800 Subject: [PATCH 138/313] New 05. LED roulette Modified to run using `stm32f3-discovery` instead of `f3` and updated the `.md` files appropriately. --- src/05-led-roulette/Cargo.toml | 8 +- src/05-led-roulette/auxiliary/Cargo.toml | 18 +- src/05-led-roulette/auxiliary/src/lib.rs | 57 ++- src/05-led-roulette/build-it.md | 38 +- src/05-led-roulette/debug-it.md | 145 +++--- src/05-led-roulette/flash-it.md | 15 +- src/05-led-roulette/my-solution.md | 77 +-- src/05-led-roulette/the-challenge.md | 24 +- .../the-led-and-delay-abstractions.md | 474 +++++++++++++++--- src/assets/gdb-layout-split.gif | Bin 0 -> 381509 bytes 10 files changed, 622 insertions(+), 234 deletions(-) create mode 100644 src/assets/gdb-layout-split.gif diff --git a/src/05-led-roulette/Cargo.toml b/src/05-led-roulette/Cargo.toml index 43ac7579f..848f236c4 100644 --- a/src/05-led-roulette/Cargo.toml +++ b/src/05-led-roulette/Cargo.toml @@ -1,8 +1,12 @@ [package] -authors = ["Jorge Aparicio "] +authors = [ + "Jorge Aparicio ", + "Christopher J. McClellan ", + "Wink Saville "] +authors = [ + "Jorge Aparicio ", + "Christopher J. McClellan ", + "Wink Saville (Delay, Leds) { - let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); - let mut flash = dp.FLASH.constrain(); - let mut rcc = dp.RCC.constrain(); +pub use stm32f3_discovery::{leds::Leds, stm32f3xx_hal, switch_hal}; +pub use switch_hal::{ActiveHigh, OutputSwitch, Switch, ToggleableOutputSwitch}; - let clocks = rcc.cfgr.freeze(&mut flash.acr); - - let delay = Delay::new(cp.SYST, clocks); - - let leds = Leds::new(dp.GPIOE.split(&mut rcc.ahb)); +use stm32f3xx_hal::prelude::*; +pub use stm32f3xx_hal::{ + delay::Delay, + gpio::{gpioe, Output, PushPull}, + hal::blocking::delay::DelayMs, + stm32, +}; - (delay, leds) +pub type LedArray = [Switch>, ActiveHigh>; 8]; + +pub fn init() -> (Delay, LedArray) { + let device_periphs = stm32::Peripherals::take().unwrap(); + let mut reset_and_clock_control = device_periphs.RCC.constrain(); + + let core_periphs = cortex_m::Peripherals::take().unwrap(); + let mut flash = device_periphs.FLASH.constrain(); + let clocks = reset_and_clock_control.cfgr.freeze(&mut flash.acr); + let delay = Delay::new(core_periphs.SYST, clocks); + + // initialize user leds + let mut gpioe = device_periphs.GPIOE.split(&mut reset_and_clock_control.ahb); + let leds = Leds::new( + gpioe.pe8, + gpioe.pe9, + gpioe.pe10, + gpioe.pe11, + gpioe.pe12, + gpioe.pe13, + gpioe.pe14, + gpioe.pe15, + &mut gpioe.moder, + &mut gpioe.otyper, + ); + + (delay, leds.into_array()) } diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index 420cf524b..b2cb659ed 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -31,18 +31,19 @@ With the `rust-std` component in place you can now cross compile the program usi $ # make sure you are in the `src/05-led-roulette` directory $ cargo build --target thumbv7em-none-eabihf + Compiling typenum v1.12.0 Compiling semver-parser v0.7.0 - Compiling aligned v0.1.1 - Compiling libc v0.2.35 - Compiling bare-metal v0.1.1 - Compiling cast v0.2.2 - Compiling cortex-m v0.4.3 - (..) - Compiling stm32f30x v0.6.0 - Compiling stm32f30x-hal v0.1.2 - Compiling aux5 v0.1.0 (file://$PWD/aux) - Compiling led-roulette v0.1.0 (file://$PWD) - Finished dev [unoptimized + debuginfo] target(s) in 35.84 secs + Compiling version_check v0.9.2 + Compiling cortex-m v0.6.4 + Compiling cortex-m-rt v0.6.13 + Compiling stm32f3-discovery v0.5.0 + ... + Compiling panic-itm v0.4.2 + Compiling cortex-m-rt-macros v0.1.8 + Compiling stm32f3xx-hal v0.5.0 + Compiling aux5 v0.2.0 (file://$PWD/auxiliary) + Compiling led-roulette v0.2.0 (file://$PWD/) + Finished dev [unoptimized + debuginfo] target(s) in 19.45s ``` > **NOTE** Be sure to compile this crate *without* optimizations. The provided Cargo.toml file and build command above will ensure optimizations are off. @@ -52,26 +53,27 @@ OK, now we have produced an executable. This executable won't blink any leds, it ``` console $ # equivalent to `readelf -h target/thumbv7em-none-eabihf/debug/led-roulette` $ cargo readobj --target thumbv7em-none-eabihf --bin led-roulette -- -file-headers + Finished dev [unoptimized + debuginfo] target(s) in 0.03s ELF Header: Magic: 7f 45 4c 46 01 01 01 00 00 00 00 00 00 00 00 00 Class: ELF32 Data: 2's complement, little endian Version: 1 (current) OS/ABI: UNIX - System V - ABI Version: 0x0 + ABI Version: 0 Type: EXEC (Executable file) Machine: ARM Version: 0x1 - Entry point address: 0x8000197 + Entry point address: 0x8000195 Start of program headers: 52 (bytes into file) - Start of section headers: 740788 (bytes into file) + Start of section headers: 797192 (bytes into file) Flags: 0x5000400 Size of this header: 52 (bytes) Size of program headers: 32 (bytes) - Number of program headers: 2 + Number of program headers: 4 Size of section headers: 40 (bytes) - Number of section headers: 20 - Section header string table index: 18 -``` + Number of section headers: 22 + Section header string table index: 20 + ``` Next, we'll flash the program into our microcontroller. diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 4131dfca9..3c27b3c57 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -12,49 +12,38 @@ the `main` function. We'll do that using a breakpoint: ``` (gdb) break main -Breakpoint 1 at 0x800018c: file src/05-led-roulette/src/main.rs, line 10. +Breakpoint 1 at 0x80001f0: file src/05-led-roulette/src/main.rs, line 7. +Note: automatically using hardware breakpoints for read-only addresses. (gdb) continue Continuing. -Note: automatically using hardware breakpoints for read-only addresses. -Breakpoint 1, main () at src/05-led-roulette/src/main.rs:10 +Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 +7 #[entry] + +(gdb) step +led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:10 10 let x = 42; ``` Breakpoints can be used to stop the normal flow of a program. The `continue` command will let the -program run freely *until* it reaches a breakpoint. In this case, until it reaches the `main` -function because there's a breakpoint there. +program run freely *until* it reaches a breakpoint. In this case, until it reaches `#[entry]` +which is a trampoline to to the main function and where `break main` set the breakpoint. Note that GDB output says "Breakpoint 1". Remember that our processor can only use six of these breakpoints so it's a good idea to pay attention to these messages. -For a nicer debugging experience, we'll be using GDB's Text User Interface (TUI). To enter into that -mode, on the GDB shell enter the following command: -``` -(gdb) layout src -``` - -> **NOTE** Apologies Windows users. The GDB shipped with the GNU ARM Embedded Toolchain doesn't -> support this TUI mode `:-(`. - -![GDB session](../assets/gdb-layout-src.png "GDB TUI") - -At any point you can leave the TUI mode using the following command: - -``` -(gdb) tui disable -``` - -OK. We are now at the beginning of `main`. We can advance the program statement by statement using -the `step` command. So let's use that twice to reach the `_y = x` statement. Once you've typed `step` -once you can just hit enter to run it -again. +OK. Since we are stopped at `#[entry]`. We can advance the program statement by statement using +the `step` command. So let's use that twice times to reach the `_y = x` statement. Once you've typed `step` +once you can just hit enter to run it again, but below we type step twice. ``` (gdb) step -14 _y = x; +led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:10 +10 let x = 42; +(gdb) step +11 _y = x; ``` If you are not using the TUI mode, on each `step` call GDB will print back the current statement @@ -66,22 +55,19 @@ is initialized but `_y` is not. Let's inspect those stack/local variables using ``` (gdb) print x $1 = 42 - (gdb) print &x -$2 = (i32 *) 0x10001ff4 - +$2 = (*mut i32) 0x20009fe0 (gdb) print _y -$3 = -536810104 - +$3 = 536870912 (gdb) print &_y -$4 = (i32 *) 0x10001ff0 +$4 = (*mut i32) 0x20009fe4 ``` -As expected, `x` contains the value `42`. `_y`, however, contains the value `-536810104` (?). Because +As expected, `x` contains the value `42`. `_y`, however, contains the value `536870912` (?). Because `_y` has not been initialized yet, it contains some garbage value. The command `print &x` prints the address of the variable `x`. The interesting bit here is that GDB -output shows the type of the reference: `i32*`, a pointer to an `i32` value. Another interesting +output shows the type of the reference: `*mut i32`, a mutable pointer to an `i32` value. Another interesting thing is that the addresses of `x` and `_y` are very close to each other: their addresses are just `4` bytes apart. @@ -90,14 +76,14 @@ Instead of printing the local variables one by one, you can also use the `info l ``` (gdb) info locals x = 42 -_y = -536810104 +_y = 536870912 ``` OK. With another `step`, we'll be on top of the `loop {}` statement: ``` (gdb) step -17 loop {} +14 loop {} ``` And `_y` should now be initialized. @@ -108,50 +94,48 @@ $5 = 42 ``` If we use `step` again on top of the `loop {}` statement, we'll get stuck because the program will -never pass that statement. Instead, we'll switch to the disassemble view with the `layout asm` -command and advance one instruction at a time using `stepi`. You can always switch back into Rust -source code view later by issuing the `layout src` command again. - -> **NOTE** If you used the `step` command by mistake and GDB got stuck, you can get unstuck by hitting `Ctrl+C`. - -``` -(gdb) layout asm -``` +never pass that statement. -![GDB session](../assets/gdb-layout-asm.png "GDB disassemble") +> **NOTE** If you used the `step` or any other command by mistake and GDB gets stuck, you can get +it unstuck by hitting `Ctrl+C`. -If you are not using the TUI mode, you can use the `disassemble /m` command to disassemble the -program around the line you are currently at. +You can also use the `disassemble /m` command to disassemble the program around the +line you are currently at. You might also want to `set print asm-demangle on` +so the names are demangled, this only needs to be done once a debug session. Later +this and other commands will be placed in an initialization file which will simplify +starting a debug session. ``` +(gdb) set print asm-demangle on (gdb) disassemble /m -Dump of assembler code for function main: -7 #[entry] - 0x08000188 <+0>: sub sp, #8 - 0x0800018a <+2>: movs r0, #42 ; 0x2a - +Dump of assembler code for function _ZN12led_roulette18__cortex_m_rt_main17h51e7c3daad2af251E: 8 fn main() -> ! { + 0x080001f6 <+0>: sub sp, #8 + 0x080001f8 <+2>: movs r0, #42 ; 0x2a + 9 let _y; 10 let x = 42; - 0x0800018c <+4>: str r0, [sp, #4] + 0x080001fa <+4>: str r0, [sp, #0] 11 _y = x; - 0x0800018e <+6>: ldr r0, [sp, #4] - 0x08000190 <+8>: str r0, [sp, #0] + 0x080001fc <+6>: str r0, [sp, #4] 12 13 // infinite loop; just so we don't leave this stack frame 14 loop {} -=> 0x08000192 <+10>: b.n 0x8000194 - 0x08000194 <+12>: b.n 0x8000194 +=> 0x080001fe <+8>: b.n 0x8000200 + 0x08000200 <+10>: b.n 0x8000200 End of assembler dump. ``` See the fat arrow `=>` on the left side? It shows the instruction the processor will execute next. -If not inside the TUI mode on each `stepi` command GDB will print the statement, the line number -*and* the address of the instruction the processor will execute next. +As mentioned above if you were to execute the `step` command GDB gets stuck because it +is executing a branch instruction to itself and never gets past it. So you need to use +`Ctrl+C`. But you can use the `stepi` GDB command, which steps one instruction, and GDB will print +the address **and** line number of the statement the processor will execute next and +it won't get stuck. ``` (gdb) stepi @@ -169,13 +153,21 @@ Unable to match requested speed 1000 kHz, using 950 kHz Unable to match requested speed 1000 kHz, using 950 kHz adapter speed: 950 kHz target halted due to debug-request, current mode: Thread -xPSR: 0x01000000 pc: 0x08000196 msp: 0x10002000 - +xPSR: 0x01000000 pc: 0x08000194 msp: 0x2000a000 (gdb) continue Continuing. -Breakpoint 1, main () at src/05-led-roulette/src/main.rs:10 -10 let x = 42; +Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 +7 #[entry] +(gdb) disassemble /m +Dump of assembler code for function main: +7 #[entry] + 0x080001ec <+0>: push {r7, lr} + 0x080001ee <+2>: mov r7, sp +=> 0x080001f0 <+4>: bl 0x80001f6 + 0x080001f4 <+8>: udf #254 ; 0xfe + +End of assembler dump. ``` We are now back at the beginning of `main`! @@ -206,6 +198,29 @@ Detaching from program: $PWD/target/thumbv7em-none-eabihf/debug/led-roulette, Re Ending remote debugging. ``` +For a nicer debugging experience, you can use GDB's Text User Interface (TUI). To enter into that +mode enter one of the following commands in the GDB shell: + +``` +(gdb) layout src +(gdb) layout asm +(gdb) layout split +``` + +> **NOTE** Apologies to Windows users, the GDB shipped with the GNU ARM Embedded Toolchain +> may not support this TUI mode `:-(`. + +Here is an example of using layout split + +![GDB session layout split](../assets/gdb-layout-split.gif "GDB TUI") + +At any point you can leave the TUI mode using the following command: + +``` +(gdb) tui disable +``` + + > **NOTE** If the default GDB CLI is not to your liking check out [gdb-dashboard]. It uses Python to > turn the default GDB CLI into a dashboard that shows registers, the source view, the assembly view > and other things. diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index 54c50b98e..b956175c5 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -85,7 +85,7 @@ I mentioned that OpenOCD provides a GDB server so let's connect to that right no ``` console $ -q target/thumbv7em-none-eabihf/debug/led-roulette -Reading symbols from target/thumbv7em-none-eabihf/debug/led-roulette...done. +Reading symbols from target/thumbv7em-none-eabihf/debug/led-roulette... (gdb) ``` @@ -122,11 +122,11 @@ Almost there. To flash the device, we'll use the `load` command inside the GDB s ``` (gdb) load -Loading section .vector_table, size 0x188 lma 0x8000000 -Loading section .text, size 0x38a lma 0x8000188 -Loading section .rodata, size 0x8 lma 0x8000514 -Start address 0x8000188, load size 1306 -Transfer rate: 6 KB/sec, 435 bytes/write. +Loading section .vector_table, size 0x194 lma 0x8000000 +Loading section .text, size 0x21cc lma 0x8000194 +Loading section .rodata, size 0x594 lma 0x8002360 +Start address 0x08000194, load size 10484 +Transfer rate: 16 KB/sec, 3494 bytes/write. ``` And that's it. You'll also see new output in the OpenOCD terminal. @@ -136,19 +136,16 @@ And that's it. You'll also see new output in the OpenOCD terminal. +Info : Unable to match requested speed 1000 kHz, using 950 kHz +Info : Unable to match requested speed 1000 kHz, using 950 kHz +adapter speed: 950 kHz -+target state: halted +target halted due to debug-request, current mode: Thread +xPSR: 0x01000000 pc: 0x08000194 msp: 0x2000a000 +Info : Unable to match requested speed 8000 kHz, using 4000 kHz +Info : Unable to match requested speed 8000 kHz, using 4000 kHz +adapter speed: 4000 kHz -+target state: halted +target halted due to breakpoint, current mode: Thread +xPSR: 0x61000000 pc: 0x2000003a msp: 0x2000a000 +Info : Unable to match requested speed 1000 kHz, using 950 kHz +Info : Unable to match requested speed 1000 kHz, using 950 kHz +adapter speed: 950 kHz -+target state: halted +target halted due to debug-request, current mode: Thread +xPSR: 0x01000000 pc: 0x08000194 msp: 0x2000a000 ``` diff --git a/src/05-led-roulette/my-solution.md b/src/05-led-roulette/my-solution.md index 0bf05d0e9..7176bcfac 100644 --- a/src/05-led-roulette/my-solution.md +++ b/src/05-led-roulette/my-solution.md @@ -9,20 +9,20 @@ Here's mine: #![no_main] #![no_std] -use aux5::{entry, prelude::*, Delay, Leds}; +use aux5::{Delay, DelayMs, LedArray, OutputSwitch, entry}; #[entry] fn main() -> ! { - let (mut delay, mut leds): (Delay, Leds) = aux5::init(); + let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); let ms = 50_u8; loop { for curr in 0..8 { let next = (curr + 1) % 8; - leds[next].on(); + leds[next].on().ok(); delay.delay_ms(ms); - leds[curr].off(); + leds[curr].off().ok(); delay.delay_ms(ms); } } @@ -50,58 +50,63 @@ that using the `size` command on the release binary: ``` console $ # equivalent to size target/thumbv7em-none-eabihf/debug/led-roulette $ cargo size --target thumbv7em-none-eabihf --bin led-roulette -- -A + Finished dev [unoptimized + debuginfo] target(s) in 0.02s led-roulette : section size addr -.vector_table 392 0x8000000 -.text 16404 0x8000188 -.rodata 2924 0x80041a0 +.vector_table 404 0x8000000 +.text 21144 0x8000194 +.rodata 3144 0x800542c .data 0 0x20000000 .bss 4 0x20000000 -.debug_str 602185 0x0 -.debug_abbrev 24134 0x0 -.debug_info 553143 0x0 -.debug_ranges 112744 0x0 -.debug_macinfo 86 0x0 -.debug_pubnames 56467 0x0 -.debug_pubtypes 94866 0x0 +.uninit 0 0x20000004 +.debug_abbrev 19160 0x0 +.debug_info 471239 0x0 +.debug_aranges 18376 0x0 +.debug_ranges 102536 0x0 +.debug_str 508618 0x0 +.debug_pubnames 76975 0x0 +.debug_pubtypes 112797 0x0 .ARM.attributes 58 0x0 -.debug_frame 174812 0x0 -.debug_line 354866 0x0 -.debug_loc 534 0x0 -.comment 75 0x0 -Total 1993694 +.debug_frame 55848 0x0 +.debug_line 282067 0x0 +.debug_loc 845 0x0 +.comment 147 0x0 +Total 1673362 + $ cargo size --target thumbv7em-none-eabihf --bin led-roulette --release -- -A + Finished release [optimized + debuginfo] target(s) in 0.03s led-roulette : section size addr -.vector_table 392 0x8000000 -.text 1826 0x8000188 -.rodata 84 0x80008ac +.vector_table 404 0x8000000 +.text 5380 0x8000194 +.rodata 564 0x8001698 .data 0 0x20000000 .bss 4 0x20000000 -.debug_str 23334 0x0 -.debug_loc 6964 0x0 -.debug_abbrev 1337 0x0 -.debug_info 40582 0x0 -.debug_ranges 2936 0x0 -.debug_macinfo 1 0x0 -.debug_pubnames 5470 0x0 -.debug_pubtypes 10016 0x0 +.uninit 0 0x20000004 +.debug_loc 9994 0x0 +.debug_abbrev 1821 0x0 +.debug_info 74974 0x0 +.debug_aranges 600 0x0 +.debug_ranges 6848 0x0 +.debug_str 52828 0x0 +.debug_pubnames 20821 0x0 +.debug_pubtypes 18891 0x0 .ARM.attributes 58 0x0 -.debug_frame 164 0x0 -.debug_line 9081 0x0 -.comment 18 0x0 -Total 102267 +.debug_frame 1088 0x0 +.debug_line 15307 0x0 +.comment 19 0x0 +Total 209601 ``` > **NOTE** The Cargo project is already configured to build the release binary using LTO. -Know how to read this output? The `text` section contains the program instructions. It's around 2KB +Know how to read this output? The `text` section contains the program instructions. It's around 5.25KB in my case. On the other hand, the `data` and `bss` sections contain variables statically allocated in RAM (`static` variables). A `static` variable is being used in `aux5::init`; that's why it shows 4 bytes of `bss`. -One final thing! We have been running our programs from within GDB but our programs don't depend on +One final thing! We have been running our programs from within GDB but our programs doesn't depend on GDB at all. You can confirm this be closing both GDB and OpenOCD and then resetting the board by pressing the black button on the board. The LED roulette application will run without intervention of GDB. diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index b023849fc..361f1774f 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -58,18 +58,20 @@ the program and jump to the beginning of `main`: ``` console $ cargo run --target thumbv7em-none-eabihf - Running `arm-none-eabi-gdb -q -x openocd.gdb target/thumbv7em-none-eabihf/debug/led-roulette` -Reading symbols from target/thumbv7em-none-eabihf/debug/led-roulette...done. -(..) -Loading section .vector_table, size 0x188 lma 0x8000000 -Loading section .text, size 0x3b20 lma 0x8000188 -Loading section .rodata, size 0xb0c lma 0x8003cc0 -Start address 0x8003b1c, load size 18356 -Transfer rate: 20 KB/sec, 6118 bytes/write. -Breakpoint 1 at 0x800018c: file src/05-led-roulette/src/main.rs, line 9. + Finished dev [unoptimized + debuginfo] target(s) in 0.01s + Running `arm-none-eabi-gdb -q -x openocd.gdb /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` +Reading symbols from /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... +led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:8 +8 #[entry] +Loading section .vector_table, size 0x194 lma 0x8000000 +Loading section .text, size 0x5258 lma 0x8000194 +Loading section .rodata, size 0xbd8 lma 0x80053ec +Start address 0x08000194, load size 24516 +Transfer rate: 21 KB/sec, 6129 bytes/write. +Breakpoint 1 at 0x8000208: file src/05-led-roulette/src/main.rs, line 8. Note: automatically using hardware breakpoints for read-only addresses. -Breakpoint 1, main () at src/05-led-roulette/src/main.rs:9 -9 let (mut delay, mut leds): (Delay, Leds) = aux5::init(); +Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:8 +8 #[entry] (gdb) ``` diff --git a/src/05-led-roulette/the-led-and-delay-abstractions.md b/src/05-led-roulette/the-led-and-delay-abstractions.md index a91a0eb10..1bc9a788c 100644 --- a/src/05-led-roulette/the-led-and-delay-abstractions.md +++ b/src/05-led-roulette/the-led-and-delay-abstractions.md @@ -4,11 +4,11 @@ Now, I'm going to introduce two high level abstractions that we'll use to implem application. The auxiliary crate, `aux5`, exposes an initialization function called `init`. When called this -function returns two values packed in a tuple: a `Delay` value and a `Leds` value. +function returns two values packed in a tuple: a `Delay` value and a `LedArray` value. `Delay` can be used to block your program for a specified amount of milliseconds. -`Leds` is actually an array of eight `Led`s. Each `Led` represents one of the LEDs on the F3 board, +`LedArray` is an array of eight `Led`s. Each `Led` represents one of the LEDs on the F3 board, and exposes two methods: `on` and `off` which can be used to turn the LED on or off, respectively. Let's try out these two abstractions by modifying the starter code to look like this: @@ -18,22 +18,23 @@ Let's try out these two abstractions by modifying the starter code to look like #![no_main] #![no_std] -use aux5::{entry, prelude::*, Delay, Leds}; +use aux5::{entry, Delay, DelayMs, LedArray, OutputSwitch}; #[entry] fn main() -> ! { - let (mut delay, mut leds): (Delay, Leds) = aux5::init(); + let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); let half_period = 500_u16; loop { - leds[0].on(); + leds[0].on().ok(); delay.delay_ms(half_period); - leds[0].off(); + leds[0].off().ok(); delay.delay_ms(half_period); } } + ``` Now build it: @@ -50,29 +51,35 @@ $ cargo build --target thumbv7em-none-eabihf Now, we'll repeat the flashing procedure that we did in the previous section: ``` console -$ # this starts a GDB session of the program; no need to specify the path to the binary -$ arm-none-eabi-gdb -q target/thumbv7em-none-eabihf/debug/led-roulette -Reading symbols from target/thumbv7em-none-eabihf/debug/led-roulette...done. +$ cargo run --target thumbv7em-none-eabihf + Finished dev [unoptimized + debuginfo] target(s) in 0.01s + Running `arm-none-eabi-gdb -q /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` +Reading symbols from /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... (gdb) target remote :3333 Remote debugging using :3333 -(..) +led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 +7 #[entry] (gdb) load -Loading section .vector_table, size 0x188 lma 0x8000000 -Loading section .text, size 0x3fc6 lma 0x8000188 -Loading section .rodata, size 0xa0c lma 0x8004150 -Start address 0x8000188, load size 19290 -Transfer rate: 19 KB/sec, 4822 bytes/write. +Loading section .vector_table, size 0x194 lma 0x8000000 +Loading section .text, size 0x51f0 lma 0x8000194 +Loading section .rodata, size 0xbd0 lma 0x8005384 +Start address 0x08000194, load size 24404 +Transfer rate: 21 KB/sec, 6101 bytes/write. (gdb) break main -Breakpoint 1 at 0x800018c: file src/05-led-roulette/src/main.rs, line 9. +Breakpoint 1 at 0x8000202: file src/05-led-roulette/src/main.rs, line 7. +Note: automatically using hardware breakpoints for read-only addresses. (gdb) continue Continuing. -Note: automatically using hardware breakpoints for read-only addresses. -Breakpoint 1, main () at src/05-led-roulette/src/main.rs:9 -9 let (mut delay, mut leds): (Delay, Leds) = aux5::init(); +Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 +7 #[entry] + +(gdb) step +led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:9 +9 let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); ``` OK. Let's step through the code. This time, we'll use the `next` command instead of `step`. The @@ -86,20 +93,20 @@ difference is that the `next` command will step *over* function calls instead of 13 loop { (gdb) next -14 leds[0].on(); +14 leds[0].on().ok(); (gdb) next 15 delay.delay_ms(half_period); ``` -After executing the `leds[0].on()` statement, you should see a red LED, the one pointing North, +After executing the `leds[0].on().ok()` statement, you should see a red LED, the one pointing North, turn on. Let's continue stepping over the program: ``` (gdb) next -17 leds[0].off(); +17 leds[0].off().ok(); (gdb) next 18 delay.delay_ms(half_period); @@ -123,9 +130,10 @@ First, let's stop the infinite loop by hitting `Ctrl+C`. You'll probably end up `Led::on`, `Led::off` or `delay_ms`: ``` +^C Program received signal SIGINT, Interrupt. -0x080033f6 in core::ptr::read_volatile (src=0xe000e010) at /checkout/src/libcore/ptr.rs:472 -472 /checkout/src/libcore/ptr.rs: No such file or directory. +0x08003434 in core::ptr::read_volatile (src=0xe000e010) + at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1053 ``` In my case, the program stopped its execution inside a `read_volatile` function. GDB output shows @@ -146,21 +154,20 @@ Regardless of where your program may have stopped you can always look at the out ``` (gdb) backtrace -#0 0x080033f6 in core::ptr::read_volatile (src=0xe000e010) - at /checkout/src/libcore/ptr.rs:472 -#1 0x08003248 in >::get (self=0xe000e010) - at $REGISTRY/vcell-0.1.0/src/lib.rs:43 -#2 >::read (self=0xe000e010) - at $REGISTRY/volatile-register-0.2.0/src/lib.rs:75 -#3 cortex_m::peripheral::syst::::has_wrapped (self=0x10001fbc) - at $REGISTRY/cortex-m-0.5.7/src/peripheral/syst.rs:124 -#4 0x08002d9c in >::delay_us (self=0x10001fbc, us=500000) - at $REGISTRY/stm32f30x-hal-0.2.0/src/delay.rs:58 -#5 0x08002cce in >::delay_ms (self=0x10001fbc, ms=500) - at $REGISTRY/stm32f30x-hal-0.2.0/src/delay.rs:32 -#6 0x08002d0e in >::delay_ms (self=0x10001fbc, ms=500) - at $REGISTRY/stm32f30x-hal-0.2.0/src/delay.rs:38 -#7 0x080001ee in main () at src/05-led-roulette/src/main.rs:18 +#0 0x08003434 in core::ptr::read_volatile (src=0xe000e010) + at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1053 +#1 0x08002d66 in vcell::VolatileCell::get (self=0xe000e010) at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/vcell-0.1.3/src/lib.rs:33 +#2 volatile_register::RW::read (self=0xe000e010) at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/volatile-register-0.2.0/src/lib.rs:75 +#3 cortex_m::peripheral::SYST::has_wrapped (self=0x20009fa4) + at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-0.6.4/src/peripheral/syst.rs:136 +#4 0x08003004 in stm32f3xx_hal::delay::{{impl}}::delay_us (self=0x20009fa4, us=500000) + at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:58 +#5 0x08002f3e in stm32f3xx_hal::delay::{{impl}}::delay_ms (self=0x20009fa4, ms=500) + at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:32 +#6 0x08002f80 in stm32f3xx_hal::delay::{{impl}}::delay_ms (self=0x20009fa4, ms=500) + at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:38 +#7 0x0800024c in led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:15 +#8 0x08000206 in led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 ``` `backtrace` will print a trace of function calls from the current function down to main. @@ -171,37 +178,34 @@ right after the program returns from the current function. We'll have to call it ``` (gdb) finish -cortex_m::peripheral::syst::::has_wrapped (self=0x10001fbc) - at $REGISTRY/cortex-m-0.5.7/src/peripheral/syst.rs:124 -124 self.csr.read() & SYST_CSR_COUNTFLAG != 0 +Run till exit from #0 0x08003434 in core::ptr::read_volatile (src=0xe000e010) + at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1053 +cortex_m::peripheral::SYST::has_wrapped (self=0x20009fa4) + at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-0.6.4/src/peripheral/syst.rs:136 +136 self.csr.read() & SYST_CSR_COUNTFLAG != 0 Value returned is $1 = 5 -(gdb) finish -Run till exit from #0 cortex_m::peripheral::syst::::has_wrapped ( - self=0x10001fbc) - at $REGISTRY/cortex-m-0.5.7/src/peripheral/syst.rs:124 -0x08002d9c in >::delay_us ( - self=0x10001fbc, us=500000) - at $REGISTRY/stm32f30x-hal-0.2.0/src/delay.rs:58 -58 while !self.syst.has_wrapped() {} -Value returned is $2 = false - (..) (gdb) finish -Run till exit from #0 0x08002d0e in >::delay_ms (self=0x10001fbc, ms=500) - at $REGISTRY/stm32f30x-hal-0.2.0/src/delay.rs:38 -0x080001ee in main () at src/05-led-roulette/src/main.rs:18 -18 delay.delay_ms(half_period); +Run till exit from #0 0x08002f3e in stm32f3xx_hal::delay::{{impl}}::delay_ms (self=0x20009fa4, ms=500) + at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:32 +0x08002f80 in stm32f3xx_hal::delay::{{impl}}::delay_ms (self=0x20009fa4, ms=500) + at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:38 +38 self.delay_ms(u32(ms)); + +(gdb) finish +Run till exit from #0 0x08002f80 in stm32f3xx_hal::delay::{{impl}}::delay_ms (self=0x20009fa4, ms=500) + at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:38 +0x0800024c in led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:15 +15 delay.delay_ms(half_period); ``` We are back in `main`. We have a local variable in here: `half_period` ``` -(gdb) info locals -half_period = 500 -delay = (..) -leds = (..) +(gdb) print half_period +$3 = 500 ``` Now, we are going to modify this variable using the `set` command: @@ -209,14 +213,354 @@ Now, we are going to modify this variable using the `set` command: ``` (gdb) set half_period = 100 -(gdb) print half_period -$1 = 100 +(gdb) print half_period +$5 = 100 +``` + +If you let program run free again using the `continue` command, you **might** see that the LED will +blink at a much faster rate now, but more likely the blink rate didn't change. **What happened?** + +Let's stop the program with `Ctrl+C` and then set a break point at `main:14`. +``` console +(gdb) continue +Continuing. +^C +Program received signal SIGINT, Interrupt. +core::cell::UnsafeCell::get (self=0x20009fa4) + at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/cell.rs:1711 +1711 pub const fn get(&self) -> *mut T { +``` + +Then set a break point at `main.rs:14` and `continue` + +``` console +(gdb) break main.rs:14 +Breakpoint 2 at 0x8000236: file src/05-led-roulette/src/main.rs, line 14. +(gdb) continue +Continuing. + +Breakpoint 2, led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:14 +14 leds[0].on().ok(); +``` + +Now open your terminal window so it's about 80 lines long an 170 characters wide if possible. +> **NOTE** If you can't open the terminal that large, no problem you'll just see +> `--Type for more, q to quit, c to continue without paging--` so just type return +> until you see the `(gdb)` prompt. Then scroll your terminal window to +> see the results. + +``` console +(gdb) disassemble /m +Dump of assembler code for function _ZN12led_roulette18__cortex_m_rt_main17h51e7c3daad2af251E: +8 fn main() -> ! { + 0x08000208 <+0>: push {r7, lr} + 0x0800020a <+2>: mov r7, sp + 0x0800020c <+4>: sub sp, #64 ; 0x40 + 0x0800020e <+6>: add r0, sp, #32 + +9 let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); + 0x08000210 <+8>: bl 0x8000302 + 0x08000214 <+12>: b.n 0x8000216 + 0x08000216 <+14>: add r0, sp, #32 + 0x08000218 <+16>: add r1, sp, #4 + 0x0800021a <+18>: ldmia.w r0, {r2, r3, r4, r12, lr} + 0x0800021e <+22>: stmia.w r1, {r2, r3, r4, r12, lr} + 0x08000222 <+26>: ldr r0, [sp, #52] ; 0x34 + 0x08000224 <+28>: ldr r1, [sp, #56] ; 0x38 + 0x08000226 <+30>: str r1, [sp, #28] + 0x08000228 <+32>: str r0, [sp, #24] + 0x0800022a <+34>: mov.w r0, #500 ; 0x1f4 + +10 +11 let half_period = 500_u16; + 0x0800022e <+38>: strh.w r0, [r7, #-2] + +12 +13 loop { + 0x08000232 <+42>: b.n 0x8000234 + 0x08000234 <+44>: add r0, sp, #24 + 0x08000268 <+96>: b.n 0x8000234 + +14 leds[0].on().ok(); +=> 0x08000236 <+46>: bl 0x80001ec >>> + 0x0800023a <+50>: b.n 0x800023c + 0x0800023c <+52>: bl 0x8000594 ::ok<(),core::convert::Infallible>> + 0x08000240 <+56>: b.n 0x8000242 + 0x08000242 <+58>: add r0, sp, #4 + 0x08000244 <+60>: mov.w r1, #500 ; 0x1f4 + +15 delay.delay_ms(half_period); + 0x08000248 <+64>: bl 0x8002f5c + 0x0800024c <+68>: b.n 0x800024e + 0x0800024e <+70>: add r0, sp, #24 + +16 +17 leds[0].off().ok(); + 0x08000250 <+72>: bl 0x800081a >>> + 0x08000254 <+76>: b.n 0x8000256 + 0x08000256 <+78>: bl 0x8000594 ::ok<(),core::convert::Infallible>> + 0x0800025a <+82>: b.n 0x800025c + 0x0800025c <+84>: add r0, sp, #4 + 0x0800025e <+86>: mov.w r1, #500 ; 0x1f4 + +18 delay.delay_ms(half_period); + 0x08000262 <+90>: bl 0x8002f5c + 0x08000266 <+94>: b.n 0x8000268 + +End of assembler dump. +``` + +In the above dump the reason the delay didn't change was because the compiler +recognized that half_period didn't change and instead in the two places where +`delay.delay_ms(half_period);` is called we see `mov.w r1, #500`. So changing the +value of `half_period` does nothing! + +``` console + 0x08000244 <+60>: mov.w r1, #500 ; 0x1f4 + +15 delay.delay_ms(half_period); + 0x08000248 <+64>: bl 0x8002f5c + +(..) + + 0x0800025e <+86>: mov.w r1, #500 ; 0x1f4 + +18 delay.delay_ms(half_period); + 0x08000262 <+90>: bl 0x8002f5c +``` + +One solution to the problem is to wrap `half_period` in a `Volatile` as shown below. + +``` console +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use volatile::Volatile; +use aux5::{Delay, DelayMs, LedArray, OutputSwitch, entry}; + +#[entry] +fn main() -> ! { + let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); + + let mut half_period = 500_u16; + let v_half_period= Volatile::new(&mut half_period); + + loop { + leds[0].on().ok(); + delay.delay_ms(v_half_period.read()); + + leds[0].off().ok(); + delay.delay_ms(v_half_period.read()); + } +} + +``` + +Edit `Cargo.toml` adding `volatile = "0.4.3"` in the `[dependencies]` section. + +``` console +[dependencies] +aux5 = { path = "auxiliary" } +volatile = "0.4.3" +``` + +With the above code using Volatile you can now change `half_period` and +you'll be able to experiment with different values. Here is the list of +commands followed by an explanation; `# xxxx` to demonstrate. + +``` +$ cargo run --target thumbv7em-none-eabihf # Compile and load the program into gdb +(gdb) target remote :3333 # Connect to STM32F3DISCOVERY board from PC +(gdb) load # Flash program +(gdb) break main.rs:16 # Set breakpoint 1 at top of loop +(gdb) continue # Continue, will stop at main.rs:16 +(gdb) disable 1 # Disable breakpoint 1 +(gdb) set print asm-demangle on # Enable asm-demangle +(gdb) disassemble /m # Disassemble main function +(gdb) continue # Led blinking on for 1/2 sec then off 1/2 sec +^C # Stop with Ctrl+C +(gdb) enable 1 # Enable breakpiont 1 +(gdb) continue # Continue, will stop at main.rs:16 +(gdb) print half_period # Print half_period result is 500 +(gdb) set half_period = 2000 # Set half_period to 2000ms +(gdb) print half_period # Print half_period and result is 2000 +(gdb) disable 1 # Disable breakpoint 1 +(gdb) continue # Led blinking on for 2 secs then off 2 sec +^C # Stop with Ctrl+C +(gdb) quit # Quit gdb ``` -If you let program run free again using the `continue` command, you should see that the LED will -blink at a much faster rate now! +The critical changes are at lines 13, 17 and 20 in the source code which +you can see in the disassembly. At 13 we creating `v_half_period` and then +`read()` it's value in lines 17 and 20. This means that when we `set half_period = 2000` +the led will now be on for 2 seconds then off for 2 seconds. + +``` console +$ cargo run --target thumbv7em-none-eabihf + Compiling led-roulette v0.2.0 (/home/wink/prgs/rust/tutorial/embedded-discovery/src/05-led-roulette) + Finished dev [unoptimized + debuginfo] target(s) in 0.18s + Running `arm-none-eabi-gdb -q /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` +Reading symbols from /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... + +(gdb) target remote :3333 +Remote debugging using :3333 +led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:16 +16 leds[0].on().ok(); + +(gdb) load +Loading section .vector_table, size 0x194 lma 0x8000000 +Loading section .text, size 0x5258 lma 0x8000194 +Loading section .rodata, size 0xbd8 lma 0x80053ec +Start address 0x08000194, load size 24516 +Transfer rate: 21 KB/sec, 6129 bytes/write. + +(gdb) break main.rs:16 +Breakpoint 1 at 0x8000246: file src/05-led-roulette/src/main.rs, line 16. +Note: automatically using hardware breakpoints for read-only addresses. + +(gdb) continue +Continuing. + +Breakpoint 1, led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:16 +16 leds[0].on().ok(); + +(gdb) disable 1 + +(gdb) set print asm-demangle on + +(gdb) disassemble /m +Dump of assembler code for function _ZN12led_roulette18__cortex_m_rt_main17he1f2bc7990b13731E: +9 fn main() -> ! { + 0x0800020e <+0>: push {r7, lr} + 0x08000210 <+2>: mov r7, sp + 0x08000212 <+4>: sub sp, #72 ; 0x48 + 0x08000214 <+6>: add r0, sp, #36 ; 0x24 + +10 let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); + 0x08000216 <+8>: bl 0x800036a + 0x0800021a <+12>: b.n 0x800021c + 0x0800021c <+14>: add r0, sp, #36 ; 0x24 + 0x0800021e <+16>: add r1, sp, #8 + 0x08000220 <+18>: ldmia.w r0, {r2, r3, r4, r12, lr} + 0x08000224 <+22>: stmia.w r1, {r2, r3, r4, r12, lr} + 0x08000228 <+26>: ldr r0, [sp, #56] ; 0x38 + 0x0800022a <+28>: ldr r1, [sp, #60] ; 0x3c + 0x0800022c <+30>: str r1, [sp, #32] + 0x0800022e <+32>: str r0, [sp, #28] + 0x08000230 <+34>: mov.w r0, #500 ; 0x1f4 + +11 +12 let mut half_period = 500_u16; + 0x08000234 <+38>: strh.w r0, [r7, #-6] + 0x08000238 <+42>: subs r0, r7, #6 + +13 let v_half_period= Volatile::new(&mut half_period); + 0x0800023a <+44>: bl 0x800033e ::new<&mut u16>> + 0x0800023e <+48>: str r0, [sp, #68] ; 0x44 + 0x08000240 <+50>: b.n 0x8000242 + +14 +15 loop { + 0x08000242 <+52>: b.n 0x8000244 + 0x08000244 <+54>: add r0, sp, #28 + 0x08000288 <+122>: b.n 0x8000244 + +16 leds[0].on().ok(); +=> 0x08000246 <+56>: bl 0x800032c >>> + 0x0800024a <+60>: b.n 0x800024c + 0x0800024c <+62>: bl 0x80005fc ::ok<(),core::convert::Infallible>> + 0x08000250 <+66>: b.n 0x8000252 + 0x08000252 <+68>: add r0, sp, #68 ; 0x44 + +17 delay.delay_ms(v_half_period.read()); + 0x08000254 <+70>: bl 0x800034a ::read<&mut u16,u16,volatile::access::ReadWrite>> + 0x08000258 <+74>: str r0, [sp, #4] + 0x0800025a <+76>: b.n 0x800025c + 0x0800025c <+78>: add r0, sp, #8 + 0x0800025e <+80>: ldr r1, [sp, #4] + 0x08000260 <+82>: bl 0x8002fc4 + 0x08000264 <+86>: b.n 0x8000266 + 0x08000266 <+88>: add r0, sp, #28 + +18 +19 leds[0].off().ok(); + 0x08000268 <+90>: bl 0x8000882 >>> + 0x0800026c <+94>: b.n 0x800026e + 0x0800026e <+96>: bl 0x80005fc ::ok<(),core::convert::Infallible>> + 0x08000272 <+100>: b.n 0x8000274 + 0x08000274 <+102>: add r0, sp, #68 ; 0x44 + +20 delay.delay_ms(v_half_period.read()); + 0x08000276 <+104>: bl 0x800034a ::read<&mut u16,u16,volatile::access::ReadWrite>> + 0x0800027a <+108>: str r0, [sp, #0] + 0x0800027c <+110>: b.n 0x800027e + 0x0800027e <+112>: add r0, sp, #8 + 0x08000280 <+114>: ldr r1, [sp, #0] + 0x08000282 <+116>: bl 0x8002fc4 + 0x08000286 <+120>: b.n 0x8000288 + +End of assembler dump. + +(gdb) continue +Continuing. +^C +Program received signal SIGINT, Interrupt. +0x080037b2 in core::cell::UnsafeCell::get (self=0x20009fa0) at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/cell.rs:1716 +1716 } + +(gdb) enable 1 + +(gdb) continue +Continuing. + +Breakpoint 1, led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:16 +16 leds[0].on().ok(); + +(gdb) print half_period +$2 = 500 + +(gdb) disable 1 + +(gdb) continue +Continuing. +^C +Program received signal SIGINT, Interrupt. +0x08003498 in core::ptr::read_volatile (src=0xe000e010) at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1052 +1052 unsafe { intrinsics::volatile_load(src) } + +(gdb) enable 1 + +(gdb) continue +Continuing. + +Breakpoint 1, led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:16 +16 leds[0].on().ok(); + +(gdb) print half_period +$3 = 500 + +(gdb) set half_period = 2000 + +(gdb) print half_period +$4 = 2000 + +(gdb) disable 1 + +(gdb) continue +Continuing. +^C +Program received signal SIGINT, Interrupt. +0x0800348e in core::ptr::read_volatile (src=0xe000e010) at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1046 +1046 pub unsafe fn read_volatile(src: *const T) -> T { + +(gdb) q +Detaching from program: /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette, Remote target +Ending remote debugging. +[Inferior 1 (Remote target) detached] +``` -Question! What happens if you keep lowering the value of `half_period`? At what value of +Question! What happens if you start lowering the value of `half_period`? At what value of `half_period` you can no longer see the LED blink? Now, it's your turn to write a program. diff --git a/src/assets/gdb-layout-split.gif b/src/assets/gdb-layout-split.gif new file mode 100644 index 0000000000000000000000000000000000000000..bfb2be8f9254974871aa2bc6a7d7ddc6e0113bc1 GIT binary patch literal 381509 zcmeF(Wl$VZzd!gMAG~pg!3h!w?#uv#%i!+rF2ORmgpk1#NN@`=2=1;SXmCg%A%PG= zAnt6Q``qU~cel2-_W$DUoBj8TsjljpI;XqmS9AJ&Kc{u{v=tOxJ>VGlSJ)-s?*>5t zFiPMYfc)KsK_GA%D1s4&!oVn0U@@_<>_RvK1t%AUlS{&BkZ=|R+<_nNg@b40AQIgW zS2PjHL_}c;l3E=}$AzR5MKb#!a{`bzN>Q|`XgUH~QHqSwft<~VoHvGC6iERCC}^1| zI29?lLn+xVQW|?uT}-5=K~Zx@(+Jzq2#0|T>>x85R6){`A!$VnX%+S8^$-k5D1%G_ zgMKWdunMD!2O}0n&?Dcr0K+=OHd83My4 zz++9~u?^yJf%8gf3ZP*EsR%(SVZi{BU?@UJ$3-ZtK$sdKtOdCs#CkzHUEolY&{nqz!umk5 z$#5J8oknQ32B} zvTzH^@U(&mTg`~Xw1`X~;#N%*hAK*pB|0iDMwB)tz#+~_D_)x;zN{+2h$rDnM54Ri z6*1~7icD83>WHPK$(Q}oROr*MM4;EW^=8iD7U(v zl$%S+%g>8d%gag050cIg(aJ9^EsPK?EFcxt))&WUlw<-ouGron8s12fx{(oh^F~o= z*{!m4=d%2STXhY$bMd!}ua=VnD)MYA3yms^Osjl>s_Lrh(!iSXE48t ziW?dm8yhN{?ld$tH9gD)nn^%!5x{d!VT`vh*TfOYy7vEtC&!+sbCRumu6bDqIqkQP68jlH-I*7U z{B??Fae|YU$pdB6332aDUoRxG;n3WdcM>ei@#I9w1q3a+5J0`PWFV5$+hB&V><+sx zptK5dAd;V{a1=qm>w~kTi1&h^Ew0JnkT{W40EOh-SjZ9`dA(mi&{kXuG#_4hYR)gl zOQ3IKtVD1r;*wB+(V{kyDzT8beFLbx^O_+bXl#^K?V61DZI;_bT3Nt0Sy6dB8~hNT zL|wauVrJSuh>yG(O-N*5WAMd&XY5(74qLjpY-`bn+O@UyXwhUS;VkvhEO7E@N+qXK zBHg9$KF8p!70hI->%dn89Ds}vUZ7x9&~ndG)H)>w+KK-iIj|XmkO!9zur@7^>JI=ChI)A=q!O z_5wW+Y;ren=qd=$*{?lyqC8*-G4|1591?pKKtTk&b9g{U_g}l(Y=UBk6O?N4i|K>Z zeQT90fQ-u+vv+NkmNiG57?>h_S49)*^J;&BRdL#|^$8+?WS#PxtgscUFMXeRA)U$y z`_%A#Brz$J-zRKd6gJexVs~3IoZaG^C;{D|v~z}q*2Zfy{h9N1VK7L&1WQnVdd@NG z6}oKLiIu9^v7Xgtd9XGSMw8q1qMgxre9ztAGvbLnh1MvYcj{j1szclp&O3l)jN@+j z-6!EQqBXn7H`~6QXIrWBIhQT({=BDnQ2tZ4cMjF%s$;3zRb_uB0B;uGx>tSDwV%OP z7`JYJWwAZkg2L$2W`ojuiB&4W{)lhzn0eA8xFbOf=!Aw;BbW%ytUo9%J`X=Yi=Scv z$b#?!4UgXx>H!{fWYxN25juqM3kRqxMT7Z&RO(sJIa5i!S1VYjSU4Pm4SD$-P zyCflY52X>9Dl&EHq^49tBE0*#?*M+3ZBtSZU|(kVg9YfmRk;9YW{dN-Qxsj{5b|}k z8RQso3yPrxMp}|>JWf%(gmF4V9Kavoo&{asB}WXovGk+0#po&l@)RsD@uf`UE-Vw! zO(NSyr95b^Lzh)Oo_gla43#`o^~FMs=R%O2x5g>1h?XkJ?^Ol2D*z!4%pokx}rs5K7TP8An$ zjU|ykl%WJP7FvdK%rN6W_ov81iY52!3QQN=*}mzjm|v6zZs&N~qlrc~14}V*-Flh_ zH=hKLP>f7`gwC(I zEJXa{V{mJ#)%>%H4?k_1yuvL{3H~A0vqm`7yPdbPxB9;LIfq2yGaVo4Ll@&nG`^ZKOfhH`{rnWn*@<9k}>Y}C~ zKdNOmtHiW_%f}3Vp4N}7ad>_5wti=i8&@t$a`GxE#Pxa%XLmL{!OTeB9`lK)(jd|` zrv*T9fIO`v%WFh>FA#!tG^fvf;qm~tMK0H6iK)&3H+#b zLG}(0|Gd@glq}Q^)n$=?IP7i(kC^!2&QiYOuyw0nCJ967FTlYM7gI(rni-_p|1wKlt_;VVM4v zJ8TAbZ$1CLbOSy0>mtx+|4d5a+xaZ{FqYu^ndr77^7p%km1!j1{}v}T2d6Bi1ZrXU)vrKTl<0M(&CG7xs} zftZWk$>Wb1ZBp2jr?Hrr)o|ynrh}m$Kh}nFGnsDRkce)vZo$c<1d*SvZ;3(aXi{ES ztfD2O$o3&2n~z~niMC7uv_KJInU$L&C{(%(6B|=)^K^+QG2{{+sxad7Nw0@YP6QZN zPUhahAiKIAh}#nAe=5C6my5qR@bE2p$`4i28|prBuOL$6OFoGlS%}yJaPJ&)7#M-0kP0 zsXsx{Sd^|wETBuxny=osTe0k&Kz$S{PrM~=6LNbXbWUdX1N%iRJ-2TYHx?W}a>3r(Sz_ z@Iv=l*h_legPzx{5c&lm3tWJFyIc<8+6AB@l1Zq;hlKdt_r~sBHIKJ@h_(V~C?fwr z<65jLS>_RTDH}%>wve(31rAhc2ABI1F_YYCXWm_JoKQc%FI-pa(j%hScX?+@Mb0KQauy9jTZtB0!-m>FBc&N*pJ8*lqK?HnaO}z2GVRq zb!viBNS)vVYg$+#LvpsEsYdIecBV-bx@msq>U70U5DZYT2n(!aS65&X(FF}i$nY1+ zvI;m?&!p+_bi=MRJJXbKW;2u-qY!~{co`XYmWoIcmi9?;9%jc-Ov|54#_0<+zo%WUGJf^3@O7%A;T}POETaf|D4HZ#lw`lqdygZL zVI7ng^dc^U${Phi8->`TKi;gEtX6F6xZ>c^os@BJ>DVtPDn^IuKiJM++n@ zBV$uMgzP{W@;vz?sOV-jlX;DNHjwZU;Z#$SyB9%|g<+Njd9l*200%dzCS+8}wGsv= zrASQ%N9-Y3nyPYYq^z6Rxrp$}A#8hrd3_`g>3 z*;Svsg!a|IhH$msF13P!5gg3*p-t@h=eD5ro1}1j9Xow>f)Xi&79ega8ixqyAE_Zf zASy z_N)2xs41)oR1U$#MTsS?#`0KL;@bgA7l0FtL*f{Pp;nfrFeVZ&rV@ufgD^J(s=WU4 z$$agPeAS@*iYpN3#WE2P*Te%Z`Qlixw;@D;oWyH!cJEjOWUK{ZnVKIrLQi4$-4Xsr z-OZG5`42ud=O$hCM?$V*LM}CnTO`>$E>2+Ia{P^^^>1YQv(d7h9aAL zK!T63NEyiU7L<4l{ydkef+t;7xSAry&Vm@dQpVGQIBPvFqTj5md5de~daJfzCaF`_ z$`PmSh+~wuC#}`hz^mHGFGafoTGAA)W(G_b>Y1F&+O6Z-8LHZiDa6S`TMrqsDXQ9O zc{<5)IS8IM+=n*S$6y>qJBw%=8qyVS&pwmVmUQqDx zSG#0FyD50gFWKpIviEU@rdBrfj@+edj_aH0?VH`|lZ0q-zwKMP3N9-5ug3MSm-TP< z_HS>2zfSvi&wuvsC=cx054?^WI4m1L(+=$29XR6=LRE&~VuQi}<%(#+u09<_A4t_l_Mo|y95PJz%bFn`q#mNWD+w~+;;+Kc zY*do}1cnd}BZBcGTU*c}lKs&J@R2agvNysgHVSVc>v5r#+Xhh>8kO16pIF=N{81+a zdFs%xd^~8@Of_@~#uqRs{A-NBG2YG&6oybAkSNXCnpq@i1Y5>OM#g11h8Y)!X=qU+ zyW$=J9j?bLa9|h)8Ty484^x?p5KEBQrIrT)Cjd>c2X7CdKE{wM=0Pi)DL(*I1<}B# z^AMn}lKL2HYY{+o)J$^_GJ(XBZM#sn5TNu;Q#r>|g+(;>&C+j4^ko2=`xX_^r4OA1 ztc6e)28{RMrqXk!syn8x{hB(_B+ECP_T~UWLa5e=<0D^ZTH>j>-_A4#j8AP(+yTjt zDrYQtW@-%q?q=$G7n-mI$~Q!>G7LrM5Y-|OMjb*EzyPdB&KMt2o3PA|is9F8J=xlR zLKZr6r*DQnb-HwR8gQA%f4cnmYhv+3DwXLRibd74yJ#4Wp;p;$R8qT6+Jw&;3<2 z&*rr!*%F+~((l%YoT#8{gnGyz>$3eDM+PYZ8Jrd5BsYc z_+?-MHAHh4&-^cO_S#{=I_;i(@-$y&Cb+-EZEht{2M&lgbvEFm=H!{oE4l!sJQ zmafkZe^VayuSUmhPmBA_zS~|f0+!yXJtv@HXH;5P&!F3&wIisZ@+@3+CedPsn?M66 z!gc^)erLk1Z+rDO^eqOwzOXHAM$^^t{P#N-j+0$5h!Q^Z?2RL%oC71$cxRPUdj0l` z7e>z@yL-^R=K_h(gw$UCa(sE-&kQAQC#&xLT6h74Y-x*uNHwq)+=3=;_5I!_aJ`bQ zf(+ayBR8c=16imDu_i@lmEKb|qBQ%r)LR)UQS6z(l#TaHH*WCw^)=qrHyz9jmr zou*(7P&YJtEdKfkcuMtWM?>Y9)%6{R!#j?|cWw{fZJ)e52|vl*JGsIBUZ>nSI`PCm z9Y%if4IkI>_p}`VgP6d)fb*dHT`qm&(u7|>LbaTY#Qo=(<3C zEgA@yyzB7(v$#EI|NOHv&09s<&*sjbb>+?$`pLE=VNfF4XwZvmm%6O^$DaGs@Ei{8kJw;SAI=9e}NAFnxpw`650Kc|ljuuup00yWe6#s|>FOSn_zcSXbZc(5d-xxo z-SCr z3BUg!IBZT`MuJTN3apE$?RXAzg{tL2HBo1TjrqadZ)cuuc|z8&4J}$X7o*vkg^9N9 z8w-GOLx-rXoIm$sznttno^+1+x&G_-?{kzS7J}k$ z#+F=cHO9hUN;l)$zr38pkqOl{YfwmxNorEb?>B4GsKYO5(dzKGXwjQ!UBWY3d$i!0 zol`GqvwGLIXtM{8UDDx<-fz+2z5%Ye^f)u6eW?$v;n(HdZ{SZ8cVYKPGLW`gOn6MEx8t zL%^W~+6bC7c$srkJhNw;sSbCVjG3NLU7MMK#JG&Pk^HMRa}#xhtc9tLK)Z#x30~IH z(%Q4#(%Lyq*2>nquHDK$cwE-nG5S@zweuB(oQ-RSK!=U{b-bLdXNhNrt#?J5oSkn& zU5B0j!*MzLz|L14_Q8V)d56$Rfli0;dAz)1%l*1KQqdz%N3h$Y zz(iZov&h=3+q1+uUD4~NcYU{4S@49S_wDG{-QE>fkV-yP8G=1NHP^M3eCtZQdVCuy z(v|$~G}QN;``vvwq2zzR^L3B^!$G8SK+B|HZ$Rt3wsK(mnpbaN=ks*spzeeE-k{$1 z6UxE;UtjkI51u1cLWWU7eIcVXIx3;#%-(&WliV39VbeklePNF!CRM_p$RG5D&#R+U zBNlap`XiQ2bW|f(tiAgq*PJs{qc*%7`lGgjCsm_&q7V9`pIuA_GO zRf+e&<%5b0wb(Ze4Fj=nA5N;pz3V&}hkSe6*N^aSu!PK#kCXwn&>8YT}wz`lmKjumu*`>+#Wg$Zy z?gpkQXz?;FX6k6U(G_)R3Cb;InWVZg)G6RatQNDa$K06OyYLsI7Oy(P-C4#Jw55s{ zbG)_O*;cx=YEiW6*g#jidc5*TXifIJxui! z^HemjTU{#d9P_*w*=^wTWvOHk?j@O~Xz0eYd}C6}ORA{b&`WOl=6tG`Or4^UpVe~d z+WDB5Tzj`sP}FkSbGWy{xT0}b@$#($EpMfjZsVwq<=gL5y;WW*n#8Uymwz4eR{Pv- zlK5r0;vDXSMJN%HnVwdn@PFs=dkE=rPpfFse6$3VOtY+?W?E^~ z!TXsN^;p!)t=ut5^RuW^vTU+iX|f*ovuf|Ld=RyA*BRk&Gp=OysCea`H{RcFrN^qH zW95Eun!m#XW#?e7<+9dzc#|g%$NWyp~(*o=*#ks8e=4vRdm|8xM+V?{z$h zTI+p|2#y(7cKT4f)^~spj$P?>`qHu1|2{1^{*|)xkJYt-uj9dqpL?DEd|4YjM}!a& zDlSmw^&ymYNHSxe3sQc4m?k|WRY1j++}wW9nQVmq-uI@l~rV(x<09n3?rqfc6=3x#)@T^3Q$VYF{_zuOK5T5vo2K%$o}t+7Z=^{XW|An~T@eBWeXyef6z3mr5og z>Sg1QJwHdr3?bA)UM4ed@1k^K zMi>V|(&e}JXfk5P1k^&at+!t=PsU8h4us}LZ@=V5U7o_Lh2@uQ?+fW%p0OMVEAHHW zC6RG?)>AFKbZz^!{N&}i$bs*HzpaePwUho zo2++^tS4hv+Xo^aMDM(HM#ZgetE|F*@u$J_}8+7m*=9N zeXKww9OBhumr9;}dO(5xw|j7hbHgG3&_HN7fP%ySM-G@mKmZNU{cVHozp`aG8Hk-~ z-W^H*k8GLN4f{XR^8fnT{kyNMz<08L=HT$JoEDk?%E1pMG5_Co_1``EAG&(~Zs7mT zDMJ7d;DYP^y_x3#>i+=>T!as`@abIpzlQ>Pt@TgJ6g*=;-Dqu?|35(i#~F*4bGb$} z`nUDln^x}HwEFGLSRO53cO1>;H0Zdu(dx6@Bx^t%T5b<{Idj{f^TAGUOn>5&$DI$K z4-#P%TpV9SfssslQK#9imY0*zzoTQr?nkd4-;nn2@QrCbn6K2l%4O6o{bsq*{I1iJ z*rqqD&F+uOje0xA*E+)AK6}#J>9swOLcwj^7h|_OQ6TC(*Vk>bKUZT=VcZ|9b-3E< z|9mb%;mgVH=+$#>?jOqEKOQdMb)FyS|M~Uw<>LyI!GYgDzkO^F!@Sgnr2qQf@SF%| z^1n^wgj+U~r$YW! zvcMNJX-xOmL1@)f!8MUUP7Cdf`wChw^flS|i5E;3VhFJ1!c)>Ul=g)>Z8AHzIsvU; z)JN@4zYJUaG(`0Z5oqXW0f{!K@*!PEGs#G1Ih`I^H6PMd0+KUhx2Ka9VX|M zQ|Yx_E07lU*t-;QQL#ZkOil^lRnb=>Fzv#3!74gLC0h2`*oRlR@??>tG}Pax(i-y) z5hsYb#rca2wzfIUi$;n)KQNWr*ruuu{yWRGtS3KpSOvv+mGp>~?CJtpBz8XlCk1^A zwjyXTFEw+dB98T+v+a*61llSO@m1VlzuAN4;V~vd#y}0T5Zx3H`_5U1bhz*J97#lz zEzwq|GT3!z78i@TY4YYcgt`us8GIXJAYnh~F!P9XN%B+EGz;)OV&YjCEB;nB`4G*D z&C1Om3U>uU9KwyHtSEPfRE?=YtgOATmKg5)CzT=cw+>xo7)39b?m%IPyRn!6%acj* z1Fca61nm$$r*;srvwGq6tpXDf=EUaQ3ty%d4VAPgfsS<#3I?RS2FJ^~`G z=f@v3R9M`Do^Q1M3VS9)(Uv9F8RFiK#ZhQ|dR%BzL%lZA#rb7jhU@mHC7AA>k(y)0 za`B9@rm9ybnP-3Go4`6?M(P8$KKadX8c1deg^=tu_Av?$C=Xuoyo)sY z`3?h@-!Aqd?$C5tj!k)8e*=yU3(EBx|a8p*bqg~+clJFc10}q)| z<_ILfEfb&WFz;nE3SmidKq*XclAGbjcO)~EDXZz?QZu>!G-K|WPtlUSNYYF;{@VC7%MSmcV+6fwb}$!lO_3>u6|pqWQR6%`{| z%k=_DOK`uMiH=D30Xq;4wb0WNAeu%-&6hhJR2c>NgkOfI zkDtiX9BSbCD)M1M-J8Wac4qubpZKJZwQg&(XxX*OFoya3z3sX~9SO5vv-y z*{<^4SwsIjemlg{^MTI3pJG|+GRcxLl6-B=U4+Xtq9DaX_>nTx^b9q^3(`FCne z?_u42{F*$8rm+a))Rm@EtwVxVYCNE*dYka^Dj3=JZkS&!Gi#&d#Z|AE(J8HaIpNak z1`D`y_gJwJ%vlB0EDBKKVkJEckvdl(Ji_m;G}ca|ueXQm(e{(BLMwBXW%S7>h$j^9 zVrgsFy|hUtvPCx^xOfXJ%1re}Bg8{9f)&8~gG0`Vj{q0e^%6C0A*fBPhX zo0#&62dWdF1feV#DhDQAS!0#8hWeXJWIT8gxQ1r3$L=I-LH6Nu9O%~ZW2L3M<&o#8 zp|pdYvqz`G6BN zX*7QMNMmS(-I(ZJD-5eAN)s3z!c!{ycG$0+E>K~dPHFe)0$lc*{`MAp`Aefqdx~cB zY}gvGz;wzAT$^zE(rWVU$X19*(J^q`Gnt-!{2=s_>}f_koaaiHJg82jk}(5}Ln!85{$?D$FjsW%DVQ$^x@Le0fJieIgh*1n zlN4kg$<*}^DKJ`~VTe~JQ&bH@tx!a-lj+VS zt$O?++2=+&gh;~)7scE=)HgDl@}-UF9Ar&cKXX zpfEkVE7K8Tlycq(`gX7g0pznol=pW7uCvfmN7EJ9WR-Mf(U_Xxr!s48vMuv6YR&{2 zZ5WzvWYvGmZf3dqNa1RmⅅWt6ev)_Hhc>}H{Q zyV(|y3^+u95yH37NXJVeJ2$LikX==G(T>k8F;MN;7B zL&pR!kerNmn+5owkoikNjC})y>X8t%!0cfTV+)r25jwen)_zIg?hR2m0k$cb>Oq@@ z7{0K4-X$p4O?SQ7-_43nbsAL20{0q0+#Ncdo3we!pk8+&(3yM(S!)bAFmXCc^F-4@1-gq&>RX8)O z*m}dxK@W_$K&yAb%JMttltr7m0eXEWcyxQ5#7fHrt`sVlI3p_)wOKcP= zu{ww?0_b{$N=3Tr4e+u}Av&~_Bvr~rdl5NY3WbzW;L7NevfX5_x4bE}u`Q);DH{A< z@^jt$wjTW>U+W5Mx(-Ef(zlGc8=UtALrIDb!#923l;yRE_bT2ZW!|O_z12OPH*!u! zxA#W8qVv|x?{phXqFz{IBRQa|PW^8PLk;8kcqax1Tp|@oQlwOX*h|(Q3_%{{Hh1N& z8s)xlm{*FN->BTB5mo(BnL96IL2{+B8hN!8g*eU1c6YC<%L>hm!)%O8pwK@%2xGmdelU- zDYq_Hp*9;_*i=Sqs%YwEr&1bVJPjk{4I+g#%61L-f=1ktcFt(ET#9u4g!JFcA~03H zsL9kDR!dDT%qUWI$4_Rj={}2}!H^P=bX2v-R(d0nlUjBHPPw$0#oHP`Bx6{t#jR<|-X8_@W zIJp3xbz^_MR1bcn{`(^qB4Z@%{|Fu;^~GFD^gDR%lWyL*j9yWM9@~nR2?O37F5K3p znYOQqKG0FHFeq=+b}9rb+S+6(^z!$$Ll{twI%a9vI@D&B|-LQAfCUDbEoJ_kT zUavS+FDkYDwud3CSs&rrMN!wKB-#=Y!9x=54vq#3MfDxmoRU`}HRE)P%35;_ykVzp z^2&`pirrr9os~~J{+X?!K5IJ}s2Oc(+y9gwj0@9$+sh@>K}Oq0Z*6#oq5IxG?29BC zLW0~r#rv0qh>U3{KkY3w({Frh(oa%jKG*JSq3A&j_n1EJzgni3D=I(AuK!pTsE9Ky zBX$sF2sgJnR4F=p*mX{``w=+u+0lV8cA(9_w~IZ!3~aNr@7J~;eAL^9uIj)0R+n&B zA@i|LhxVYZsIG?sSa`LMu5Zx!YWLtuS6N|un)1M_3qzGM7semAqmu?o?0fJABas#s z4}T7AWLq%{40FT@Af*8wii+Y&^&*1N8r=8=18>RrBOMN7y<6z4A*d8U7K5R+ZMSqB zr*UrA;#i4G<};s{oRIe<7$7XEWi7n|tjWjCMcYl>3@1vgt%A4x?8Yr?vqTu{dO`!t z&uOjPSIjIyW%^5wPt*3q z>+R{|%B|0OT@ZhppL zdf??_8MCK6j+1md-P+flq_WfJ>)kY#8sqDY-YgDOlM57ki*Xl+RTW8+*WdVS|7yCg zFe1HOIQaf(J55_oxg%X$Ju{z#JH`Db1SYu9C#*GH1t|rcWi_3iP8EO0-la-{@JHS7 zAa-8(4!G?_8i~ zZX9yp{U?cC7GSmg7F*Po<<5pcm}$TGVoASF2&b=!tP4VL{egi{_ivZPe#e`E+Ij2i zWk&Yd_j$I&cQ()Kc76-^kS*>^D+3)*^jAPNc<6RVn!Rbkj%vYneKAEe2DqRLE(Wfc zF|G&qZ^18Z$-&~rkT$?leBF~Qa?%ETlaElQj_c}{v~Il7&UF+mh5Umr@N}0Eet?h1t4Nr+A_dTU+6hQBG{;u^SciuC23##Do{dw_WEU3)YpU(CItsH(RbEi$ITf0Vq^)y&`9i3 zy1Gz4KPTBSDtO(Cr-|N$e#TlRQQs)&@MJONp~dLnwL6Cy}*}Fk*y$ zCz;qmnEF~LdNCs2B22{YvqVW&<0*x}Zs=vt`lhdIChLYQ=LxWdDq5hRHEX*eI+ zas7_{;7@m6gItMd`>+3^4+~7UXu{!O@W=GfmiOPe!hvH_A^Yuj2%d<%L1DG?idTPB z!Bm>x-4c;o>fhI&tC)N9pt!2^k~>5+ zdVo|Kl9x2><%dzvvVx{B#?q*`sWBk|D@4lrBm8z-U^<`$6{-#sXxSZ3rPHfp6Fx(9 zXTb=1?xZ~il{89D4SwPLT0M&q=%LM*i@dDvvV3z9N|o6ifFQ5cFV-`!x!ad&xOT0p z1*-!E;2gYu40p`3{!Vv(zI=%ndN~r!A}mRI;MfOmp1H>M4=8{T!(}Vf(Un7`=mdJ8 zbxXi#Cd#M9B6Vml^Tt)(R2N@U$jmJXZ!VxVUtqQP6pYgU51nv+NCg+QdXaHmp@%AF zS>{yGk(TyF{n!(Z7CKlKyUD-21D)9Ip2%aE$8yKJzQsr3V_q@sH^Z9IiLYlb8+`G= zrq~};pM|2r;=g}v_V;U)C{@|UJ*hSB`31N z?q;QXo}39}Mxsw?b$PN1Gqe3>r@bgx6z@&zi?v(i>k0LLkTO6Oxl_w?)M)5czxV4k zsz&-L3URi`-8$4bL6S%#yXeh%72$?aP#JpIN^`EB1NKX(t4gcLVDYf4GK;@-pPo)) zGVXo28UBbCp(sIDHk8M-trz#xbdUzVqWGLyKVs5m^NEgGI)fLm&ORq4jE}IitwH4Zj&4sYp$2~edmQdU;Haq2jx)Q+$}De+b-!8~hRm<)C(gf1!YKVt0KhF86z(5-;5@t*c*s zOm&%N@l7JrF6+IdSeJ5J$vS&v&l_Ti72&)DsHQgbE>Dy|nvWs&BqT#f$g(9GzZggV0R?VesrdTouJ`$`@Be@TRDmv90(32Cy6u@OsIhG_>@cNQ z+X&@lTdoga*C1k8JBcy^0~h)S6lgxb0^QY?liKT}kULHC{pdn&sW43E1*VDqg#tCa z@~hJe>9i2LpFv%k=liE=Du1DX;y2CN?}Wcl;KlQt8(Jr4qgf^qy!8K9C~(P(koq?* z|L;&>;(O9rR=|IU0({5YEaNKw4GNqDU;iciZzv%4l85KtP(VUR&*)!J;DU~E?!TbG z46Hc+zo0-*{=cAr#%BJ%pn&L){Qrakv!|>D{|N=2WGNJsu3h{G6d*J35Bet*;M_0@ z{SPQ`z&3sJpHM*Mh0@+Xp}>d#o;gAQZD0__{~r<3-?gT~A;ka1wWefbbgBQ0kiw6) zr~fNLvZ$I(!WW1*&a~ZGZXo8C-5CczRyXzd)mQL($DU z=PJ9hM`0r!=MyFh?s9Ze-pDGQt4?8{WZTQi5>&ieH@oY}PE)W6rJ5D*S!xFvJClC4DVHqin(qs5-7cMYm0=f>;G{6zT=huZ8OOni2!C@gK*U4LE(Zd^ux z!^&+ar;uQCi8YD`q2Q#6Xf*x1;EfSTWm^*Pz!0HsNIgyZqo^uxcCW(SWDrMNuE9#( z=@9)uVBb+w)U3D~$1TNTk&cL%D!WE!)#$1LH+$-yQYT<$C-6J+vwO}A-UkE|e_29P z1gyTkh!hI4sLm9wP8HCSmCLNrJ-A>FKy24*_O;$~MtB(olT9upM7wGY*^4rg&`#=6 z9t~woiYzzF;_4Y1b!g(rUfxDAisV&`1nO~BGrpRBQD`=y{ifE0N(rbD4*enQQcjJz zpjD%mK51Pic;S{GmVE66?oQY_*&ST&T+|)ib~%Ql`t;-oE=f2LG6cv|f&j;wLqQHB z@;^h8R2-5pQo?^a7MZPhuwOv#R0cekF*B&s*H_UCy=QYZ8w;2Ea2cp-Z;LSN0 zb{NfH#BXFn>&MUA>Ou2IV00eMX=KAZCqAO#AS)>BktZwUM#h&XJ2}OjC>B$>##VY; z_ev3iGC+2r=bWl@`%0lU8y{t?BX+llQqA@m^6WnQi|n^nAD`fh9Y60FsdIkDfsM3X z+$LOwcX1Ik;HL%r+u7Fn-@dp>hOEqMYj3nh*Hc%lKP4zfNGv(E?RPz&**NK5Zt8y8 zV{{g^eKQXu!?&mxBt6%c>r3L2H+-#}Lt1y#s9L2V{@#}0z8J%sYI1Oyx6;Jx`v$VE z|I6d8vI>PCX(cp@f2vDqr89H3%KR-*S>>TmBAW|1znw~{%^CT|!DV*)8LAOYwt-#+ zHRX+BF|tc!_oJvc%73UaE5Rp%0&b?TeIWlhI1T)rq$R?AIW*-<5$`d)GF8V&5IZ?8 z5%Q6byYO6lANO?QBprS9?9~s=);ElIGR$Wze}BYv4<|= zI4M75IvEPWPlSh1Um%$RPgBsfhvP#t!)a=BFRv|kB|%gm)Usr}#((J?FY|ZMZ1+qO z)rAt3yaZz*nibY^4DpLzo;K9bq7i0b@CErQIj}d|KR8xVrkhJ%Cb2RyjnzY4F z&ujaW4l!$mLaOhkHT%Dl^g?-t)uSW(T|S8L5DXb|b2qp>kG#r1#}5HkqfPyhI4Pq; zq5GNW1(!{i(nAgx=?c~-Q3KNDOSN9v!urzMV`=q9&Ax`_e&DU6LLRl>kLAyj30I8M z;Uty=*aJz{Y{AwF`}`+*o+1l5xou`>GM~T@t>o)V_bL!W!ni=UoZins^ zkrt#=N*L0iyHUD3rKKH0qy_0xKpF!S5fPjZpIFb@-@DgdYybAU_q*rc`<}UutFJk) z<2X+HiE4!$WyKNpQ#Fb086}+R=?aWtOF?-LH_^;_v3bO3E4@UL^mq}GHYp?UmUB?9 zR}Kn}R|C|C2RMk)NgOWZe2E>_*B;)aAy^$?d>}BSjHOo~MpMM#j9ip6J9-H!l*1y@hPdcQdtrDUoPC%$Fam<>5uU<7*Q0&xZ2p3}ZV z&wH%B?xZs8p+J+qJ+u(R2O^RT?-yWqtRQV}1Ejq2f&T7rh9L!1V&}&j#FgQ0*TM~Y zB#!DLQ{vfTzgkVx+u&6=Wz)1gi}vWXQ&;kdchotsc}qPi1xM?-HOD6t4ZsFF8^cpb z^sOhj6QF{qK__LEoQ7f~2JnTvjn*(j^{eg-EJ+>98A#iVps`;Ci&o^{z9^NYLWtfz z3oG7W-+IsBuWp-fp2ks$C4IprWI^Qh$ZU0HsNL_CPHfWy{q%|JrX6y8+F#0Eyr*WJ zaT+OLKe1`Qu%Xyc9l!$a$BT+oPUI2y=yF=|25mucF(mO} z?mqD|?d7r3)55g1TqPmeC2rZ~>aoCGrkarq_=$SHhbDt2N7r)vy`tqaYRG!(KPULI@@2+Z>o7}x` z!~^EjV#A-C)K;+^_$BzGp7l(*KRQ>ZcqF+K_~U3hIB-#wLuew(TLlO0cgr9j@JIU< z+q@c>O(OQ{PwK*y2o>BJwEoCg^pq&^+PeQ@h56T94~uu#ukWmk1&?~~vfjiE#MqGkO!LLX6K$KM6_Hf<|Z)}j4$3Ha- z#2aQ;OS2<_82R@Qg(cil<(}%UClTBd)<8VdA7LYGK}z<}dNa~}Q5{+O@IpW?=q!o^ z;}b=>1NZq%L+XJ0>C|>q)V?AW_P~cR4axWz0Y#GuLDP(kqi+hsv$Zdx5)7^_)#4b&1favdUPNx)oPLqsYqK&TU;4NS@Y; zN=>lBP7qwjfg@a^LgU2+6IxEKnirGWkU?)?ETmYhzz9o_eT;kL{lozukb`|gyWZD5 z98zZ2Z)XYQT+G`cu@!e8tW(po7$*w8w&hggu~2fR%(DLG8T%M!^HTiUrjZg4X6cqS zIE{oWz6F`Q@h6}aXTi4Ntkj&CX1%^djc9;jLbRh&vKs`k?51d6R~VD3x*97aG27;ZPs>s&B`1QQ z78J5t?m?;%RQ*{=iF%H48O&o6>=Rr%o#Vv zckIxk`dD*3;jd_NnzKFFg64Jyppatdd{%JuxW5N4b+7 z>%0gjyBKs(BK$6i?qO(EW+?19mms?kN?V5cUJ`zsC*RDFYC~JjtzJmb zSFAG6s&$YzvtRy6qD(+tRP;P76=YvSbib&*5F@PCOykkjpym^ms6Z-6kV7}p6E)!O+ zWAL{J(?Y{-=Jh5aVmBMy z1Ox+>?l+i+)qm{>_*R8wUikEzr{t7}qZMXAOcWni%kH*^T=TSEzGPgp8@NTPi?(8~ z0dA@&a%d)@RG%KnB0KgpNiP;w)MW0}7^l*7h~30@`qUlbrx&L{UsF#p*4WdbM>EzS zZIW^`2S%$%eNR78osQvpmW@GZsK|CpwP&CeoiT5hSgc*pA$GGMUDGjby#=@A2jv#| zIHd<>U*zk4~(39pf-&%=@q17%SiV$2Kac>wMtAZ1z%V1JL_>kQ*mi-o_&e?AxB zn8w8L;gEHy=D8jRy}S}|5S}kzrmb&sZKg}_nFm@q4W*}McX9})VC{X>&vCHAL&999 z&P?<5(Ck}R54@3`_M-3O%5yL;$rN2beI+ZEbidm+`XUa!TGKxrbAN(_W~ZzBrxcp0 z2u+PfOQy{K%Dj9I_K%7`S8d%@cG zLT%%PwhLJzRkY4(x2NZe)RY$(RuW74A>-+x>vDj@>itlhmu}r8w$r5l;0OR=q)gsR z$+*4*EOzvyOcJEq7!n@1u28FyAIx)@F=bduZX{xy6zfRzblEmFkR;)v;o0KI7Sm|P zd-5j)qy@h;rK4qaxZuC zpnLND>Ld{pP<{ku$z|t{f)ff5f@0YdzH(%W#7n_ipXwrP zdU;fb(Y*z%nX?yjILCOSb5lE-b9O=V4teu+E^`EeULw9;>`|WBa<9~J z9twowSx>-q>gKNw3Bi{Onjt{0Bit@J(g_xAft>QEwuI>sf)(ah2^LdjmeS3aL{jkm z5;E5CBHeH^1nM&5)v|of)QB1vo&+sd<}Fuikz8?DP{-Ack~Nq+)fLMYXztoUJnF~> zd}6ERn#&b5!|G!Opkj&=`?w36qsB}273C!L{Yt;g+N}ASegaT?;%1G4Kl-Nd>M4{^7s&r$Gf&C^Y+C2?R)xh7N)nSJ#R18-j2}2 zf&Uiv^-aL&9~5=U-ze(;vOgoi{_i2i{~KV9Sg$D8dh;ryR# z&tJU=|C{c?=GUO&OfKjP!^S05jMm*iMB*?|h7ap71F;lr_gmUY5GdnqJk4-UU6f=X z6lPW`E})PC=9U7Zz9*L{=Kz{zT{lyU5a4EPhl3?|#%d`=7QB;#jVyE&Mc0Qq{F0u=K7qjxwiTCSIl zp<)fbj!Ul*&2P&mwRw+i_!gy%^2=o`Q{bA?*=O6s5nlisc z9Gvj00Q0|Tco>5D*54amk3}i*It5WX^=m7YG&YKSgDg+0v8Wpy@=)BHjDG?3zxw#E z6(u7NmC$EZNaesGLjEcu|Ob3FSJLp^AjWX`jC-|LKN$$7N zYxpN9+K+)ANZD*-*Yxo<9!()jvr^bP8g&N>(+pqqM8rh!n!gFmoMG3_mV6|*HLP!~ z7e$vK|NEl;^J7l!H*leU2JR-n=6rCPN7k0a46wX|(Tn@+7LQ!1#^LbNF*Zd&c9}x0 zZz5JJ63|YE%sEXB=Gffl7v{Q;lJI(SwMQeX6A_@Kt2?G6R~=kz?PQW=fFT zy6x6~aoN?ostEPpR;{+bRpi(04EA>}v&v*fxo{Ybptm@DQ!WOdi?T%=uvSRGp&@VI z(_;a`2zN*rW2Q^x2)-~sApmxlTWt&h#&#dKw;csg>CX^2_8KABcoj>4nwecA2GmPI zdzLUfS}u5#nc98Abivs)Q&Ma1CaO~kdX4Bz_ePCk@rP7ETwr$#fPOxJ3+N&oLI9sm zyLUFez+qmKt9Z=Y@nYp9gIlSNqVts%;4tYrZ4P7u<1oJ})J*mWq^ zIBhd3L(1zM8r#gFm`I*-Z7wsN>)&kIA-|RPN2~rnSJ?JxfX!{^{$oZ|BT(!pMt}tS zrfh};>Oe&oeIYE^LC`O2ebJWpNV9~+n3oOZ(M;~FT$JKR)AWrgbRzm&;&-?etKq+2 z^<#c3`j0y1uWvZ=Cuc?Z6IlR!xJChu2zdgjG7!pe#n%sk79T(ljvVhKkedF!V^V%A z+wsquIRycTFVwR&Uu`TXfju|)@FqVn1iZx~yikU+gn|~jx4YZ6%dXZBN_|#%xj89- zvy&eFi-eN9B+h3$JYA|>LnLMqK@I=cocqJMoAnzk=|6$h{_6lij*AS3rEaN4vgzf! zv|G z5-LE-i9i7;?nyjLdIvOatCXMu80Mi}P#puuwL4hqYbDG zTl%0iRT@FmKo)6V@SFtYe+_!$|9a>h|LYO<{jW#(pC8hH_>GaP%KpU}{)fNufAIkb za067qivLCmesvr~3?EtIBMHSin5P=Bs#@FnzI&&9n*f$&;_S0tmf}=KTB>-^l&#^AH>YWizU$?_U10Ra*V5wF$X!yE^Fq z?RbhEXkho6YztEdb=F5rM@ZHE^MUs0wB9gI8<1L?VhmAi| zT919$$7Ot5#dOhbfA*E}E!&S~y#_L3APn9d-BdrYSR-Wfk^UTj7Iph+7}_)Wep<(h z@o{4(vMcTCw6v-*YA^gFVZgi4aLBs-bs zSuy3=_u591frIsu3sUI8ktPqcq*nX}?Tp#5z$@ixiF)iy$~5 zB)L8-1Q4we97W#5+4>TWAbgK0Spp7Im`AU$$X7?oE+k$k8<&X$`$h^lK_RX^lwC9u_nnn>iA zBymF{EN}FFeUtO4Hxrxp2n~H?YY3`)e;`i*iw*Yi3QhQ?N=ySpFiF&e5Lr}mIzRr_3%<`& z8O^~`-!44I3Y>YTs$6w5@7Scq7J`E9X_m!6@Y)QRs5HFR;pU;KA z%BoEgfn6%6W4>=V+59R_tlm%IGc$Q;6;_X1^83aC?b8qKyTMga5NYO#=nqptX!_zT zJm2PMm)oI06l#VH{CQRm1#*ZWxo8{|3Xc^guyG$vna3}dky1K z;1niTxzElz-`8aqo==~Dcz$Dw%>@yMKQEvBdLxPXd?gy_^+@WXM^l+`qCnYqQNJ8z z)+-sIY^rkjo&sT=_(d=k7)>)F8gh=52Z1edqHM#+UX&QMa4*t&!QYvIi{wSdQxf=U z8zSBynG`UvDU|7III-h-5x$jCt}^dC`oT<)l0!p$z!@{_BMIo%xK-l!ZuK~`ll!1g zICWzojd3GL3i(P0C0^!X+-Jy05%(tHnJkYu2Ll#)?AgS8ay0&!L+lOBlb48W>tqs zBrt>ddu2Iv-*=_0nRSC{PYPjLmGV@hQ<0JWNBavC-*WGaPgt_4W!Df z#iZUaTqv~_c?t7lmL^_R0|~*|41>q4#8V1X*tA(-L^1WPR#ml*%{vVyTOf8mn8`q! zAwlaL8&)9}lf@{BVx{~IV<75=QWIHy8x+q_K$q+%8YXfA1wRpYVm?AFgdmuz7>u3n zUh5)xN;}xZ1ah&~C^k+dM-YCY9RsoJ;pbDA60VHmv!D+aK=E^&P$NDfn$YiiaIXqI8U@e#9*dAW~ktuNL|q;&F#^LJf8to z>e4PIH8+o<>eUb$6mgIMpPU0DQ6M}VxR=Lwt$#WR39kU+&Qxv*rWl5kuV44Le0j^_ zHgM~tAKBZgST=ySeE5$!i z5_m`$Z;6EwLqpo!ZwXxJ5zkN!LXH%q7mFhqtW&Wr;T}wT8oU^ACMEdIQM^<pxCHPPqci*j4=gp>gtz9oN0;VBErbaBw)9p;rsU`GkqsVTunU+FX?&j4yzt ze9=ez<$#q{HYZxqG6EWIJ4kVGA2NieNc@Evtz+=t-X; zuLn|>`+L~_Q$3<55bB#)s^oIS@hCj#EaTx0KNK$>rN}le0Oup`n|TD|tN8xj-e}?b zq~9BY5Ykq7BH{z4R~9BsbZ~eLKR1bc$=#!DECv!GF2Q|PmC$V>c2D(jkvw_94dB( z5Z8t$h2;WluqbxMBVw%U;aHfda9aOF{FM8oJ6N~c5e{$Qh4^`U%xrS(cBp&TZ&Oj3 zQo{KA<)TPeyK-{3woO4%4>(T=DD^<)VH#PQfF_{b#{WgI)=8C@2Zar7sw#*kSbv6@e-#6oo%3 zzl*aQrt77JyHlOsut4*(-DXJ7*QEGMDR!Z2PlKRVOS$kjEtO+ zHxP24aT&Madq5)wvr9@g@BJP>$hPoV^C@Vi~ z-im8DC63SyVazln4~ctG8P`2biMUGzg(sv|-orVK$MJ-}vxWae*!juDV<@;WZ1Ha^ zJ?8e}FB=}Y$l2y7q0HgLjpdP>j%dY}E*d6PxWoolxjIx9^{SB)5+ zwE{~%+08KeP8?zk#!O{k^<`4-WoEF#@4|_dRPKIN1q21cK0CkzJ2EdL;8h*joRy*N z4S0FbcyQK3qc+>Gdq}Jh0BQrDTla!B;?|%kkz@*#8!A^ctFT?vW_TF2$OJ|D&_CeKm6l<8&jx^bgD;oEvQ|d7IPTI^O_{cN|s8Rl#+uorJp_0D);zAorJAc zmVa{Z?s*v$UM@^c)T0X!qwpJXle;C79k6n-Qt%15;Md`C%SZy+6i~UP)D(-%Y_qx+ z@VJvI&$TFf4YOaaaomuEb0F!UCMNZ9 z3U{H&c6nJg6|B1OAxDhLo%{-Jc%M2jz^zVTpr(mr^vI%;@U^_k*F-i?+^&}FPD|{a z9HwkoCN5@sN7)j-OMEN<2{*1M@nzhP&6$0Ob^UQ7NUGt%=cmt=@9CE{u=G)W*(Sa~ zGO{6_a-4Z$bjz>~iJ@`zT-Xg25`dU5yj3YjnEI(LcLOb*;M+0})|yaOcWXP#q^=R$ zUbT1v%rRtf>K6%sQVudBY@%t0hTjJVAEa`qZ%4Gy10Zl}lyovpuq9@3OE;!(S|(V~c5B(F%yk z%n&ZmKhy8U>h8mLF1W4Mn9~iR04e}d@CKxrS~t_COaGlI5p_YmV@yUw&vH9YG)AJF zy-5GHqQ+xIjjG*yZ*sbx;}n#Qb>lCpy%vAY)7Vv)(?i&$UJ_#no_~J8LB>9>!`Aow z(m5Xb{`m?uDfdG(`1EfM8ytApBq^NiOg&;wbL9ZcbiNt5!<;#D`mZKv=u(ZFr#AuVZ>vR0l-HnlhU1ow_1 zt3o1RIIJuAg^k%uiiZ~abJXvZI$5{)j8-Y2x>&txaK2=2pTJ?oRkuJ4-htWNtVfz~o^=B6_~Fv`x|*E9@9@-uS1E<`S;R<#h3~V7 z3j(E6tUCP_I3dt4X;k8c>SY&W*9n4OYs4iIjJ(|BeyG4YEDZ!%OAhzL+1>G~bnW;V ziSA;LFXrl9aa6X&xSKax6Gog$;CSDc+-F~C}e1MKkN(E;iG zH0iM!=?qO4@X=^v3$#lbF1}7cl=qrwyQ6T7us99~)RZUG#oxsw6X|*YBHjw*Av0P< zGcTC%b%a$ZnXtOm1PSV1s|M-bra)h`;C5d9?#@Vp$7_MyS;L??GmlBS4bZ?8ULKnA z-Z+6Fde+E%-mZsKn~zjihSMf!-tBVUongU!g4o-9!JBUZnYUnRwcu0ti^p@LZ{Z=~ zVz~JtJJX`C>tgKXm_+MsIwmR;LLOOLlIRKIxS*wIm%HrPOGkomzt+3hdP`ReqcwpU zMLayYM+p0-*%#L3(#vHXX}h-6Ys-za=~HyiJw{&k5ssu#*|!7^~<~& zSl#xL-7l$Vr+@p-b)7O%Pke>ao>f`NTha0LFO!M9v1Z8R0#)!P#di0Uv&Pd|vR;FJ z=*@8ornj6H?+73EO%!QOd22Bg_0h+}h27rCC+dpOQ*4v#_;jk7QEVx^*;4$dQzHG2 z;^US9zxMz1Md3}L@PAYA?hoUDzsLC}cLTp({rla3)gQ#(Z=gH84*W?nVS0>LqPA=L zC!DG*Dv_)T`7W^a5;cJQdl~ssqwPq7fXcE#Rx>+_dl{U$7o%?(X0rSGaWq#7r$&?} zn{tHu?+Frri2M&9Nc@KLPl-7Hyj*J_nQo!OmJaDsJx26$fa%_Mg^+~FhJOEOsn~Es zZ9I$Z*<-jnj9x7kAPN*#aoJK%P%V<*qMlTTT_Mg73{}A2&=G$sMc9o^)*}r^SzZ|Un z=G&^--mo$7n{Vr{VEsRRTi=X#{L{C!v*jPYt-lhy{=>KR!%F+#eOo`hMgPsWwf*?r z@ZWt~zwA!^&9}Al>*3-r-`1Y4Z=e3=+p5#s{qOs>9=?6`{5b{#U~{hj@@-uYfw5Pv zhZ2bHt%t#tIXA+|4IMWA9;~PL+1rR>jO5&mW_{wY8N*p#xf#pbwznB4FvR&TUU=T& zU4r;d<-0`b)4g{|2yCvcWJOBHtrS)Es;yK_(fwZuUb(i@4GbN(9~;?KZ9g&d+278v zjO5zMw0YvVljTrewUh1Aw!f3(KE$<~>pkzdn}^(~+RYC<-QO)hVRP>lhEqE26-BdG z?-j?39_*DQD|7GvJy@SvOPQQ5ea6P+9uK>7c5zy!xQJw(a1creO%feOTKv z?{rw#zEgcz-+g*;_!N!J^S)t_()oSkFni7Wrg723_svtvJRe$S4V^!&8Oar)5A~C0i0jKdMcNr zJ{U*sQ9ps$`=bH43h(hCIg2p*1+{(cF+ky)`+A7c`u*`RTLit}2xpXy;4s&{*qgwO zr@UXrg`Ek$ybxQc{W2;2?Y+XLix`2PQMv2tJ$w5T zy>}Un!u_ucbOCijC=qG)3nmA874QX;-*DeC;yk`fW|QONMI_x{e8bHVvFy zep?TJR_9x)eZw3%0p;vHdzW-Q5jm~jye_^aD&;D%llzWOqV&eCsqf=JwCwr5=cAvT zLkT5ro`>}>Em{u}N8NsW@SS{g{cp(bu2V_={2rH~!+HK5Q}?s*!^?v6A0eX;FbgLOk1#)P z?GkfhfUPXdPjWPv@&PJPun?>jiI(L%3ZZqj1T(41;eLz>rD(Ct7l6qTx+RCz3bJ#wPP;@jv#@2>=}gteA98jJn3 zrw!3swfCvU7KbEH8|DL zLcMo|#@d0&x6Z|hdY@-wYaji;b*&RVMZVN{^Evxlw@2$TgL9jOvgn}Ko>IL31Fq)N z*U7z>aZm3NWvg5^MLf4Ud1?aiuD8P5(O%M=p=2&oSf5g!6H&5;vk;8n-d;?@>lKKk ztF>yRZy%^_5r}@owMoL!JjkBU9(#Lrf};5>mp|A;+M4%W(ChXg-R}a4R=FDtUor<| z?RFEwy2%;HXbKf%1@+@=w?f%FMwF}s)8h!%xyL?L8%_%TXsF%h4@erbAo_BG=G_rw zNgB7)_WD{|y(9Xx15@pm*i5&zRR~dQu~Az zw{B1QThc^gqBkcE-@f_+)$1pdClsK%eeG`bJLcQkgzCNccFn&mPxSt5%?{&p5hCkaye})-)KmN3*12P8vQ4n1gwM88 zKW9F(x2?Xp?t_I_*9!WlX#2~{{p8dit8Kz!oeK=>NliIx2f<>Ua@-G)0WEJ%cQ|{$ z)J+FPE!}T!u6mvol>B|(d)*wnotQZBh?mDKjo<X5hQ_X>U8y>s6Ey^F5;OWuu^UD=GW(jKgn z!U5a8HSW&owNP(2uAqI42z`4#(N~bhrK!Qw^ROkl`dVk1l)D_A3m&~2SuxJ%QhIM*BXS}-Dk-}UhcOFn->hfa%=EpkmbIjRGou8j`Fc*6|&(9ZL{XFf$TplGozu48moUdShp1peh^V=)T z&mULk0BRIi90fH(VIxtnOcY)_ieM2%bcTXchmeYgkQ;?iB15P%LulJW=odq-orN$` zhcb(YvKoc5BSSeeL%G{Sc^57b!D+!>);j;W&8GrGyEC!ku1* zo$iLwGKC9Wkn7MHWV>f8UKT zt&9+1iWH>wKevyhD2jA>8F?!@^7C#aIVkF(N|XX;)Y)BEr8AqO-6$|8s1t026K2np=8*{|=?Tk5+7pWjaf1o#mD+E`6Q>OlcZ}5fG81E26W>!S zemqN*CQtf|R5&q867)_wTa-UdV zTy0{Blr7E_%8mqztQ6(JWO~0_*S@FRZA)R6NMbckJw>K+W+icVq+Tqh@_$bfph*Ku zqzM}*i(>rJU@<9@YB~~2X+$Gwh>=uziS!%B>B?Mbs#)nG9qF1eY1-e@rTpUc)zS@& zAFKF1HmXWD>3FQQ^w>eSmogJa(vxYjlqIs#anqmpWl3gb zWviv-E@kn5&nk>bEtbe;HqI^|NvX`rrtHYB#Z9UIo{dM7)1;Q%Vx036nbRJV)Y*~q zc`>JVBoR%MyCa@Eh?_X%mpkehb-O8da^K(lbMEVX4^zRsd1DU~&%9+W#9T+-8@0$g zpYt{`Tp4!-^LNxDw*B%CMwASj@;{E`s(sG?w3PpOKYzox;B+bXEUQ4Tso={}!OxKb zZPG%FL?M*BP%^U+ud|R~xsd3*5Kdb}Dp^EsQbg%rM4eqk+gU`vTy*Wch>^CKS+bbb zq?p~mm@~VWyR(>gxtRaFSb(-fP_jhWq(s!eL_E7hva>{bxkUE71VLLWFIlQ+QmX7< zs+wJ@-dU=-T&jIus!Lm@@9(|p=ljYi;*JS&eE5-!^}V~JWyaY^)9hF)$;gVWN9K|d znc?NOosrhdk*?YP^@HW=iyB5I6)lPtZX@_U)fLE!vPazIq7G$KOQ_lfkJyTGAGOLj z%n&Nd37%vEM`l+NQCC5&svtM3qMo2SjpEwHgY&ZE3b=!d@TyB_gUi&bEAWD=VykP; zgX%`BpB@A?;?*=S2eqo#JR1$_h^^`B4C)!Jd0rjVhgUn09rQxI_GN6)NNnwxf6&Be z?JK9CX}r1_lb|{Ex&`&1rP#U^$)L5-y0_dxIO^g|F@^ef>bXzS4r24Im+JAq)gQ&? z*-1PN$*w>3f2#NR>A92Zg-PYt^QW^k4Pbna&n69F{tYl5rT6DgcRTZmYw}3~^2wa@ zDWvkLc=Bm}JzoaD!H)J;e^WzBbMpyp3&B_=YgCIVC9mS4in>&T=3)Jpt5l&(`~!^Jva=f;$D(v9+pdRHfs3A% zFh8^7Y4etf@(GAQ{_wEEuZjxb4wiZ*%hSH@_bd$GFD9TW&6GQ?tHOn=D&j|*3ti;n zu4e@+&oX|r+iSEY(zUC!cRcK>a$9~{E!bI#-=2`unGz7$YARSX_N*A(RmoG)=-l=E zM`U$Cr#oHi@d06H-0Rhh6pTJ&Rqfb6u`pn5CY8 zomLN@p3N@D+_CP}fZmQ3hn=zB{?p#0G3TosuWg3UPw}0va=cczJ-^U!`uXE|J|!B= z>vU*}e!|fSi+=#^Mwj`Zi2~gS>H9w7_mLC0kp=dx(Dl;t_EE0(%|7X4jCZA%?q6f? zXLsqjp43$A+vmyC1A}`p2{fN?#A|b_>S@bl>H9 z8BWlWq$#__Lmwmk;2vjdirL_@Y4?7d#8}Npr{2glf^LAvIQG*4h_O*B#%NF+MyP_;M(I1Wh1O zusV7r!=2Y0tM-^!t{tmyoLJ$ddsF*McRMdVxivnv6F6CXrMt}?zS7-#UrZhm41Jb< zrDgW&G=AVL_myDxtBdjeE8Q(S{S=t6A8I~D8#o2i>bpvuO0;^FRuX-sy9G;6lTSRS z44OX8nWiOtPQNz2`(v7Mt>;R2J3|*?@m`aCt&cNkSgQRs)#_^%Gb;g%`Amw!3l^&x zNmu1tjWeJzjLCS+s`t!luFYy+&i-9=YhXTi6|6VPn;Q}>Gh3Up zxSX?On7=PGZ*vu_|C{L6Y2x+iqi@&nUJ8anXblZ8k zCGVx8>nbvSrQUU5v~IcQ!_r~riXUC4%G}}^i1n+g#zgrmXbl z<;3dXhn#MiCA^xf50^_Fm)XZ3*1mk0`YNL>mfQdRQtOAz)OMW~rf!awW&moT1qoi) zOJBzePI>xz{mtMy{7njv^u~z8I;DjsY5oR#%m#h%2Jz309-|HB;Br=r&BB%q&iu#2 zU7LNSoBSVjIIg`L7k(%FCQ&r_-R|CoWN*2|n|CFH?-17#Z^>@CL*FU8Ayo6XKv0^t zgkjBK&7|Y4&m`ML^KboV=?rDjpr7S+joW#V+g{b%midnNKW^9R?byB9W+$R^a&xqQ zv-9+LM~r5-E^o*CTAC04o2ryu|H&QSUfSSm*{I3g%A0#U#2vc>e0$Lrsj;%-H6(E0 zUwVMw$H@LqIqP4HkyRa|h_Im!2lNhPyOaMWw~_4`LMI~^H)Nel*V3`?hHZ7~V6}+# z-7qtpU~_RpW@0hh!fUJR+IS~5%#O(s2txL1HJAfU`nKDon8kW$z7X6 z(T4uMYcyScD@PyKqQT_QhGju?I4*;#i{0?LrDG%^Yy8gmuNWCWekGSD4FbgI1cL^< z+s0;-f|)c!2048v!MCX|_vIT#3O1ec6h1}w5k5(sd+JQT!LGLS9?}tjvFGd+9iXxw ztQE~fN2QD;_9>J)i!s}Td?VYTs-@!g|Ee_dEF}>8;z)_w0-8L7=PDAZ8}LbQXDXOh z4tCvdE$B|Z5*|um3X24m4&WT#AxGhn88P-D=*-qP!B_d%;n=K{=>u3~>ke{v@B{5Y zFoOhPIcz!ZZ~zCJVQDIcr+?>Hj7;LS>+Qa|+1sqV%(`SP6opvVB;-PH0Ig|d6k%{W zYBdumtlA-WaT^?2xO?5aPp=5FmSqFwu?yk7LNO2i_`|461 z+naL=pF&*GIz~eA2<2+Rca<=WRuc}Yr_o}hRbXj~DpjC_?^mW@#mM+{O)^7VK*R!I zfIJ?dAJYzY)C;GLxtL~i>l{JD+;z!|=s>r}1^7XTGb>ZJP7orr1K6`-hsJM}9$66V zlDlhPB~QGf3cKK=0Q-0*xViPkx-u<0@7@rBY~Xa{bE58rLTW(Ra*)ctv`FzTeE=^! zjI%~s0qn57HAEvZqS-uw<&u0i>=HM<8KEvzM>Q2msD+r-{PYkN;=$_R`HBaEZlN~G z6Y!!lIOEZURY6^QDwG(-k{+;V`e?c})Bs=WWVu4}(~WsT z_8#vuDDqWu6VzOn7kCDCFUF$OZJ;?PF!fGY_Dog!Hop*%7jJ6{LuncHzD7b-%Zcl=CLZ*sFs{wAi+gowJhy2| z$+3bj#{)Pa-TFDrihxNqE{5KNzuCK$fE6PC1UtA1mRjP+f%@s7kvrUc`>V7AD@lZy zc&;t>hIiQL>o)lPrqKc{tqKWSsIL0vR(=yNg8P}+_@~mEst86lH-BG z?|1DL=HM9gi?%a?Sl!^c*HhPtoif2))P^xU1d5ti?Jmsi!#8e)AIBTzhB`KKCWkqO zNI*wWS+E((8)a^-cUFqQDx4i1-m;g=&*)eIlYI9V`+K~Yobl*1tT=4`zTc7Slt8QT?7ThD80@^S1-REr=YY}TI< zIq!9ErFs{Ae!hTCjHb-Xyy4q;w^2?w%nk2oafKI5N*uOnFb@;(!QBG6FONZHQO1-m zB*0_c8Jg?(ZXQB8jQ+@CquJM}8)!=}5AlA76SYoUQEuRcROt0JM(p!q_ldgsuov+B78E``)ihJwXf?_HcA|PlnS>A6BIOi$;l$Kdflin& zoMzmz3w&g9Ix?IJ5Ajwx-(Lgi>1%`@uIFUb9Mkm=S({G!5}kly2pm-%e?XOpCiPbL zdcll+5Yd5$7%G>~$UU#uV^VniGaWyI3}SkcaO8hWW|Qe|-0WEYXTgD5;HQWIaPE0h z%3?0Z_ZYrFSNf&Ed2!F@`Hx;DA|Q2lWy3FO^vz0Yc*4`&P1QG^@5q>UJ9lV6WK%#4 zdINo$(zb3d8C&f{8MDE_SgE`63H~y;(Fcgvv2ui-Q(?%Pv?_-#iiD|)FsPjCrK%W&29-O-AB!Rj5`rZVqMH)JmqBsQM-aq_!j|*wOT!jG z1N(EHH_IvMjO?(K-~gr9RU!lqame|@Fq}KsA7>~vsDwccT7*YBa^m4bEbx*>8W394 z*dttP(ZfV91Yb46>GmzsCl(+^AZ;4rT1agB@@jjupZwv}z(JmI1-PaK_5oEHYn7h#(HD7CJThG{n0)JF##C_;Y_BMKyq0VialJYawj?yiWR zNxBIKj7k7jf1k+61SaD8pg8`1itBy0N3bzh$T3!j=Mk4rh<}es9|g}R?$D8oglFZj z+#ccGicqD=&@F$)UJ(%;2jQnh1e`W1H~Z5Mh@5kD_uqf7VB*MO5cpM(yC%%TGL+OkDEy}SgR`WP4=Hxdp5oFd2Wj0A|{eC~A z$pFYdWBVNu_~br-c`QIEEJ$1gAW>i{lo4VDOw|Dp9=U?N0IKEO5j)<@JE)%U`21;s zlxS3oDazq@lta&bj1QQy!`S{kJE0zRO>=UcKR{b2K)~a=v=a^BlMCK9Gh9Oww{r@= zgP|+EZg(Ac`ni?A&$t=X(>ixEnd6dRSe0i0;xilS&Jp491Q$@vWGI4CKP4n&P}ao) zXW@@-FkzfgArH~A_$NMQ9pKc)ti~R3Q}oU61&YdnsoC76)=Xgid0xU{UUIMoZY_m$V9OrblyP(WG0|3dS2L#K%}^#gVZJKmjFcszGRa=#0B&Ad z$BQR*SApOJ_VSB1&YV^U_k`%UtN_B~B~#(+S`oM_OE6vu!B(o83oFbM2n-qkxN80C z$~U`Ja@BWaT}!VUs(q?avR>F=e}S;+AU31>D(?ZL4Zw^FCcskjfQ|3#LuiuO(M4GJ zF^IMfkY|4+8P4&(!9@YgDLn;xFrLSU!dA1PCS0&|m;w+EAWCL8I)1aqhoUY6f@tc7 zD#1sP8hhBI-R3&vPtL8=x))*bj|f2VXfKgEL8CC!q0CUm1*?B>@<)|4r{k!oDo<#g z@1yDZNN<9=7L=Yd4__XN?I-H?5b7^pv=|x|FBKmlz(@!C@+^<79(Me0FN1Qvy!0ow zk>#htn1Qfb-aDpXRVysDq($;fc`3BGkx1l;Eubt${LbJW4Q~a_gS_`9!SAVx-|G+y z5_!MdrivpjeoxN!kqDarV{62oG|~^Df{EYL@i)RjO(7PHgAt8kuSF30CQWm72@6eQ zYSap4=7rQ$r3NUuCRB_fjMoeN)(DEwZ{`BE7_+s!7$QG7#zC+x48<+w_sC^>Zsrx_ zBsO{V7N0&03DUqI;07Df^0a~7k?=8A~^<$b2h z?__w>DhME(%L_?_$q)(TZ228rTBy7tVw#jLlK=S6x^B0UrS}L5?Aa)Dp3hQ|d^uWU%SlF6z7>b$X`&u$|I%OsaYL$q?e$fYsTB?hT6QnAHP z|Esa8qZdLU#8ANVB9an+vNt${SWz-y16{)agkH!eXjF_aiKGos2#`wSxh6Q>C%UwN z+ef77`6R(8z`xx>9%j(tz@`5=x+?PY>fD)C8;GasV_GcyaHC#MwJyIAZ$}c?o*&6kOMQpsTZWO zh&Zbrp+GX}`)@)-{u>xOYb`$$U^Qiuh)SxNdDB16LNf7aY1+GPs&bhKwKx^6JyX~= zMrJi%5ju~-A}&zG$+@VqI^tMo#*I>FAgYJ3gX}e+=4pd`#0}>Q0WOcAksMzT-V4n& zG}3M0wAPVu5`fN&jHr`n_y{>kLUNyNY4RU(I&tcW?81AzplegjLaR2=zOV2@4-Ppd zQN}o06rhx?P!d;*Hp~N0#RpjR$e=jL5&(SK?=cKn==ul#iAE(QFNhhCHTi$v*|&k$ z{ z=nn>UIW{pkK&HhqE!Q?;xCeNaksoTKRGzMDw6DWf*7bxoy86gwmPtIHlFZ6&BC%WX z|2Aw#Ho6y=|F$g*%cD%J6?Q{`&7u))K@vTD7~ny=<<$;5Cjm$gw&3+0HadWj$A+Nx zj%V4n_xJ6*)@^T=0mm2Xn%7%4i5ns>)~pLDGd$KnU)Snbel|R%kPd?!6`?A}i0$hU zegC$Jt2SW&2Ma!aPnI?Vh-w*p{JOq7HgVauD6zOpzw#5c_!EBpQ%h*C+hgzG*WL@; z{p`GbvBC$o*L#nmA!lE=$l7-=RTcp>tao`C$_8(<5m{zY+7sF#6xq*vvG+xL>Uu=` z@}L3sZ2x)cemd!4mG!|SDIlrvlj80%l_$A3nnm$gXW6IUG&)7y}Tf16*Xlt(PYfcTobK zDBGJD`U&7G{8~}>RL}y2y?d(ic1PO#m-Odf>K_hU+Yk%F5HJod_SuPLnKaL9bt!{5 z7f{w^{cVWfPWgWF@5Pq%@d+2|m&@pHK=_i*Kwfed+(wWFXDwO`1R$c^+!Hj_zGLjF{J{!{b$6b$;?p!-+X%f|m`bFKpc)xYXX z`ij68|LgbMtr_{-PIeVcx)Z8!_FuRx9amiC*!SJ#vq{f?v!3T$cSpKdH$Oh>Zzj72 z!jdpIh^E@WpO4`d{Zh5S{I~0Ljn(JO!2f}1;fJY~iGnNK z=0AAo5>92vLJ1 zv*?Ufu8fS-01OMWy^9Gdc-dYtP)3Ss^1cdj#onuJjD&w8sw<|D$hU~Oce^01j-pVY zJGp}PIT4zJJjd0haGMl}O3vGEq^y*PpQo%yi|qQv&HKT{CmIiz;>Hg|uNqDAsM;$= z_Nl%DZUOn=4PHQ{@|c@OSYl(+Fp)I7j7GXjS>pcvA%2@U%_}{QY5FV3uX1y)NWEL) zj8>JpBciL1d61iPM6b-fPpB&>+Xs2g(j1Vxvr+IEkypy@3^dhlwkNhs%}zn$p_nXy>5aeQeZSwwMkK~VCnzGW`c7ax(6hT3=?r3GYW2YUDjL$FuDv)=jH{Vnfo||Mb5*tknMm25X^Fv2E|5O_eOW%5FB>u;S z*>EqVG{-Lnu=!d0*_sFGQD=OsG}p|Z-U-lDklx4*5RNu!eTOx3Yh?gSTF_;B}Nn-lMlF6uHi&pyaN+kUE8}>eMvr+}DpDmdv}3z10MzJFbUu$3~GB zZQ%?iZMULx*|M&f22DJ2jwauZm~$G5yTH{vTQw?Pw8P<@1^y2V{+@I_pZ506@!?(P zBabPF4LZch>!G_;*T{s91XFGHO11N!~OpmcGM=&5skud<@5ldw8?O zy7hw&Iy3m3l{jQIJq1x}~I_d{-hR;4M8g-hx80tmz_2*=ZV-<1*9 z-+EsZWdHzF&Wy|)AZS=B&(l**s07bFg*d$^(ew;r>2)n!j>t_0g$M*5$X>=$zc_HL zl=w>^?;WHIXi)>K$t+56n@ImRVJ^afQKs&zgJIyJBC$>`5Hc*$ESmdm@cwIc%*|M> zojT{aikn?QLy<~1kv(yk6CUv9!rb#iHk@++(Ca!as18qF2K813pEnnOauG%RY>TCn8MZi|W)?x387St$uw6osMgbsTPlW9O z*5RKm+A$uFd)oA$8BAm|`A$sw^pD;OyA5lDg|kwX ziV@*@M8-&>hVRc~%S3x(^t26 z`koVjC|fExdjy*gax&H*f)=17+;e>S3(e*L#(aW!&eu;N)GD|LCP|82y1rJfQO)m_I%4;KaemwrAGhh{_AfH#g-_D+(Y<_23yw4yD!xx^gigPh-_h)B(i z`VZfaZ|b7TH3L#EZQpT-m59?NhZ%;{F*nF|FjZ`Tp16U#KUv-3izEk_1xjZb>FZTd zSROox3Cx6DCPfO0j z0j?_q6yP3$zsF*g9vDI3rv7_f4L@M;cJ^5^!ySZOD zk-R?wB4a%Or1x94EE^>vi?7LKTH@VOGoG~%P9prhj;ODoLz1ez6b*UI`_xYG>G#$` z4`-GRE>mANmJSrTJ}_>$1D|vGguBc7MAlyWB7zH5LH?Ed<|ePBNb814@=7Iwj6b9w zOR2hz<&~b|NK&XeZeS+_k7A-V?BPl{WPW91Hu5k0c!n(qUt<9&MNidF7zUHv4!6gA^Oe` zmsS_IXsU2$96=*T#5hcx7?74@N({i`>x(`W!es{Tb$ah~vO?47kvPM;6iIJlR@Czx zz69p=cwUB1{_ZY;kVK$^kPMMR8%cAvop7_;8-{n~ACc=NKkv^*;zgl}VhO^=b!|6h zSsxUeVD}ffNhOFkw^Rb+%#Q4PW#Yn-Qa;_=a+LCqBc(utcE!anYLVUQi=@mZSNmdC7 zM3EkY;(fcP)Wx#{DC93|Z4!vc`=OtNRm(7{rU^OJ#Ry?9f?Vg`SCy(IXo~D6RiM8& zcU!fPu6HRs&pJEBy*t*i74g8ECfl?xYc|bmF~R++w>-NqDp`#Pt5(pjwl~}7wW!84 zPOf1K_Z1MQLwqT6?gi-7S|0Tk>Gk{S5&E>o2EOUbg5&VkTHy~YUqJ1WRp{hOP-(Zv z`+2KD?j6_-pj)q+l7?5cBsETLSt87iD7z7%m4k38L*#}K6)?rA#Uct%2e9vGU)Bw@ zq9W~*5rxCC=~`--V8K^Ll$H6h^1Oq+z+iDC-O6aUud`iAh zr}99A8(cGCu*+NPl`{ZK)9NWx8hV3p>D0)n)T+D~E}5m_{igNlL@tL;`=uVXIJG|u z{9U6>Cvn|p)5nHC%S3MyZY zpFe1p_jI*jpqViZ#MD+b*1ZBQtYh}*k5=`1ZX*)zB9LzchdsVYH?;M@aJ>c*#zq&t zE|(Z;MH1<2!|d2BfCU4Yovz5TUdDVB*;aMD=b(71+SHSil{&^VoyVIOFc zaVh2t`FM5?z0oK73yacb+sStnjEr1*IXn||zm248j0vK~2t$p54nrb|Y2t#(L@#~1 zrTmf0{8d}HP@>Vp$76Qy$H-yddM~Sh%89jBPMWE2pOoLbYfqBhrztlYak!bqm z3E$JlCM$DOQ#V#^$E1NE9H;?|Ke3GYrG@^t@-nRd>{$K+pGY&uxXJUI71n^E?nsS+ovm^V{!VSoS}04Z8& zI9w>L?P1Gb4D(0xN9!%Hrp~`f<u(W9Erk~-BGgH zdW#JwE$68mL!pR#tEQgEa~C)Uc#$QmG(Q*u+}}t!g0uLs1SHzM824+t60aJL;sIu=x)@B2LHF7 zxGIXJk__vPwzAG8&TYre4KQx7pjvx*USYSUkJ=(f&|+5J{K&-`tXG_chOMV8P2Mk= za$jos^QGY5JeIG_q-5dhH)js&0WkZ$E7odfejZG7_oLq84;79jiDH6Y%S0RVF87$h z0v)+`%L@{vYN4?Q?v^8hWk_zTH|jQDLu0F4SAH+|<3K>XgzmkLmzvoXt|#1H)$zmg zvdq`qEIN_OHXwQ&RBjouSjYFqysk#EBz~f;-KFYbom~zEPnk?*YF7iFVJ7b z*KQXM=TB4ofalKqQ4q%y$FY{(5MN8dm%hxK0oK2BrjQ+ApQDJ2Y>CS#K{R&7J#XQ! z*tLuAs+19n79Qc{)EtlM0QsN+CrmUL9kE;9RNC;mELAlj1cAMSC|TvL(Il3>=Iio# zeItIjr9=F(h3JE(qrL3c`-O|YNqA@rnT2%3me@A7$2hp#tAEwsBMx?`OXUytspXyK zJr4$}DpbWZtZ9Wi<;kqoSFdHvuYsGKmLDUGrUeaVVJUS~O5YuUfUpoSE;RL(E6rN) zu48oN0{{cb^L41r=Ckp)iwR$i5_U}Ya!NE6GVk4Zzvy5=z@Tirsk~O@JN@e8JN|Mp zr!-9`yb3qYZDci^`{An=XJMx;5hnuv=(T6PTM|xAgqZ{3G@o^aHr=ha?Avcez2Gr< zQ7i2M4`XpM`nmnEmba~b`^op!3Ul!somuK(7u=bg&7Z9pN3?|SFn7Ie0!$aZb_f0L zLt6x@Ix}pXoz7tG9z;oai0OUqh`h?3{yN55ygAbvkrbj-uvqEs2cbI1J>hVV+i95y z!q>O6dEe67zFnU{AkiWV$`Gw+ofW>fXSvQrc8`AB#dIFT+q&Q$*L@@t+fiP)EzI-W zPl`ZMow9G_ZKHJyLEhU|rx@C`x?b+RF@H9x@Y{g!zLB}m=ymy!+33x)<+~ z;(p@a8o&NIOfqM^x39TZyZcj?&f`GwC(YbX5{-k+Ld5ompEHH;4_x4jy`rF|n#J7Q zk4|n&)}5A35YTc*bn?ErHP73^M^jGl*66x*)x#*M!!Q~h*klY+=s-lqV?l=Zz}mzG z6}vui*zmz~ul7*%mj_Wi;^JWcx5B~TNHgp1?a8Nm8~z8-2e-3MA5r@s{!QJQJgc4# z@gOD}I=6sBOjb~2UdSX*n{dx^50pt~1Q_!wA#Aq`A__hds6P9|^0$5v4Dgsj;WnU` zvypm+GDixp>kFIi%E^lJ-cDL8v~>@E z_mTQZbQ|wW2JMB7tM-#3a7d%;Z*+REpza)0URASCS%qlDiJ zo4huOmq?*Ig>k%Br4&oV+;OCgy$^{$q4}AW>=$Vz!EV9Bxf8%GdYDueEHomG~Ze*vwjb{!`9>DEpDx(1pBiTmnmv(f4K9X4{ z-#+oRZ$go=;rAN*Df; zUKV|%6E2e*AQO=GF~jpR<56?g8c}&-Y{d{ql5BILjda%E=6p=l1D>#?N9m4RXF0c| zB8boZe*`Al!>g0n4aXu$ya*dNr0U*z0^7rwWCEJgpfvTSk^4S?@SoR1GJlq2e4Wnp zf-bYyB%fKpo8SEfUbg&j4vzMfs^$PffBt=z*7Bu~B)9L6{b#Y4x-HQ><$!Ql?Pzeq zT446ApW3ED#eJ6}TT*2+d8uiC#<%`VNJ>+@VE@MYCkY%vJKMrqM0f`cP&P&4U*kfu zIe?&dk|PiPHO)Br>kW3Pz3hAylJ!s~h~{a=y{COM@O96tlABUc?<(il)i(HF(Rt`H zp$NDirj3rTF=+;6UFIEz=G_hcmDG~$g>RFJE`1$RettcR-}{&9Rf~B#Nf={z1P9>X zgb+B0F96K`kNABVUP3{G>1%j`9H6t`PFW%LVU;%?r|EzyMZl>=mAMkJ1YuCMAi0jW z-RjBKJn}&CL zX>!TI95^-oV47Tj!&mT_T@^g60l80e4DXyM0sH)(ZX*WNx$yVm1Xsw3ajIgE2Byb2 zoGD-Rk^Kgiw+WWD)oSwmMEr20qBj)sm<+U3%jpgnv}gG%Gx3}8T%-HiQ>H+ljp)S8 z0**WOPWMc#RF1?Rj#xC@0vHx$XcLoUqw}I^?;e;c{dNvqWP4?1lU9-P7L=`8lPdxG znlB$QZT0ugmtQ+~!v{=OF$#sDk#RorDZSra0Ne^viIC}6tmSsqEn@HX#j#~=4v{S; zKV7QjZoyGg%CG24jf-(dj`6x-`F3bMDTp;%$}W~gUq4ZL&W(KKDaTUw+6(><7wkTF zceC|eU%$`Pi#8+QCU;2HkG6!o&rc)O`4j5E>IwAE%ss?2yQ}4Ui;VcQdO-CaGW!FS z^wxQntLNN#b1o`dkNtyA%Pi4x>LrPz`Duwf2fWgTpvvL3l>D}GDFcwfY(##hI6eKk zr=e(UjvAu^tyL+j)u6p`Y3VuV$J|lyHz!R!wyCR270HnKOih zdDgnpoSKo#tG07@sSrj)%;-}lb+1}2pf{HPX;;clLGo*gb(iOpFN`)aq-^sxa|3;T zJ8O3c%?YNkq{MRpTXAt~DM%~xH}?Pj{Jq@)@-)NQco1DpK{Cd$!idZZ{qH7FOo(s~ z;XS#D9_Zg-O=cwGC`Ooe=C6J)41W(peB?ESk;hY-$axHj$6kpJp^|7ihRwbAMEV&% zX*dpF|H=O~iuoi^KO{;icr;-)h4dP*fhA8(8a%)M*aJ4YcsfLPdnI8&m*0wIhGQSu z(Z_bns<9PoZ|VP8|B1Zh5~q@YWRKmq$lt~RKNm&j(XV&zbcD?8-=6S%8!EMyC~JKV z!KIA#P-SKxOKs-J(sW1$r+45e`%z%Y9$w$yk2fprYyYU_H8n^nwHZY@=_Hq*p245o6Iy;++e5{9W?tq_U5;{=qeGR%qGl2gkZ zOe4)c+E0K4s*Sj4buS14v&r>lwWF+#5*P-2_3FZHVl+Pb3Qfql z@+ivE!=Msgi3OX=uh@1{se=bqURv?u?na%B1iXL}eO&s=)d1a4qzFUg;BFV$SXO=xF0J<5!-tkDVVZ)&(0z_wAYJu470c|p;sWQdgq|1oO z`ywBwvgCovO9a2tAQ8=bC;Z4O8$m~7{()~cBll~I-~5F1J3!X%flhO~!Ys5xl*q$#5~>Ghs5SXQ&0=y!xC5bb#3T?}-rFwCg;9s$W< zJpm<_F$^3)Vi1y=c4$B!XZxfh>#6SqL1Z5D;2;N` zRrZT3ke|X)^6O&>eyC!$h9;O~Nhd72-&msFG&QgNl6+Gps|ZLAmprlU^;O>kPNgus zlbtV!GiI2!5=S4u`?Pf6-$US9wI+lIbeqLj$ddISIxHPARx~%z(2fL>8wl*(IvY*` zovjVHF@S&oMEL&zM1?+Pu~)K@6LHVVadAfwKU@D2T+GBt(+Lg-(o5VswIV2O5vqU> zQNl$8>#~a|p7Q}E%BN%v0rxhh5ztF5?`si+Fr-h z)mPircTPtWorKHS;$1Ywlt*}-(o<62tn)s~CU3X=6~S?}nX|n@5MJ;1Ja2LJR+hxG z8tap=&ejcOzvhuABfd!wNgM>pE<5T}f7J%>r`&#(GlSMS3Llbl)FEP^psuJdCa6y0?7I|ZFj`i`hmN3^bQjzX?8!6fj_GF-# zh=K%RQn1uEsUjyNcohk~md8vUE>c}tx#VpEbmD>ykq(X9``T(X|+{5OkQ7bjq zS0SAS6+M2d{_`QC`M+{=r2K*J+fTCt9{!ENDr9lB#2m{mkQOb_C{QW)5q~IpNkKP1 z8XvQhptc$i%j{3|3=JTxYczzJPd_eqOf($VtX-NE`Pu^;gEJ!!#*-`>p9WT*|5Bz>zpwf<5p1&b@#3Jpf zf1C=^Au!-exejW0^tn~x@nt&C4(ruU;f3@|f)lDUvmci=dB@biuf&%xVGlq^)|YJ$ zw)ZoP6KvE}3eU%S&On)0;}5JB9~@-NV&&6mIH?Gut=FkKHy?i&evh|Cw6YL9eR=Ex zqLi^EcQvQZHKQ$hK=r4{Ot^@7KZ@kqhPnR78ZR1aX-oF~`wgj*h=}!=>&IHnkA_Y( zo_QHyxJM-O0~XH8IJiPgQ-pjG`Ma2HSbj`ACqm{8Gsx>jjc~Ul3Y&n!0X$e1fjDh- z!a+_eAAtfPI03;TLN%&mtK7BArk`HjV%!HO0k_QBd#m+lxrY zQcKk&^OmfFep_iDec_8i;+E|o+pGM&B_aYZMClniCmDz|>CG;_=apN7FjyMnE=C2j z&KLtwatAwrW7)=nfX=QrdJu-(ZcgN06&9*V--IwuNXGX>CBrxayQ#!gW1Ew$) z!4^_Z8@f-+<;~Y=?^udgZ_p7LD0QxD50+^v;2pJkHXIskP0mUOl+!IFxF4>r+p*`^ zD07vnI~de*4S;OjxHnDNQ}0$evGJkwsXKThkpLRFI~nbLpbkmz5>Dvi9D@KhIE4V{ z9Z=LeDeCGAj4T!QsBGFepi{74Nfgil*1MRmMx(alcqVie7D0YbyMt=SmO>++gx^~B zg7F?r3uo#E2XzOPJal%0$>b&oG?&T-K_#be1ZwsO({LS@Io6N`+cJ9#Q7{!%7|n8& zjB$lPF?vrB%!zz}hVjT#D0890EHibbt~-$!40}4IQhx{gvITNIDTcjV<2PHv;P&5a z$9o#iZ4#WPW*cf$&e*daslw}z}x6Buv z(90j2=9u$lay!#D5|mI4NB6=~R$VT8Vr&YlUm6TPny-G%%bO-ts3$>5Eh zLAfSRu4;8oX4xx(N2C?oaUZtTOsn159bXpm=7moT--O|{J8G2=F9_lUrBU3t>C;OQXFWzBMOd4i2s^Hup*{B!L9? zMun5TJ!cnVZo++L1FmF%g^q5}fZLe-wGB9I*ns}k z5+iU)k-%4W_rQL)WQCe@b$7G}Vv5uSV=HLkFE}*6ZNupvJp$GEUUt`(X1s7}_(OTM zzpD|amR4+yCCaHSh1bcAqI223_Ds-$xk~pAxp{ z-MX(<#(hjtTM;N!K3x9Y+EY95_Kwp_!F}%IAZ3Eq;=f(Dm0Ay5Twe@>IylrJSWDPF zREJ2UK0$Vu6VP+7JCfUAYeGYy+6!uG31(D|BG!ttWCCR66#3-b23j*j2GG10)wPfI*LUeB^)l~7Kdv@(ApFQEBY}`F^25W5lHxvc z;)YXi?Hl=lfSU&|rtKm+-6;C~_5e2nyb%DJl%LmMAQFY+%7&rcFEpOeY1RpMw=P39 zEz&}Dh(C$Pd|Lb&vNvdq>HIZPE%BF?qmiCet>@+M)Oi94yKW<{$_G-9Do48t&AV?d zOE=V!<(b&*suCVV%v?r>;l}PdJDOEbY0%C19>Z%8alnKr9&2_xCrX~j_**2&u*68s zV~ZI&YcVV&&gDW}VUB@n6k+vL^J=GUWFIyuX#wV3r+S$VW-1TZuN5 zkMoKPqw61Qhnd-#Nczx8TKzcl<`38#R+LRw1JtFWETmNKk`j9-u0;0Cm1zsPkj#Z7 zw)|lh|CbiyoAkSU$Fy~{N8oGRLSn*eR9WMHqdA_o+{W!|H=0CCKe^j0F2y9!M7>$VJCQGibi6x-B;9pqA|Ubhix)$% zB;T*ezwVDzN_TSxjyr4RvEKBiNrd#5hBYMluXnQ25+Q=p6Q-Bl{g+GWeK|i<&99m! z627KC(S|i&mZSwni;@54)MaviMfTfl%~@v6E6BE4gPra+0#|A5^=Bnp23q{5Y{r6p zoBt*A><|i5DTb_i9##D|XlXI%&1jHRcxCOHOx+f?NLz#o)>)%qT zV$$S2Z)Ovpo@qBnCHLmuCs8v2HDfbX{9wy3(&#LDjbYdK(~l(jLpNrgUgF!l*|L06 zGF^(Ny<`;DznM(T(mvgpan6`_AxU~{o8|AFwahOM62D#>&FT)g-hHAHl@&3q48t0M zW|dbD2|ph~fFm`PW7f~#Z{PhTiSK5`C_Rgu)MtK7Vl~cO;O^cv|9&YmBtp(Z1s*A{ zbhj;?iZXa9?(2YJ*fnw;Vj9*5QUoP>)1}j#wDTmrHz%9Z z9_qfl8%97alkTivs+Piiza`zp@Re?{YKBaP>r^@GVwJ;1FP;tnhx>t~Iu2uJXpS%* zJ}@6QvzWI;OMaF000^XcB1bjkIi(h3*%l5%En8Sd|A@im2m87`xWVx$DPI+{08C4O zmwfW5dqk)t!>*(HtT51>^w5dNfYvU?_m7dIABn};&)FJxi>bD}C!YWDRM9Hr2Y9db zrQ9+h1zsEfuLYI}K>k4&IyUhNdlz|Hu)!G|_&=AAPH>t&U?TJbY zZj)t~c53iv2y^R#P#H#s@8s(El|S%eaY_u1>IRyETpjo8wQ4kQo(IQ2fd@@I9e^dV z)SWrUP8S+8$DGHR^UmkP#tZJv`_l`aog`1HSnIIb(5J&9eR&RpuFILeB`aSF_8H7J zne3b}Uz4qTN=g`f8&;B{o!;OzoJfLZR|(q_Mzo(S-6Dc_kX|*caB@5YZF+L+aum+y z#pS%#x>uW99?9q%!wZr~fE;Ao#Xlml$@FL8?}&HQIg4V?^S-0@5F9WPxRn?6!eFs9 zMaO)nJjY|+r5r59Wf-kf6~P8#A@6ZqGmlHq7bWYqH4 zSLs7bU+}g9h{`G3r3ZQ6*Di#2Ttkxi@u+Q*)!CfO+a>>v55`tai-Mn*&VPiFSzoNg z-u`~EmZEEYxsl`f{c@`qpJe@Kr|RwZKR@e7t^e*fAAbLP*h%&vB$|%y(=FzQtNOfz zO*!*?XG=FL=gXb9&(0**r3t@t$KLFUzds3A$nko}O9;T&{OvK&I~&lCQf2})(;bAW zV_};(v=XOtXVhF$1oP=KVZjN3<0Kcc5O`Tf7~m~}3v=(@i#sR-e<@A3C4*sZo-+^y z!#wJ_%$pSwUpS5#d>n}uUnNh;?jDAE3lt#$rdsbr2cxb3ACJKY0Pb&S5XvjjcTefr z)v{pFYc6a(D3mxda?92h9f!V%ttPZtvexOmHY>L8rh~1SoK1dk~au(Q^#k4i(8$Cc!7? zq#~q9`QLb_ySO%Im^mYY_$J=57Tw99ZG~{E(h-74y^u#hN5N70!y-%2|KvZL`Lyys z=tl!bI18Yr4+Yo@gie`Eo;)x@n^L{xtS7Un;cy&vwfgsqAD7p3gEA4t>F>cLb0l-6(PLA>&c!E zG^(Pz9@=&Hfc^0G3<7mXSL)#X=;ZTHQqpN!i=2#7@0~uA?09;DnD90rS?z2fAW}R* zn$IR`7-LhOQRDSH+p2ufgh=SVHdKX2iR z-^nC|l00p;8`%tH++79J_B?qnAMPFJDf-5)-qCz4b^2ZP{dGd=1yd#nxm&-DP2A7B? zcR81~3N=4Hkn{)~&HFyT#;o+gDlOR1@yGA8^Uoj_uQ0OwWtikeCwZY))Pg5K^5o(R zn*uIMH-D8HZ{00&;1%zgzXm;je)n2GAq0XZz&j82DYfrYB)D(fa+OvVEj+Ghz2YI; zR=XX}eq*lyagt>B51Wv%c4kG|S0KcHl7{pFT) z(S1yx+Eqn`CQqslV>MQQ$q7e7<1c<|i$A-pkmb6%69{nH`CJ$1vHp>;#m68!o-5QT zaEPM_73^L<`yt0f(aL&_cYli~C^}ysVP&X=vWx(PfC5kl0_lP1$IczXVShlhe~M9E z#jF(e+(gT)2M4Uzwi|znS+7^tuEO@v;b6QV3L=pV*x_ipR#;b8?g1TOtPU*;gqA3b z=DL{mWQ1D}ODP@>D5Ck@*kKr3`gIUPJ7+>~Wr9s5P=O=A?jSQ>dxS1NrC=kciH1@o zk=HJ(xM5D{6v>PufNWAuhYm7@(GzqR0o5p(Df(M3n*4g1s5c4nPLJdRN`VM{jK-4C zR8U|bfI6BCRCGlLCZ)%c&g_vTA8gaDJVMt~aO!i>jgyPnA@3^^RgDpS=H6>eCT>0? z{^CVBa0H^WB|;a&PjTeS5bz{GQ9sIAgd;~6Qw#&_jYaT_BQhObGPQBCS9L^hy@Z{L zGrAyk;;TS1meg7oUzWm$XL&)+bi}|h!VsEtMz^}sL=M!6Fn!ct?KnQ?K4KNel3a(u zy|C*7uOQxGG@57vbjf;L3~WrFc}{;_9Zl&}1kkXte5e4tK!o&Q+O9BCO$^B&Y&2<+ zsmviGQ3|&un!*VUkYX9D5w~z1WSDTM&Rc*FOI%w7Wx@SLhe5SYi1L-lOqZtwiUBtq zmmrAK&4&DHnRHE?gX);uM2Dc)lgPCmK?lMqr*dkivPDuTL{f0VQ~sjrZ_%#Y`la`8 zp7h@xZ$4sxN1}_1wUpzoD5qKjr|tyG@aAgjgbTC`5-5hd0Th}T;`A5LmgPse9ULE3 ziHDa(8kfoNMiT_6`d_{OkGZ!Di*sArgr9Dpq0z?O9TI}OG!irfcaq@llHfEBjk~+M zyGsZb!6hVt;1IzRAi%KSbKZP=u50$3{mRTYzn|`|yQ|i!wW^+~x_Q|_z&#uuvIj^< z8%zLZN=iXTQO?7g|9Rb|aD7693e>>MjLRp|%tw7lg@r)D0;5s&AbpFhu!^?;{K+wU(*aF~5 z$_Vg0n6=YnODANaE8wEPdnN6Ld9x-~t5q1gS|CIngW8=dg*32X@O1bTT2wpq0#U|HQpz4>|S_4%QRmY9+Opy zyeq$gvl@$jGSEdwg9#TDIi$U5v_EW&^f^@snd7GgAhz^`etffT?yudFfrW4U^kCsV zGjR%5iG^YbvP~8X6MTX}JTsHD{bo)H6-ERCx21?c7uQD4tdCM zcusV3^x%`j7Q$H~27s6W^Hj2U1}8mPt)EZgmX8HhTjYoK5seP>%ACk(%cHkMHkiZ= zrzWa=3|i!7GD-z9-2+VX19)|7nA=33L{sQRwI3@Gp$W}DVrKd{g#y~$;CXhcmp;S` zRabC-%Ncp5pLn*uvaM)IC#X6*<>L;yH3QQ+1_K%M1}Xrl?z;UHmv~tV#PywFu?LIc zh+FUoOUx!?nZ=_qmRW}a0uutyO*e;%2N$O^Oot~Nvd-d!K~jq`lB5u6OEVE2G>J+= z$xC96lVlA-!C7oixQZvd^6j(MqNlAzRv!hPQqQT1J-{z{qpi`3dQV#dJq0i$2CUB1 zRXlOYi9ESUp1MvxizNg?>7GmlQJxP{g~If`)7b2sL9{0N$vQu9xoslOZA4C}{D~C9 zJWOsunyDwW$8|u%sbZ?O;BX-+<{HQ)=xfOw-5{~tD^He7CKj4fL!O6P!du#2maL!x zsAwvxV2Y$p7+_KGti28T>$CQ4?gmWnG1ubMqeTKt@fj9TxBKK^3=(O>_G8A5S!IK1 zHuK|$S(=zwKSX4;j^tBuCN*8j^&mY~QnDJ7_3xO(U%ZC@VEn+xxYowCscTL@HZKRXE>V^%)j zVu6&I;6kQ&!&w#%#YpLyq|R{e3t&rwF`sKN0w;K5Fu($#M!R%<&(oCb2)8(yn7D<_ z*IH!!8y>mo(Hf&Su^P^;K7b#Rf_eWZLSq>6bXx7xVHnm?uo@3ODH#L!Cm!Ud1eO=R zfpCzs*Zt-MXU}xh(*jI$I;_AK4=g<&)Sl{uoZ=zdBiy|r6wIwo!ziC_Sg|%q8fyCt zcCb=?vu_jH80(0c{&~An=CDMj!ZYV56CeBD>-AE!qxguU?(3Nn7DinnRu9&AMy6A< zA3QZp_H->LsLS&UKN%Z{*xGQywIdw0%M;KA6MKUb=+77TJL39B9mG4?wB7e1A~8zd zKC?elG(@PRT~cogVSO!jsejwmK+4t7?b>j)(m;~!X7B$rt1*0Za-_}CxRxKk11F<%=sn%!NMV41> zp3!ag@2g&zwQEtd^BJ}KUsbuAbup4#6c9;A5c81_}CciHoUg<3E>a4sfE6i?0 zN6>b3cXwWO4PIr}iuL%5cDKIo?#=F*ze;)K+Z(;ogXP;jebxP;yAQ{Z^^4K=npodX zcHhZWzbR!u$k^b=RsEK4AG-LUL~}2wX8@Ebq{;GReD}N$??^qs?%HTf7UbV*rRW5Tx%^pf5EnQ!SQ;*RbtW8f6=>l(f@ieNMb3>e<`YWDfW6PQDQmO ze>t;v`8M}@xlm%I)PJS2ccu1vrBUL2tN;7X-uJ!N@9+4(Uiz<&_pZLaUY(ZsFz^3i zx%b0|>ksP^AGiEJ?)85Bdj0W8;?tS`r;FZC*Vmswl51!IYtX(moSQX#$#vp@b@IM- z>YH_X$R z`}S*Z_8TP+S_2L``wn_<4hAK^ybSm<-uLD8&6jD(uk!(4m;1hcxcRy+`E4uU+g{(d zuQ%Urk0igJ1$@8g`+j}%9VBI+BlKg78`#FsiE4m=|7Kcc=pqL(^m4m@V>KfZr^ z%p-Lo5co&azr^jyA2R>~PnG&lRc}uC-X#ddRdGec5jhmhPo0GRUM^ZN*0&hWJ z#6S=#7KL~uci~-mD5a<$22asQ6cMK~6@sUDG?q%t=NATV$yg$jN|tz3Q}N5xdq!P; zw@|*asZ4(Rm7XZR@;ABSzL&qC{1xvCV;b4 z?n?(u!J4H;3mqScXu;Z*R)_ap{#ZhF#?h7sE4|T+8>_v3SC`jV!VPPKq4wJnF~W_r zLot+M0oWpUS=tn0j=mU?=8qFOV!k)nqAk1AqypKJu^BD<^EF1@0XV)K`^zokqkXYr z?cYB1JXyTK5x4!mJ`znS71v{bxHYA~5{N5tSBpAFE7%|R#Qf~*YPaugooM&Z?X}5l zsd&lW_eWoXssr()`hH!AynmmsD%F2;{p*Ud7th4%21n5(2qH;p5{#rCGYP?!WV_7@ zCU~r98b&M=X&O#pIA$6_6A+mn&Jd?h7s-+rX@-nW95ahPsl+gk;hoquixn&qGLIAe zCcGXidDB51FN3SZ*(SWVkU zWrEtSZ=$fezMC%m`k^vvTFNC=zLv~9J+N(E;XvLxwkJ4Y zapixE^R?;Nexu~n6_7Vsm$l!AW!-)31a*s8E4L|v zzDIn1yq~a5UW;x-y1#Y$hTUQ=iRbV9P996%W9Avn>y~HAQvQ~+nv-ar^V*MNJ&kmo zb6pmml1_Lo;RUKb*EP$3ZN6+Lgna(qoIZ4G#qG!5^AD43S-EOSfm9V^hyi^}Zy$LTv|=ApOV%KU|o zeGXu`xL5vlZ=c$KX^U6z{f7SGjqmfWTQ2qQL&@lV--c;vjgMLw-}*UDbbs_arI)Pl zJYC3^_di>74m5CJu?(d9@mcC(<;PmOaKNQk>a&@P-DV+@YzmJoWcgdQ(yOpe1XF~*^Ukrq0xsO*-M zQd7eGAZq-Pom+Cwml9Sf=!81bEv4jMDZ5(Kgm#r%YK=oF=QHS}{)AgvOHJv0=cq~J zeYfZ4ve*tut~ekl{` zhrV$^y64owt?cUn^}@jdFT-@bePuP^0Nx6pS8OpgN8 z`xVl7$akR}9);MB6|%IL(~-&^MMSj~4<8_>W9&SNslHYyNMX(-AU$qNnC@39sUc@l zsys^XIaaDX!<@~S@F?T2tyFbJ&gSfUl#73@R13tME5P)ukh@={5s#cJ;qa_{{+EM@ZqFDzx~~kr1q=1e zQrBbm+keC;66!@Ue&Bns^YKUCgTCX}G1@VI9LrXc%{tJE9O>KGc7yonCS&^48ESD3^?bUH1qX zJ@XmSOE${$aWO`~Xv61&aD>RhQJlehbI)tCF=>{?geZLrdRp=jCBfq)g(VACsZK2o zn#B}vO-s&a2CN3>3u&FJmJa@%lBRtN8LpaEeEG{PwrLAl%d1vaz02ILPZx6Z^sLQ4 z7_@qGE#zITSeslgHv~y86!6@&@YDLVML8`Lk$&v#m z=W`8FPrkTOzvmqfm}|=X;2dT-l#>PKJ;jr%&cY z;pcb07IQjD-w@y7{3P;L?b}Iyy!funt?+bo-%&B+^=IX0mm}G?-%cZBCHArMMdwkR z&Z@tQ9T=7iEp~tWRv*&4YDVkZKIwFBS1j?>0ey|;J?;z89?v(Ah@aF4aX*GPdA|Gg zW6@mUdd1Z99ER?AQDepb?4IU1ib21iq{O?J8s|AqQRsB#jQ0-3lRU|3U*8bJ^T{w5 zIxVs0+Ecr`1{c$uH9ooCKf$?LnhZE^sqg*j9DlWX5b&etd++x^ykBcrfj>tc^c}^= z|JvjXyqI$CJITkp-c<>_oU89UtB=3_Vjp<5`n~T*Kio|EEP12kq&uf!K{idy_&%f zFlg>!7`MxW?3jkU3l9;|rx8SM3zglahG&R!MTUN&_u^f8C|}_%ZR4d{ zL92%0tg#eK8)R>26LuUFc1c3=L^w>v3;wJFZY>vPUJ+zD=I9U^PW{uwwSviAF=AB1 z^hF1ycSS_!V1&OHWgtdm1AS!3F6DEZNUh+=s7T7NvB>qt$auxbM7F4iUDMRvh?K}E zjFPDACF%6tsALRep-mK}JTi7EsysukvI3dA9$70)UXO7bok$5~ExaeH2I2fJbKx8uIpI3HDn&&tQ2{dD;8Gj6dgUdPMn8YAKs zBLOKCk3uedXp?|G9uM8~!Wd6r(z_dPC;F8w5%R*8nB5ycDv_tdp0YCZVmFaQ#Fm~t zDPBH_%G;im+?cL1DP1=4{y6?VMzSeG0-sXyhE=lh&m@sdAA#{?{+(p0PNRG5DYBgo z51}c-Q7N=gCs1dYkax&q^3?mYsw(3tX!zl5k@2cZx2dZZsSkgq;BQ&9@Phi=0OwX;yNuwoC>jC%A;B;8BIz{x8;ul(VaO*p3Qqk!db z4<(ymCA*j?i|~tZA98oM&J0A9&GU7_kZJafex}`C zW(2#SJ$i0aWwxvF`E4Qj7f`XQ@%*xl{M%&_5Jy3(>}>(siZD8|Ags6mYefiWzu-eu9{z*` zOu6t-q>$83f~2bOOJ*VUiZ~T!kuGBqBc=eeU6FD~5xX)!=R}d@M$rQ#9}h<{uWYfv z1g{XXn5DQ_Y$BGwExS}Hd(|K>**gbyqj;!T;5~V^x>89~SXNI`NpEwBG%`oQE=MBD zY0{QU%O~Gqz2vSM*Qz6Lt&;nxkC={KnVwy)fnk}_#RHqFd=t^KoY7J<{SxD-(i?{I z#^N%UeZw+nn0;4i@LlBUBN~`h?m$syVOQcfQ6AY<_#88_zOy{PGAqsQ#Uiu(lx9yv8`TP zst(O!>a41+tW15el2d_MU1i5TiWD!rtm+rd-)FBLTB+$Xta-Ozd~c}sgEGUXuHugz zbppzDTbD&U$U63_x&zUoulse>nDs|Kg(u4O_;&R_x(Y6;>d_|ZuP^g&F&i$}8&D4N z*6kX8A{(IH^;nY)H+S1oGr)tMzZ!T!{cTO)hz|K zf+`0sYFMoroUK|atvdFtdeN;0)wiuildVq<#6MuPnR2$7tF&3#w^>KG*;cpNPqsN8 zv^it7yK=U>Q)VnbY=8T+_=T9EcXd0HAkyE+#Sg1P%``TIGPBI4!{f3&in1kgvLmRw zBmR9$B4?+bMn|fORZ4WHZ*^z(L3`Xm=fn4%g(@Aa6nP2A%4Uun>=#|_U73%r9Cfs6 zS1wC3@7g|nn#b&F6>PhPS1O$+s+UFM`c$ei_i`HFcMn~4FYd!9?Bho4Q|j%z$FBIB zRcczRyULN|3*9|k?|YODdTS4AROjg%{sQAs%bLmyY^w0)v=^{1X~QgyL^)g% zD-A!dxF})@$nIGd?zMjSJjf`{O=~w45I)476U;d^q`)@xz`>g9-f*0*yFks5P|WcC znqe{Q;kSFk5=+C<4#PZ;M(Bh`6k<4-Yex8nMpS!v__1H69gk?`Fz7hEWDS04fX!eu z^^)fE%V$&cruRl|GDj>O95iD__tQfAJGt#+*rj$ytubF=uk^V+Z}f~Qq!JGyFM1V# zGNkCx6zCunWIRG3JLY6Q_DFav$}i9F*Koj>F^dsk)7iiBuM94ATM0cZ_fXMy*hEI6mfz$wz}8pZW9a z16S@5)h-eOn0Afj$6gu~wxaF(B%mQE-lM9mA9TU$+fA032@S6$BBLn-QywkzZZ{xn z3{G^w_YNk~(N~|>`tmxx@ALltMuN&=wbS##M~MtEws3FcGeZBTNtf&On55lLmRA`G zT$!=GZo%@Yg&;|PQA%;NXhgV4)&yPwJua=C^n0-YJgKLBL$n)2a5&W)_11i^O&k}K zK+NEXf*@Sp4wGO)O;4&PeB^gcVcR2`?*Vp1Q;hgKCewuQV+%&8xJjDI95;^tcC66&Tp}aYqH%HzF}jGxq{x>@834SPv$3I{-ic8h!|JrO z9>KqoffGk0;-UtHpsBwm>7uV>N`{C)OH;HBMHWQTZN~~DOsL^+L8TSLZ*Z{Zy_xe+ zL+@H=A&v}__gCeH(cELgwziWN7bSv=!*)A5QC8O;DXSp+#_UlY*5m0J;#u5a8NDQacw1+_!I$h51AF9oj3GQ z`gG+0+J|y)^>CQPF$sy_f{Vk+Xd}dr0p|RiL?^uvY8O1tj45DPR*AOcLH~`Q zAucKIM%9OB@Q{1Q6NHvMuCLHp9n_sD{P@N!O|ev;%YY8GWXK!H8r1S%+1!2(k_e^I zZmsJyg{yf-ewhIpas3_Np2295Sf*Z|s@@Jm)QVfgtJ9KCe_UEhx`4oo*7`LbyZxRq4L1FscOqEyg; zXuNvDD-yzfxzJ->_^)W$;?A8G6D?9X;6ZnaSf=H zY?O^fIv!MWL^mmdfx#>cpzkKwd}Wit>;RSjTSXAt3;-L5xAz)OTr|-V&@D}X>}xo_ z5Ras^52u!LQs)8FeF9BsP|C|3Cnl9J;ov7Jg*fnf_XI1Vtg|VI%BUricGCpBF`G~* znqi1|#I(XiPNL>upBQ^;$wXNcXMO)T9y0zCz-f|1MFf-ACdg9b!xewdtNC{O8B6$t zjqDFTh;y%DEcJfT9uL2MnR8(BL$^`r_>du(F}E8S+G$-8DS6Lk zJdn-`Szh(fP{lzqg-3)+sz}W+@i{)I0Nt1gdtyGvRN=-ZlRniQhe(yYkl5=nf?+bv zoyyndja0Tuj50`VvQ4z_!jZ6nBc#sHayu@53CAk6_&lBYeNG+Rf?@HmD60O{fId#r(o5We#=x+9=Dk%*W10(%5FJd0HVrW6LNf3w zQW^3nQCnLv1Vk~Ek(?Z#cez&AiAMW$hbc6sNu;6pGCSdMTIpA-uEkpXLOPUuUc?}jc!FZ(Z7=E z8w?%`F?g-fd@tSxJk@6jwL@Tm^aGz6ik{u9wC0Q=z60{v+Tn2hp({#w*n?l)K&T*q zdMJ(GPq~irDB~43WHQ>HYJ>iEY3$**qxg*`JntM?nq$}}P>);;-gE)^g5oH}Hp6G5 z97YPiLjyNc%qI5i! zuE;T_x#F5ltv$f;(iDBCy(6~c?by8b%9wKIj^?_v*p@F6mH5X1HB5>9~p6{hO$ZBW2_Xlc!bB@OD`)2KaMq&+3dm>~U3cE%ZJ< zZ_2*@Vx!i*viRn_t^4|`OMLh0&f_0l@2|gkz3u+^wn}RTFED0jObT`t|82flq*2F zz=(#wv&pCOncFSCLUL8xKBbf~cZ;ICY@?^lx1X`tw+ydt6%RQz3&R`C@V-@!*1b*6 z`>ZhH`Y}p9*qEvSL|h^Lt1#jAoSrD4O8wLE7gs#LO<7txnwLM&r)Sd#ir8#D*&lM+ zO-D=4S5SOlQxKbByt@V!ax*=2V=C8FbR%Ha(qxWEV!nFCj6xUar^$D7Hw$+M7VgHH zjt``RS(lIbTAG6N&HS_65V-_F1q>V(8XPnk2;6n9B?3-{3YZ0eoo>oG+kyxb1jH5H zJyY*Z&fI&e85kpT|L~ZDUzigzeg6{y*Fh53cQ>wSrm)r_-i{*9p3j^E4EK73hz`-Y z&xZIjnjd7LaD!#Js~>9US@Pj-@Da%Jkc9A1@BvgCJZ60S4?}o4icLAu_{@d*Vu!e$ zz3_2}gv<#=15kv)Dfm{m<`Kgq;Zqoo#me>y1S1mYtOq68g}hXySVEl?kt&LuuUP15inIWU(MK2;Fkl>KH+WV)n`(xB9m z^7#3PJcLIBr9q+YwpBKWUomV1HdvzAi>g$4N|DAC#kVX^I;?;c#Gg-jB;yVH9twLX zf-glLCFHHsW%?*@J*9sH21ZLI@&>QEpj!*TlS9d^+3}aGowkXR+gcv~BzmG@3p?Uh z%Rfu?Qc{QPkuRpGzMoTN3@0{S(v*3ruIL#@Fi-R|!zM6AgYwLABjrxg=hjomN$% zGwR#k6TWcMJn8&fs0sKSw!a7dl4%TWGr69Bf>&n2BUtd=9Xw}}ozW<{Mx4JfU-((a zomo(>pFFX!)$Dj4rYLWEZeogRXI_mk7iF;^QZAN;Sje@dLk3OB&P?e^GQKZbsF7H9 z%2?_?w>wy{d`4pRWj37TOu;(MYP`V;W7^(@#TqxoA=L`zyI?IL43v!8{@k@KXv@UK z2V%m>O}o&Ntn3e298X3|vjuHX6YQ5dVY-+0svHg@Ru0<;hvc@>DH0bD%=wj)BXbF! z+H>6PTXo09G{>OX(u~p4^z%||9;Yqcq8%%{{qQVo9+xo8aX?K_S;r?D3Uy-zK)3A;V*=}2hQ+U_0R(D8I zKZ5RlY*>HCDf~05{<5q7*Gm1(Wj#RI02XUNHEMtyzycM#f)w14q(p+9u*e7k9F^xW z>9pwC_$#)g$YuT@5K#{LJ!(!Oylfb4HbLGZ{>y0?+dB*OZS0?@6h(t>Wz*SoiA_1T zgp|!k^z7M9MWoE=8qU51D#EDDIfp*|gM{SORFv7(Z=@3+i4ieQDnIrmnB%~I{R$=w z$Hhzx_>NDDJ{|DGw2{)a6{}JAqe1{4IKcMGZ@S&yvH@N46jN9jU@+n3gtXgD(uo!3 z68)r?7rxI{!~oe~Cm>_FEvE$SGQu_4&^p*LezK?@Ghr(RN6K_qPq#-t53-QK4*;_T z%dlmL1*ISYAt&w8n+U25wrRIeBG=#?v(BcW;1V-RTCxz`yGeKiJTq`ILmQa!68}@h z=6J@?sn7S`HL<~}V7!st0%P|-$^dH&TB4gWr|4spAnx`!kr@dh}Zem83nF5BPUYB z_l&~zn?)|f60FFgiRk-vp)w8|f-Z#oQ58`G`q43C_qSZIrs*)T;XK0_B;g^#ugKc0 zK_W@AB55H5)@;#z7^1|pQSBMgl_)XU`Z;&R^pD{<79zkaI`njeDofJv+GO`Onbd3H zL=2|b4FipZj>_cqDV=O<|q#u4qOuT{jBkAQ- ztfSOv{#N>dyoFHJl@>)rXIx4XwS%q1TkP~-sA-2xY8Y^}^OE#S)VKcPY3!+>JUVP` z4IB$406PIMH28G_XC#NKiA({s zd(TmvNU5dJd#TVqn5B!%ltHKWqjhT7r}0d4#`d(%T|BL&b4PC>dTym-B}$L8?PhS+ zXBsnexJrbk$a6=PvMCIel+G~HH)3vn>A!{&t$63>dlr52PF}AxWUo!BCpLmL7JOMY zA-H_9&YZt#SUicBGFnoZU03}`z4YpN})7!v9==N zOLH=xxz5j6#_9m%ov}7ZmL&+b)A?scBVWlIT?NoE&G((j?7e(_6(-zTL(O z*Dl=6>3OMpS?MH8<+H9b^Q!j($h{6$a&9X*H9z z<#WOgFRdI9`(?PGs&G+9ungKHVzn(Hq#0QXO&r4ws$^Dwle6;vNVVcb!nwq*3iVZ0 z<)u?pS4FHL@U#J&>N6IdIZW&jdlA4fj;MkMRa3vJ!C!Y7TBxostQkPM>SPn%Yj+)5 zaUGkm@29|Lh{NYipO}c)5NQ8QN(!IxssAwHF8ONXO0Xly zR$X#S;SHW{z?SagEyKR8dqG?JZ?;Tmw&~Wl^tiUI0=7w|w+-U99X@X36mI`o+jf)O zK>>C=0(NYicD(v_OzL+0Zg#Z3?I0v~NjY~z19nmP>~|ykc5kY7V{UdY_IDE`_l`OC zQUdn2?DjJH_WaBDa&Gp#cJ>M+_uX0dO9J+tNdH!X?SGSb+XeJMf`2dbCL4?@fuvIU zXXfo5)NO4do!fjON2z)HWg42}{_1#h`P+P`D_D4}05MubWi+5wK~yoD0(z6HwHQ4x zT1HCkVsz%g1b~noxhlG^b`g-18Z)_ST}ItdXLHC;e3qExHmse6UVCmteAiR3{=B{3 zebYV^+-iw6GbM7c9X?s5uN-~6AQ=>cBh6#)B}xHClsI>#?n(%VNaKVAi+4!yhdO7I z)oVRyPXi|y9$Xg*de9J#JHV~RcogS~!xGpzgoT14(ID(_Bi=QL{}dB-4gV#F=k5%; z-)%eBM-i_ObdR20^XKq*HW17&ihgMgox^oSYtHzr$~w9($^xME&#{6Ld`>Yzunx2+ zgb4qnIvo~9gTW&BaAhjqQ<4#I!|oDi&GFhh@H8;noPEE+9VK#SQ`QWD znVaz$2_c6^i7zXH<8>s#>?Jzr9d}u_s6p4)0^|CoFmR#Q29ANehAnd%PVOMsf>vA* zz%wHY4IzbiL(4Kas+@0rSc3E7)*v7o!TYbo-GD{mw?;kd7@Pg z^(Nz8D$wmcAX+u{8F&a0v5+-T4-auq`pm2p-+f{ z9RPRvHU$UB{dH^$$^>)Wy6*k$V97=zeE8!AmQ5KZK2(=T!QBtTm@Iq)F6zxN3Cgc6 z5PF6;IOtSOl^vT|(Iu@*c4Ba{-Bmc8TK-g|$Z={UezcZ}>;@tRBVYB@`vk z#!Pp?=m0VRE&Q^fLSQHDs2+Bg4^yZ?KWxT~L+SWh^~#O(R__O)KgYv?PeIOxKR$%} zYmG^Nf=U088so9ePf&?Vmw|NfSUq=Y$sHv~HLViC%Q0dG@Dy{T(S|dfpTc))lW#;p zjp;PJGT)&Eg9Jz?fDMf7T4k%d00I^yg|LAapE{0Fgh9c4r(T=MjQk!l=$lQ^y&$$X z9UHjPjsl=?6GA{L;ezfl2t&>$0f;zcz!SyBE0DbUrpBZmMxMc|HtAyzXAkE=D!oII z(A0|jNX7sMQ@99U3M*=H!=$&o%AC%!38d=Qad4lSV?mDYI1HrILLw7F0rN=81h9Ch zUm^Ba1Jg93`4C3z$Inp`)&au|SrQKA2yy_G6IYlVOfuTHrV}b>AOG-GD$(DMF)l8zL}_x2ZT95yTBf;5=^#p~L=E3n{>5cm$ zP=!AWziM_-Wk5Mf06|Q8@a7olv*9`P_!)yC_XrrGP-p2W5#rVSVM)gnfG&4r0#LDm zo6ZOi!%hg-KC?|J?VXCn&nly$P!YsA86cFV1deJtIE`n) zld!nK)Enw1@7SEXc{o)Qk{TWWi2w#i$>81meKRe_`+G`>DFYsDArH|rLZrC{!!bJt zXmkrJRb4qGhz1UUXBfFCBxwl2lmZ#a`+&Oa3kWj?4-Og|Tr+*8yb{t{CDptC71s?6 zfeoP8DO*v!N04fjFnYrV^?*mPI>!_sz?W%2K~@xzlGS33HO&rkLZ%;(kD#A+%t;R& zY8sE9(mP#Ai;bNjyNPS#Km`>he(LdUu!1;3b_A|dVVt|%FgB=2Mqnxt2R8GMG}NPU zrLf4f7F**nTdi)xv0MH%lDw@Du}hEltKUe9F{b0Fg)?v-v%S6J8VDCC;)&c~dC~dW zGxClD3i6XlJ`K$alwW4_Ax*@DSCMTv{YLr8K~j4+`g)zWH=rt{o(@8B0rAh81Y^=O zMfzuxfy<3TxACRH{x?$&*zy6SS8!rJIgl!Qz%i6R4m7X_zELI~qJz7qu#+h$2 zj&i06Kpn_}x>6+g*1(ug^t~@#j}u6PksL^iiO0HQ@%B`S_f#wWJ!O@Y(s}#ZCC*(&@{YW2;^GaNH(%b5sU69yi??^pH3jIZyE2rQ?;;XvZ~Bad$g)H#{8|?@`og?1yiIyM&)tyuUb2ZYVR3{poQG38-j^64wk3m>q8#*#GvB(kHnLRaDxsMb|Uh+=Z z$I*(WAEIwHG-HzV*lBP~2oV@)2|!{ghxY`V=3#hj2{;p|;ZVNsGd)3>#8k*vxpl8- zI@0SgkS_(@_0N1LvU1(lQ+tHpjnO0 z4j79{U-L{RsHTKqHIj11!|c67ykDim zc3$~zrNcg36Pp08&JDPKQ2D*{9s1$Rfaa_lftZL0m~nS2vlbF=5_g~V=`tZAgHg_! zi$Gm{E7m0-)50N)!Oky)8OMZ}y$}yNgn2L|>2y%f!}Qx_fPVx!O{@+d>2LvS;;cct z3w7LDGum$jB%Hz`ABICv=tAz83d3FT>0NLy3o!RuCE2ZDL_#Qi5QTH|@CR)$>P2zH z2Dm>AV%i=g_ESR7OC-IV(-gk+d}7YYB{89hG2R5M_xKtR9WSR)n{jfIz0&)tqDcE-+>elPbV@ z;Nn8Ctb~;bL-T%&BOB*WkN02=+tdX66P*d3ZTvY;VpL)ZNmSxPl&EWces@bu;3C@7`Uw)Zl4~u8%z% z4O&Ax1|%P5!feL1ylwSml<*wj0E-J0WTwke2}57|w;=0|Pw*X0`bz;(L?Y|E(D>F^ z%*KDR0{WJSqYvP2=%(Y}wNP#e-gwk9*CkV9$vXk%(6E%*_>NiSj(phphp8Ex znN2IoTNj*nCf3-~&J1X-<1EBemPBX`&|hbQAIVL>jhk+D=Dl^nU0!=WUh)jR3x>9a zHVLrzB`9&73%bB@@`#`OI18^|#+Y=$9pOf?(*QIFv@izqj2a54?`DNkJV7%oL;)Zd z>R|K)JYt(Xf;1R6M==fsaAa!`Xu$7`ZmA+qD4S+Z4YHc;EOCh_@tOuwiH&8>pQq_s z;;jd;tW%gQu=bg$s!za-!Ws3el$EN?9Vu+1wmDe~tz6T<{!9dJnB_kE)*hqz)`D@% z2~giZNDHjB4J#tEDv(_jF}EufahDYd6qQM$l__>sU=vI=c&R>q1jaNlo1l?OQ5Ay3 zNG+?(!%x6WL|X&OidL$kw^24+%5WPi(<@-{htP=qsxAtf3iZM}hS`MzTt)`$jAOegYb|3TCFoP*hr>G_H`?9)%d6UBPe18a=6qPtAW7Jyj` zd$PJ&?~0MaVSp20*g!&Q-5d z5ZuMSB)|ofO0l8f&s8V*5LAHv0u>DtKmqRkH%k4>pZYVLyCRtXOK|>>3i~%DI0NW+ zr8f!rgCP(ofn22eIy@Ymnj~~g?K6NRW;Sc-z?Wuo{+Kk+-q45?1fzJ&bt)zz5}(^E z7g#_w3et^^Lss6xtt@T0?&Pr4R92A6V$=LH`SI4F3ej1LW8*-j!A=;={>2gfJ{zNda?&a~LFmF&KXkVgJ$P z>HE)`AE?1tD&e;2r~^SFO!OoeCg|3JkCn*X?o}pM4ae%x|1FbC{|06C7oeQ!LcncGpCIijmATmd?Za@t68r7TF+-&3Ss00{CQ* zc2(wN+g1e}tnPV}vO8z06L$Y^De5m5)qniKU1IZ}yMp46zxVIU5EX7xI2?gODU)-j zVff#$-G5xN#&600PwdA(!}*gUjK>=86ao9c?jHV9pMS>rFWlH4AN|K>clwK^tM>2v z$(1nQXs5`Pz9CUtgbVa}s#_6%faM7o58G>Iniv#x@y#O?Iw0LgNnQQ{wg#nAiR7}p zFSc_qsu9lRiALvgVmquVNZRIC=~66@1cCl5>&4(VXx)DW`UvPnDV=NVo<5@Ce8gvB zT!OJSfY3q3coe$i29FB6_vE8r#w^R@EYU#T%`r`LjR?wE8ElNd0nYR{2ED();9PK5 zVaOswbc)AhfpMY^gjE z#&;U&@LX{Nr>;zQ>$myx26o!}E*mphYUF>*3A+Bq@}GBtM1OLE+mC?%r4#hnO{tQP z`g=~$`!^%E>|K-t*@!xxNC;kR!{4c;o z9u13Xop181D3okS!d3S1>F2A>CBVX6ATRSNW<&;`z2-0V?`4m&1YN-&mbEG#M^dq~ zJgm~N)r$PDIhM@d5M}-a#10L{hjB#E2D9@TrWP9ax`WR!2;fO66LsoT%f|lK)LQu)^#4Pbw!b_* z8-JrU`WK7fe_p4iyC3d`h?+|P|6He!GWi`xa}1I{N2H6nU!o$LS>@u05^3f$QrJfj z!2jn`I)BUi`<|omx92bm`VV`KyQchV`FdQQKYNb9)W+a%bpQV!F@Fhi{5Qzpe_^r? z9)Q_?|2&tlEuH~j+`ym`e!f#QE;I_jU?r2xa{nBUic}2;9;cwp+r1mfwlL$mBMC={ zxPMHNSoW%lgM;EjO|cIh@2cJ(C*ZGmfrn6cLDlHmU#V5;*-EmgQf-dN9!G zzVCydfuTVMl72~}dCI29s1VL1o9BSBR;&aNU6c|nH(HLzax1K8XEw7SI99+JyHT1Z zA%;6MsgX*+jto+jnm3h9i$Npw&wF(Fx8(m5I}ZGx3zPoReE;zW>v#X}AAvgAADaF5 zki_`zE!o{ooKYDS_NVL9-tQ0p=c9-}e&ZkaWQ)Hr0Dv4SbTbO+&wNCsbgGm&d}pfr`q+@1<^!Jtw?0=Rzs3J#m{v5JK z4BQOM3oO*hq0}(gLVzz7OodP+2BpE&%H}+|u{r>lCGH{5H$wC*Z%R(EXG)dv6F4=`;uf=GxoKHh@`S_Nw%!1 z89P~qglr+%$reI2_Fc9lq>*f)C?S;Jbl>N6?&tS?dd_{G=Q-zlzW#li&-;3R*6aOx zU9Z}dBNGphJy8w!iqn}ZAD_F+c`$PE|{pX2!)du}uR7hu@R`44S;8F(g=d^-7pGEr_3|Bza0VsdvK&AT33Kyq>fU?Gs(9&g2DFI~h_U}H{+GU1=x z7wN;h9oMrV+dUifEv^B|t!`R6XSfKL8_;q|H2KM0sCF@8X8m9g5(noRqK|xFaezk@ z!EY=M1R6#@ZmsYc*=;m@3|?ik6TM;a3%j|Pg$<%Y$sg>Oa6DpEnmt%O)?GSDZY zefTsq5I8{MJlF0ZkB}@0Di^NhVggwZw8+%~Gsw;XBDgl%V-@KpfElu+cvc5ivTUz= zG=%vN585xU^*=svlze6X3PO?Zj{gjV`s=>*U!TeTSpUECRf`^JeZmMy zeBGDcS5kdsd3vJ4;i0^C-@W zKL>_a|BUMYrAP3W*Zr3RkIC!&D?j{q_AIS`_}9O~!TfT%f5pHb%rd$yM21sPo32$7<doUcsOVW{J#is? zI=5?KmSwV&I9QDXOo2V)csl=Nn8LVgN}d)VcTeYz(u=%-KOz*r40YsZM8A4{CITP; zuF>0H=*HWLH?6+|$hHe;;h_qTgUE#h%vZk-^$Mbs-k?n-q$XM(d%xAk7nojvRx!Dp z&gaEbf9WNN^xvbqrDLqZQredBKTGL;xrkp5y!!co|BV%gQX$bjRiw5^GWI}b#qItK zEB?3RaQv(}f$ngdMgK-BlaI43`v?B%2jVdc2>$;N5AnZH&_8BPLg8+)%bcC*slMNx zh|)9|NH_W27iCM2asD@T2JU`gPvm9BCdXlUgrmA)UF`fltllvU~>7F6t>J{-tX7=cso z%*N7*R?zZeA^S6gbGLFF7tu*jb4_Se^a9*MT7g=tE0iLS9|7UW3VkCyJ%9rENn6|a zn^<9Cq4Otg#xmgt>GlbVy?T>GsU@xUDAnxaH3P~W1H+ko&67H$3|9)zK5yYy7MT#896^R<%=Z2Y%V4LlkOg)@SC^=~d~MtVNESeSY@p6g z2h@4|-TU~ci7D0x?Xl3(YAcF=dA*YCpZz@k^0E5mz)|3D2md=?h9Cd>Ul%pd6NN3H ztfqCvhJ2l|Y{yQ_H2x0f0lO~MTxo#UVufG~0}&58ZRzn|RQ3&b_HpjD<~*NiT2M5R zVfc4EE!9JiP>sgNAccko#FHE?{#*k0%k?S$4Emp*B4d6|_0QNz{@0+>FW0aCGpIjL zgZ+U(|Ho;t|BOI4`5A=WFVbszfZdr|>}*SVQoeQ^jk-6K@ZbVfJTGX?MK>JiyLDn& z=q`N3?y+w-oW)b@(=0dJ7Y`tSN{&zmXi@MeZBsqaDx%BtEB~7Er#=q9JX_~}2Kx85 z*Z;Ay;UC{#+y0#CUqsux{+#gt;*H?-Gl+kFc~9Wax&DnQ)?eO<|M&o&yxu>#i~7e8 z4gz#QC&6<6Awi;ORnqL)_)(5^gw6qrCR0LSF*|aCB+v%YnF?=xo5c59V$6@WA+|?D zz&|YDEc%m`=rtOXYf<;L?kszT6N`&F?_rLz7F&9*U~_a3A3DCGPp4&?#P=1r){^?m zxhZ;Y`%AxKs-nKn18Dcyg#}u2@T8m3NDno5G5oG$ZJ~hdF0Trq`=5jyQfsa42-|l; z=o&3eBZV+L7olgb)3Vp%=lsrE`z@hpQcsOWaC;z+2!`eA2P@32%+UVpGwEkNI?(Jw z?#t3F^x~5BTm&A^Bgm1kVTuA0MWsfZd2fKJ0xsQvF|yyKli6apK(A|a$L0n%Lsg6f zEYCj!bwR(|1Qavl5XLLSEK9)yPO6G_8sYAk#%c#z4&P!`$68|)Zm{S3qWpyC6Djg0 z=3Gzcutdqi^PJ+)Qbd7a&=waqR%OkX4fx$m4*USPbmOb4+G9qn5 z7`825xw=4^D7Kh&`?R>?6)@c36=3?qbguTf(UQyLIKWpfl9Xl>s|@5t@|TKYoU4eV zly?xsFm~`9;T0gEWimom9uigxvnvgNBB{rR)MaRBI2MLczV4;NdFgs$yM(NKOHZ4o6K7@Ct|zTfvd(Qo z=AD^qh96us!Hp(3dJ`^B9Yf0N3=o)Y_*op}k|??MN0)Y(+_5w9gz9oWlV|X{yhCWo^<15!29U+p!QWiYf%3 zH^m_q&W|P|ZtYfI1!+@{%N_{zA?KNu6kGL@rdMZopl#2FHy#SX4M*BOG(;bKkUC*DNo)u;=bqVDw<}f_bhpB*U*t0BC5f!PA z60WYr-vDDZc(@)Qd57(d;>J2}?`}e&@8%^TE`UGWv;*X>!N$@`RF~I%JZNs{Z#QGT zaKsK!42V7H(Wnm}tqPxHNTk$Lg0N_u>Ct3GCEe*55TYXJYi)lx3l&4tm!qGY^6*A+ zSf**G_!Cs0su>g<5=yKB2FqX zoo`Bdxg9G@xXSVwW=KW09$$%yWj>RZp>MONA#jRO600;;?i79Z zR_%QWkK{yE8#FIeOq^RWC@)os6aeNZ&@PB2z-Hu&RO3r|Io`tJQMm}{R}BtdNDIWp z8@N!>V?mKTp^s;&xEONT3=^0kqm*3Xg>d`^*PwQG9S_uGhJ$Uy&)l6z;ghq};y*w_ z_E^RqK!zcYXu(jG(J-WexFNm$#~ z*0~j*a4minBm5H8>FAEV%R2c?&ZAgbdDh0+%`%1^b41&8)GlGOBb8AQc;BdbQ32m+ zan~2HY3$~<#wLcpHbK$#bR&>e^zwa4S0E+x__5&I^anfqX6o>piq~vuElIKNG(Qz!$8fcxQ-BAEE9n+(qJwHc?;aH|31m2Xq~i`p=ffK^-nYM)=L>am zJv@;)ouYN%9m#UE2m^>SxC)1MgE>XipOn43Onpq=;w%bN9_RQPjjZ3Gt#$HPb$HGE z>JX-T>RvKsRU0eb#q~M23!4FY*uDOCp8SloR`ve#;MZqEyaIi)BH$Gd=p5Qk3*iVm z282%^0#Kp|9NW8U#eGIW+I99Z(Bej)y_O)PaQEt6FkgJdl~7NxQWhEsIs)PXb9p7n z1_?-629D!z`zYu|hB>?k(Ypc$6z>>9WIRvX+JxSbZN{W(kivke_;R z%)g;~QJcPH31DkP8zm)#>vzoVDm=tyQ=4ONHNC+OLI+Y)LlyOggdRY7`sxjL%Ogx@XIp{5U~ej%%?Ko^YE z^qlAVA!u&#AUr)(->__LSyaA>do|8c_7p zX^y$1V_TPKEq)>@(3v$c-l6xn4pD3h!7vRjp0HXI{l2x)4HaF=Y)(dhn za$#0<0a8?9Hx*lNP#nE+6MJLU_XbZpZuR92eKu^+S+HO(6y27C=Kd@aoH{1CGh zmnf3G7+)txbFiW1kry(v2KHe>F*mF*c zpJ4-L#fecTZ`eCO8Jy6t-?@ey7qF6dVyB&pK!aKHUm%ygoV4t)e)SP%aQ0knfWX3H zq9<`8R4q1C&E18|lU-18Bua9W@odlO7{6#2cJ5-b<=JF(!l9C1B700S*^k{ahWAWN zx>8IUoGUXuhI*?+-B70n$Qe1~+TvtF`PkLM*xoPfX+|X{^Fnj!{QvA4O{6s+fWP1EmWBkly zyiy)R4m^R#mjKyh;jU&mKF5A6DIw7%;oOMXxe>uT@I<1Cu=-pA0+Ybw#VA(IczQSb z6FbYYk|2?R0JSANwM1+j2YAr*H>25d;7LTjB&ZknSke(pXi}m}(nrfc6FecwGPcY% zQMomV7Lz2j$&q*TNI5)NJ;`KrE?N5VtrtW@a2RO&5XJCmE=HA#OMOM{Fr6=T+dz{_ z(0`I)%Z2&at7!dmJbrp1Cs!C)HLgmn2-<9_$?@Ml`}NifB;_cP5X#1rXdwbci$%#X zNqPyL^FBVJE1CF7$Tn2xtEZ(z6`kWbQRlm&S@Nl_pFpcN)Y~Y^K>}SpT+B;ZETBa4 z^t=Ux1fA-p%O7Tp6cekLmq&%K@~8S}r1~d|VB3{UeKMU&Bt?#9 zJfMo|PL_0eoVMaB()=~6z?pmKRp!X6YzQ&Sjw-9I7wJ`VPiju2w3nG<0l2G-%i&gIYarV3cy<1#@Q*wASW6IhNaMF?EBGDnWo zYK@;!>ppWbO;geD7?7h6$ZXKX)|tz@DMfzXLlAnB8o?eQkccE)jA>6AJf-5JxEVX2m&m7@s%P8q+I^K{`2EkVP zl$IEDlq^p%yzr#7CDAHza9j-!@#zq~QJ}4@1PBNrOPJk_L3;LrzI~8kJ@IA~-J^X4qDETpNHH0y@KVmr;RgJtl#5 zy7bM0#dAqV&Ia-x3dwSDa#)1(ob_de4htd->HZ>f#}(Qz>s=ndR9*M*TdD2xi&~Zb zI@ChlrIcDnU!BCWf$FO|o?A9j!MZZ%%O0lGNEn7p_ybfl^=Gvnc~{paFqj?$J+hUr zGTpDG>Z2ampuJ9mcwJxbBUsNvQLFW}noqUndt0?XP2H``+$)*d-m0cf5`m&+HOWN} zYt0@zuQGg$1(tH5%7JG3op((iU5wB^)1d8oHsXwmc9qa-p`r>hUlpmnU`t0+teY_chN_;;8tX5G);Ha&kPtPs5hH6_L>kKklPBNf)CewMbc=f5;!Ws07 z+-0Exod~nK=^{w(We5K%3ec0$%t{2`RWRl$Sn??w{#l{wv&X6}@0wG1w29FE=vf-` z(O8!5%$EM(WQ!L~oNIy-EjrTITGx*Qv}#YDb3PfPX)l&&S6CymZ&pH36wgorw2L+~ zBaA!>$lHF%5(fs(#Y^C%OAo^#xypd9q$R@Xp`uOm?bv2x>rQh?zhYdW(c~p_+S?K5 zf79Nhc@UsZ1Wg9xWxy4g$~qmE>i?U{Sr*O+a>c&rJdAioKf(Bk9r&~X9zw#p4mS{a zpdRV#m%eI;%&O|{W_Cd5dH`V?sFTf|G#eVfPHGxkFrRI-vQ)=Vuxi* z(KUbQ<1wJt8!zVMbiu(`g*wQfb*F4vZ>5Th>P<2?(jf?ZsMJJ$U}xBGmIqK6~{ zQm-EwiDNOGL7s>M)@>lA`EH+P9rjYyKcJ_boHxK8C?YjSwJ*yjzYmyM2(zHM>;(E;)sF;47-wt7FK z?fBx_n8E8I%9&A_C*_oLFMuo}lG_h_Y=osEQaofr8Zjn?r*?^dsj7~&e|`0wF@So_ zNN)V78{!8xnLM98WVqZ89fr|ya3rz?mZSw{QihX6!rSCR7;S@aY^m~9v^yjkj4au; zD=1Usm5;_NE3R4y=~c0RVCrB{r542x{{ZCOhwJ|L;#pobgzRihtBT5+R=uEQn7V&q z3bp`k*r1(2`TJV+N%4UXZ0Huz8J=dfCng!IxKnoz4FLpEc!Wrq2mfIs;HFyA91=8( zm;ld*V0Opia|6h>0|oQ5>D)I~Urz&0JQ4l@nS_8_F9U85)=(M+PD@RfSpWm;GsCa7 zwiW}*2Je0NR_{mo=I)?>OMDPXYWCf0BqLp*-`jo&-weqV=zL=FdXRDMwJ`7OoHS4D zZswcdZW6hb<#qRYx}14-o*OC&^Lp3A4KNP6R1uuE5o4|H{+1D#yL^Tu+MCNV$fAQ45YeImjF9?hY#;3XQNe}loeaX~kM$IyN;Cq3M0R{*;4&SWSe2oyPZbU`vS zipg>G;cictY7RMw(L=EzPnXGU{rWNycS$iK0QCTow!#Jm z>@OGS)n4{i!UrU`<`YN8)st@n$F0R(!SS{mQtw~sI znO%MQeHEOyT1~gsadEBd>2lkN)$XTj1G8%hqCnvWbexS~%%MAmje;eu9gx;$X4hwP zn4(FEY?$>NY2-P8?#e~v)c5tZ*$*F1Ay4EMX`fn;J@vyR;Y;m@k54}y46PO8!fuUZ zJPf4M3(Y$c8!!=*U6)^YvzXi}a|4;UYR|+L*i^)lQ8Am5n=@5}|3B~pEYtu;io1e8V zZH-0)UFtwUdH40W{_g7+PhEQmHbK<)AT_UUS>F57n@%HlY4l|M%Okwwn%qO+O%My` zr?$#3ZqK$mcOjEFmhmgEC%JWbcw#eU-z;I@SlYsyQ4AK(w{g6?HS9nUz~t|}a4tt1 zEw%`rgSqz0z_?K5foBW*mSGvLfOc9R%r6RltlMKE# zTku1k9;3@GY~JY0*ZIe~n{z+s`!}YIY<~v%KdUwc{*h`^*PoOA8}Zx!i?10k^7{U? z`V9nJ2U!59KM-P=Fj{JMU*eS)tx+^&_l{j`cg58;Efy4d#3n3|i-yc!6$^AE2^sq_ z;}ged*(;b!xuR`nFM>^Tx-wjG0U}l4h3TO(XwQ9r1Ec2|Qf$aV? z@pq$zr&$)K`8_*T63#XFvFyGO8wY_z&z-R_pTt1E=CEtYuSbGTlO~;}nNSJMcs_R? ztpztyVQU0EJ-aH7Ty3mODeFi3stWxViKZ>&h8iVLk3?1LQ%;D&ifQy5k6kBBu{K*h zw;tUG9dMf9&TRMyx*BKBTCkc2x)DV6<2`g~#kf*Qg~%qisrh#Dk_u*#HMo zX9DnM=5_{CL-B0}T}oz0x}*A-UHemJX7;BP90qrDZ=Rt;x6AG9GHQicsZ3a>@o(5C zD9;KqT>xt9Zr??hd@(zh?YF2*)@#89i2`k;lBL}6Q_Mug;1nW`sRzmLl1I={AyVba zwi`(}^@y$%CKYZMqYuKx-HNkP4VH`VXOxy^lijS|mx(_@8;F(00iY}ggx0=4vKKI%OCur6SM^ACOKAK>Sy;2|M(SIdp_Qv!X^dB_K zzr2}2Kr9Fj`SX3dH2>xve4t18=^k7-_@h3J>>e!AoeBy0t#8)|xjs$&LZ7_waH#Qp zgKUFGr7y}XYd>74Mwh*OXwx3cZCJl%Q0X*QdZE9*V!Gk{y|!$_hRWB?0Wb3h`x_p< zd4_}ByCcz9HP;@^wEw!Sv3kCnAfV_d`M74GKmFLPg7U|;OV4wU`p_9S)vb*A>E6FM z*z{<%wDeMcj&ZZ!;AFke>g*t=z=v647|ok+!Ht{qJ+~z;4YfS}yfl2@@ZPB>O*WiGhNH)cYO)HxM8QWuj0uRqERYwxaW}jm8L2)js9}1_T;o zEuKE*WlcTt6j5y-aG%M2HkMo+hJ+knVqE$MpLXD?=rJ59iV!yM&sp*n3Hz+|!w;D~ zkO34YddT6d`7s+>R!*w9MD#_ASi`eCRnF>SAnM^-lML92UZ$O-(RECAn zgICzKeZw5UuGPj5%ibmAa^DDGd~BkTKMZ+)%Aum}$P-m_t~OdU4%Njz4pxKxwXs+s zi1@onGL|}5Qh;7wpFL$hV;$8@#oLg@gkW3$z57|{8rkF6=hO-EE`bV_*K-?zB0JIy zD(`36*OpM-Wz#5SXCS=mfz2_TI=LyTh6dd_7&|R|M%s*c^8EMD^feT_Bd1T+A#I0G z`Uj{!c-{z8_Z`u_^o>5~`=qnNlp(co-3V-Mlzzy2Ud@C})1JHXh2`bL5c%;sy$ZjR z&i7w#PwMP5&$%V~tVP`S9Y4cXRX<0Ikyc)ScAyQF4m+4O0q>%setaV{ zW57u#l_!ByH|-P3mkTma;Fi7_&7NLpbl~?_b4s`P^5PsXRAIQ}ur_F>Qi8jA+Tmn) ztS}V=GE}vlr=zMJNsl@7|#1TQD(hMoFaK;?oPgcaY#DqE~FIe}_!F>unH0xvMCc0~DONO^I-f zW)Kv&6*?7g51D}E``UaOG}`cVTN{hm%)IpN1EuCSbsWPPoEF3377*s)9%eHjH_T2XMa& zgG85xIM`H*iB?m^Rf(Stp*9N(FxR+vQ^i4vO6HY6VTbq8aqP!my(4&DgQ)RQ?Ib(IXca53|gpbqnk7L0SQ z=WR|iJcT_!innMPQg_bUx<%NpacpnLRhcxo>l4dn7CY_Jk+HN@h z<(+1}ff>`ex7zR#FwdlbbQrVvq4nu6ZlD|$0ItUhwklp@{!U!_a%VYAO{xv^PH~Bo zusj(2p_Vt!--&(GEX*f$*h+D7LX#qB_$wZL;kETkL(cGFj}NH3C)J$gb-e~v{Wc)4 zwCA)nF*PN^cv@7RFxYw$zZj@D_RaF)En~-Spwb-MkCYx*#kqCN#L@E%!}jklhI%`ZLG4>TImc zDfU{}9wq>$L2??N9|n>+-z{OHtd0gIyu}+VyGH0WN%%}}h;UxW6wnS@l%2hL=lt>h z1aUyTX@&rE2%dgjxZ}soAEqtXMt`)}t$-M_Z2k}TC26c$UCT}S9C4(3Dm`K z<6Owi#@0%D)8fwdukE)_>Ip&BUFrA>HWQa>^Hy72M>CbTlAh6%o|x@4FNJic26(^W zsM#xemI$r<0LH%fQ6JE{fqHVy>Dsy7ixN-11n};SI5|RHxt1?}HQhd1wc{QRMRWT{ zj|saO;^?`8e-s5AlB)vf5j-#dQWcOmhG|Hky!)X!Nfij>?b)9i?5VEjvAJ;A{`e?~ zzCz3K7|G~mq~#$2y70)5)mdgw!F$LXwOW8=3h=UCl7yQ=l|BPU(tTpVr!Rspole71 zpzSHrkt{to8C;MS+hL8CJ#+V zHj=_9utrTaVdnn6ruhbkHWcf8LPfPV`L(gOX||RxZ*oTg+bF3Qgj2NDA#3=XFnlEa zoSg!e%HYBEyDR~*$?z+F=N9JzsaoCU?ObC}E_2@U)ogB0U{Mi%fso-S_b-9hm!otA zELIOkU88rS<}{*&+9EyR(Vj{`;vK&fm*9%Cz{9iPhkh>C951Ioi0McRJe`Jis4-(^ zlfD8MXfH&D4%5gcyZ>;&#VWsuB7b!07<>R98<}od9u6DoH;PB`D1=81RlFcTa6m>^ zA%svs6hMdJzu9H(@kR0KTLJoOXaGitq4v58v7uhsFhyX1c!-&*uz{i}aCzJARy+8b zz4D=K&^8#G8EUZYWg~=B?C(h6+y*{Q(r-38RgMb@H4+5vcsBy%sRQL#Fm5ca44y*9 z6li0?P=jxcwm0u5vUL-XF$BhQ5mW)EHqFCTMSSE%PV=u25GAK%my&r{>7E=`B{dGq zUt&|lih3W{P3Bt>QaT50D=9MRUgPmI{J4;Kpagt}o36VM92gyKMT7(ylRl;UJSO^4 zZi0M@kWei7yBGInCw@*TVPh2fP#0Vm86&Gf_MwjAd_D-yhc z*s&3Z5@<5eygM2KM~=ZSchDEG0)DbrUJkPUvH z=3*!}jxM5U(e}uxfE%yj0%lmmA^}0!h>Rp6mU8JAiHIXwKrN9T)sXPc_c)^l;4wyj zBHCA#gWh-8?zl+uyu8W-&EqZa=r?5{2&GejwYiA*VRPOT%X!{HLHRGcasxHrK?9-1i#?RJku5l}cMOo*2uOPG=>Lh* zFu!=G)*b_Ow{=vGHW0Rn5TP+R8PEpimiKvoyCkholR`@?iBhAg6q6BziqAlX?@>nf zxfocKt$USue~HP{0|!-|IJ$A7DKNGn()Veva{_BAEUB#74S8{#&MTkp;;Wl`OZct_ zG@xAU^pw{XC&l97PzK5y!sISf}U zML38uX6sj5jWmjYA7d^{OucK=myo<&R+E0~(VgZx-Vq+#B_=3ZN5zmihm)g-Q|4|z zcX=i|-*i(IXLF4NQ-Er7eQ5XG>cqD`=QHcbK}A(1gJ7v6;ZS zrm5RGpNvj3rG<)pLSE1u0bUcuR+xdgl9nrnOX5j#spnOnECxRn#>i+hfQe`_`p-Xm zzL^>$#py-6K&~vwe8$PAQ?SZpR7JZ+q&@DFW=e&Wn4R}c|GSI!ZZmo6FjhPZnM*+Yj^eZB)0Wu#n^{Qfd zDIVf^?`zjX2~A;I)I`h-`S>pUSK}hEhO6@{gIH-^*G^x{gIAx zr2FVI8k12`^|8^z0ZC9lQu1{jHAsqEWK90s*q!U@6S_Zqz>lAi;hC2s*R;$F(=-qf zocGnH=eK@D zRI1IFMX#q@f{SGNf8$t52!&79988Goz@yAz_=-O5F+d<_581LlJrU9@aK1+H3}x3> zc$>b>`LtCbk@H0_LS8R&HwOh@X?XNkuW;0}ko^XP$?Q_do9UxXlwROmBJIF1b*Im> zbC=)jULbw_cKxtrBKZo5)`R<>M136vi2q(h*5AVU*AZDiPQvee)xXln9CVSgp}{t?fbwR0q(S+^uoj@c3&s}45c1f!}t&Kcwwg2(h-t zaM|XDk8jAhEbpn7U*fVuPnvf=lG<+_@}7R$^7ZrbSlOlLPoM1XY|QuHJN@kGxBcDE zt8bn^dvA_s_kN`) zH&Xn4aY3rv`;y|q()Xq1_3z)8RdpSTua?(MxUE(+E|#uVwrsy&eMp2!tW|ZeUS6y2 z5iVP+8BkbVs~y&nSg#vDdwKoQq*K{?{fyu0dIKp^;zQ%R)XN_pFBg`5Xj-dZ{m{J8 zCGoLkYvS_9C%cPfADFz1>tdGwhp$VyQPO+M1!*38E5)jf0q@HjKJ2YlbxZHB)xGrCUvFHh-2c$B z^I`uZky7Shql4}0!Df6#!ojBj#g7M{hjnGXZH-%8{q|+j`Qf+i8UK&pc1Te&-*?}o zog{o(EFyQyU2FLGeSf1{=I~(a<<-M)yGsubzaQ*;B;SFwxd5de+89B?0mWc2eGd_) zfCT7#DL`Cs9JR_uDEmMzOp#pYXD-s~6t~)pwH(N2 zZRqI~8j=k|9&{i%if_sIdExEU=7Gh$E`_Gen9uC}@8V zHzU!k&S9uNBoS~%1^h7qVcII6k_d4Hf+G6823DVv6Pfvi6?^q1tg3G3(moK?)$cRS z_>@v?^g!IAx9@Dzr#n?~4m!vr8W*ckoNEGzqt1)jYwN48>K&BcjR+=k5Qpq zTJM09%ICYoafR|l`h#v(pED*03Kbf92R(v5XOd`(l)CkYyfZ#$EgKc7yzCwFYxT}NSK+%bv-oxiN_CDVO5XERpgW=F4Te)!KVhy&w;YgLOJY;;amWaVf ztkqUN`(Uw-V&6zY(AIqvqC`*EU^F>nt3cGa#K59&G_`5#foyzA#?)4!(qPFc z|Gu%Dy{#fNq7)ltFrI(pOR=7DscBl@c%jOd5^Q{_S&_kuQmZeemV>2d8~R>U27M{B zL6li`8%)$>d?|M^F0*>sH&Ng8rNT45%zDY-Wz*D`%7DSLi#vTUpYDBmh(nayP#R9Q z9oepmHZHeg>!0jW*{&wUmph0UzUs5uu1Oy(cT((sH59Z>-Wpf9=o(IqW^C6L8&|kl z^iNGRZ9l4tuW)xZoSr(I+OBULtnl#fpPt>@Ca0g3o>7J~Z;$LW_83=sr}fV)s_Z-- zj<57BGJO5sYNu&(u+p!g|MiEUon{i^VL-Rx?5B*KmSy9IK`;Afx0`mJY{WmjzGV1j zZ))f1?%=~4JN<9I@9jJT=*TSsjYwe5T_XHcRVdp438uQ+icF{q7crWnKEK<>K2#N{ zI50;SyxWeVtB%$+ddrx(+aY?YI@V&~Eo<{`r))xXoU_sVk?GworJ?Es|ABd){oQUf zT@4}1=p8@j*B-r7HOXlM?}Syq_F@xiQi_Zg#Ls{2vmB~PZ5UXP4*uG2Lsy&LZL}zt z`E|hMRBgt~fklPpuRprF)n+XjEvZa@9SRt#{X1RV66y*>j8_cK?~SGp)fFlZuABy!h0kQj5X&XPft4R3$trcQ#(Nn%6#nuRlAkUz5kj=eb%dd{URuY{(m2EU*M6wsuH-{|tcu4AY``fDV*Em9N1L8g7-F zZM~ALm`wzf&~1a5xJY^&tiLfzCbY`;;mr#~uye!o8#+>>Dq+PpD)=(e@s^XPfwyhnXHs-;^@dt$KG?fFf9%Jhh)WZmn_}QqQaBJur{u(GLEIm z9i_+ZEyAoRZ(LA1%TKT9n9DOmDqLrO1Z_VdPZB=$D#Ce&8JFoV)Beu4}Dv}#I@7*55l=kC-)vT=<>2*xcAkV)j1(lo_l-W+HU z$_);^;prHg!3gFv!gq-J4}s6He_PS0>b>{YEnD+rbSok?`NhM_Tyw(uuht-sgAbiW zpDMJoId_yRq!Xi(dJ|eT3oa}2JK%Si7TL<##Dl(Q_ckP5haGHavtNV~#;C6xkHiLl zG+7+JaRdYB4SXG9o}*2TlO3jDfTsYItLDr&Y9>omE1J`q^cKvZq=1A8vZl0CFp*p^ z<}}yIWhG|u@)#IKJRG2ealaalk!V}|k*p|>UOChHV*HGtBtMp^jwP3ZM;U{s1dK;? zaEKczxS4byw`7so-J_$O<3zl?b2fYS9jzE(hU!kv^&`+_Z9HAUG$kAByQS;b?)qbI zFdt!d#e8LH8q4<{y*qZ!tc8+v?wmulCUY8hhKuIyiw>pX)Cd(q8PnrK6vjfy^mzUQ z=BQPSBYFS!ob@*Y>MT3PXx!_KN1DxadkQeL^NIFM^C6~KsPh1~~d`9k~mdg&v+jOuw7vyPK za0N>u!$ix}G{G(l@47gYdyQ%bDclJbVc)1yYS9MAD%gf25yt3oqmS2ccrQU0@8=Q_ zVja6xwyY1Q*{%c@UZnDR6Ado7uEY9$$km3~F#ISa}njAo-LH`t(WMC&5-`TpKp0 z!=J|mnwRaDD=+2jA8#I_Y_!!iS8vQazbOQ*lA12hC+Bog0x7|h=WmWY3N@oK-kK^> z`6x4i5Pssj3k`TqsDWOO1RVp8UPK84%73w^-xx7BQJ1h z-8AzeQn>j5bX;f<%_IXri_52yrvkW(c>ydIU#WNb_{Upsoak)u6r|;26sSC^lgIF- z;n*9{$8TJpYPTPmk`)Fx$C$sP0mj`t8vxE`c38JT$(TZfE#{ko)+n;TC|aPcI@Ho( zgOVTH$w(0l2)f(HfG0`R6hu4rCvY7}#$13p49$<5m%m1WL<4$wsu{|#%BlzO%)I`d zS$j6H?6SHm8hmQV6ULp@Npn*ohwXY56u=B30%q=V0%ARDF;{|P9SX?#0)-;BmGV^j z9JgY-{^`(UP->l(*h!8)K(ZBaEs_!(|3=;xJcO%iZyuqE~iEkE#9CwU`Gcn5I4Rnrun$<3>YY+ zRz}l|rQuoYWhj}8`{+ag;F1{1QX-mo0X&Rn(k23INH~^dnC~-fzmCB->OE-9i?HP_ zU5XwYni&NGITY%1D^0*um5`1Vuwo6NQZ&r6;IVgZr6&auJMx&w`6F4$Hce$LjG7+C zNI;Qx&C9u&lxA%TjeH0rtzlAxedF6(bs+r9TewfZk$Se zyS~Q`FkjH%2sjI{VOt59dCv)NyG!_i##Y|hs_{L6cPtRplNTjN49l|Kd>c)N_Z&zA zE$3(DWOfe+s9Vuk*DpA`*W%>gN&wPG92+~$4GJvIrMMKJ5ycf_rApmYY%-Ywii@6$ zi<={)UY4;|L1e60fvuNk;ZWRg3TqEYqc+1NO&CBdUxru!gi zMXkk8yp&?XoK_dryhc4Ey2b-CF*OWbC1s|SZF07C*`FWsr`rO<(Uf|pg8)4`j^vXq zqaOZ^JV2b1=jrbsSs_6IV(O3+^dpK+g z3@oYBKO1Ygf$HWxvFY5na*=ZX>u} zkEcKmhdm1Wd?mC8ZP;7c*V-s^D3ZVO$TLMs<++&tqgTx*q?}Y(6=A|QfVLfu0}Tn} z^HhUem>6N%yTh_qrw%X1d7wPMo<$-WFL-!DAq;p5Sv)ogFO|k}$xjZ1!oe`!t+!#a zL}2BG_t8RDPD>{WZ6v_zLl#SkC_(nFg;AQrK8&#%vdM$0j1e2BF-qZG0?7N-93Y~? zIj?ZzNiQoCJdIw2+nJM$sTV;g;PwdQO78#1(|Pz){l{-hzx%%bhx2$p&gXSq*YnAIQN>< z6u71!Evw?_8aWggr{5ZDV-g)mZ%S-R%WF*A{fX$oaSSYyPtra5b~l}>b}Zd`3>7KVRcVUyBkYg1?zPsE3;lcfu15|wPc_OYiypO72 z1^r@s&mz=jBDRB>KZ=VRx`;h2CE~(qJVZg>OhJ$CsKe48wm6FYUgHj$v^l7T{m|yQ zdkQ4@L2NL2S zZ_~&w(kSz(mnex9(qIq8(_zQy7;7Lb3&zNg;>m|C1sVM~PDQGw3GUq2foF&idNsq; zVb)?OE{_E36^L~yo0uh$!aUulQ=@+BEVYZ+&@#i#pIKWm_WCt!Eb9hexHyT>+14Jh zO!-9Qh;-RV2<$Vz|4~LGO{S=Zw!B)lYMU{wHHxsMefuo6{7t%3o3E4{%0c$cA@iaG3%VH*u(mo|7W>Pm& z0nVcCUMp+4V#DvC6$tkOth>M&Ex9fIQda2e-wPC#57WZkq}5Gl8BP?ShACmC&;sS& z*m4_6AmS5IShNeT4N8h6U1kp~xL8hSRw0uJMEry~ROCKc4WdXu(@Uc`u#%1d3wv6H zU$4AlDFm7pEivF5vbUX&tM zdG7A{oa=Wvr%n0cI=H<8(xp;)2Up3%6U0LQ%)gd7gJ6xa+>H#>sA8rrkC0FEqXh7a za)y3<8GFh^C$Oiz26!8KL;QV`ti5lObjNSPJ3Q?HvDA5trUxGv#G%`sxDO!k`0oMDd5Yq%Zezl)Xy;xQ8 zU8RNXyYi%|m{#E{mIs>lDzq}TX`ibqpk*y2;`bc^bxG1!rh0Vz&**L!zP5bunx^cv zA*nEl|EnFEMAEX?l$f_9D#1zhT7C5Li+?IJbx+U_3IKsrTb)hl& zOY5W-t#YMJ2`x>9DN3;w6|V~8_X9VWLlGuz+@N{JwqkOS>3Io&9_J zbP{Sxp=0hr$9!SO;`@%JFCAb1cB~MXJJ%FCH*7nvL*$*p&b{}Y`(HW_|8^cRe>hS2 zaBBPE?7@d~K}tRVIV%{kqDw`1j{>zL>Kk8?sB{rsTEfFo_;9I-uDhO5acyb zo-^=ZY2Z)|ffpLIVgda8$Udj_^?V+B8cxnWGE@@Y_h!Gp21T-mAG!q^%FqYc8_A#H zC;}#tyLh)k^nV%b6cBJ-JoTahW>G_id*nvv!%adO{o$iS?V|{jq1>V&YL~(ImxBOy zoW^Us9y!ung!-{G2F48EQw$xu9GwzEJ;#x6r%}`Zw1tx+AH2p*SI90(N9YwlBCkW_ zWhU84a>=Fl|8L&UD1t&rqJYPxWaYsWFYyS;(lh`EUB?2%e#rZ1RJ<6O3=Sv($mIy! z(_G%uJ^KHV1G0+99-sjK6>?@uu!$myd3lPRb(+(D8XP$-Fg6XQB--)2etuw!?Kd=* zO{_b{_m4iQ2&3dWKJ~Rz;2WX8u+Yj$((iWUcEF5@;^c!>u&M#7vJ?Tq%$j@8f{s8g ztVE}Nkm^#>+$O|z7#;X;T3qQ9SY_Tp>2rwvtYr!+weIuF!6`Pf>ATBw{*ANMD0qWX9pWx2CSpf}2^%Hkdya2R(amc$oaDi~(;s_eU z*^BW1(pE<&*8$FKACDpf82l(my8oNf&ybPb!Yt4HL(Zkl-dFhYnjq}iTKA>h0O&kW z{$>n^9FwVIU2Tg|>h>t<%OxD^&_k&qc4G=C9_0{SQ>)Q7YdNB5j z@4s)CX{+F=1vw$qi4v;vdXQYaF5kI+vkX*Qj36-~i$yVz8I$yikz`^iR{nJZEB}eO zuUiJDF`z$K)WziV@DPPQ+ni#@xY<77TS@uD0HyJ8L#J~Cwz^>~ve`30@p*;J_aWK5 z;ucb6JL%u12wjQ33ay5I%sVDdc)iNkV-BRa#V_{ zo+Nc{LJa)dA+6bj{jVHc41WBYBJ(pKp>O(jY-4Y7`l4e=dTEbw^*d_mJN)XqzQ}%` z&;H@h{U?qGd4&g(CAS@~_V30)&c?PWI`=L#mH;&D z?=_gc8aKE&Y=%8Lc${&NMgF74;c$i=kWv0lb@Q0Um(m|iqSXcXa#Qw{Su#^7v|YH?60qN%m)Vo}NK4 z>q@?do+=~_4#zoVzk2qyJv6p-RJmr+-y1#~^r92uu9EKA1-SR!S1ZFaC| zHB)Zp&KO3sE&T#%@2`FNX4{4(N)cC3Ci821mPQJjMuGXR=`(|3}^&A*B{Iz(O4zPb8^6v`9Vs(V;i6vv# zEV4Rw`Y55c@5!|CrGyGugyyV#D{efLCG0%cZhh)LU1ji8v)JaB=Ukorhn&#=@#+yq zX;w%cJo8$7u1*&QxJ zPBzD$YCU!M7j|~A{NXYC?W^!VzYe|)7OD8Q-Kg*2i`N_lo#v>q(0GH(<48^UP z&+utFtHumyz5;lGBJd{v^*-;IhfYj-bH*%%Jg<^Yu0~z@)~yjiT%zu!G3OlPCFEz7 z4R?$&uN1R=wb8i5+C4tx)&j|M8~+p9XVjg;{MMN+$i4Ykc)a*?b#ErRS}(^FN0v6X zu#HUIZzdTYu0H;5zzEOZR2KNj{I`kF zoOs0H_eg$pfeDTSwE<&M;<09IPJ3S3?SU6Bt8 zplH13o6;JC`jc)(Jnh_+J2f_7ln52dzxuJrN*7*`YCE0~M+!!@ZV`$i#=HO2nvKfw zJ~fy6gJU(@Pp`-iiU)At>pwW~A$=MxcqgWp#fCWS+{xx|(ky-vt5bNlL4&Hmy#kchQyAW;yj`1-=}_?E?w#^Q&&dW|P?qaP zBu_#tdFeLZbjEQzt^kvJ$uex|lYcbRFmA(TF69B&@@?07_M{UI?=B9$ZTk15=kc6> zV15Aqh5%)Jal(Ti-EReB4J~Ii-x1eg4r97FB|;BX)oco(8*b&7%tL_E@hx8CJYrx z)8Rfy`MipfEZiAo2`Yo@xbv%fHAaVxBvB?iW{OZx_^q6}pkvwzS=vsxTW`{~(gsz|#^OlvYA`=+9aF`%2JnJzW zFXiKpN$?s39d>66xT|r><1F$z%ib66_)~|suj+%lQmL{)bVT!78E)1iwp$sP(rdt! zQU366+D~}{H2N>j?{nWoLS;~`xAO}sAM3jB=Km&Kr(3gPO)s>mff=qI2m@ENh+-pr ztq2VYrp<&Lts@>xp+H<_@VM}3xR~95DqB;c=IbGm6pj|35z)@UhN*^~;4l5GuSQ9#z2|ozu1+B?ZTZmOK?uQUbJtLmV z`uM7nw2Ak1X{;##(6}?Ra)O|d8GH{Os-wOaZwk&=Iy5ui^Cu&1%9!ErIcFC#s~LSwDG*M=j(rKOVH+#qCRigg@M!-Int z+SsO&3r3bSdqvjzOQ1=C8Y zlID|QCC;gkJJA?nRp2JM#1~=n*%D&0$BwRR6EcU~aVpU{y6{OG`ZaM)j-dgbv3Dqb z8ybKS=4rxA1sHinW15QVWa^t>YiFKnQr0iTr=gjLVBk&jY<;l**<{JuaqI^eo?_7? zhQ_bghb2Xi~mU_kJv_x1@r&^qpu9^s9t z_Ba}VHlQv+F#0`Jel^6KlE+_PKe>~}NV=Dq=b4kMqBGMr*TbArNE;K?LZnKM2&6>_ z2-z3M+*Wb<=ZJPqBH&-NU^6KhZF%*JYSZap|BWo3&?JNG>4sAMCW1$ACAId@6j%f47mlwuCCjB4(jv{m8(Etbx>%lVg6?~P``+8%5Qw1!ScNL) znHifjQ7U|d{}_uZU&;V@sD0=RX2Byvvbf^G_W3kqEac&Dl}$3hyt;51SA-y|pRaz> z@P65SG^CyMZ3-hCpd{Wt@BI7ES0Z^TUXx7rQIo_G6%ET4GND!V&~uB=<)a5V{?%=o!NwLmWZ*rGSIkKPCd(AGLW@j6Xzqb-&UItYKz zSWSSiZvmZhL6)d7L6?*45A6n>*|fuI!Wa3e+N=LHO!U=HD+x95b5Qsef7b6}Iv-qZhkQ@3DWk5G6D&_zsvNT-jXJKOmmv>?2v=!nud?eSn9k{|(1kxUk| zXza*y#|NM=!hOApGinfj9@%8XnG?m=>Xa`>YDEkURVejuM{1#_ovKT{S}}dvOXReQ zsGSfpy{ta{nLdM!KEu;KBWS-dO}`0Ozo}HenR>sudH*dJja2}}zmdQM#8_1J+qCqb zq-lhQqR5XyNr8Q{+ERHTR7w~1xMUK_LFjui%}T7MRdPOU8A8mDsKEW>^J>jye9Lg>qZTsWTKpdWSH?g`W>O@l$P z9F-|LHOOBJ^6paE1p16?spJRsy2rbV%hD z{nN&wc2ta08lq%0AxmEi`#|`)IdyeWf)fAmM_{-thWe#f{EH2eXER#4l?jlv$4x9F z8qDMkiq+ZBoB0e`s-cLgQ;`C!Udf71nd-1xx-PZia3Nfmduq6jZzz){RU#o#UL(xybTpISDCP~F;)l%KCx^A}WLSmz`s$3P|ThVr|cnE%DF zN=dTxnG_9M7d~7o9%^IG1hI6~O!lpUOBz{y2IDmS9^=HLJcNqO;jnwx*9`+>FxXv88BfKBCw)ii}+{cil>cX?|?#1x_f4G{>6v`Bhj(qWa%Bj43M)UWK=e8AXjx(>Qn3=ha^ZBM3ewoYFSrEle5=U47U1lWGbEL&H zDSjpl%SGcCMQe_5kreYg_a>cQPg24@eY~gvs;Af6x#(s;y;pzjZ7@S|i)JzX)^gk2 zTavk_Cjt-eS*|Y3&UVk5x~2|=;X%z{!iin{Pkr>i)u)kzPycz85*8F-i&kJ1;~!9d z>S%(7XQftRrA}?-D^m`h01m!#3#%TC%3fJd)504BM*76iaL?Mv)LJWw>jqW1?2)`u z210+jGM2AGl%(K>s!hpGIThZfa4>dJfF-!P%xbudb$Efxrkp2?qja|1%|8C+EL;pA z0*63KSDSx)si^PA6fH#tqXpxPzp!U4zRcj#ZLXB7tx&LmJAwi8u!Ih!>JJeMp(&gx zVs?G83VFs$%_r}EXK;>0Ad2i;`|mAuRkfD6S}XM%cFfwm`eofj1_^5gnKM)y?AVql z*@~Q$OAePU{wpoDuXz62K7VDIpfy`D=t{@l_*eywNA5sHz+c9d%4mAZ3~e|~{@6wA z#h&O0e(F!Gp;|7_cIfJ;>|W;DaqZp&GoYrOPLgL7HBjOe3X z3eKj-JASy>Q1R8u7wHPEh=fCLyK&)4B#-?|ZQNKyLXGF@ua!YO2uPAP((8JvoA=D~ zgvYNoX>?J6^@@khFh(5*V#GrgR}f2$0xxYE>r~5=raL-4YVI^TNj!wY+4U*f>9z?o@0SC;$z(VdKE)=)J1eisl!U8JfxA2$dU%@-_ZC zT~fI#fu4XDOTZ?tA?ee1ByV(GofXDzl`Q=tfq5OJN?Rxg&I=Izs#i3|th zBQlJ;uZvD~6ZD}_*;+&xUx?=MH_$saY)m7NXz?%V5dApARe^@H0{2p`yAP8bJ@EG$-%?2K zs(%p`<9i$=OQfnzJuu$T;_!~Bu%X>8o_@XYBhUV1Fh({?6c9Tww-K4Rsv2=U7==CS zJ^SAH{SS$sJ|szq^TUH*%7??_ZR~rZGY|JSgAbv%MRQN@(gy$d zo3TA}Ry!B&LrO97+ZGP7Tt!j%Aya*EQNC3^D9i3>F!p(JM?d-k5~Z__Xs z;Io3laiFL3F~(-{N6IgnN?LC!DoLun;^&l%)Rr!+K8ce(d4ZQ4xuMsVlA?VV2Ii9L zB(E3Q)+5}!t9=sJ!T*%W;LGM^=SiILPui@<^nP(-VsXA!bc`Iuei|Dh zWSoD*ioF)Id|{a+oz8Fy<9w}2Et!J7;Yyuw3zBp~_dPc)D8^oz?}wAemS@{o08{Kv zpQC-m*>#bh%vQg|zoD#Uq?DcEHox_y9_uRAo@#E(qKJRl&%uS)n{VAbjXei> zhkydo0u8q1<3(7w9-roF2Z5!6EgmIkrLN`T0yTR%wIqL8eEwm18}9VEIu7tLS7ckKlAd`FY>3wjX*qQ0KfPjgOqrVki_k(R3?g#t`N~u0`)6zFjy=O0aJWF!MPkJ6< z-+hnZLU8|f_W13u-)UA?AX8FAzTl7}_a}cz@piT!=RTYZx~)-}yr4lTc>w#4OUB>A z_rB+tT**(l6^XlsQSt>L@*$aTvwbhJ@3!TxlT@W7JR9LmRcK4W$>siSE5gR!=8H_d zo8`KFmd`62P5L|dTWE?iyf&4?Y%+$-kGN%1w(*rOurrEHBceME%hYZizZC$8{dqAW z|7Te~(Cy4P>>_Vn=8-MD?bTo4>AP?458?u4YdL|4?|&ar@TzDgRvu4FMq*9BFJhSN%@bEl{f zMMAa06uTPwwAH`p!eyl>vHeMmH**y8Pp;3MNXo$%YF$`Rlzy=)cc}#b*h`zY0S9}( z1m_zaNUfs!{B*e$VJ=*{K`>ozz-0_P=~M%cY(^f?9mBh)%fSJ^<~m3LZEk{IqVOsu zDPB|Z?$F#Mr#toY0g7M1$_~+rfmU5%ka!S&LW0|8D?S@7`|flX4BEH*nUnI#Vxh%* z{UJ+ez-C-ZPBG^VXE!}ddyON>JL9&^ya3aZJbg;4LR?`S{mnxwwO{U`OPJ@@xXfqi z4WK;Dx&mp?Sdminoc-S$y+3zvMh#i6VUZ33fX*yrlk} zOOq=GoZ{OoK}IwyeZmt~)MMxio%1PI&Z)*xrA}xQIfy+@)+vF_#3V&-!HaVBA?I@5 z`V+x7=Nthy_wtNAU%bvSj)YGiL-;eF3Kb~{1f5A?h6clUbsVG_0}r#7atF1 z_kkMUVGRbV8667k)_(I8E_i5c-wO^qt+YcYYL}->6lJFH9rDYWfvQK>(~CN)WKBV) z^U*~)QjClnzGe~$`C81%^!63(_QTE=74@ILIt#snujig74B6*j@atyE$BKoy<<`Zu z&DJ2;(zwFOSmzz8ZD^Uf{p!2-mP=qHq|5=8vU;^zAs-V2ANHj07N@TY3^Vu$`E=o{qG)dFlM`&tK6lkgqi!=RRKlgi2r8AX zYt+VnUwn}1y^ibX)t{p4Va$7>rV$Bh;iGBmS)|_(4wg1MWBT~kJs;S_(&-3;=xXwi zk)S=>XU+p;*8qmszNKBo*)I5l$$cf6WiB-#nSQ5FF~2NAzAuR{qF?OZ=nDUQAUf^a z5FxvsqTuiwf={39r^(4Xmfgx%p!@&KF|bTOf8eisTh-3>f3yl)n`PCC9+1D=F?e-& zeo*kC=>1{GUp5PpC97k~AK;Oz(4C52L&fM13WV?<{huNf4=VI1l+G6Je&}$9&39>Y zCkUy6pDz`P9{pd2nfkBR-ED;rC6>Dl->&`=PQHJ*Nq9%vWpG0xQtoflM8wEpu@gntl4@vJdc z%-72}-57VH9YY>i-OGB?_*nT8bKTnQ!%#KFYbtV3WmflbOEe`IhI7zV@b&YXHYHlL zbI`r2?iUW@ept2oQJ{7E-6m{>W!qRwR9}_~8l%d#U<@NAz#;|r`;q=MBP<;!fKrg7 zKh`*DNHargl&slFxk3lCNu^!SGJX5OKBpx^Iy}~cI~_L_#cBWtX`(YSZ!cVv8+OyR zYL7z`yj<3ecj@IN1k&=_E3_P}bqP$v89bFQ*QZIuVA_|fB$BVV0QH(|8xpUyj7k@) z80)vr(;%T*b8dR0bE42ZN@L)slPXpPF3!g16ve06RoO@li~@nijZwIGowtD^(~6#a zstSxSsI*^7@s|2?%x(tlgJF%Q_Wbypv1j8UPoN}YOT5bc<>N+SC!E6@!)kBKN5RO` z$V;gEmRN0e5>ZeY$=JqC-h~`p^YU=f(`q{b8~U^-P&frA76BeI`XD7G$anBrpDb~p zfX(o^Gs6951)^7mk5j-pZ$=1oU4FgclZ?aQ(bFLkpFQe#uT-Bjguwt?=b`C`{nCPu zDmQU&qoyqji0jDv1x4Dz=1y9(%TizLTYwdGJ4t>;`vP&U=l*3t*DBLz?T<%58rWD! zjcpPO2as5VjII+Jkd2iaPfs-;b)m+)JKq2>d4O>b)871mTuc+~jWCG(Jw80-u)D^2 zX%+HaELLe_GC2rUhG}kv$&`1);s!0Go2)VlJ1;1=3m08CI10!r_wLq@rglVV0s$n+ z=h5sd9umyIKMf>w>2Qlc0jdzwTrES1?kh1J9SM%K8zT4|!EV=X^?ZzZj$YotD2`Z7 z_GX%&grR=26D_eBna!D+zEYHRoERg(_|@+*x4t9kx;kl4UetN;=pC{^*_#G_$F#MV zI}SHaCsN$RDlthOx{~VS{BBw4=`T0<@8(f<+Wm~?yxhv)StW{U3VK|)w8on&{ix32 zB(l4GQ$46{{Qh`g>K!r{VTy~cM$Mn~4-V2rpXYx@8y-cCD7qSwFj3JyYbv9xa|X{8 z%+^06c%(40?jURnClg(plIZR5M9;(eg&!W5gY0qsl2CB4A++RE=#Dyd->0CDTrDt2 z%*`x~j7`Urcaj}mVV_;UeIdfPOpK6t%EDDCuYcI;|Po{aTq-Ydk2xF+0ZT0iz_ zsqf$4&w8;if&3u^O^|;r)F*`8X$66rSDw_gp{XR{U6__`lzRL+5wUEHcj0Ebfr~Q* zC_cJ4S&SSkyF+frk5CB$TD4A9#V~$aAmv61tWX)7I$A@N$&_3~F~S7E_DT8Rt`oHi z8xsxkIZ)}n2JP>65N*VQV&V`6q|#wv!~6LmpWv1U8Wf53q?{`rkazl^37}f>E#Zg6 z1r@{ML{j{2vesHi0&6hIBQ$_;NF3?7j12#lnPhp#YkKO3E2TKPYvZTc|DwTGUa`ZU zJ?>=Lax`;j)N&WiUH*Obn?vRmue~mc3Vr((yNNOm!gL&G^Wj9c`|>38$Ab?IK|Gn9 zR@BM2PhRqUBYJw9^tChvVqC_I^v!^h{Srl(QP`v9WVALxx|ch5^>2vb2H7jnNw1**%~im*sdBlO3n!MH;EXrwd~+ETaE zjd;BixHmY}8q5BID%J95)l~3jDRye&8#&jC400kB3q6Pc6=D$JiXSaOP?H2#NXI!J zc{qb`8S&OpFZ?UCLO6A)kbDajdI8f~^)C9aT|n^}yZ<5$n@bG@s6kRIDmkfiE0KNf zqf%RsxmRLjOElQWxYR;8m2)2RvCb*}ffP622DWDjE;roDbf}QJh6}O|Y$`-_R?~~pPh9A>HVpS{6+ZTxkZYDrso+G|wf8a% zuUs9#wz6)UMh~l$Y&Pg`*h$rHGLV?6b#E99SL!MgT=n}mU78&&&nkx0vuve#?yPM% zapdFFxhu3?OzXLaK#pEKTUHzyH*4H50w@#OF8&zgHSG50Oq~CrHYBS@EV+kk5(41x z$|2Ajpx9Tkw6*701sav3$~lXWZs9>S3BVB8=wZE_h}}u#n>JEj0tG$n3#*@8j)=J* z#k=AM<3E}c%Q1Qo))!WO$K4AiUyv-+R-q6Em6>C@;pvR9iZWzdU=RP|Sm|sl0j8me zq+5xVw|FL0hTazjb0Ke|VSGwd>fESEyBs6gt8OF~%aKK`HrU0nVvF2JjpwM+`GrXy z-uav7s;A@LACg7RQyJ_uI>~`{p#MM(WG@|!5$iymb1*&y2 zsuLutPcnoL+s-Cz7?qB#@@@EYc--k*h{|b3<37UD*E}xz<8dXmPfdsKF4n%_=g*Y)VC^tU@EntO zGkxh~TBXZZpjn%rQ~8|eF8Q;a#5X%yR<+(7u1`z&3!|n-uaDvNyIR#Q>=uGgb@$#L zSEorN*1xm#4Vbt?iH9$#-G|}jmk5z#G|u5(ni6Y8rZuB@^X*2iK|~gWuSGTG)WDnp z%LF9`F7Y>FplauL)Y{1(>~MhhAzqt;Dn}ecEM7f5PsE(GFUr`Vy|Lbk{~fH zuS1@Lpfj7+(iy+%>Z~&e8q*FUpN4C0^$Rb!`Jk)_@l33Ki?wX|fzO zeI5=TXp878lDXGO9KL+FzN1^;1o%96#eBIEc$-Z#p-E?5#C?rqUznc#mT{QVQ$MfE zvU9`xs{KH#lINJJ{#mF<)o9gM2Va9w(OtKv!UsIZVd_NfWq*4_)!Ki&^L#Q2>f+Rf zsMx{uP+j6NCPaBXZa}|YUks1w_Oy;rtsm6N4$Q0`ORAq}#{wuQstPE24ZYJ*kp?cRBMixgvPg=swMdeY4(TK2P}3!sL4;68RLXFhn55lbuG<=I%k8%$CphLFHf`z#D5&ZnC>zhc5ErLME^@A7RaUPdt9`|}@h=&Q@0syV*kDJaBDPoD0qtL!5I`#qU!bq;w|MXYJB9XLHpE-B+ZP~lk6Fnt1 zeb>vJaVj!IrlIUgc^Av@b_b8mwK&2FqV>}8?H^a4a0GHpdru@=pbRpPVw6hk&D zJzjUu_A`TnBm*anv|G^klMJSee!#7KA>Hw#^@I7`Khx}YRp`B>pL4wyUGRHiVr_Cb zeJ(^O9bvV0{XXp)_+}J;=H_e+>AYt*P-r?34}j0CiD+E!zji!$Spt)Ox_U2>?u||G zUD+tKQQOZ)Mzg zQ*hMx3d>63n(i4yT02?F1Te_he>?LR4A~!5Rmjo;v}I#$Wi@V+llrHu#`G^#8i;t1 zErh4M`@<^rFEc(c^;gxdRr^H0&{*P^l;jtv%9c-wBUJl#?hp5!(HHv3foV-p)A7sm zX&OSCi!@oc(0C$eTM~U0&TFg>O{Q;>*5{X)ZW(H+-KOK8B9Kl#)t63|<+(c@k|O%# z$p|bpa4hZTt?_EPKCaLycl|>4>)bSznDN4_j;!d#Zf<4@L|AUx>Y{J(VmWId|9ghb zW$SeESk`?5SldN;W@wxw%XpR&%6ps>}F$ePEowGCm*OJOf3!epbW>(}KQe^5X|1*|TOfPa$-pA4EOQUoHx z#H8n^$KB^#%o8{#o6UkiEY|chvddVY<*x5<^9PM)qpv?CzwMQ7&HdZj@ct~zc&U-_ z_s3Ewu?}7PXN3!cmX611@2_tIzu$i#D0C6GcTp&I)3Dw`}jft@zRT36R%A&0>5hJF6wtC6z~GDTZ$~OCC})8or}A^0s~CgCaEs%o3{j zVR}N#1tc5P`e_u>5v>6^y8L+=KJlmzfzN&9s5wGgYGrZ>nhyS8PKCd=0f8T&{Uj>Bj62#L1MG=b)N-C`#i?2GCdLw4PDSh4k zw_Kr`I-}>$Y8lW!=jE#A-S+W*?B|fW$}#e?F+fuyRQ+O8$G8E zQ!xk4tFQea{_q0=9BFABvw!$1dh@3Yp^qJ__9$k?g!LYo{S<4lw|CFt>*bvAXhk0l zc#M+T>CyzuJ{l-lp=1N}AI(seMf}Ik7U1_^HzH0|?IWSB@uuIsg7eKj( z3^D{vjCYI=MPlMq?nh(k?t{>7F??87WiV8N$xrpb5ERd$Q{WQcyfKt6Ed4P{F4N7R zP{x02rY-Z1(bJoeM6~i*?j{vl={&dIWqFuAH!9Q2me2N_tzuuQaXJ4;U;w~TK_J<# z9|@o7j<7xfumCUX_y&^Ypz0<71kycGq#5y@)rz<4h=QS3Z0+K{#p8<}1bW?0izZc5 zzbs<|Se5}lrL+m}=m;66Jy-2{F`zH?jvJpTy;FSPA9L3rGTXE7^L5^~vmJ%^eZPH( zB&Js`3h`ebNawXA2VPhJ%8Rr*-D4yG@(;SiwUu9}H~c=D4tuL$%PF2rmyB6DfvvZR zTS_(8kQD$w{M^3!;SIOc_;1F8Pof`$`CcI<>+45$-d~|f#6Ez$orIqu6)8W;kqD2% zdr1X@eA*~fkS2YUIzm%@)F%2S{VS+OVrjsTk2uSM$Z=3I5g<&G1Fx|GE3cAkF>BAj zl8b%f9E1_RkBoO&^otc7T;jD0GguCW=t>Fa zYym<;%w}F@l-+bDsVEku$gAkq5zH3jF#@5o&COmZHVUf0;a9<{F}Z4+>I!pr-D=XW z)4}^59)AaJxAJuXcBHa57F@eM=&W7y@8vAI{~opY;@x&I_r`9TY*mD{8KtItoJ4yQ|-?dJQ|jRH{V#; zw=M~PSpNMjlEUG9H9_?2`FgsM!^LL4@7HVlHX+sF&u&e_*FWEzCLI1AwEg({_eVFy z?eI7ThWEUzch*e!iCgm*1{aWUWi|K~CI z5Ww>Z4MO>)1n#Rn+CCqou8{372r^+?Nb4(`XarnuP+r(!)0(%rlmZ`OY_yrl2t!rP~%2`LRuCV zH)*I&!Qe|40Z_s1+Lh#YGcc~EB5onqi(#8#(}a%GP0<5h)nu14Hgq~%Lx>7bu@6Bx zmOjS9olr4+mC^!=ZWgs8i7XYP@(9nrc29IU7M@1Wm zBxs^mMF^%ZX8PaIX-e$*7&Phu2T>c@MB0#^jNw~xX}{mg%4O;=aWTujcKblK>+1_* zA>cp?26;e8j8w9m04{bEi>u16^ZS)&Uo~t=B67ARvW*JURnK|EwY=biIt%2$L@4r@x@=x@Yc{R+1zaIOA5ZKm(Usz$pm z_0p@%yY$ZVivTMZj*1R@;W8t4lqS^2gWgC^7WpOJvEpP}fnk#dnB9Xm7lb9#8OIo? zq@I%1-MRUx<$1!JT@KCsnW`K2;$8S?TK_?P-H3)hPkuCh>ETUhcRu*itS-HU00KT& zjJF6zux8r-w&AVYT3m8*+BXv|To?;3*+4y)1)KN!P1V~OmKwC#F>`;JCV%s)o7KlZ zI`v+a0xM{EnPg^q@CUf3R zS>hKfTC_@b|GXE&=AU3x
    2Aa5FL87wB7IH)xXm_|`tEDdAF`i~Y$^>IwegDF+`| zte+Y?jrZ_6;@vDHfz}(-XmXWP1 z@;Td|Zzw#aCB>V$Le;QOUpBs*cy=T>h!)MWZ{E#lNTB!{`bI0f{1BzHYEF_CKQP}# z!SNK##-JLdaruWQNh^G^crAQRjf01xw9_!^+g~{1kvh?e8oT^cN~U-Sd%4UbUpVg9 zO9?2&>W-G;mK9#(vVuJy_^VHHMA1T6XBQB}v;Qe$cURE{R@k=^pogOWEK>h1QC!Ul z0fg?gOO*|@F_`|@zpoRo0D%5aq_?c`x>Pyok`W+D2EfvQpS}dbp9Vl+a!sTWv^_|% zw#qq!BNBcL`x6Nv?fypvA)Kbtou`8TV!-x(JbuQ+p=p28f6jd-5lkOy<5)!4Pa&*+j z{a{!nap)r=O2wSwq~X90R+Z=yI3cIt@WTDL#KR=&5LBxa^}wH_RPxy_^3@99l$>e? z=O_S@0`O2iN}w_oLzhm;$<1Y&R`L6^Z#@sukN@C=SNX@aX1Pl+z0B8&nYEv0agV+yebs_#eSpGV17jme3f z>1_BF0XhzG-&N%eo#vH%@_#6M z&$y<#w#|Dd1PGyp-XZjkbV4=s-g}kaLAq2;=pem!LQ#q$Rp|(bbP$v(ML?7yAfkdO zUU_rfGxI$2Wq$KczV4m9*IMWLkeur{jz2`wg=qf`krX*YV-9B!C+i^=vTvG9fwf`% z%7V(}b3jmg;$!$!S(-`s(ZiIec!)T@#;T;xun=~{H39?KzkpS!Q`+n-i_`!(f8drV zh{xZV>IOyq(U4m&SX|SH2zwV+)lR|)LT^%weahoxrBPv};Zc#e){>B5aFGyP{N4QZ zH|;kmQnZAO+AR)l(bW#o50YXBlC)ng#J-2z0_}iAYM}lAwH}HzHVe|X<5z|f?baft z&g1Obp*(p@q_z0XsZ$b!mQfF8xs@K^4FljV49sY@t#-xAc;J3W!c7f)dYl6>4h+^p zdCd4OS{XCMaGwQnsR-PQ9qx1l=oJmuc&F0VC|}*!Z7J8=w?~x*UExotxKzMkiUR&& zbEbJB6{%A`4AIAoEKb1U_EacprWSz18O&Tx!}8QW)`?a5Qao4tz1aap>`^rRAQ~dl>+aJ3JIvE4tt%BEwK_|^LtvyS z!9CnXJyyUu`9oKi25*Bmeide5NTFvKOqC(6l=DNgs7u#UTKXslvO)`sr}0Kw5Ft44 zWqg;2{m#vfrS`2g{Ig(UT=3K>@$u+*^7I=;%R)g^?GJiB9Jq~R^De2{4{nn;#Ff+^ ze8cDdsevO3)JL9K_GekUg9CIvYRAf$M{--jl)Yap6J=?F2(? z2^MT_*OHLDx!sRohXGo_%kof^t)H616rGl~B&+wxKRoq7VAxz>0Wfr?kts}e-tU9JXeZS@X{P3%N;}l}-Tis#&$I{I+vU#{a}+cqa$3@un+@iaF~_Z<}#UHDsu$lxzgDYQT$!f_VEayi2K*wUZVX4%(7 z45P&^SDK_k?YnmfJSwwn7ln;C9?wEbF zuryeWdfh{Eqjq0PjaGABZk5dCE)8tyE#KP%^5_Au1a&C^@v?W#!*=i-TVRpOhwPR?&rUN zR2gO5Vp9EC{9sE`DRMqqiiyj!6!5+F#ihPhu$&YgmW^+b7jO@O>?Q!>Z5&n%cczf+ zhghvRc$!BZN#z2L{w>Fz3(=WuIYCf)e^j{CDNN*#lMH6)iHbA@859j zEDP%amDm28$<=Qz_5)6Q=8K2$Pu(_Y<41EAIbG2x6sA4xn2Me0p37jht za=T~k6Yo&#ekah?8TQ$ESj5eH6y6&~_5Q+_F8C|Y;w`MRD&04792{^Tc1!tf9u@6k z)ZTy@XC#-ARJ3VWC6l}kEdQSK)yA22<|lb!Swq4iZ9bJdoy1esV7&RaL1y$Z4ro}n zXa)^Gjbm<%i@IM}1o;6_xH(7R>MoI2#lEIibU0LLyHU$}w6v$zot|A8`d`9dxGh_+ z+oMKxujpl_Kl=%E2blG8V@_?qy-^qI=U#tDYJDoG_X4GA)A6#~Ps_f`@znpe+8yJK zSe`e}XZUE=9|kCG+_H)Ztmor4qT_#04>2td^tX(gLi0gTK31N{QY<=5wie-QlxAA0U>e*W_7?%-6u1lr&Y+ge4n)HUOx7q(S89DnzVTKuHXf>Ftlc9f z(o};W;1MH}kMQ{pHyQ(!H?{6JtbdG#YA1F3VXkuAc7W9M!2GF$vB93gyI{6<2YA;F z&j2(YRr`=nK=jv+|6busd^c;D`(qxghC~! z4yIz(r_2qEkEQ7E;(IGNxa>G6WMJtJcb+@tnc)OKE+Ab6?P&eue@n=a&tQkvY=qPi z#fm*&&Q)H|uJe0Yq=*xAfHQBkG3vhuIpYrjTir(qi$KhbF}wzxEXj`Ruj4l3UMW!{ z9U0d!Qd@6WczPrLO7%ftcN2keVUE5n(hWq0444?6vHAD!ho(J+ruFqN^{_5ZzyQt3 zeqt5kvCHkRO`!%f9+?*-mPKDN0V*G5oCL`@+kd*WgA!Lx>p{M|tZzXo6vGdP3`8tc zp2(`wpJ;jos==J(6o1Ek3|2WXXH~V0v+v;sE;1MEAjFZn2bupIVkVM;MhTK@=oEIj zODdS2?gZry9k)2$rGK(!m3iDc^kwj$FC!`^ukW8s4V}#WbF!#%x_tk1edu)S{-y)P z=O8uhf^99&Gd^764QuM=r0wD9-+#Wk)t+8tp1l_0-Z47sOWsPV{R(>d?F0K2krLk_ zd#9`Gm6qWcHpZy}wW>`nb$p8iXjKk$lIV_TEL5U)ER9y!ZXi{nZv0>H@(on7elnLwzf37j z^3immh<#ryjzsG5Y^k*0`++nmFD=L=6s2TJVev$jl;xyvd! z#iTml$*a{S@wd}N52r)}8C--|i-z~l{WtpJNadbw_J)0VKlo6tb$gIBlrCOpyhOv| zEu%Y<@Xf*F04WFpd=R>u62<()o7CBrvo?Si!WwU|vnB*E8RQb8*2MQFW9|FzlPkVB zS?}`O7|K+Xo99@wdAQ>>U(xMuJiYy|sI>plfAppa&ubi+S(X%vpW%Z!3VKSptw#C( zM*soCi;-{pGvWOYC~ptlT>tp8@iP0R2im-jp{ltv2gruftf+xd7S13-)P4ezwAKQ* zqe1*x+a-zKDb*#JIdF0}3EI{{2!LWcwMod37&1;O&n1++S2)xyLvminEmL+o^`kz- z0Q$d(sIpD zd6xM;oAN9V93t_m2$|RQs*Ko9^Qww|QMjT;5%jLwo=ge4SdCecY1B(|8neg+RfJ|K z4AQRW)jU^bKB|uioc3vOzmIm(jSD1(ao&{?r+idj=F8CBoV72cRemUGYH9sC4AsO> zh=s~*k4f@s5F423H`^q+8p)QP_n5F;nRw9Y4g7|kJRuTt&6F8R@W z2XrsRe+lT_C;H;ke>Sv1)wmKNE!3~zf-2Rx98GG~`#b%eDkGo?t8CJzL?0@{==W=OgRE@mZO zok-5}yqXDpqoPkQq(KzsWhAos7?_s4Ky}gq>NEM7JZYeyboqn*d_DJafEu=h7GVT< zR~kFt$d6hiq6D_dtcllx96}8xt(5l1!cS7w0Pt#sxoo|QWnB0QDfwyTVtVlD&v|*UCz+!z=rIP z$fw^IK$DZ-PBeSP}Gpm{YMctw!|I}vsQAaSzl8J z_7>Q=GZFw|=rV5)heKhem49LIT1;Prm1T^wjc` znT$jUb#djr$6g2#QvMQuTYI zu0=W~CS#pB&^Btysi<@(z&VS9Y?qr`eoD{jg0Xb7zPe`wzkRse{%x*`+Ri#~(G*W? zQb~oE&U$K@7gIldEb~ocyrK@PD(m$_B#_|K=IyeP-7zPdHkh4rf^Pww2N>q_E*a9#J*{Ev2VA}2-f zk!k~@II#|0#;JfBtjDxB{ogJrlpTN%XZuB}cdbHAWvB&m;}xvh9y;o{aDFM6nzsP{ zQ9{d)64<@XTIp(Z^kpLcle3!lE|}5u)P*!8C!FXi8PNHp7NYomE84xY@)IYFLzv6> z@M~ucE;Gut$fzOi86=O(^Cu7Ar8#m?GmP7hL-7jg9EO39oNB;?u+^@( zF?~2EpD-F8??w@L@`|+#jMDcU9M8#keEVhtW$8(`}x}k4y`x$6ziSHVB__ejL5^kBo*vE`^a5WVMgzyLv2& z^7`Ax>W9aZl;fLb14k16BU$8Qshu_3A!_7swQ)ecU&Ovq)m4 zJ0JSA}caEf`nCvngOcHJHJ7u~j zHLh=PGA|dB0yOqKK&Q8ME)1Q1+P-zVjqcw(3cR;s!ufR_n!EIprcWo({UVxpIC46a z{k8Og{9;sUcw1fNi>!YOW00*zbXI_EJf_jI%}hO+(+9xxhlV4^h9c! z4-(N7Tuk7o-;+|uJ1Fw~Mn*0xkHzo~^NT;x+s8HCspo^Lu~baA7pM4#N#_3{dn300 zXZH^7oOAU4ua`K^ZwB0r(Y!1ONM$(Y!81oRu|JIJ@)$!#vl1(Lu561mA7i^+V!$!8eBypBY7@05E2 ze2?j=>+*!3zNK%criz2opnp*%MpDOnQ-6`Xb&w)o3s)JFl;F$t;H^oe8xdab5dD}Z zb{Ha7wwAU5N_(0sJJlgVwJQ>tn%n+apUZG@$-2AAde_PNf0P}_w=K?>Z+<2JKwT-`JS*vy zsQo*cGXYFfr~FeI`q_7i0Q$CanDR|yPU|nlOQaIUO=*%wa7Id=T>dthG>XzknOc=- z7*4@t67melKl8|+vmidK02{t^ReT{;lwVw@M^jl1r~h<=nH zmDe~kVPTOQL`$>2C^=2>J1^7IeZ4jw{VogbZg+#F#Nr{^$YCCXvBZ*j+OnChvg}@E zVk{+=N3YCO&)~YCJFT3-#h3lHp(hA%l`#?tH)>C2?i@FQelz;U1O09xe3@uWKxqE= zhcQUTgg42J_;oq#xe@tKD#B&+w@matk1ST!(epm$vBlx~`-MXTep(rQuL}8z4Wp}}8nrMY zw9MDETrMtWnXaL6@g4qTmn;LHd}Ko@A@sUe?X9e3VYqFnCKX;sxS(k_@JJ};x|p}m zp^Yx`T@lscvZK^*IPQ}@7pU%jS}i3N@IHy|wvZ$3H)p&US9z5D9^A_#%&s8hkyd;u zjsyVN(Q`N7F=BQx!6P4Koe5DSZ(&VEd)L0ZrKHphcT zWc^=9_)pQ_jco{Qq7P`-G+Tbbb)FoUxPJG;We7tG-LYLODJTf6O|^Rr?jOGgZ3r5$ zdPc_?M&m_E*U+l0M&6<)NJtlWpchD|7)Vrim&f`JA3s)L)feU$M)svmLM~M1UZ~PU z2vV*?=u0U6*9yxjH~R#$tylSCdS~Ngm=SS!oLu15cQ2=}jz=>xnSsi>pYUOK^xnPLPyEjdy6-`DqT43A zybiivq{Q^4cnwZOnzF=xX8=B~KADo^`n?l&;7l<+5j)TSJf}O)oF$4OIC^ch{XIk1 zR&n=^b*z^@&%qVhk(cAogZl-352{FknR^s-6Xb6g;+`{5F_(2d>CZ%X-zrL@0!79U zOkyZUACT%~^mvQ^*+UD^7EuRd5YT>(_I@g83A?~U;avP7XFT5`W@e^uQFxF86VDgV zC6w1sua@v`W^n6Ek|HCIdfp%%SE9z@;K9Qov&O`unW57!LtjZ>eiMHAUH|1zzn51J zUtT|X`DfQpSJ1q*NMw`im;IQ8>^g)yHBa zD0rAEctjMFTF{gBJs$k$JbwP%VX{^P9hPqMsTy&UmYpd2epIy3CM_dUjxzW@n5i@3 z6-kwV7@TY@hHHdUTdJVrzn@Y(X zLvtXd$aAEuN2qL*dG<(X_MDr1M1makksJgunG4DySkL-8NmZ+^@Hj!C_=M&`M%JEA zemnP6%e#r8&dE{pspUFc?zEH`+fglbFp8oypPK~GLXc~M%eQGvH`)ujnw!L@RL<0= z+d|u@OlP>7ZY${mI2sg6zJOdKk8j;W#gx$X2Dq5*xyBF`}0;yRK8*@qZMvMH%KXLH1`^nlApxBr@ODW=pfvvs@f?0+2fX0b4PPyz`A?X zv0I*y`)*y7O}h(Xxxt5O_hDa?=r%g}cN3D=Q|J9I!^o4NKKDyBCb#`*_QLsp zx8D(OQ`D~}VBy&^tYgiN zvF&l8f>7j#bSkjovn$_cO!k2{$IZvmpHId&={Z|-cQ=hRT26{u(p*{uuN=Zu4mrQH zg6>fTR(&R7q1rzV5L)fHwqu0?iaTyK%!mv_B@o{>64fckIJh4K>0U1nY~SyaoO^YN~a*#U5VN@dk0U3p>@%?(QPL z`-ctpf#F{I*6t5LkD*)-;SS-<4%^T z^0q%5q;vAN|56R}EwG;nIY5rQ)uv2s(9rm&Wi^gr zXCp!5HuopLpN?OD2DcC0xpnDgeCcub(kuJYr}fhB&1JyX%e$0U!MFHGW~suAZ#|f{ zaeq79)-uLuIvhgzOI`p-M=NDG(B>Xeu^Eg-8t=+b0k`2qE;|q>((An2CMxi2CsZhx z*>(P1MCEN0Y_*nH8^i<733Z0#K7de<5Cj0!`D^JJtyCNa)UV7$o|>jnwvTSbrycbE z!QfQ`@sL9k2+BJao?#;tnRB<1ug?9+?dE&}wLVD2Ox5j@-CBRO@5lV1EmEgbc z?)(Md|EwGTvv}(tpL&8@3&e?U2~2a2?!XDkTP-c%#Kh~{+`Bh2+0;u=I0V3vX>dJD z1Q`#*$RH?nR+Z%2<8%o4#QG?Pf>UyTZSkXa4yR@okL}xi-2y?&XYT87Kk1i9c+A(^ zzWZ!gAs>FWzy9vvP0?L37;Eaz``);N0%}AKcu6v9A&*Q6^%W%;eiF#2Tx@(K{}@rJ zR;aK5@J6lkjL<~Np4wq7TRjKyB!ddS)jV54=IQco_i%cyb5fh2Nhy(%`vTlKDJ2-> z0GYu+j|7^|ls*tmhLr@3gKwu?K4tvj0YKCWTq1W2Y-ru=ZebRac|oeLkyW2O#jHp$ zZgob4J656_If*zTr^soCRSS(*LFUa_Rm1&JSLqInuIv*INhRsOjBs?N6)|MRNVh%= z>o~!|JAy}dKKv7RbN%z{!G{mH2LJ)>0zbo#v`47`^5Pjc16L_0TD`00nB7Xe6hxFv z&(kzQ%*_g=3Jyf>!1qzKxnn&7U2t_^6m>uZ?g-)^5$dASNl_qb#se8usfRPcv8kia zdxla$3F3Od4xY~#+X4^|nZ$$Gvuvn!IMduEXI5W?}}qNEQsZ3 z3xhaS>1U$EVWw1dC@*Aqj4GGFM;iz_fznws!t@jF61hxkGJ5z+@sOYHH6%(pOE`1X z!q*>Ru7MylixK0w22F|BbmmDSsBF}39 zxiCXF65)sH){YT`)M#R!d92zLKHIc=>;4g8!S~x0!*PpXK=7n-^oz5-ZVYokdCqSkBYyid))htjHdj9uATa;z6S|tA&*WL4dsyRZmfb_t1Zo|j zXCa7VjHU-(Y$bc0)IHogV7_}k%bc|Wu}~D6WypwaGT-1n1%&me=}phO=2r|4VlQUo zaIrtE10Hf@+-_{BGi(m84$S_{FZj>v?NvrCV0-%xVw>biV1(%en~@ff&WIpi)ine& zAf*yb&s^NR-@yieTNwsM2o~%Ph*b+9>Usz;S2)M(Osdl8!INq~Lc!)zUNLcu?B-&Y@nZKSGRk!Bux$O(LXMkeg~r+eHX~_F;t0}JDkym0l$h6S zpYaI*tZZLNbcEw&rP6?=iW*v#{w-~aM$&&W84(S5s-U|A^;C{{mzxlA$tx7Tuaw?1 z%np|K5V9tr#z%Js6aP{hV9lwAbk#s;b3H)bOs!G^E(lH?br5V}=FVfH(&rYRMM|gC zk}J?4$T`YmjCW8rW0v-)kyO{07NCjsX5obobM_GHsxec06phtatGv|%H-@+y{QxPa z66l%Ue_Fu3zD)TZ$60F)pD|e>9YzqK0FEUB14tV?8{2P_R4t2InnwaUFl>T-<44v- zarO2_6Y@~^guBw-$ufEEL`1N2ZVvLJ3l%l9{MrIR@}AdP*E?BD3rmlYn)?7L98la5 zWvKvAp#LdUOb|FW&F@>v{k22tk{UlFZi8mPYBgH~H*bAwobB`*gNwiK0XZ(oZ34o!1utTanA?rvE&NI||_sydhIqB@G znx~#zj$gQhdpFp`^xMjrFR~y5-5z&iw*EN%8n+%g{0=fch`Dp~+4|+5Pj?^u-N>Uf z_!x!b4Z@JeIz#wvMo2w_64-8>q1ra1G>?OlL}OjZylh^vd=5%czHx!4*o<-W1*aLt zy3#h-ycYHhe&{HI7_HZ&`!c8dxq>hLB=auKGo4%7{wLI|w^wWQ5CY|Z zhQM2J>IiMJ{J)_G10A$rckNrT*Cmz%TXWfAk{I3y2Xy$z`Y;oo6F%89KO~Sd6uOg3CW`~c+0saD8+EjW5O^8|2!xCa;oWbnE8{kLZ1$;M?U01M|_Q2z~DG1lj6oFcJ89ORdE z$zHI(m*W?E;+#j_$~7>@LnDgmcPQE1|D+}>5f)$>)za0Hl1wGa7AGutE^}CS&O}D@ zQ<6!J=?7EK0;tg*KK>$Etm+iS&RW7}*SXR$wM#%rIN-dK=6ER1V2{w-h>^ah%}Krt znndw8+fx%DG0gV_mLGo(1>zD334VCTsjGIRg#@Xdeu^3^c(woIPf4KwXX^zDF4>E z`}Mvo*`>#)viaGj!I8vqCP|$aOv}tr*K&~LL-u-*>e@xBr+U3-FsMo`A>F)Zw-yED zFx{0X%|Z`PB8b7xMD7BBWQpW83+-{f>Ys#C=6(7zdo@1)(ZX{)%S+HfpJkItj{Q3= z4Fvcg$O`mu)gsvkP1yx`ND8B+g_dNvyUN8k%dgeD9Gi$%2x?-7<+9`-8TzTZWd>Hg<-{qAroU&vRFoq{rf2&HysR1V5O7igXqbBzAYp7az<$DtJ zzv*U-Ex*lQsXJ7O?x&*0QjPu4;cJO%s3diuSjKsnwLBcOJpHx2$i`h`wR|eHyfVgpXaR59aR9F6uc4KW)e0ih_H<8o zu7C!|jx#U<0CK{ebv)2Q%Zm|k`$fbnuN^a>9XqQXx2b*qMEk*wHkwQa!>SW6qLZMc zlW3rmyh;F`;Zh?Vr zp@VLbzizR=t{`&a%i(J`i>cCB-HKV=Seyu`@H8UHXa{^?+R>2G`$Yy2z2_`1UQ_fz9P z1I9PA#(y`B|2Z+n;eCeWCLlHwu&4=vvI)e{gwWB1D8K~2-JzK#B$Xzx78BAz6S6rI z@+}jJQxnR+CUA07DmGJUQBxXaQ(8k)I!9Cb08@rIQ^rhFrb<)h7E_i%Q`R|Cwk=ck zQ&Wz=rU-H~PBt?xQ8R93Gaf@TUPm*&05kqLGl5Jq!Adis7Bk^NGm$wn(JeEvQ?pxt z&BV#gCD_a*Ma`v@&7}>^WgN|A1I*>(%#oSq@|ETaE#``Y=1Ozs%3J0tr{=f+n&aCc zs%#c&q894P78-^YnvND)0T$Z0I18Oj3*AZ!y%r1oK?{R93&Sl7qf-mxzj%Cvr74@G znW&|?vZaNgrKO{#Re+^+oTW{srER69U5lmtpryl{rQ?>R)2Zd1zn0GARxWH-uA)|M z%2w`%RvwO4o&i=~aaP`$Rz8(hzAaXMgI4}?Rsma9fu~k?|5^o+TmNfQ6txajwhlA2 z4tKPU2(Z2vXC0Yo9aU)^-C`XxXdOFe9k*qD|J3@yUu!hE4TjAoUeqQ**(TA@Cdttz zIlv|*&L%a}CauyYy~XC?piRb{P3D$O)~QYQUz;3q+gvu=JW<GchWoZB0(f&n%eK%gJlxg2v zY2Vjk-#=(SFlRrwWj}Ok|MIW>FuB7Bo5QH6!z&qsoE_rVjt;MtH$4m;#vL6dEUhLp z9qyNGy0>gjzIJf;Nag6<9RK9t#s<}4fi4U>EQ+pRu(Iz6x0Xb$o@1iYvVE{@sV+#5*GM@m zJB%+o9sW%{`MGTe{p2)7cSoEY3UFRS2w?yLAmRcHgWv!)06>)iJRDgdr+OrTh=f`r z)npfyOw2}~IBCoUq|@=bcBST`wbEHt?~|`-yH4 z4hRSU;hzWrh#`>wzTop;ue$qxc~uXUs=(dtyk)_*_Hhfx_6RJlx!&5C@E4AeB)7nrV48${VNhjCM`Wc)6{NG~; z{;x3v{@=zBOjB)tP#etImTMs|2HKnL*P$Bba|Bb8MZR6617WVu!V*b_RfkyE8n)m& zB&_lgpAF-GidXiZ z*#x2S#k&@CXv{&VCPJAc!;tVj)ihE;`Yt3;HwqlgH+|7`{s<6nTJio6$U$RW>B!ju zO>f@uqIjJ$9@Gw(J|N~oujFy&Fl3>ueDSJ@22itj=C3y7N-GsCF>9|wHS#?4&wLXPs+|iB=e{jT3(e=5ESBKkzA<_8*#Lm< zFLOF$Q8O5e=F*uLpBhnMlkk7S4hx#K(nSCF4e|fJ=>PBE$i+_&`kyi}|2sXMHta|O zltu`Ga;|xmLcpR{p+kj2{r55f_>Vhe9t=Qn0C5=O|GM2_vJHPb{Qn29T$pgQLbua% zl7yCTZF8!#;m!ZXE0>sd$GbwtVFa~-sW#5|#1u0QCsdD&>$~hbf_YFhXVZG8_q*m6 zpYWEA?x2t0fQNZ%AVN|F2jCk*ojjfjfD(xp3MVmB5z*eak=ajrmBw%@!vy7}I$gwO z#)$6z_<5d&7g*!$?mBwcX#T`$t}ooDkKcoFa>GRg3I}2jpT{X`uO^!C%K0lv_PiP+ zy?+n&EVjn~J!`f3z{2M9pI_EG{XWbO_S>zI%A;`t!wAiTVf+~c5@oNJJS24gj(|y| z8iq2O6S}4#d-rDo-GcRk)9*?Y3-Q-Q6BH;Pl(QWj_2>#sBIEC2ZOcXr2UJ0NT3CQ6 z!eqob!BM={nE?7?Ifav2`h)9Uu9uV3VbjDm>THC+8qGF2UJPS$$I=iADmeqtE;?}= z7X|>W1|ed_4nH8^z1+!^C?YPq=MZu9NNXof@N)@XL5|j-q%}!^5RG-S0z8a4YDAVq zLGDESv^=UF1eU`n3V13)9f(qe4-*h0rc6=@bB6(_3@vs9$Q+@Vwjwg9r1TJXpN&5;tP){k1<*zPio_bu4@zFa7iHJuZJS)m9 z1`!ZuJXZ^VGTNh|_RHdEGVoFZCzuE73>40gBSad2r|Wz@9*>_q({!O{Sr zq8VP1dRsMGWMYe zK}eJ1(#uDYUjh4TQt?shd*|o`hN3=~>PF9j;EBYLX<^k$Pub&f_`&Sa2;{Wfp;DNV zM|^~s$zTCXqqKu|6i8LbAte2W-g!Bi4v**qQ1^1COM9R4y<}T^a{1oBuII&6zyVA5 zV9?9Ch^;`%CfCPoxii`ALXKxq zyV=Iu*IS7nHm(knzQiGSs=5QB_G-B2dygJ-i~Tv`mz!5OEjxJj=WO8W?9bO>;#>c^ zs_))>o8)i3xtNvv8hb&w*bkovpnwSQhC1=*Dsk|+mo+PJuGXwZlXyfZq=Suz(e?;( zHG|4nhXD>n`3@(1oaFuKH3n%{m*ml@xEHQMWuknjgi?+Guy;;XB@7@ndy5&;h9BEz zKUnWCTZQi_e;{*LGSvr`-7V{zu_qP=LcpRE5!17 z`Z+@EB|!O$gwS+jjrJ%-?NJllzpMQrNYZ>V9uji@5L_$J-TA6&;ZZ@I!6PA4H@YKU z9$!J5{K{X&TEk=r)6nB-0`V{{AHiS?CKF?j!*EU`2!KR&ER*;GVAuHu;JEZog0HTa z&!?l(Sizk!r(}Z*(auuzBBRtFUL*K9Kk$Kv?@?s$oDK6g`@6ND%Y#ZmjvX|K{q^q~ zDNJw)fra1V96H~?URm*uEOq2t$D$RzdZ&{WXn7lB8#VaT)j7rX!SdATD43q%aZdxl z)V9akuwOfP=q*ewj>%%aSd2c_R3?KSp#*Y31WUsvJ8Fk?*J_;cI%p8F3FiKf0#ME~ z=wnGsJ6S305m%vr=j{&L>FCQ&EP0E!x!d|;*=1LwVT-TzM&;X%%ja#GE&g8m_QklK zh^U*%mcV;68{5Ax@m$GgK`Hv1d(2lolRrXy*jhKn|w8Rp4l3Ep#S0b`>UZ}bFKHUWmK-Q z?i538umfi48T@P57SAxdL!|g?1fJEF$UnP-6MH>CJl~cq_tXUz^XnCYqCHjHV2`@| z*VyQv)*s@tyY!)v9GP%+ZXS$NRQ(l0thWaDMXvq!Yl_z@m#nA0S8gkdTIRmx6oMsvO3M0sIF3J) zDRf~h?mJtjuhiHtPQzBa`TtzM!F$cH#LBw@l7;viCk9Q#=04tt3JhGfZ<64I#NZ9n-s(0q<^VUavSO{-^f z`-SgI-LoC-z{sPl425*XirxPCo^iSPZ&|n2M?dR_{ii2nK?p!_;_(u{=>cTD8~o~h z3!kj&Y1y9@ruqe9*kz6V-LF=R(mGl#g&kM-wmg&4!#;48M6174pU*Tk@)6N%_{_cd`{nq>G_o&yc{U{3@d1KO9iqWb%!|k4Fxq7ix6Y8AbMPQ<3~?%^ARlASm~?j) zJyM*M@XpuID;WL@9djAOj!bs9N%my)9wY+N=(U{?lp1J%JhUJI1qAgF9GMVDzjM3S zMxvYQED`CTI!rl_3O((%A4Y(^lPSBM1Aj6k_Tj=QdBsU@(3DE!4+fJc#dBb>J>i5< zOdxUa?axV8ljvwIg{~CLL@{Qd0qwjN(|s?wjqzaz@*(w#-%Bfx12}ntvzmZ*$`lcJ zPK&F#h%`>;{-H<414f5m3*Z}PvhUWZ$_#9!hI(L!RaY;6{d@ikR?)LL+uvQLpS^^Q=O?un~Z+LxEVvk&b@}j)x zOY?+!^F){)B5a(PdUKi6a)=*Ou6n{g;8p_qm-Cd?^Hr|%*{||M`0_PMU38!^BZLKd zy#)sA1$ul1Mofif@`V<*h0lM*+V~XO^%gp;7dk~bnp_vU$``rY7I_LHU5*^?$VGUq z7X@Ay1^M{-tUGkApsl@&?;R=nO?gF;C_&+{iyZQ1cuqjE(!Yq~psWUN;PE-6Xa#s@ z0*+lT9_4l?GXeAMD}tPfTd=TFk_hSsrEq!o7~7=5k2y2pv5$NdN2JPvuglu5-9w|4 zKv?LCGx-oaBbmni$x&IpZYfSc$*he$PoBW#N{JYNC1SnG6QBu4*|q@1cemo&>j?cF zvg#?t+X(X6z3h=R#buI;W?W?1&{6p;f!~v#ZfRxYsiQ;&3U^OkG zOdYVqlEV71G&YqHMAbXGRZEY`j+&|je3iUXiXTtnBme89ryV+dlvZ_9SWpznaxvJ+ zbVuU))9WO&M!A1k#6c8#dMS8*GP)GcPmav|4*KE@yF?Jr41#hY2XYkx z`y51ZodXM6t2btTlz@Si<;X$c;4>_bhC=qG86eo^-^Y|q;0zgtqbN$B^?Q3Uiw6|U)IX}Zo=9r$>}KpK_y3@Ny--L zGMW{tJCRkt8>x^@De5rdW^8%R|3n;P)VVm?{+n7%umjE&CjbRO-!CBX*kS(AC%t`7 z;zNO}9seM6;6o4r$w9U6yO3K9PvYxBXr_I2#N!Vco9G$jlcHj3O0rI;tKex(52Gc? z4t&2Jr&%Dec0Em95nh$dxit!HkUxrb3QEhN&;bPHp$S;^I4h?P+1l5f-CWfbjl@&2 z_2NNS3r`ZhfqtR@Gq<sL%iWqfw2{50(Jd$4-Ya{ zvX6{Th)nlNk53>tWX|Rbf;C~&sY@cNp{>@x(zo=IzD~EcMOU!@NzzlS{d?TVdYnGc z)YO*V*l*kBZvUbzwC&YLl2WW12A}|X6<{go7KJ9thc(vyNK;jqw-Ayj-Jc>T+K{vZ z5bxk6#Wz3yCyw~eTF2GfZi4gfe~_Je)JQ@!47rhhEYG-k5cgv;TP&xmkeN=bjcma= z4$Ji9w_R38bgdaO)^z4M&L-ISPhEjtWnF)3adTIJPeZtDESXJ-r{X|O`iqfJpg}u@ zsEsm@q`TE#p_HIa;&-{BBE~whJ@yG{JZCS)o-#qd<1Vf(q`VClCeRc8v4r6Z`Ozg@s7gPB%2L|(LcTNr2T|9{g$KyR{h1l-nRsJ z)i&984wB-XPucv_4P)gaGQI=DG{8g~41@wy;2j|>-SacePo|q!9;Q=QctwAyjI(b> zf02vV@7dJ{ILH7zNqC!7PLzdCLZp{JpA^Z0uMZ{YNk4&eO0HGwb1Bk8=Ru}Eh~}T5 z*Ixa!{@rQmF%CE3e9a1ctQEb*&p<4}aMlqU#d^|#E=qv`=9}@hsgdDOaQATM6x@gR zq@Vw!&i27Qvh|`BWRxkDaFrdXpQZJ*M9X)^@T5 zT%r9rW7!0TBLdIj-C%pz=keTxh_Yu_u*tA5ebf#kWv~&OigA~i2+xx-hJk)(xiY7U zR>#@KAlBIn!HMGNTWIF0*Xl1@ipsp6Do$j`&k7Qi4Lw zFG=iqZ{q^*%=Arv;A}0CK@F(S@rU=wDC?V6-C0)R7ZdO1PiJ8Ea5{1m3OQi@!za+I z1z6?q+(Famo6cHd^0vaXGMMNaINPGET{XjF45ef3m^$!oDjOho;`Cpbd$lmD(cs2(E-L^_*~3V{agW{r0PJZz{4!}tfDg7qF22< zughGnnbrWB)h^1`>o}RRo6Dr?&~ALyc72y;oY!rvUk6;yeR;rTt;9`+o`DRbg-xNR zoID7v%XFE}B1(!Y++RwaJAY{o*^mkI^QvLZ)L1>%)b(q{06Yya4;_usaIM%`TwOAa z*77>eYn`H=y`FEaz`Tjtt^L=rjo79w*R##ov|V3^{ak;nyKb%9{#xAkm5O~mo^nms zA53&b52n$gN$ItvROv3k0A6!T*q|C5_q%E#1=fYhLX;7=Xl^t;NWr$FMEf z&%N6S+_lnm-H*-AV}0G!jo0LT*Yxe%Eo$8JbU`3#rlQdd-wa;cBr3N} zUEp8L-xJPX*-o^`n!=KLj;gD6WBfY5{Nt?b%I!$x3E0A`9O6ul&GIF!G^8nTYluP9OkzSo*}NvfZRD@c;+S!%whcDDeU8|T;x8kg=CKAY#g?` zS#j*x%MDKE6FkdT%%WL-<_?zOQU7rO`k4o7JQ2SdD_8C zq=;$vOu$3WniTu$Q9t#>?eu(Xs9N9kO%Js&kMa#x@9hf; z%+LyQ5Xt;*^t7ADWA4~>e)aHL+Yc-{nvR;CUa99E0J(4n0>BO2nxUKCp0ggo0WaXu zT=Mw~@Io5$0c`UhPun(M?&{n+&=B}-@lE<>W;fme}L#%=I^Y+?%C_G{N@hK z=JKxj!ETOKduJ;@yQ?UxT~GB_e)_Fnr?@leLki}w%)3e~wyL}MIbZ9fnfjl*@uJW9 zR$lF~KkxHZ?+-cv`TzOoD1Py@x5s_F*|4AYZyn|b51b(T{D6-yKcEdSKn?^Bqxoy` z4V~*qf9sgj<&V$o05L!y0009C4j`DYpuvR(86rF=kYPlK3Ku4nSTQ5SiUlo3q$m+% z#*iEpIur@=QU=Softiw;$awISE7QN5168Io#Gv3J!f z4T}@$(2_sPx+MEo@nXh}9S`Ub8FJ(flPf>|tE0$(6V(V2xcf1**{p~Ii>9i0bW@&& zS&kMBv5K|;CjSykL?EvY0Rsg<<272~0J}%2D4I%lV{zfwu`xSWE7vXR)_$v!W=eQE zOu1<7l65ZqY1YAl!K0pu-u!K-tkZwjTD>l8@}cR*ms%L{+qp#5-`B3arw$u$wY|>6 zFTVu$TQ5KP6qIVf?Z*0xz6R-24LI-ma;Yi)*t)R3yi@{8zw&;9?zQgh)5|-F5g&E*$|TR?i%=J_1I(vP&4Mt`Klh`P(>r10sjbuqqpLMTA0k!3 zKr!t!t*VSvv_J@Bwe+SE0faO|_;^LtQ3+jTb4O#3&FDtTa+C~N$G&p5P3oSzGFodT zA|P97uNq(pXMjTGjx;$%s4OR+JvY>A-z3mlPpd_7unub^k=;OF1##VU=cRW+)k=H} zUXsRrQQ&LeOYFmKBQ*5TT@6N8#&svA7%Pd5eN|wcGKBS5h$;3pUyw((QL<$%BRORy z-Bh{d8ZnS3W|{9GV1N|3v4SNZ+(~m|)EFyKlh-07MpK6v4WC%$;ba&Ojn<(Fr^dFP*p zK6>eGN51jtug5-n?YHN?d+(R8{&RM}#+Sj*5v?(RbIwtxm&w^Oh=Bs`*0}t?wcgtE z3fW^a;`6J$-{JnYD)?2+fSN*Fi<0If1)8Q`__H0G)}$gt70p-rgH!obl(GR9Q2%P* zJKf>{pgS5x(P+p(2<{|c=W!yz5Lh_0EKpMQa$pHHm^ar+a6=U2&v!1E zzy3TFxZM;#Pp>|kld@ofrd zhJ@q?Irc__ol=gg3tw7|=fB$QDr!Aq|3rVH@?y*N6~u0A$GF9*7|WFwz--S(Kxg)x;E^ zvN@zh#j8;Or4ES_I!#M4sEvzEl$zEgBGQ1WP7N!PLxm+#R!x+e9a?CvL^K~)StX>Y zDwRm}1irVOE1N;x<&mku)u4$k)~*Z=5vu_pGjfaA}DVv zw$5{9)GA0xlePQ;acEQAAQB^tSo~dTWqZ0LjsDiQm$lPQga6FoJ6%UR9hERwqno(t zcEGa<3JG=vq7EVy1Oev7C`BoRDhM~Xemr%uoE9=xfgpe%*YF0MudxNTB6C3&$%UQg zlwJTJ0A~OY>{L#`jXTUQA-<_qP|z1m(q;;btrA&_XBFI1K@++XQvQZDXop zu9DT*65RfD(GBcv8b$o+@vZ2{o33$lVclT|DX`S51hJyYbLpa$F4nxF?WsroV^Tl# zxT-?6jh{=kDG#p7VBu(%ohgvQ3giXvAn66q_@LdcMzlzSTt+vxUWo)i9W;~42kJ4| zga`o~uUJJfUnCH`>XomC{)iCFv2Skd!yKDTK{E!?VE;xR3P85nHIo|%5>54*(kxE2 zebC73U&UqCI1S8c_>%G1D(WJ-eiMr%9mhPj z<$5i`D4Jm_0#_sfJ#tPxxbZH!l(G0pw#_jOS)8jJi_K12Qk$f!XKHx>KWN7d4ghZ` zhWX`VF0}*AhGSQ&St7HkjX=WCjwHNw4g<-}ZhDhoi_Db>9=OL72vrgPUU~q57RU*x zF>ugS1UYrpEYMLtQ}?KP$;xFpPDQ$5kG2ubkIgmaYYSek&D`KU)--x+EYnVgz2rL% zDxJt%>!MnewUN5&R=XYHC;n+}9+jHob#=6yKmXZWr6uE99C}fc0KfN~7r7E+3iXLq zPoTUv1UJs14|8xsIRNN`3t?eX&x?KPz6}=f3FzpB8b(S~D!~8(Ui-6PI0tz+2XjEQGC314QoKF674d*6$tyaby1kcDm&)@g z7t1k&%fMfYsXa?C&@(yKOPCM@!4WeY=$a;I$}E*Kv8OAt7OG7WTLjPT|9~Z=|Pjfg8B*Pgj!>(Jp^9m(PQyR_! zpq-1dHN->dC@4JiLUlPraMLA4TqG(a!SQoF3%W3&nkA>hr7VKP4|>ES?3*O?qTmae z-?_x|0hyhtr6~kOM4XsW)Eic8HXjq3u_Gl;d>U7rk%6McTtpU6{6sqxK2dz6D*~Cf ziJLl{qU37Bei5ByJSu1l#_n??U981tT$w_Y#%r7rUSuL3R1$0?n?f=~Zxlz;3CD5V z9R)PUbW{s%RL6F7$9IIsc$CNJVaIv2$9u%beALH%6r6hG$A1LKfE37qBuJL<$AU!2 zgjC3dWXLJ2G6TvJVcf>9VaA5E$p4GP$c)^chg_J#IH44JpO4(5PNE-bd?0;ktes0P zjbzD|bjg>58jd6ws=y;X%7~gA$#VRptEos8?3K-f$)FUzRdcfP1hKggAyf5HVaCfOS&4Z78>X8l<8`%d}KWj66!HBtEMX z2&c@wlsq|kk(*5O!Lrjffp~;oD5enL8BnRWy@G}Tfh)P9D~UiX#nQsHbj-(uOnhw1 z(<+pnfXe}pOP-UxVl%-Q+e;5ovr9=dRkR`(a0h}w17ipyzB|jAxUc;BgpF9R28+zv zw9VTz$F@W%h--+;%*+;BDgPj(wWxW)l)^BLIa@=5 zbV!q_qZ<*rQIQDW^r5*7PSNwFTv|=MIEzsGn3DJbZFm84c)G#7HxF_*cson%#LxWH zPwkmZ39LGx_)TKbFin(0-ik=DYd~cSFN6>d;xLY)@~;@NJG^^>j5xgg#Lx`YP~g!` z3XF~Do4)E(4iKe2>jM=cyCz{f7v1|l-h;KPGzc&elMO_T`dbBo$iD_dKs4FV9`(^5 zWu5*s6?0jL2qg$@X+p7j!ecYT0kXxZF-ZU*0B>o{CFGVHYRrrnLm=hSF7?vFc{XN) z(JM?v(SaG6i5Z%)8ULI~8dLnzH-*zUy_ycK#6?_1S!A8GS(~w|RqF1FBUj?eVR54j4)?x+7XLA;u zd>>1~Bu&~?lAIcf1Xlk<%9S+MYsJ=dl)4>smA}+8g~+GHqE@;zDDbl@pd_F;IX=@e zx+9r96wTInmDk)PRK{_+LHSLEC@e)aSJiS?AsjMtU6sllHrWHB)1$*7eA0Pk*oMWP zF#Wu*1BuMUi2nmir`m%+3`vzPIuMYmoHvxYBttqAY**;?xaV}(lvUYZf>=^HLy9Gc zK`S&t9KBBa*I_LfiQ6gtqGV7IKneJp2aNV z1hP|dtAoASqyxTQ5=3K^Frx+Auw@>p<2H6RCOs28!u!JzQM?Ew!+=FTbg@9VU9M{6 zKpO2yvDMqXH67>kkFUZB5*+~Rb1wlTKm*KDbgkCO3)rn)Q6HQ%$6ebJ!`nLL+snn= zzO7f)IhMXV2rUf5HrbYa2{LOfGl)S{h_u{%}gvOA9ZAiZv7>FZIV#a{tjOd}pge7vDI0g>IjF{pYsp5{C+w^Tzf=#xP}x5a9&N2vSCtTc!~`COvEs;c5M2ALU4ajgI5{mlkIm>((dhI}}uZ1HAr7UvKy zXZ}TJ0C?wc290qlr*ShA!vgxftuL_J}{za-~y}SC;xwf zJ$wFUd=`j&=n?=}fpy5|Q1AwCNQOEXFmf`d^%1Li(ch3B>G?_M9bRa)K!sEo00t<5 z0{8%TC;)#3r<|!1g63aIfCq#wXqaZ`c|K=+BZF=@2z@?jbRyxqSTBX(>2NBD6aa-~ zkOyc;f&s|N^aFqZs0KQ#YAZkwr#>gK+JsaH1$9Vji-zaITWOK510|a4ksbiFUY~5i zYOUsKk8px1K_bvtVS>nlc39{IQD=7EYXUd`dN_bmPzQEUj=tXNuKs{^Fa>*%gJwvF zxGC#m-cUO2yqIV!gD?OmpoW=!z7vc{cS#g6M5r4({RR8Q%8ogs87}GAp!FtCE^!M3{yf03>h_2<_%>Pw?&m zAcb<^g5eHN09ee$a+2lF=q@3EYpa>r01X|e>pCkrgrIK#uq|DXp0UxCd6igc*PVfe3OFNAVBX2S8YYdLRN)=mi9K@&D@W*b$mznvs2d+=U6>yXxF?8+@|bY%5GM2iFmxM_ z?l;#n_ku5ikT2ZE2sS=|e9${U+Xt=VbRPP2Mi+`=xi~9 zc36X$9tdUbDFT@FTK|nr@AL-vbkx8Bav%U^K!yS!gI=I?iMaHYFm=5n01I|(PA3Rp zuPZq&^udbRnf=bClrlD^^o6)|-w*&L$OB}0fps8=M_7e^ey{kNFR{RNIVOllhwdZY z^~6q3U8nWL?)EJ#_j9MVT`0V@8h{n{0eWaVHB9tU=ZAKGAOCVUcOOzW0x<9acmrct zc7eF`G~dsbEp4`tON+<=PY{Ox3JZDDthle0M6=(GT34A2LR$cH;{`ihA95FX)-78iopzcyanSD2eeWchEbRVdXQ29kgo$UhlMtLIa_+W2+&*xfT5?moCg53FZ+fA{T>g{ z22gy)kK_TUhGnt{Fery~I0izXPQut%i7@pZmI%{d{Qt%u0CgLHi_iGVS9*{Cc%-c( zn<^HgpO+9=w`n;MyHvxNk8Qh!xhQ0k<{Sy^pGpA8c{vsc&QFNrW{aSoW1fd}g-|z) zFgJ87U9sAxHrt1`^Yj})fcO{nv+{ng&WG#cFPR1iR|5vByEA|Qj&%bG6d+(A4Lt$_ z0DP!7@Zv-O8ZR<*2$2ASeMts3*mpxB#(@Fky>J%B*tZe^3Zev%(PqX0B~K1$0dGLa zBpP=T*raQq1AG!U<{VfN=go^y2?#27apoPA0|9JWMSwuXi&Bi?=%$jU#*JyAP7I6D zsK%rO)}nHiw&TNyQqwLhFd#3=r*FLuaEq!}f&aAwz}&mzqTUUROmC91yYT?O5-GcW z4I8%XfH%glYE5bSH0so*I`Zes>vS-t-ZTmLv+`4!3?(O^c><+>Q2p0~ZxZ<_S z`JUDoV&2vRzPJ=Ha0p!DL7Ko!~qV8TF%Y*T^&*GZ%yhaL{F z$uu_z;0ZW4nUc;8P-%BjT`&q0fDX&NW78#U4CI16a{+*$f(G~%)kQfr#N%8bc9>#n zsFiRDc${+Qr`BjN`@foTD#QH}@kC>e7`4uIr9K>pQ`op|aw z&=2(JXu>52f#Hlsfk;Coc&72E6=s@oWaj{RTJ)J&qE+eO01yKAE3m-|J1nuq8hb3V z$tt^Aal|3lEP4}~W(YUu=wl8yEqbvGH|F>f8Fy%QN8W_ry+~wvv%MJZeB#F)qEEOU=9L9D}&6Sq8LO*Df@b#TI4w24sx zIq%%_Kp>mbacL=mkxvN+wu3EFj zb>Qsq^JQt8UmJa;l6T^zW}dw%u_cxCE(bdqQ{y(5QUeyT)qPFVPYgYK+pCr(pIb>* zeVgL5PbphQ1+e^Hs2BvlY5%Wi(sWJ*3`svnmeMMsWe0$JVT}Qs0zdMxiZSt*hp#!nBx*4a{tPLQGL6cz!hpbG=Gz$_fSDNb{$)1B_dqn~Wo zYy9$@lpFvVaxm!bT(+(yvXq0X&RD%R#qy!3TB=Yt+x zO+yu{BrP9LAc;w&c3Yj8Bw$J7$8J$$f>WfTvMvzENII+Bm5)9}ABl)-kdChAODh}klsd2$Fpb?pr7{C%KpPN~ z5*$e5tpEKzF^W^H;$VF?!m|-AN9@`=axrzBD*f(*G4du14OUDdQj&;BWFp0;rT|Nz z?1-JQ;w3Y=$xhbgaD>OtYWmYP)Up<~JPZ`nrdB;fTq=0+C+5|-4P(6$8`I7lGBT0O zWLidz6lR)|7L@qOcD^&7pZv|+_%DE)Y^o5SO3B&C53{BbYtwMxj3MQ@(T;xfa`!Au zsIsStz+tazJTQz_bmA-UWQG+C4e3#ny3`kybT9{Pyd39-kgu5^07Y%;S<|}K(nPhb zbFJ%L^O~8s?lrK3E$m^Z^4G*ZHnNkgY&05s+0K48w4-gTW=p%;*1k5jUDM*#tX9yh z=KpJK2K_5|$}`*MJ~z5!x$W1sG-&}X=|E7h4|&jA$u6#qxPLX#il)2Y{{D9+*Db_* z|7E0+9RLpALmx`Bdv`SjIK(3^@iTrF%r<7q2kQl^$2O!;Gx5nt0+SKRL>U zRdLpSxv9^A$`Zt^zV^pf{9d_>6AymygtoaL ztC6K>M%%vl#!vQtEe%A?Tk_MPp8fHoFa1Y{e&-8+rO&6Y{q5)Zo2%tM_`@%L7Jt3` z<3B(8)2|z9tH1s3e?J_B=Suj~zy9fGzy0&C|Jvif;RMGW`7mD~1z)&*n;-!ia0nm_ zf!qEyAh7k{!1*0siH`yzO9j4`0df$rT%c1S6ibCu5OH7!s*uHbndsG#38tXnIUoy; z5(Iiz2&GnE&Cm+M;PO$R2>y{HF(7D7j06T60k#H!E!^v=APO>GgB@WIw*Md#mXi0? zSjefM4-Vnt9pT3fQRX4xA4#3GVBr%X;P{+N7apMX5uxZ!AsBw#0A zPEu>dAmgPWyEIiCw$B@4;OL#9ciCYQdZ8(v;vV*)Dz*_dNzv4qASPa7cQB&V-5~~D zACVlLxCP=M8j6^u;PNe=Yq_F}X&zs}R^AQH6;dG?Ufc~* zE3yUKwPE$7ixh&Q5_;k{&Y&PBqY#>+GVahhJ`yt0*w|&^E&>%9F8^Z$;v6orO?1KG z_qEm{cH1pRBNqQ-9(P!0r_JZ)*&=*B1{_MOuC^>E}=r^q#FGpm{sBg=^_F4 zWFtD_PcjuoLSqjeWGM>dIMGp0CZR@NB0?^ZQY{$%uuuu6WJ^X}I=UoifmBv5WmY=k zSVE;vp5-M~UnYW}FGi#pl_i5EWgE8KRGMVetr}h;p)sDIS$^dQf+bsy4Wh?U+yP>`sX1+WeE=Add4S$`r&x;Qh93Sd0wVC z{T_b0CT>t6RchvMwx@+&A%PC)f8u3=hA1ZSWH^RphrXnVGG$}JrBe*}n z@>Sh2N+PKj>VyKCc^Yawwk4njDthwc2Dzlj0py}K(LTN^MCzkdIx4Ml(t;YtP#T?}jjCLZ zAgE%hIRa@UUglx08or@Ts8X66CM73!q_;WcRx0MIo@NokYE3Gqn=)msZYvBSX;b#4 zkXk9K0_B&EA%~))8R{rq4yG7#X0!6AxTb42_Wx=#j;WWb>MYWt6>eg+cB!UXCAS7_ z@2KTC24)?0X%+V67*^%E)}ogl>bC--Ny_W4Uh2-}VHmC`j^Cc|5&=Sjhf|029 z5|IwA(xO|@8tqHs(ta+j)M8uHHmKW8E!Jl3MO3ZUcCFXm2G@SA*p6*$ge}>gE!uAF zt~t8nPrgk8~jb$=^;oMSeEIwoS6`6f>~CS~2;?uov5|%IfY4%Pu81apXki`7&$N z{&9Gw?3}7+6mBNrvLp?S@DEO}5({B!_L1%M?91k-`ed*ukFD9xF7hgqALlYKZ`3aH zawyMIF$Xg;pIY3;ta2u^GiT#4KeIGLXEaZo2c!-?sCo1}!`f%VGlJ zywWo$L!#5>Gt1g1E$h_ABs;DbP|YOiQLhJ8-;`oxR%NQZ7`xQglqG z?M#QTTq36NT3ip_B|@`X&dIM7>LsJLs&@6PRc^H(fuzcQD+e#BZT@IeSM*a;Zx6@l z1luX^CN)1}psBvFP#UDTM)dRMC${b@sk$z)MszBVs+Ah%S_AZ+P3c@?aR^JQqz=wT zj&75lvJP8pNUm{8V*lZAs^k}ju^R_u$bMuz3i3)Owl+goG*)tG^HAxUHD0%FXkTNl zlI0h3XjDseZC7%bktD#ruxn0q?EW@tr?zZPnPxtvU+F7cKBh=lFHeihOOGs4vZx31 zvL351GY)rOC#?9QH7gglHE&x#KcnWF4`x~|6`#iphxaX~cX|sF&0;R&%JNCtY->99 zd5d>Uck@~?HGc>Ah%R%U9kYNRcv=!Tf-iU<`Zt3=xa~POgim$-T!flx3*O?vML9oLqD{B_qf{za!2#DBV+O_4)Bf9r(+xCjT$oK`ZaI& z?!;>YxNBu@P|XW)~aPa17thuu{b8_BdYa&cejlysVlyA1!i@TkDg73 z9BZi{o-~`EZS}cz_YN`%U+f`^cD7m{Vbh{N|FH8)sa1P9p;~LJ+T$;B_F&`bktaHL z+8s^jYqN5B1QjJlR?{Py*J?^>3a%E#LxuAD3 zN-{Zc2mfm(7qw9iWqS+vRw}u#=C({qZ~x{i&LXyShr84od&ACnBB#3sBD#tu@oZ0P zBcCygGBk77Y_W>*#JcEGr+R1h`@)X6z@PfK_mi`0aIy%naO$jXDmnZDF;d&NL5H!j zzw-appn-q9ky^Mfd;F6JZid=?V&l9{)%@X>y3czv&?}qH7yZv`xY9Sh)*ZdmM}4qC zz0_B|u2H?!XZ@`GIK?k+awe2i17Olyy4IIHZg72R3oeigc?yet$rHWV6Fb@yvf9@- z*ry}iTANqCpysqC_NIF!loo6zqqO@*B?D^eS?~UZFM5%$=_OZT#WOj&0)q1%-b?>AE8a;@G+dv)x^Dd9^Lm+rTk+4aG|v0-hcEt`AwUcm z0D#~?00I#vG)R!)LWc?=MwB>_VnvG=F=o`bkz+@XA3=r`Ig(^alP6K8RJoF6OP4QU z#*{hJV+Wf9Y}y2nb0NcnJ`472C@|)FR6A5F*uqmKs=bIf zqSKO$8y{A>mSf?KloL-j%a?O!&!0hu7CoADY15}c`^^~`uvN=wA;XM~`lajFv~lOw zy_&17eAhSdGqJd zr?;q``*!v3;m4OhpMHJ&_cgNTiJyOe|Nj9DP{09Y((fh$5lm3Q1sQD6LFx>2@4*Qv ztkA*>G0c!8?O0n(Gs|WR2{VmGOo*%M(mGMY6qTx zt|6^{gi**Li7e8{i)1`d$FgqA5jl{0G|@@l7@P9QE3wQ{O9GSJF2$=H+pH?HxN!MF|W!J%g;|i4OLX&0Bv-vIV+X2P{J0?bj-CVt8uDXM?8^LL+_+@ zvZtIolTc3|9aY$2i7nQ%Qs3;<(^f5Vlg{OMq%~Sy<;-(hSG~$L+BKgI5mq2$P1M+N z$t~Ahk&wMrQdCu4wa#Fhwe>YNsrAq~OjBKx+iOcySJ`&Y4OrlT3IC=D*+_F0Gtn=X zg_K)$dp%T9XunKY&4({N)Y~+}g|^_2K@Qo^bSfa8}iLL?_4*@i>`HH&7VwZYtKhduUgOJ-Twm5-CxQpa*nUisxq+MVS}Rsa6|c$uMBEbHQtZ`f?+ zx$oY4g$`y{Ta{5h^;X}3r?})Q!FBcf_u=o}`I8%ly=cQE!*{Ujajl+F`(+nD0S+*6 zVw0Gf$Y(j4NepAc%Zy=QR=?K~kb)I-9MCZ4KXAp%P1`aRt0)(&nZ1r#0kq%=QK+`v z`R{q~a+j&lx4$`suv6KB6#Yz?LLKgKYCxmY(DFAq%mM5%wd3ItkytmYnF%A?OX3ru z7)7?-UTBUZ*rIWr<4T}Mbnn#5r_oc|*l9rlq$(r{ZS1EiB8nZZe= z&t&alVMl_bG)ES(S}jcE9aSPOLuT%Bd30h-AT!Dg4)T%jgP}+!X|xA&@RFL#WDGxP zJcv~?f=yiIzWyk~rj1UJE>Y#Y4w*}0q9#w$j7%+&2tq*#GdyV8CMU1f7+uCpgZ;{w z+c;%2-hF76WZ52`m=(hpGVzAWbl?3n=0`iBN}J!hR-EWrO?%!8dHh^o&;+F^gUT*? z)GMYPv3W#wwoqP6ME`l{lfNvLsZDk2Q=uAFsZN!uRki9> zv6@w_Zk4ND_3Br_8dkB6m8@ko>sis7R<*8`t!;JdTj3g4xz3fYa_v-W^7Xu6_Hk)0 z)ZRlh+R-ri(xklVBLZhCnQ78gq`u-2GOt)O_BnQwgYu_dPlm(G*05>+GSe|JD@rE% zRbK#o*YtW6%U_OZD=Hl=Ws#b~hTyew0!8gIf#<@D1(ugLRiF&fdDsu$613(c?NJ|V zKL*n1fYC#kN+Wf@Spimk@ucZyrz=vT5yf{)Y3_C*3)1k?D}RSsF4jZ`uXmLXr?HJ+ zLGWr@{86{3_5>Ks)|=d;Q7bkiP1e=assGqY;;f{_?JHyVNhx67@+|yZQes~_Elt)_ zXy)7N_!juhR`J!e1XgBu&+B1(Vbr(?-Y5FpD?p`Y*uQtGugL@)TolW6IUY{!`ZSnN z9S5z&FFP-Caq81~PB29=1L}!8oKh0{w7i|%F(;wMu$t8rr0sKZUzeQQ-rg6+m}HbS zQw%wRNQjUlrX_oKInMS@&?uDbmpGwv(&Kv9GdlC)j^{kNe=<_AY4)pu^&7e-4L5;} z1tp2YoM?=YIhA0}n=H=*>1p!C(Ta{EqiKh`N{eUHn_h{eEj>p}hnm!-PTQzWooZD} z4b`i5^{WfkYFN*j*2a=`t#O^}k^dR5D=@(TU0;YHv^`oy0-y+Lcq0}Bpm#(PZVhYrq6EfH_`(}*afLJ7 z;RCoh$Blh}Nz`H<4?lRfB|-o}6l2m1Np?$AUW~XkpyUdnxyh~R>4e;T&DG8b&nFGh zgd6}Ags@kIfzFnguZ8GFKeV;=-1B(rLMA_u2DD8+ba`xj>-)F?M`C^v0R&``hC_fV~4g+6Lf5A8L-zJktOc z`c`?rLrjpDv*ht+hX@Adarw-n97j;c2n6L-C zdmhN}mW#YCpArI8K^L#DNF0RGk;-4B1>HD%h0?yxDhnhRg>bkCHh&0d2qX;K5Xcgo z;qVQRBihY>{yYfceF8u~{LUXg_yJG=0E7Vj2MGWiu5pcISivApsKo%-AqtiPzyOpe zhZ%li>6GIA;&1*0qW|O}8zcc9((PmpfF2H@6x4wozOMhc!5m_t1Oy@%GN z00dAV2vGj4000o+8vo8=AB1fH2p|PlP}t7F;Yx7+ej)&7p&ZnYC~!~*l~050?;P^a z*ennOD{cTDFajs=24FBBWRM2o&jbZR`Us->Sm*=R!4&L44w@kyh#9UG696F;5)crgEh%7-1zRxp+z<}s zZ~)S93%l?OH-Qgs;T)oY3y6>sE-(W_Pyi324(~7z1%eO#FdG2Ttu^}EY5+~8vIM4$@Z~zb>6uiM3lA#WwZ4*)<6x2Z!y#0{_SG>jYv2vhVmNB>6tcXp$lT_@M;K02T~jA42XJG{FPx!5YDh6Bxh~ zG@$^%Q5?x}A3QD`$FUp@_)92tWB8X^qP;RXmG3!ZTRe83(CApkO<6QEJIbO0Gd zVfF^1^{8(k3-TZlK^$)201klxjq3pJffX=e24FxS5Mdf_;O)TSAa0QWUf><*ZTK#d zAhW>Z1_B_9g8dXiAZ-#S0YCuM0UuB=D2K8r#Va8PVE`Hu8dGv5Jpmj9LL^DjB$a|E z6T&Am@*&Yt9j!4bK42dJVF~Ji2uPt9@aG_?vLsJ3C)+Q%!g4Ij@+=3y1tMVv0^ks| zfk{xo75|iC6S83s0w50D0f^l4M(h$V^->YVk}S;C5fW-3ZpL( z0x$)WFl(|Pagr3%VJU@5rYOp#QgdB6B{C;74l45{W762FKpTur2kPJx+2Jz_vn7zg z7=~^n*-{}$K@&WJCXrA$P4e_`Kp1>a8x0^l18fg!$u2nc`_%8mr}%LV9x67^7MR<0oC zvpx^vJ`aE&oj2;-KX4`olmzMXC+;)dbW$s@(nAY@ zDE~r~ATpo`?5-?Uv_%&xDfF`eq45CnVL<`lLT?}p`5{6Z@E}Um<34mCLUcR3^E(ae z1L|P_aG)Ci;0=u7M~ebN-}4_cFM*Vl0GjkkqtxyMKne2TN*RJPKT#K=4Fm3h%i?oK zycA3`FH5%+N(o>Ss16Ag6aj9OAas-h)a15P z9FhP!9Yi`W%cdGa9f*J+5P&EVA{R(+06ZZR3IG8D0UR7oR|le31wvO3qF2*RS^ssB zC<|gu5r7}A!5gym8n$2ns=@iv%mHS>7Bp}vEb>~nRa>_J1N6b=lr2yav|I&(U9%z| z?qC39Qziw1Cec+})%7rUGFba{Tf3DYW=}I()U(RTTb-{V=v67~l}AfpGlFzr|26zb z&nlD@0OAxl!y;Y}1uHLWV>@;L8lV)0VH75T9v~#1OPHvPGDsm62qGpCLI}X&6;>hI4q#@>Q3DTmAY$?$4)-7w7XT`E04}#$#WVoa z;a-OV6?)+q?<)ZMARTfuGY8cDMmIoB*6Y%3@~~`g1p)!~VODdLc1KqPabO)zuR}d9 zcE@643qwg0LUiu{YYk#W)nY}vOZf)Cbk(mQbaxJCmmqN$A(R&(m{(C4*KrwjElAc$ zp%h-jQ7Dv`qXgo7xpWVG^n1tDFAsuJV|Hd6rhR8)6XthG&vyWRmQD}C0rG(fcUMno zR|H>IA(j?@otAl>cK~!?TyZW(@Fgu}48(v5pa?i-4|o86S4)N01^?~N0MdXSj17YI zSNGcBbX|3QAp&Xfwj#nVhUpd|aNuqOmq7+MoB&KIexMyX(s7MV9f`mL?x8{-G5`p` z2V8IfhPa3$REmlC0E`#_rWlLG4RjYG4BBB14`2=i;s@w~4G6#lF5!Y<=LBY97kr{N z1Hc5RFO0*GjH~zRGT;$}!5I`R4 zfB+1jMJKtEFPQ)y842frAq3zX?x83{d6elbz_j>`(|C;u01>QjkV9^Liz1UP+3p_s zjt>HfmAFDrWoLUfe$^yi34@k58D8L5PLE*~03ZWQfoFZuf&h}4 zDVy1sn^b^-a}!ij0HSgrTv?D0fshG;kvG#7I&c7SzGet_gJ( z+Mxjl0tXM*<;zMvNhwf`C3^* zdjM#l8LS~0)L)hgw}boZ03fZ`x~&PI0GuGEtsxprlZ6{h-33Eb zfflgqJweCN(%lWx-QA4>A{{b-G>8t}9U?i>ARsCN5;}BuONey0w9e(6d(Zv8U$OUI zd#(3*!di;FVx?xD{;fh94mgD|Bu+7>KCMGrFg`)VDCa5y>pNm=k|n|I3;0a93P(06 z!qpc6bzCg;=@An{m$^#PBTCXGaG@1Gs4}=q()}5M%-H3@1sa={g*MY&zO##~xSJ_l zuYj_8J(1O-4MhSO)vCyA=Dj)uGz{c3S+HOqd^!*~5M>TT2p7k6@U9?2bjJbEJq7k^02Btn z#@_qtZQBt+gvCe_u#RF1WRh%=L3^c;ms1HsnRETS5FB3MbC2EYHEWF&MoD4TDldiD zAbt#fkKl{vMxebDVi(OBx1n+?>)U#9PK9VaT+gi~E~0XsT-u_R#3yGcAzk_d(F3W~ zE;$A5Jz}OAykp?&V|OV>ZVRN%_tzkp7qP1vLiuNI@yi}><3?G~TC;WynUH$t3kLN< zt03=RKf*M#)+ebxSr*7(kSuebyxbZokQboknrS4q57aEfr2_O?6p?w-Z<5XZ)=^(3 z2}HQ(J$89u7o?@^>V8Iww;U6zQ^N8e^I{ zE*>RvO+XCl&#_H{QgV)~@Q-&zCC~<$;H!&MbQ&gMot&$v^VMI_$$!8OQjpUn97o9! z+)Pj4?&Gs*q=D()u@cjK+a$>qV&+Os20I!=KH#@p6#=CO;Y+q=xS5X1+Iruzavd67 zx1y-vobym2qCHboVbgLtX4{HpSw3`}(%f4N%BzS(mJsR@-@$cWz z36ivK8V-Stc)NtYD{#c z^3@0h=a;rK3Mk7CinlR#XVQbFqV44{k@f{Ktc= z7Z%Y}Rdp{mP+j4lw0w<&&kqA^_SZs}S7n!lE;qg_9U9f=Y1l}B-1>O)g=~sYBXnc9 ztY`%DrUerhDqi+`EtWz?C>99J>8jUu!#mc1#-wq7P+;uU`KUas zeIM+jBG`%B8Os>nOGinju7o%r^Tj?SZ}NQClPF z(HHe;p{4dR&*He4t`@b5#F!htm3c5|u4ZIod;LgWUDXDQ7=e}>>&n|k!mrnH^JOfc zH^|~g6*N>3Iv1jqZw?XmEw;wrAAKirBl7=hw2CjFs{G9ZY`{CLyBf)sI?7fa%x;l3CIH1g-ih z%y=o5EK!jsv}&A-YtmeZqLTf2WfF$-^c?o1T zIJ665H?aw4F!-K3OMrg1h`_ZnApHm~;eO%~?@_Nnrfp?WVRf*MsP8@DA=k^+hL&ZpA>2C3|RPTFOf0Ib=b^u9u==~VgQ`7hYfhBk+X-$6|jz4r7Hy zb&upE6Z258@@G0%6yGQ@ft*(b&xj1b*8H5%ZYLRYs5wR;TtQJTh@t@}?7&6xHwm{% z7wrP_ClIN<#AhN8u4kEyj8gGwByb#W^g8P>k2f>k7!qeHgMwt9)(vk0nkmUn- zQ|m8+}>?PJ7(kCr@~mn(%6aRp8se4b!QDQNA39=eMC}gy%U!ueL-!ejD*j zK2NKlP30-lAALi3fy4{lQ4wgFNJ+j(Kb8rW{q?m&3;jApHb()+d*@0{2Nh0&e7~}R z9oF%&@K5c~Q~Cq;kdcvp-VIp!^qLBh-)C05>fJ+^Uj&3qZq~+$S3W(m`*HWZMD9=Z zdET+rRd5H6{N?9G+9O)$wjcYikISSz708HHO2*O>BlOh z2WkjP^nMkeA4zyCi0x4iJ1QsOXADIlpxcdMbk|`_IpOr!;ne+M{FLF>D7^ZU*E{7n z;Ru9aWw-#P=QtzIZuXl~HA2={FXegoxmUz?d8FuEB*RrCxCzFT<7sFS`u8o|xY_gY z1b3gEPy`iv&xrT6K7w^S^dLHtaxR>QGhEFjoRc!*MRT-(M9gE02yF{{saW_90{Du8 zUD@KEV@4{)M%_9`V!e&tcZ$-9jS*;yrMZgzR~~gD7VTJx7_w(<-XiX0B-S?)lp*3G zF$A~_@QB!n@4c`}z2HY)uRbtBx7qRKQRr}ve%O9Jcso1(L*T1#{ji$YgzEW(z9!hs z386SPzMugZhVc7QnQ$5nD@H;(7$ZaHf<6JkdgJ(C`fwg2p#`>qhtV)XFIdh)yb}?8 zdlJMBU{#tk^?AWJ>Om&`uquV5JKH2dMADl;C@-MHYYV>ZPqDa4nF&l<$O-oI0*Az; z-eNxRtzbc~H}{BSb!74ZW%9a3@}3v$ZaxL#nt~AouftCGJP$vr2SucUnot;ACj>|S zX&WxdG*qeocHr!B>D&Y9_o;+AUeL#@&>1f{0)SX@U`zDl=A!^DFWe*c3_8h>HSCPa zrVQDejP}5Eu5amlRX9jNG}dFHq;0V@a>=A!_DmJmOpU6{s(_T6SokD>d3GIRRgeE% z1BOt*IBLNJ5i7dzfn=vRA=Uo)u zJ5Pd}RAQ>^ssUITRR*nQ9yBfmlPf)1GyT9R!{S}WDs~o?WS)p+x_Mj%pJbLCPUgKq zHk(1_b~%pvyG-o?m|xJ_9sjt=j5z*N1jvB2)r%V#=g)T$9QZ^F28rz1;-w>CWF+}d zy>QPF0N()Y4}gXsk%UEFxHqXqcMC-%IKb^d5!r(=zK8r51n+5vOUA+pq~4!gQpX!a zpIgLsDnR(S2$+e|X=7pU1atSJVORh*QqYR&8^kmXN6rh*wwioj|0d6}m}3ye6%VJb zhO5QH+2f13akIG$qi+DG<#DH>JWlQKb)$mM@Pg zYzAQ=)r5B^744PfFZRksDU$9O@oNK1_^Qj^SjO(KS4vn^#tfD|*DCek!q{uX^-zF4 zpGOBZD#Wu@;BbuN=LSc_VSCfxBGFVxNH82T9*H}MgfPB`4SpbVt9g_QPrxmV7-Stn z;24f$%kIIMq>681s&!g$dH0HsDM}u5S9}<+l58oFy)8lJ!WSRbKDKHOewLc1!wtAU zUWt`CxRhD!RV8xQB@e=mxl60G%6|lx?>kjw=T`79R374bVkJ}r4_3U!t=tu>UbU=_ z#SQtq7sL6s5UoT!w?&+k4f|kBJn)%!`C%186pmO!f+AOO=vr_M1tGMQ z`DAOK1wX)`jBEnh06uPmtaJl@9-LMxM24qf-3_wAlpJ1 zr+vOg_pt~=McweIKYIe=Q z54pfw0FPOrMW5!YOKjBlA(+L7uU7kC1>L^wn6)}Brn~N!(9}0p1)!UM&@`z_HDOr& z@NaF}n?+sCHoegX9Nb&^f?BZiqj2$?QgYi9_nSWseaq5r#yaT8#cM6N`$k67(nh1Y zyVW+n_=&!?Q1%Rg^Ba0KPIM+oOC2U#^+ihIDR}W)TfMsE?j;U90G7WBmger(ekrHB z6r}hHuKeQrJ+c)aqvuFWA0>&pN0}nAdiw-nn5n_Lw_gJXVD|ZNj8r`QsJarI*U#`F z&viPZc=~*;yfjxEcglNrdV4!?6w9Q0pg^zBQpue`_c11nq3xULYI;~=2fhjN zrEE{h9(6DL!J)RDO2{nr%Ni@N2k#IKM~@9{bZjC>EwNi`xZC)kdqAdJpLb*=KE_-J z`b{uO{%L)GAEuQ~dxTYg+fv`1?L+IXHxz%!W4PoPyU!wUsLiU+PiCx>*DJUNevsJc zAu||$&=V=s*Sr)IcK}a#(b=-vpQSyB)~eWz9-8kh@}z9-lssR` zXl{&V>lFXr@0Oe&L}q(#4Tkr6O`*A?bK(us5{-Zb8sNK`PT@hV^QJwmg}n&EZnoUuCpFoQzF(G)T!f{cVB&EXLE+;7-?Ih1?T)i z;g1dnhFjsWd~=SKIMD^LcQg}uG_8fNSUh!M-l4*bK;IZ^h7;61?^=`seoS#J^4i_89^o0v-zLPRzv8e&pm# zCVmRU_Xq-dWLki2z#l>6xh?pp8TQl`n@NJuA@nyDJb>dhjQTa4dvwk8RS&;h=i%(n znEHrb#)NI6aFpP)jv%~kHEbnhTLj~BIo`mIS{WK*!eMj)+p8twtfSBF#G&y(@bwm zj=qNO-*3@fP~BpG%F?*)%4R)XVz}PGeLV)ekMLdnK%wps#^8Lw>m7v7VAAWR)Rlyb z_MO~%$S;NMCsNzm{F{>Si6Y(IZA>(N2z;xGgKz_921_AoF%_(%`{BLZ-bu_J4aa)u zKLUEfHDBP)_xGKk4q790Gag)kTbJ&@s)k^(d~Pp4P~2+9j9%L*Cnl^ z8+wGBXA+yH$%h~R#RUG_JgD1ttlK21+H$VrabMZ;q~A6oIr4kGale05OL&apKS0#& z;Og$|JMGxnq=)fOP`J zq-YVPT`>Adt>WEi&y?OLe&|Cd?1%rC>lDGeQ=t#F`dRKv2iTf6Ls~&a zUj5wVl^Q-FPTH4>*AxrKJN}oB=s#CYFdo=1qZD{nXea&%JSb%>OnxArhj1bLn*r|XOZOiz&#}wqI8X0idA^>1z64zD zc>Z&h|M%+n$_|(49*QQU#U2CwfJb4T! z$~vAiDMCcsi7k#1D3=>rLgfGLmFpuFqX-Fpf&fG=H1pCbm~0ma6BgB5HqSzu#8`aP z+iXhNpZ)l}CzCtjryohpx4tgU9X~^bL8i*w{_sCZ zioC&fTAJsz>P*JcPNsQxrc?Y*^kLk(@MVjz$km43I`@|h=3`1h+YZLJj#FWVADj-U z{SIe7+Pmksw|qT{Yj=k*@Z5_ZH1=Avc(%U0^4Xav(@&|*m+)Jt3SC?&%=>1)@748| z?iu>YMbO3WObLvS`Yz<#uit$|=*F7+?()+-Kb!x0<*Xj^phF&_norm&sSSUqeNPmz z-QAAAKzJHXl<*%LuOoKJUJLD=Q<)&CO*1ip=23aP^B~u8q@5by#Fm`gdt6$Y@-$NFm_1fj$|u1;f#xXt-9FC;W5;&AQx-qEUeA=gq}%|RyuLAj zT<>%(mWp{}a8P%5aBx0!RNp;O3j5roSll&&6A|QPCpA#vkto$}8Izl9tr)MT^$>li z>?%*wmb)=vzvK+k48a*+=pjNdA+k~}7a{WN5p+Wv{E?IP#QcaG@7>6IJ1PP{o` z`{I{eDqO_5^s7X!F1YqIx!GQ7RRsN#Cf>bt+xduI*IStj5FtT_w`2PN$CyvH6hI|e z`ey*p8Wduwa`V6ilHKbxdvSAJii}ADo6C2BU_wq+I)6AN!XG{y7q#-L5$PJ`$M4Zp zz8r!M1)z13&J43S*l+I7aCTM#R$XyzvtKItGrYcud0Cttrn*ZSyt}Jv6STFw`s%|_ zhSBU*d!H&C$XFb}ntfn$`rlLSUcc4*_dx3q2!={}GeX%kIzXiW*-$E$NV-CXan3sJ zjYdzI7zkU^5kmCmJoCEWTmft)h+v|9j-x-{fvtD)EN?XejVkVl`fJD>JT+x=1lj=EX`#1h#-|t-xq;zn4iS1 z`9YI%+#3RR=%9O037NaSBOtWJNfd2ZJc2?H%5f<1RC8D#N-^Ux$tuRNOYv-CoQ|Wg z5Ad}OM>-*B*nvNT{h|J;qN1uFLehhENvQ$|qsMWa;9v3zueSg~gAsS94ECc;TA3-= zalO~VnY2q-jM)Y-=G5Xx(vp>;pER|nMeBEKR-2K!X5H2%bGv=ZMLjsBHYEW$YRE&C zvy4l*vDFtpT>Uq#b5TByu50{WwuPt-GTR^)sELSH&0724&9wFen*dAXSoJP{_J@s9 z4n^0Dul$?&rzXyU!9A z6lsX=l9}fl?TppSfv@-k2+uI>NNq%yp5Jv|f!`musi#XDp9Ht>>9Pp zYl?9A8TzF+X^RBCd?5nZn%rpb^0m``CbfpE{h@V^PQl-@BhjgTooZZS+F4opXI?2I zWmOJOQfXfMZ;F82kAB2dg{#2p+HUK1V*necob&3t9pS zp9QTUEa!_Y#hBQyue}L8ZEvZcq7ZU!FGT_@C)o=cHRX;3fzRm~J*vv6vd0Ck`?aJK z_#R)&pIc{~PqNM_R`sr_9q#7l{6rSRoi*J5?&;2zj><}&03`R%l1UcXF}`blDXN{p z%(SB{$$`pR(VCiH41l701tXsc@8&v$EG}cZ$>!79JtU~|0~r14^^<05xO6*DDa2Kh zYj-W2O>+W9&kF_E0M*PcT#qEfY8-=O5N#5fk(D7=wGh4(ZY?{V#-n8TbhrNJZj7UE|}<^by@pCkjc`l`|Y9NKf0rbRiXLg4`x_@)lHt- zs}Q;aEqDK~%OmaIwpH3B7DAj1CK7I$7!SFf?iLQ~THAg(Y|9D=>(&q)10}8Oe%k}< ziBFu0m24mp_4u za~q|8M6S_8*asFpikH3g-U>6_!@S{(*bUha|9kA_80!0g;)hA?GdeXLb17YlW1sHt z)0Z7Z=%AaCU+;3!8(4=3r?I;WP3FK=`fdW0kQp%mzP9}Vv3PTrG4$qA8n}Weph?N+ z+`i>80_x{+q&ZR6^>N(e(SRUmz%__d^V=4{Ba#e!`bc?g@<(5C+;dr8-Gj{?9)^{eW?EYele7 z(49=D5I$K>EE7rMpomkdkhj_0V4 z2hb|;=gW5&KV0T3;>*a};;W5n{3zOiScG9*DPrgngMB&QA%dcvH8AUF0Q(C}hnhII zHnrUT-dsT_{DE~B#DeEkZl04Z+Tnsu6t_Ezd|k4EdWg;trXH#qQ;=D%o=ZqXnoSr> zc#o9YB0A(GiV@N3Cllk}#vFKo8PtHoU5V+_A8kQ{hy+4 z812)K`B$hi^gw-LC@wBiBO$Rb2p@2~`0ga5EEw6AU#}ePs}VaLCyOo(bE?G6 z6bt7vFQ?)%8|d?Bfx-(-Br8I832JE(?Q;@kAcaWhEeV(D7f-BSxNFNY=?_|>HVo=@ zxz7aypqiz$H~9TwCh@^CF)q!0C+=yGaazH7u=UOV3b>q9+!mNVCzwxjviKv2z&RMN z$G;b>_VNU4?k5bCg;ag))_DHll^@{PvBsl{MOg0Rz^O%}uhM#piHEgN6Dq@F`1#Wg zJmiui!!9Eu2;JHHIO47!Ga|&&X}U~YBa}EJ1xVeRcw&=g@%O7E!w+8hecXt{V|)$D zoy?fUg!JtPwY+9@r&Q=shu%*9Xx{2*5G!PL{Yr5Lco-<5?JGLFyx`Q*s-eY(>*)6*dZc zbjLek3?OdcEodBc{~Sxtwpn(wR*YbpS$2wTb6TS(&d*RtBWhBC&y-tt#+WT$HuS9| zfr-56l*Wj$`H0bz9;2spQ?#;^f`5&2a%HKrW(wwKM(1Zjci-X)PdD(I*@qh2ZBAN6 zr3!@3%C(PEW0*`_)8r6Sr3+DI#!PxM*A#wyP-#|sW67w+Yv)$DcpX^_yI*+0W>pW zcp<+BL^rm(&?_1L4g;9NsS;;K&N3m?15uMy3&Le|i@PnfeypN)C300}!1((u!xFaQ zLOzN3UAs?qm4*O{8IUNVaieR{WvFgvz6DJwzWkX(Fm*Rj#Jcwc-2Zc3C9mxJa&5=c8mY@Hb zk@#f26jj9>uFcB4FvGSeSzRK{J(WK~n_gyW6}5ceH$UcKeN1jV-7|NLCRnx#waEH2 zF5f;eMfZ%Aj#pQzFjk7!aIKnMw#OD8tq%3`b5vL{IN*{q;5*Sfv#Fbrb z`t~JmRWa8!l;>0R{Naqv^s?Piy9HnJ?3v?o?6T>f!xdgJ<3CBLwwW-g{?(7<6-SGa zC0g99YHRasHqxU;qIJ_^{MC}l5vfm%atIJj@gGvD%8aDSm>SpP(OL6(i?w~X?Oz85Y7X)OtW5OjAm6yuYYM7@oVbwPn4 zl~s+Me9K0wZr<@!3Dc`u!eGI=>5(TOxt72b)$x*`HO5P54re*76Dzhj?J2u(iJZWhWEHGlO#Hw}(3^gs!C;?W}h*`?and=m_C4FrR7RvNrqgq)XT2 za))^Mt}3B3l5iS1>p(g(t8ug)J31jD9p6Rvv)mguTp5sE|I+>UOC@Ge?v7iQ^qTN` zkK2g^*cLIfh@+9wP$7W}`zLM@A@L$^`HN4yo^pH%QKJ(-Pt&M))$4ChyMF7#x4&Q< zIPgqaOMlZ-U9;b@U zUCi^`Fk(ymXX_I{K_V)`LowRr@#UKErYmo`^Ms#S>35eb%=nc$`%^ya|Kuf8)x6@r z=0gzE5a4-<>j>ddCVc;=+|kC7y;u}{z2?a6t3)E&9xJJJucp^o`}@jC*3nwQ(M92o zR{7K)YtJ$Y!)yTGltc9Fx|65I*`aCC? z2+`0hRlDBuo&KrosC0$IcRla-n z=_luMnzC3qUR2wzf*x{8AgSR!S*fP8CHSr|`i;$Hv?Wi#g*?ZepsMdR=J`#pL3y;V zdhh;GS=>KT6naSYHlh2zwN;-1WjvQ@(r1NfE@v}c!wMio6tG-9P}V0C6e6I!uc(@W zh>}$m!V86;jYki><{(ptaE}U^iK3N&N@;(m%^O@Pk*+U%%DfwYp5<3C(sp`y@{Fr0 zu{kJl;CGW`96NE3XGcp4w|KbL(SdliDCg2^@BT1EV&liVLBy_$sv)YcY?YD&twM&@wJ-{ zHICk4^oj2Y|LafXrA$8vLEjt<^bN%4ht%^v?Jo@u4u}sBH*Uw8Dk1Y=6Za@P7$|Z$ z=eW9x$bKoJt{wdLVx@d1i#?cMJm{Cr3s<^z<{vMYXAd+4fb>92_tI> z?lhkwyQ63Y)MU7X*SizISZ=J?q--O(B790Z?;Z~I6FHpIAMW!v)w2|X)O;;JRca=) zID(hCOkItNTGW;`PgnY_A4i5P^0HBH1Y6_=)tHCX!^Uyou1e4D7Eu{*0kcF@}Zc0Gk#jBonN2C z^$VABg%@h{n_~VEzJArATjzV{C2rH^a=G!VD@EXq+~3>F;}R2sLh;b6vb<`xN3Xw+ zM9-LkN3mA@Amp(m;Zm*v;}P$;=AT4B8hhxXADuS6cYI@g(IKKz6HwsJ9-LDg&+~b{ zIN^NvsyOlSq(w>6iE3a;vh>+}Ns2s}sx-BvN1`-Mo%vmGgt3e;!F4vmC4&w+m)9|qDHub!l|n(OVV3@snK0G zSpx9}>$<$8g!R>;v)4wQ3LhG8_iAfeaEa^M34KwlUx!Jv$QCmNQTU&@h23itW>pZ3 zJ;*?Vl2y&8!ZC*O8Gi&mA(zv_J_0^i3d8dmD*URjK) zp}N+R9z6FShu@#pcbvX|QtPM@Ya9+L1dMl8E)p&eTS6=q#3{#0n;{k|;fVFsaulhpxOx=KR$Li~6iiVu3d|ULzm0tnup05SA{CUGY2T|q zRZRxa&RJz7l0!r3D_KtzLI7E;%wj@mar_fW@vUyVecGsW8iv(zF6O(VfED z&#_CP9Vv}CR^6F9@;@lCi9M;1|Ch#zfwYL0L8d{&^E+v``_;K^KjSC>+%$+(N?781 z8sQh{T7at(L+I62S`L0vQhm5|{<(N@z7v1~#=F)_P*aF@wnZ6|f2g6YbittAXAWl1vZ< z=Dd1+@_QWEJR|_JL&ib5ila;RVCLwoQ-5eu7yWFQ;sRxjVC48#p4v&SM1@Uv7~5By zse;u{6xE~V{3SdRL?_OuK(Rzjq7u7~i6sF&aCwOcsRxrjMt~HFgpz1v@M)nSn`bsB z$!j=DMj=zI9I~3J{Y=mt%z+0GohluKtw488sU`gO+n0oE6nzA?0;bgIfO&5^Bf3Io zlN*m&N9SxZ2O@!>`OVo!bO<5RuEZkN@E$RQOf)Vagc2ge4>HD|%0CkaN91fBQ-O^4weghZs1|cFk z)>_fUOy`<^^Xel|Tbw9tloV@pthLB$999r#b1s+a+t1$ln~5w+fl|QhTT&#s^&SqW zo@4Z4f@pqxUE9ha#rRb*BUl0|uo0H*pz2qB&!}Kg^?{|n z;R+_{wHXf=QhiJhw2~L_TIRh#Qaqi!Sy~2UgQXtgf|B!6*9POm-u@g!7uw)W&V{|2 z%KMXO>Ug6C&2d0toA7A3sOM1`9e~-H6l?6L5?X&yQ*gc;*|)*+vIJX@f4Ho{0(lvH z6b~d~tIyJQGCTvV+|Jt2H{<}BsWBsj(Mae`g0kR=ikV;u)j=Spi0xLm9f%F11Dnej z_Ht?E-z+7z2Ct%(G~!WB1Y=5UE%DD!n55MW^^%ewCis=2IV6xa(9{0_P>6cEjn=<> zd=1w;sY_0x9jkPJPXXTYnO&fXo@tKbbl6A880(|FKPv3_wAfzQ-Ca=7DIilrMA1qK zFs^89bc2CH{>W~2HSZ@K|D9k$B?cT7iBKu-fslc@c`zpW!jLE*=PD7?^|RucVNTyq zlD91)4}(nFHW1mP;k?b4>FriTQ-wG0IIA$1%MUifErRlmhf#FLaC$NEvWDU}(iCn6kKJc8^hXwJS z9!1N3(aE>*sq64QD;mS_^o+gWoso$>rCL4}xcO5{KK(=bUIjbXP|2NwRAsDV)Hj5* zeMik%;wLr#x(mG)(Nl{5cUDRJ5^zrUV;J~+8S+apHHI6y2vHCR>UGygxFc(EZ!WcG zb9k?yNZ77Mm5#<+S-*{5#yUFeO!Oo4&gS8ISb_&H2v~VUOZ4$qMiMy+qs#exc0G{% zhlUIBr1$8<8oHpeLg(Vpi3O?DItC7^7gp>6hvhN8H{(Y-TBjx)H;(Q8b$Q{fml~F> z{s`)gsKt5!ib|1G5rVaZi2L295!z-}^J^3qBZfVzIXlH;1?rvCixK1!yS$H3^xPkV(K)K9lZX}Q`0dYwmRMW zATYt0BJBtem4qr+$qSz(G_(5$PWnVaFfzh%x`46%t?XYH`O(FX7W96MJTpX$p?jo^ z_@hnjWcG;!!~EMU#0dcu6o`<1 zq2yr=rismz?YDMnW>B=E-*%)|%u$lcC)FZDlu&{eALw!7NT?B`W%nw+-td;+%3skH zLcLX&hoK3rE!fVMv?b2?of<;}F0TWO`_&+T4U)1*Y?0}D+(xaa@m}2Ew@M@vZ>0*A zUL=!Om*h@_q!$Ez)Sbu72YnR$oNid{2@wKNcoq_;FU6`2%!AS$AsiQ~kQ!}~lQ5;5 z6-8PE-aBO+0w@7*m+Z9?kqRwCVFW|37GrQ1BQ=!VBtp^wQW#du30I`|F6M9=<86Q# zxajlvW0K2sNjgMuLK9e&iu3={D`u{778f&;*Z~&rmtEh(Rjifyn3XP=3!mo$|ELH= zB1Bocr~?ZWfn{Hug3^(0ZG&vN{0N!+2uTqr_Uha(I(v}F+R+Ac)O#gaas=s8=VJ?H z5d)Lghs@M+-Ml9dLfpAkLuJ zVi7GzqDkFKvt?#nD0Sb2MyZ~tK9eDh@lcIU?GY-&7N;-0ejly<6RItxq4qjj#|={l zjw!yjp*G1lGQQzY6s?ZHsD>_qu#GqIb^AG-CN*~yN3%dWG}R(Q)^$;m-$%V7`4@yV8>os*N>R+_|?Q}C8^ zxRXmfyQ}Y(OYW9yv6EY{Q>T}OIYPDC3gbm~ZxM75In4H=jU6D%cU90Tu3RW5gL)ms zqP^^}y`$ACteD)WA7088co0fFm7NB&>QoZWJe6C1gxKzds^*L;D20TY@%ZCFjxQVW zA5Y@ju<d&rVcZK(kp^+0>m`shT7gveC$rxL;l@`V9sPP zjRYF0=aTsyi;N^HX%oZb39+Ir@n4s;Eh5A!5#cgx@)&?1=S$AvCXAv=S2xf@%P}s4 zMN>Qf#BC#zcgCUjHH1Keo>-fnDI*l9(#LC0JszI~RI9o z#l8A9FZ8dhxUXaNTT@e5I>#mcrrzSG-s!lV*^Xt;rg&40q;pE~q9Eh9wau#vmrS3Kj^1RFYUZaa`&j9pNq!Op*H!uy@$s_XU$U*Jj=lsvt^X z$+)sOfov|AVrIhOsP3e9YbLt z2g@J3B!43_1mY|O70tWjNrkycAJ@LXRhtqqof7rVRIfD=pO{ia)(FgekX#s3-Ob?Y z$r#B#D7<;0#+215^+b`*L`k-Lf~s40*H%TB3^RLL^Yp3r%z;dg`fTI$4@~m(?}eHK zfL6g%NiUF+=?C5JX`LC9xlta2(*2e}KG%kQD~nytCsh%@XH8Wpg}t-P!)E005hm}* zX^4+3By*IA4pb;#$M$e{ahY3(?UEY8dV8qlkf$n>=EVOYzAiYuceZksO!od|g(61XUhdmQArB(i!EhJEi-hj-)NSDy4TcH*7eMP&3w|_So~gvF|--2VnT=Q zKGmUHFyN8i^=FoCmZQhIO!3+Z(`|{9rn|4~C9$tsf0ETe6hA2N6u72k!&75eOd)0| z={U6G!eT_97ARy2lQs!Vj6_b^z5n^``Qj(tsRbq47_GB()42{6t})?PfkMmVxQ%Yh zBIfo&+Yq9{sD<5hF`DkbgWgic{r~KG^W9YO2;a-{+7Ra!ZW!A5pnSGA&lNVls72bS z?+B@rt&+%{y{IqTpMd@a0apZSM~CzWRxyu!kXR%|=u@U=^SvtvGtbhji|z@!(Lo}A zWuIMz{?f^RqUqTSOD(#2&j8X9xx>Jt6wp^6`*pccB(wgEUwWM%U8NIokxFk*Ld^(f zKlD}26?)VS8N>jo)MA{`tY)p^bav|xeb%vmOnL01cyFuq@*GeRg}+}_Z%+q&GZkO> z=}sdje%qQof=YcjfBFmKt13LKZQodML(uNI(ByAINmVK>sGM5lGoMK6&+lmpGupf( zRrN~d{tW1C{HC{oGJ@@y=3)K17to~7cxc}t8DiSUhdz>!p#UrLB>^V+gJwfhR zD+HBP@~LdIml?H}JzMkI_6i*qw!KnSdih63r%7gV-FKi^rGK%@UcKfaw<=E(6?TutZv+qn*gM98GSX z+6}#GijCsAt}v8%t9%oB&t@nFZD{xyloX40#dpmqk&tfP2}Mcd4c*{`-Q+I@xnyn? z?B5ig2Ni9{6hVTKc)`f+8zjx`dzRZsis0hM!6nkcPW-_s8 z6EA0DD;BXT12A7!msi3xedL^d-2{RJzxl~Y`K#R)6jqRlY6l)^1+7Tcr&iQeI1iGk zgm5RQ=hito+$IyY>K<@}&BlIS#QGA_`^G<-@C7%A%eO^|yJ$)mr&7=G+}`k&Aw_JL z+=A;52~IU7kr~V?L%x-Lss9g8XTjB0w5{zV1PB&_y9Rf6DDG|rin|wwQfPy_yE}#A zuEpKGc(EeIrAUpBbI-lsPuOGZvG-i>n(y;4b)`&2_H;%4@;9qEwyS3vlQ3zB2%kwr zjwf`8`_uLMpPSkTqXfcGh++y*T`f^vt)*9`?>(gRRPOngNVlMi+E?PC1lpnY6jA%^ z-*VQKf2@d;Z>j12kvYE72=vy;=InW{bY zrvS&IWLKus?n<5?cVdnu+SvI$_YY!!KbH(md44X;)~E;ktx*2F7$cUkKKpt7vztv| zH7=|uNE~CAg&0c`tTqQW(?kKXzU&rCiGidy!XQbS!tyzd9!^ckpPS`b=bHY&f?Qf% zS+N6`+VW-iLY-{!=W*!gartowCVfXg9gb4T_Vp~yY&*fvs}51MdxQU}hGz9k4^3*P z@GplBW)t>aYI8r~GDYDs53A4FvI(T|kFG4R?}|PP_5b4zGj#uc#{HD4)OKcD_+iQs z|6;NFoamA(?#vM@P3W8Pe9vNm$VZGfsUj9j67<#D^bcMn_|nX@4>xz*WBv48GOSFw zGaBqWdgrSi+;H3H6_MxTD&^OsuhwdF)D42S;#|{fypXPEK6y3aX}${^T^^HI#{?cUDe$-X_Q_Z;l9gp0Em6Z zd-~CbU=8VM4{Be3If4jyb5LvWb(w8F82G%@NFUtbI56h$mC$*EUnU9UgKE94>f!XY z?)~q7Sg{#wdQ~xGZ$79N9%;l={lMv)cj+Uu%Ec}|6np1$zR}_Pmavd+_D66pTNX?8raRlv$z<0uYVR+AU()nWBv z{50_xV|_4Kvy_}Q_z!Hw;7hw)zV(ksydu_DOFyZ}Ur~&|+P1CvxdIf}Z7vj-V=2qF zNVh;uhjcUy)SW;(nO2-zw$e9Tzx*XEgBk8M6mntVf^uK$2OR@Qe2Y(*JW#oO0q;&) z9`Zs~*&tORnkU2E|9&ZcGSHmWR?HI>;>9XqA^M3I6qw8uxf}X@V3#X|;FiVWA5`vm ze^_LOsVP*MzQS&!tvA2zI}6=yD>Xd6fU-EcwehW169 zk+)bzt#QsHtsO#nBF6#LWLHF`HABugO^2*bA^85WG94EP@{xT@4i?9CZs2Bd1EAJY zMx}w|nRFnYcZarAo_rlXr?tpbxmN>NRK}ZWAMB69k(P$XklsgL?c}$Egly9QkgK9r zAmQ6T?z1GmVx03*oF=1H{(Q%%{;Sk|p(@&o$DxKk!WHN)Ag-c9uJx=(gm8LFco3#~ z<*7v|Y^@wzWK0equmH_jWCdVN1U_tkEYNtw{a%Umc^3945daE6?fDG^KOW{Ut-O78 z6>IcS{5~)J?Z3pM>DuR{03-p?g7?T#n+GgyNkuq}?;s>pkAGelA^ivkWS+({dmYvt z5@Q&u^Fpvtq|)T3|C^`!_bI3*PeoHr+VxRQhM$aBlGEU?Dijlh2!V0l{0s38>w zvsxIw%)cVI$uU`SqC$8GlMxO02XHC%QPa3OlqtL^;5(snrLsN>Al8zu1j(DQ5=<+b zRgZ7PA0+@Frpigl6X+T zT9(eGBnqA-vC4PxhmV6x@#K1RFaC1QZZdC&SCam6m6H z6n$55r?7*GmHZVwN_&D+)2C=$D&xjikM_t+x4U38K#VvY+z`nNyYe%q*UGm6|xcIJ{-pB8}RhA38JVFNF(`bK`y{6yQMKE>JiR z1OzZ5aYBZWT?wL9a*Ld_$3J+ttN(A24b>y{8Wn zE12I0lxd=hf>J!xM=T{nm?JGlnj11wHV^naM}+UM-9gmmCu((X$1L)_Owwg+dWJfc zauJFGRzpXJ0Ff8rpl9AK`H!Qk$0amskJ5tf9aO;`5mgIpVLmuT>6e%_-wz?pbrc8C z(ypy*^Krtu1QVL^X~km9em;Gvj(tK*j+XOmLvy1&c&zMsP2 zxpiLpqh~Yw?pu=ORKt6R<1(=u%g^dH%_JYdPRr+KWY)bN-@o8m=E`f`Di?}k^6;$fMQa3&f`c@^$Z>_Ngo%pxh9Ge=yfeE zYx)1tIX5lJ`J_=3rSN~qZuv)`2Erhy0Gy%cB6n#2;l&=M0T9m?fPxOf31(V|hWzf6 zRW+UF@To`r=vIC&w-1xXOmiy-OHypoGp%d({C~`64=u)9a||qN^r|a#ol)wAL`=Cv z@HsF_()RxtoI#EO<=8b>D0Xlhq6VDGk^iG_(&%v5|A)K@2Gt*c9HDz)9XI3-PKsYj zLjo_@O-bs5I7!(ge8JEcjOv+uJvb+vOj8rtkPF3|o5-#nSw@r9zm(YQirB>s9$pUt z4sC+C(NG)E3SL~8djQ&N>{~bNE-uxPp4kO)18VYehk zJ|?2mKjXlX+%Pk)0Dki@tO{I`d7SeQGDaL~0xfE8Ej;0QJoXAg1st)7J^WdB{&X$A zok9Y+SR_GOmi%DG01%U|4O8?UlSnf2jSP!wGTT&QLU%Dg$_@`7E!#*iZ)*bg&S!S0 zEXOhJug6_`%ur4v2CmR}K%}}A(;zqXpw{XELrNpHSO{++E?>JP_aU6=FNo{$fDx;a z*<>GXGl&Qcu@63wxN*;MwqlDz5`PN(cA)Pz} zo*U42B}*m6NV>v!P4PuK4HU^0%ssn99j?w$ra>IcX|Os9z2Ggk1TeMeG31-Xo;not z>cRTs=7H);il#k|eLGrEfNdeBM~)9l5Gg{N4OxeLL73gKvtUYjhkuG|$m;)a?yi_sSk<{rx>ie^&GJ3HD z)`2vU?yKI=S4Wt=D7tD>b5hT|>51o(;8X+!^g?{ib{Bin(>H=^ zy4pg`+8j2*GR->j_>Sr^YLU8fCN@YWv@SzTCMz})o5(!-^aK;b{wUs>yUp}S=GGFl z02Gu}XAkYLMvJ*=X_|1G`bJdt5rJmxSvtKs20j|=5na{;YiO~a0<8^{){;2E;$A_I z_op%XPZ89epyClVr0CbI_G&fb_CB-@k~n$RsZjwfCXVQ)_3}=#m?0wctObn_D68Qz znhfQ#EoO`1HybMaG_5Bat)nun$uv_GYUFGS*x``Y{Ib75v9=1+6YTj=mn2+5_~&bz zpE|7ND)KGIXUkTh1bWEn*0lsQrh0Ui%ha}dIxcDEuUl-r_}RYSWuyBTbX6K8g_{?l z8s^C7F?jH&xEmKO6B>ARHtN9bnY>UUJ=jn^`64{If^Aej**f@mNuz4R-`=+}`biY~ zxE}d?Mfmy?28Ms~i~q!k^y5P=D!kav|1+v@r2hN(mG{Jo0j6UC0Rn;Bqk*dmJ%^}1 z))9bgfsbPsYEP(6Z7Yr@tq7i_Y!QAM!is=BK5bg2SRO?1g_qIdIERqph^3FGNJJ>Y zg|p291q{H+R|xD|0m|XqsO;II_PJOHc%l&5X)t;^jd=+@fGeJhOwKJm7~9~%K8{VJ z_DB%_$WcF$Cc*6`=K@s6N=8#u!24)I#D{yw({xudCf}|V_Yhh?1!?EgPtIAR4nRlO zmU~}hMGwl|Xfeq+GOQh-5O;crq7rA+#@3dNxPeAyxS# z5tkY9@RhoeIi1bm<7?)O?!64k>JSy_OiEs7YG>A4Cavim~5cN9GuGPGx*-lkZ6$D^oQr?~$t%TTAm+rneM^>l?PYkz|Mm zv-ucym4m8Ok3R);G(~0&n7^xtHLS>9P1kcwS5e6*5YF^f&eCb^zWSjnhn{ndUMm64 zIdQBxW1;KG3YZkG84#|^&wA5JlGn8+GbT*4^8`P5Ud!!8PAjU)Mq?~EwQADzDL5r5 z?D$^LWm`C3Qs60E*#A8rFT03ry@-mm817#L&n{+NFXkjIDRC<1%`OpLFL^~;`rue1 zlU=H^UaCP_)?!ntlU?>^z08cXT->tk;q$-@ySU>oxX{!f)b5i-*(VLqkb%*fEasoU2WbipF!ldsEh)lB=@Cc6hd&#PALI|G(tr#GjnI{d|rXW;p| zV^c=(KbUjSPc;_bYqX^2l#AzlrZ~n#*5;zzu0&|`*`}PGtB^LT`a7p#F4Z-i)o~Zq z3BP9W-`D8GSyKNF-CLJo+Mqkkp2Iw^#o5SJc>cAaPq@y5wW;XRT;YiQ$&-N%hb-T3eE+B6e&zwBqc&v^mwXMP2%t0{W^j*ovDdi#S; z>?(cshmgTvuG~uD;J-b!zdk^-aQ|G6%nt0T-|xJ!|5bMdaQg+;Jcn@U>HT?za$XbG zQ4@1f(Ov@IT;A~1QL|_Yb6njLXLc*j-f2E-{4}V$5g=#S{5|A!dGArz3%}^8%zdaP ze+*3k{2-frCVK+s{=TT|E4b{#qU(QUd`2wx8|2e>nJ`em@k24UkAE|S*O*RZ^DklU znQQ=Ht#;`8a{Oop@cPf8QNTab+;jBAD_b$3OZPP;=O~5dINS}upsDVmGsf%oCBdnL zJsI|%+x3GML0ALIMlFe2AaT+>wI6s{Woxk@2@;psx|oEPcLgZq`h}j1c9MkgaEsBD zL;BEwnV~IVZxXn2jkTpkx6=T+NdTXw5nrZE3x99PaGs!zjnM8{>!&j}E&tGB4aJfUH!*wiw+(TUH+jT=O(0e# zq@xX_8CRrlzf6^V*=R`oni8h`@h`;gawj5i3wvO7V?HqPFU3*)+7ZrrhZ`B$He1ye zRRe|nz+arQ#DiXOJ-rWrkvy8|yzNC3YsTwkISx35a|tS*fFutSw22Om$HS<@^ApZb zj%OU#bDSih$kxQ5!q2;9$$MnEdl|wP>cOY9%=gKZnKPf?2anf!k-F4_PP&ApiBh-4 z!zXj$^dlwP?2RkC7waycUJzbzateFWPj(DijuKvTM2HB+fJhsr;V)eI99}N|p`-V_ z$LAi$S*F}s+Z?GqCpyW;1*U8q&pJPRbr@@U7~8bZb4m%?O|0>+*~2>x%U1D(ib@t3b$GQP?YUd^Sa>Mb5Qeg2<$K9wjF{Vg+fOx?Qy zK9z+6sYM?ZWcu`CDryu6In$NQ7obolmY-E@;ULla zXXM0kpIR*h(+#2V9RQM#C2(V|reg7Wg%YjC=lI3^3H-q1g+bj&@ z-d{wrQ%}unF`_BTEK#o!OX5HI8i)FUKbw1ZAYA61=$%^g<48EAR5YE#g2P0biNyr| zgxK2`iBwZGl}1nZyE2LyY`Qe%oueS>zAr}J50Wa)DECU%m;dr4{~>h?0uZ1Vjwf%( zoP6O&q*fy8m)vDeLBt!AL6I8?xp0O;n!kP)i$zJ^P!>#6uYn7C2iXhf+^tu)C$ep) z(MPgANQ?tHjO{+lk>GP{CF~U~Oq$f>W$s0QnG|60NXLp+0k=5sk~~Lo$_^w|p>p&J zIn}}X>GXME0w2ENr5s1Bd%;8~V!mQK$8E&WRF5@K2$pDx?v<7+&kiXFxWh81G6Em8 z6@-9(WgUhfQDhdXM6-~-Fjb+l#NjWLzQkx6bzAxw>mrFRwO!c^qPm;DA z5#^=M>w63ZJK?MxS|?wgWJCqYxeAmiS7ky`AH?PlxU0aD2<)E~Uap;|(E7Sg(_b+h zeJpvB)6XSHe>ds|fMnhSY+W$jFacmDwI=a-p^+ZN2g3ZvafrS7A# zQ)5*Hh_E5;0`Oi3xQ_&TRHuk}A z#tF8np;IF%GA_J%VT`4Vu4|qut+X+t6{D}s9gfx9;5kuAlyXIt^QNyRq5!NAArri8 z%&KOjuzf;TzxEtw-Vi`!bAZ<($9(0(ZV)z?K=%!bWZjp?AEH+S1*pay{SXY@ci*8h zY}~_y*ns;HijU+sV+EhZ`<7YTVkaiKXN^5i>&URYW=*U3y65_NYxvX@IltjAY3sOn zKTmWxx!lYQeT?HhOYe&5SbO_bV*YwqW9`h{y^hCsYbh_a%{bt99;s9sw&}_4;}Mlz z$J=|UIKlcc9F`?P08OD417rIzZ+=qj?LZ>Q zIuLB$9RHuNGVwon%S>mtJ^KF(D`UrePz(Pjti-Jd{V&M!syK*mCzRP47vsyWbg*U- z>ERfPBg)kflWP%x)p-}glP|^xLJu&Z%hIa2ihW5l@r@Q~umcTvHqc6=wTJd_bBGZ@ z^dW?c&dOT6cT$ZOPP8`N3MH9zh^+yf#Hlbs^Wq*~d^}7O>6sfWI8%h}>Gq=BxHbn$ z_R0T!cax&DCJRq@hgwec(VO2{=8qjHVXq`1{OBY>M5}$+(sSuFPCn=_Srm6a;Vy{wia{5pyN7##V zJ@}>w#*hBoN8cNzdnc9{TOk7~)QZvPEBV!BuOsP?lN23Os={6AhfYnO_PM!)i{k5o z0jW+|_g&eZRHeMI(PBmb{wI6_D{U_k;-ZPDib1{~&)W7Zund-#Pnv0qvL(uTx8{<$%gVu zFlzwECAr0K>HYG;~=&#T2VX$=ze*w$n!YZFHIjp;^CR*Ym?V;(CdnOH8m zpIFuxvhSNK)M^}GPp+?Y-nZ22VLMon`=b*@g=`m{}MUHHH5{QCDolGu$kGnwe~5ru~TPa=e8 zt_(#9&5N{1O7eO;T|MHB1*@*swqVP{muq@u5~JrJG?dkcK1jKfU)DMjVzxD2@OhhqmAtM zb2D-BCm|t%VQ953@au<=gzrhzsF3Qs%z^z92sNxL(mnB$s*M}FAG0D%0S$8p&HPT# zVn0)fJl8#g?S?m z2}f8HnM#Cb*K0+@{$dH+?iTe6_CZ`0*b23c#@BCz{-Yd9j0@pvq$MqDm88j5Z~2Vv zYg2ZxCjf>44PFw=7#EqB1QW$bKr;ThtDBU)@gBW8L>yr@nmva^jl?x)>1>H7yT-{6 zpr5b^4-(o9rPYsW_9c$-S@>^m`9cT01-~ca1wnOq{d|{*E@57(!*X} zK z_bPRqn^bZAxn>Sn{Ve%aYqo3K=JZ?S%bxnv{5m(^JV)3}1|H^BC`0|RZ{KAI0B9eu z12+rqMct-33+g_gN_ba9B=@qMj_eX(o*)ILM#|e^qAhnv;s}wUMs5Euivu%MxK;)= zvT?^p3ajU8dhP#%H1w0j&t0C|qFy%AA5PHj^1|)oZE4Ipz6D+~mg7?BvS4;H0xsW0 z|1ME0cz4t*US##^_d3Q9a#E%g09q7BidOJAq9F0Y)CdC%0okg)`sZKytMNPF^((H` zqyDp#S!4Y0lVG9KUb`R+0h}hbH!?D>QL;NH;b1F*T0ZamXJ{ zri8CABHGYbig+Azmgnan1?GAYpShCEPD_UyJ4#(M}lagKuMtf zM0D$j5JRcxKh&J0iU5NMLixE!D8F@`5C?-BIu!K&PhSK$2)K}}?LHbiv#R^dK@BY~ zj#+PyLn;bU+@&xLVz87Vv<)KMc8`C5O$d@A@(Ch3VIn%qjQNN|47MO^2aRe-+>wMTYC=Wi$c3n4?=L2jeQZaE+=$01KShGqF!XZeZG ze++OBn#+Ny0ZEzV=8QG{`LbI;LP37gs5XdNp}>4>SqD z^8S>7X8~T|N-1OTec}V4n4_=N$MTV+`5(n8&@c~ZQ$6g4Mieu|yxjMKXyS{h&DNM8 z$qr<4%t#ij^vSHbjr^)0)_xF^HDj_v2+sUtM5-d}ZM`$C8@4bo<2juN4k3_;-7-xG(?sF>5VKWTl2>9y?tiLXcyaPtJ#+o;`a$r&aXf0>{OU~-EhSvn^ zzfi?!x~xV?<(@)KVY)J?jjB>=dajZt81_kZRH=46C+F(Z5v&3Pl$Dm1jhQH)-6_Xg z&EBU)E@a3dDuTXU)otp-=CH>PXTkZf1nN{OsrNS*n6S3A4v`Deg$7m0T2y7}RV9dM zr;Qk>4jXhj;t!J=DqQ2=-&FOHR&`ZiOd8=2PEcp))-(aA(KNtk=x*SG`j( zz@w>M73-`lqXRe-Mgr-^##?|K+n18$YUFOdeq_YK534Wu#n1YHf3zZWZP)u_}w_u(m3B@$}QJ)I9wxd(e(7)^eT+tR?m!!tXbNrfhPw}e{Uf} zV}WmLp^#&twqc=xVaY6DDIa4YJ&#iVs3bzD^JWsC_t5mMzZHABl@+T)Hro4F_Y6$s zmNM^!l(2Uw-sKHH7h`k}P@PTIbgx8opg*Oo@wLtrak z+X?01ClTr-owh_Ve25*XPMO5d%6X-9VzBQHdML5;ux(EdclayPhDYOA(bZY6*ETKS z)N&}&CIIMYb^4<3JcvSA$Lib{?mTB(+`;NQMvZFdgufu~vJ&p{quJ%K&okKLrLy6A zEbo!{$qOb3xMk@2>GSrf^{qbW%K^g|azS-8#`5nf_+q46!lc?@RT?JJWvw@HL-LKTAz0&eX!f{jRf5^-(PmRX;KEfWg-RqZt8jsz1zRd;nbs%(uZN%mY`ChM{9xn}UFCU+!JO57S35 z*W7&P+$5v!fJ>r}x351^xqY;){`lwUBOr2^C_5P#Ucs1zz^E8C+tgj-!O6;i;|K-e zWslk9er!V;2S0k>k<_`ehGb@ZR&gJFCq^9?8^mWkNuk%QT@ePBjF4R&k&g^jehgLh z3o}%l8te{xq?yt=M%t4kjD3S|3JHHchf4rJ|y~yHoDtBx*{?h z5`c*M5ncZ{bFT`h?!%n!gU$l5+O)%NtYX@UCy@SJ@^;)faHt+WX3k_ay=h>ZIe1Elb-kK@JT0GqJ4>dyGL6$1B+q7#{+qkjwI=#L`(-U?5HGB!z8mfQp31JgZZS^+oVOBtgj6u7RyVn zCWw?x?%cIxPPC-;Bn2sol;_=sevkvEZ8iycFrTa&;IObid|yFcIK^Tsg)Xaxn_{gR zg;Ae5BRDxNd?5`Jm)XiN#s-bqiWz*!wZ2)8eYi7$M?(|3$lXA~QVA(^y-Tl>hP_6U zm{}>4R>L0R3jQ1A7|t+J%dd_wSr-yxbg_+%Y9CP)hE@ z7U!fAUImhjhB5xUgUAxI_}9up_9p&kw1ORn4SUb!{lUD1eK8kI;ZyR_^QZhrl7icg z$$PW{mIdXTapczu(=9|=_%v3epzVb7a$BB9@pN8KYBxR3i+9EOWt zMN6{JA_bt_f#zs_X3W!gAzDip6|;%pkpI}Nb|?ZrZtJ_+xCfWiHXCCFTFN|x_ z*4Q7V0r|>4KaTuJ75yC*1JJYBI4Vj?m6XW)9LZoMR%(1{an?rUZu@YEh}B! z>R%mORy|C~_?&om)-A!FC{8x6jyGfaWAWd%H<|Upuh^jP`s)`)C!Ob?kn8reE)IJx zROintN9va8>(5M$P-5y;XfAK_FUhMe|7>6W`_Opt?-KOt3ak+XG`oTnT%mKCqV`@v zpRZuwjIpS$@y)IY6W-vxH5RGTBBRoxD8Q%UmeTAgoIMLJY4BRLd zHPKML=6zP;|Ax=NNh!$5$i-=PCui(^YJpP@!PB?UbZSwrr26R8k7r0wu+z~^o{ST2Oo8bbE=S^B9QeqQ~wF>Oa_ z5QElda5iX(=iOW~=FTxr=@>BQaZ`jS4nqSewLL3FFZc)m3XXOVvzamf{3QnvmYjhF z(<##6z2Rzoy$7`RxKLtCEGFdsLMQ$ag~I60exXdQKt9i?Wk{{j)jcJr;qu#Zi_#HG zspgPa6h6BpdHqn<0M>!y-pcfQfCs3Hp2t_B&=&F?HwQX>T)HCcQ%o09`(`HAxmSP; zuO`O;xBHS_qa0tT@M5c5?A9fz-EwPt?J!S%$?-FwqjRPq)3$L!1njgJFM4^G#2@QbN5NwNgSmd+JWDIO(h>_pcv#-mc)hr)VRKSYS+(uc1S zdpX=VW2rUNx*hGr0XaF1t-ImL=B64jXwu>y`In;3JEPmouEH*NYCc)@$XtYJfVmp+ z2`PSl2x@#LD+?GNTLEXr^m3)9#_;74=bh$%wTYTY|AQtt z)l^zkQde6o4WgF})bJ_g4YLaQq z3KjO6$Ab5V_mVcf2Td{wNJy5f`2}YB)kKLoA_lOh>AI-?ioTABK+B!JM6Gen%FMSl zZ{=A^|(*DzK*-A&<^b0@29tkn($BjCE&&WX_)UL-jH?p zyP2#~&tCQHP0LaC*Zc+S!(Kl=)~G*x)#*P^+$gnj$s)xs}&ctW^iKg#yo!--eGAvagAK+cwKLA*4u+GTu zpo80Fd@-LsLW?3@MP(|`ClPdWLwYPvjP0S3rrGo+I!Cg1Kej~((!!Id?t1ZZWqCA1 z6&=Wx1)*+ASNDh-ej0_nr25Kq>q=Me&CAQKICcLNph z>QnESvoul4?kFA%)^nYvLHqX_bKjsOCfj_6hcJ{HXz;&W$ciZJAuRxSXONc}BF}<* zAi|4*34gMMm#mi_3tM4Qi#AJy`wZxlpe~i#L8k04nKt*SgeLc8$n% zy~acUM`#h|D+d{RZf$snmj(7m17$^JaJ2RxO95U`S6ro)tXirnN8k4-RW5GWj%K7_ zlVvrqqKWCui&2QzWjiK>EVwGy%#RBb~8#UkA03LXATJ&L}WxktXzZVE@O1d)w0 z{q)AyA&!?TpsHdVn3~od2nu?}&}3paacu6f+f{0wQ*6@Xf0BayTtyn2Ak z%&oqFgXd;eB)r+%G1n?N(}3S^Un({%A~q3RO`~jU`zy z_NGh#P-uh&BnhCBbOOwT3;gQ&dxj+YeslM59Ic|?Y#hT}RaSZ#o z9|e@TgNrUTB%n-n_IVQ>ctG!r5~U zzg@qjR+t`ua8TX>3%1KXR}%p6R0Z3`8eAiEDc$2&`wro> zeI7^Tb7yz)3m9wCZ}&{x)V74`=!ZnOA~noHM+^3n{Q++C8{xHQ!MX1;ga7^58w-#; zef=hKgn_xc`F#1rtF)4*1pd>d#{Dt(D|Ir(S@y>~ji4W+&Wp4%Kr9r4RzZ zlO4cMg|fq4Q9>_(a|AL5Ha>UA&cA+Ub}rWg+Vs$&!}b)kUa08r=*O| zA1UVC{#XNP+^Hc`=K-?N0c#{IjVVdqs9|={%S<>TpxQ5$+AHncf56(3(AjN=ITC}> z$T~H^{s+qM+{ke{!U9%cKal>e!(#Y2z?BUg;#iQ^m_*f>AR89ZdTY#5dFR?`|yR4<>?c zqQr{=GclsfTAAqxMBd1`WKYHDd}`e172ZfX`hJySh3M>oB|H@zr3y`($6Y(2el z*EsJry_!0`Ryw`jJiReAz1dB>x-`9gH~kGfvx7ggOE;5vFuf-`bD%r(-FoKGYi3Ps z=Fl3D@~82nTIRGF>nuPiR1>w`5zn<^Z!JI4Igtns-*<88Y}{*?wWEb6!Cb7B=_4#?hx;zoJrIad^b1dhdc z@p3_0urT9Tc}~$ZsloB%vwurv9?v*#$N|i-c3@^uvm-#C6bF1BA1fIYXs&EW9VJjt zY{ROFLV$&jl}|uFPfeiBaY9T7i@?3YH!qxdOBSIRg=ANO2A9*;&|Cl}F3`m-z=s!r z=3(O00IZ5|wkB=%vITm2EK&qAuN)R;dkv?R&W3rq;y5VFQ^V9zXVGtQ_>7dOWD-y4 z6@#}n69s@_6={J~`zy_?Rq^L$^q@R*$BoUO)R6vjX* z6Y~AA@j4PK4Io%q5a@Mc|4Agw0s~igB~4MkRtT2c9*vv?p}?e9wbn0@L@&$4J)6V% zyrYjizv6iw?T8g)5|>16fzf6l2hYMrGo>Nm zQM-n9EHTzkG^OM*bm}KWj#)26D{NjfvY9s;2ND*y zkXN1OOp}nz7G;;mu*^H;hH_Ihx)XyE8)N;lgtG8X`-op&n5FjW8ZFXtchU=H;+N+J z7z9g05Z+@=lDkp#Oc8G)QMAkfnVFgOCA6)7V+%}344)XMvo;&l@`lq)c5>I|ASF7* z1w1ztJ2;deYRUsG_%|E)p*!V0?)G<_a#0E+(LKt`lTsuDhFctZktQ_9=z+C zO&JHrQfks-T9M*x>gVP)ci=sb@bPmF9zPK37*(=;K9Y9^z8`Xu z9xcPd5{rk~Y`e+X^j0i2@@F1170Vr>*6DRDtlr?7SZYR3X~vV?DxY-=Qc8e-BV*K6 zRiSe2HxSk!I`iU03w zB#{awNlAk#!(nVapQHRCK?9f3euKe)?0^kwbq5zNT*W=x=;AP)$RHuxS z)hYT5X_hk8ZT4TnNo3JysKKs}kS^s>>E_}7%cDuy!fa$=dT-^cZ$Fr7F?S`p(}e2S zBSUAb%L2x$ zYO7CqGtZE^67=pS`+Tn6Fv7T*-`#U>xW-GwCMT_%Spa^-065G(UY;6^_+J8e(ifV= zGTIjc_{!hdhz||~A8;4puNvgf7M$(pV6xJDvY__fKO4P31KA1Jq35nMF&;^T`R2C%roe@ntOA>^0_a%U7c9e_I%>Q*97}x^KYCcCK+rsf=# zIc(G|7p6JfZZD@=d*mzv*aw8LQ_q3K`oOXH|3z?H|Bh#9r2P+q8+JTr|9s{c;QYIo zC6N3Q`C)3911necSn#azuGBbHbsX~1ZM0M=U3MH3bu#ZyY{61keD$DJrCV6IlFj}V ztQXEDgIuwvgp%Q|k$&A_tWxK!f{Cium3vM3KuqN(M@8hZPH~gP7l-$1x{vLK-YgC~ z69vushUt?UYQ_yq+YRgJ4V%I%majJ)4>z2sw_FNX97MM~g15X1x3rA6e0H}2zPEyA ztNanS!k=zM+HSw4-fl0cH*I*b7|q@`j{|npFV8&VgV>~AMO-Xhi_YRw))lKdBkLKM zr;^-pu!iXOflJpU{iF(yA#RD+HhaEXp3`iK`METP z9C{2@o`F#5Y4u>XO8d{nD)O0nt zsi^SL5{vgS13iLqdV#rS!3Fz)Jx-U4K*ysEi!bkPVQjJKz3=iEvKlo4KNA^hIHnOe zC1h&Z`Git2fUtNSXZbM0Mx$#y>ND%+^_2#}GKZWKi)3MdbwV0PIJOfy`q-X)o9exy zM*$7-UN$CpXRut6Fszr1s*ifoctwmIWe>9u#|_|LsjFW}A4|;8Gu$EWv3J2Sb^nT| zwc?ytoMHm?r$T_|A_%PX)AjVJqLQlmD-@pI!>2##B3B&I;mY{Kr? zRweWUt*6?tb&&`|V>Q^*=*mjmgd z83X49>xc3@yUxigW=Ti+H-KY=E9R(sZM1ZABjqN6s+3pu-+otrp&>V@Y!>`;_l+T5 zc$a&R0(`Ocjoz(#V2n->mf{CX(^m|1MgmH6CsrQ+bie<3w zm$QRdSBYPU%QSC*;t=B2BJ!xKru=oUt)e{L88y=$uc5iuIYV!|0tzu)9urRB%np8|EzSaHjANI=)l z|GoC$RP6I$0)wRI&Z)T5fE(${WQF!^GqA=|qu_y$giT92ZJ}H?8TY4rM)BFiB7fnb zSw+$=c&?Mw-b&BIUwgY3viobVV`;Ca^xpRJSA%ikPb3&jBT6KY%5Yeb+U9sM_0=ue znexvy!IHIr*d{{Y-W=K4tKiTAkD77xNUJ=(O*4X;k-F| zLY1$g<}*;(C6NYszo?mROXrN__GP1zn~O{&Ez^=WZ@b5uZDuW4luEmK&nodcVq@sq zA&&`(iWL=nBdfQ&i9(8M=nZugBNL~7K^)teaV9SlT1TeZ?u@@IoJ>KWJev8@H(>aH zk4r_=m89InTQ3bSnc`8b@-z0#|O5;f3#47fyFU zzd0#0A5lQBKYUFyN!*xqO*aFjb<=#W(Azi)QVdR1k{IDF3~3oj*!%rYb`|wq`QPlS z03H6{?5Y4IzGX~|uyQy?L&?@Q#c$}it*gv%WAeW#(;D*y($*bS!&wuHYc&66RTp+G z5a7dE6&y$*dg{Fg$+$||xYV+(WyG+|>4%qeReb@=5K_YayBikNcf0N=SBWJ`LHpQF zR_Nm6%-+l9`-lp?hL#OT)EfUM6}#5C^xy|{FWJ|iH;G<1cv|^o z3saW(u}@!4&P3^Ax0i794}bj&8;;pP$XH)ZgK8T5+c&@0*GdkO#EmM$P6pyY2Nuc~ zf@uQ(ip8nOc;Vcpfp6qnI1?VH>jLR4cp}NJ{z$_JmMv7wz4zw->dzNcL11(&;2cRy zG{r&qBU|;Nk;f{eH;>5X^mXvN3N}Sjf-+4hw@N^q!!_}ua#{DdbPuB_;&)9N67y?K zpvix`^KaVItc$qW;11>F)fu7@4T&i8!3uhgr{oc3@xcG2SkaXrCn-mjG68iY^HTt& zh53UJY|$TfVEVcrUD$IvBqlUY3i_uGZ}e3DbxLG+dW7gcRE>FkNUL=%mJjjet;Fcp zhfp__VLHJvtLc`U4yo}!IA7_)9Uoc5nZMvJD{b-Ar^AI3Z4pey30t?gg{I;QGK=YwKdFomO(otH ze8O5K;2PH{nd-0snXydq(mJ2YZfUCmx7O%73eDtCw>_2V6EyHG(8}9xtG;jIE#7 zB-*8wGnhFD6N<(?&70(J;BIVA!9O87yRPq2A_k4XkU%h_Yt=CIoAOLKImc@wcAmbC z^|o6I4}lMxd)AiCBw*Jv=GvpZfL0bJX8h;w)P7tP1<|Krw5xZr;4@T0!W(r{1|wn|2s~mfquWNm zrG#UXeK#DReN7uq_I=?P;kV$8`aAZ28Pk+&^>bD>_%(w@%}VY&-7`vYAaHuWpJvtq zA2k<={K$I?NsEyE7~%~6uSg9$Q~|P#7Hv9vpLK%S+?mZmMc?$R7=y-jxIp0d51MUw zy?a+~_MqhUB%SkioCG*xNJN|ClaM(P)@74zbfUF+BM-JVSr=Kg`EZcE{!+jcqGoFR zt8PRVpy?VwC1dJkUx+_#e-J?(m_*F*AoPbv@Z9ToQlMxQAlIYZ0NzeUjjwC~p4bRb z$)p;^z>U37IIzMCm+jt7_Ii}*3boitKdN6tf%qJQ*NCC#eJQ}`9h(@F*o!fi|vEKNud)-9lHykG?Ku7Z#yU3|= zZ7r2{WsM~6>`lHKbniG=?Ihy1g4Bq|+Yr>Iu-Dlbe^_KfuA5k!QBC&yl7qH82QQLA ztIB>TVdJbwa+}njE8NtUUME+~dOUI0V^T!=w?FU~X(S38xA(^Gg&pO6C0Q1Ed|uzJ z+*ZpEd^dW`@T78t=xF%2t`=unFdGjiIn%QTTv6$ZUx&EcNJq5xV-S5+f~Rb;N(RiV zmxDyk0_uW))oLv1!BU%o`qes5ewb}1XnhmL5SYe(_omXfM{RLp(-WWU`dos(4V^_} zh$IX8Q|>80v26V0jHZa$5PYLusYcBN0iWJ|M)85X0ivQ5F$FErJ0-gRVBE#;%{{EK zGX;v|9J$G8yJOtScV=%=LD6JkRyQDKrI|2i}nl6ka3dWhBu*x zgE?IpZ@q_cA;ZziceUBZKthQpvn#*Nh7Hg#71ucUG%8Ln<-vEYgb)spl3m||*}HNB zmQ6DH!?^sik+c^GCB~63-Vrzg##vh|E_DUxCDBmQ&T?gmK7dD>l80@Kt-kt<7HTzms`Hpj1wJ7SK6ow$ymq*JLks$!|L?KWB+_@3vH&Xd6RHCdyz4;)mS z#yb0T!W(s(7s#FsCkjjJ3jU_$MI;^yY9aono#46D)yOsW9VUj7+SkUiT-6r59`bLTd0uW%(&6QRL-1rh!d6jcmqH?bQO9{bK=p2UPg)$7g@? z#YKio={_?fW?oAru}&HFD^=VGFymp4nStrA{`VGx);c^R%B2`gWqm5NVUDQGj6b(v;>@1>Zwwl3lLLM zM7Rr7x)a?AUv<=8`mF83vzSNuFRfNkyX*uGoT8&ni)^*kCXP(+q@q#}EsM=45F!UcNO6O;4j4ut%l*0i)qDyaP_D(;%pnz;-=jP*q|kma-_I%7pVgIfg=@V?q)y-E@^BlmYm^1E@EF_Cnrx#!N;(9guU zj40gUw1#|P8J$V^bTwDB38#k%aY|OCxvTMFwdHQ6l74*5N0Z0&X0fBUWvpFwdFh;> zbn#DJ4Kc3hAk#?Wxx~5}>3q5ZX$`Frfs`$}#I39Lb4}{s8uLAYG%CS#`r7nIYw3Ko z8KQ!jDcNZ|21Sq6gYHw3fq3g)=f=Jcdy6C>|}#&Z!QHr+^D^_jbK+4fOxUf3Q+pjEO2kFP>Q@3@s!HQk-GbUa`ldg7VX77GcLr&)K5tn)9=a7AxnLYdsbLzs0=} zE4MA{{NnsbC6O%khO(jj;>GBqb~3uH#S*iIQqa;cqM)3!paQ9N8Y<+gwlo;Q*-Nl3 z74ryXq5s0GLfIQED^@=bkE3fx;{mOYv_FtB6w!!Wy7PjWX-u}YX>E%!J zg)de;^j{7pLHGK3i=xGf22_d$jaCi~iI?83;2omy*{j2wjU(&HtIb7Y{VQumqO^NO z>uW2z=d6=-u*8_3gev0+XlT{!j5m_C4+Qdq}mPf`ed% zs7tfGzVXt#tQ=njOFJoj=SxTuCF3}bM8~-f_;N(fw@>xYYK^F z^N150LtP)B9Mk$~He<7>@>4*Me8T5#}k~^cYMlsHCD;) z*u^VJFmdsMiq-+w`Zs#(8OqgR&)A|-fb72IuZ$=*o%m=zE|7XlZj>pvb-eKP&p*_n zF||Xv<(K(7yL4H(uVRGPRK7V#wv0(4 z@XwW#*o++cgWbu*Ha?p$=?(^Wr-Z+n%PKrK)*MQl1 z>jBlB&+pH~Vs+{c4Cyy}T@Qg_s@;Y6x^m7Ix2psQL#BHfZ1F|QI&rVA@!NQ}*Dlx=g$XVl!+Sk{TYB8=F? zH*1K~JHWf~E3b0~i<>O(u@<)+LVO$uWk3Y?+{>4m=1!*um3_sq;^M>m>GhyMc7S<+ zOtkQud%1&;ryq*DWu^;ki(T-C1(=3YNyc+z=?J=(hn#kJcRiMvQEuwWZaST-B#O>} zMrjDN5eG(hZb#dj#ia^ike-*3Eiw&|2>dwpU&M%cWNtZ8pE??MrreE7#__n)fB1o-YzW9@T$=7a? z#UTA!H*22c)gDO3o` zwKjJUeC<%{t^(9m6Wl6@>s;bhAJA<#9p=YN2BqsGS#Ju?6KAfxc zf*QaR+?#R~8Nz=!u>SC5eGwA=6T_nvMp)$=Sf1;Tl*LtD41UoV@=f+@zTfEcB6ak~ zOCPJgn1#4LkC*f!vsXfq!2&$hAz;{tVSSKBGniJ@lWylSz~?dCvp9H|Kjg3zyH5Fb zZ|Uu`#RXlFBD49C9+#0vO``=%Q;4WB1Ca?A=3KD?#wzTfj`hmLdCg$MC$HB}yOEP* z*QP!;O;r>O^z>;tM%DKXa_jNLYF=w>zX&BeJmmUROhw!`9~H(826aPF0+!933eZwT{tVDyuPIeBXy;>GpZcUHS<_6Ke%>u%F<&fOQ# z%@uRLnIva~QwV{InD=dqzp>7SX$4~4t{0Uhom&|z20kZr5BH`rM&C*RUXR~FBIZI59SRz`iP3( z&sM_#a^H!gb8Zv{cr15%f1U3m6rK#~Z+1zQESZWszU>Plfon~oUSBz*`26t6w2(Um zWC9$P`(*)JtGT{kb(`16*V7(KcWEkqd6U9%J4GZ&VsrHU9TnZiAYaKlrOMIn|2UJZ zg`_6RBz|%=&g#UeJbR%76KOsb*5x#wG#+c}{LGAg*0ZN0@Vt-bP?r-A<$loEA*c7C z`3<|Uw#29iEsbQfR4csyk19)}RP@vOy&f>ahf-!EP0x6QH~)c82UXsK_wWZ<0|@}b zw)`e-G*s?CSw##WSi2DmNYty%=q1|bRrkMqZ&LG4_PS3vyXZ$AXNK6o(Xa7Q%nO<| zkW;H@16mT;TA``|511e<(w?;&`3QzDzY^AqxhO@$q_eK8AxFz#DLjbmSx}D;3~5K_ zELHBsdPA2h1!~BZT6>cRePwbNcHuId8__HYIkfTutUZd|Y3Y)1$^afrcbnP9!sjD8~gSfvOIH@vdp9<*uQxvBVz)=))J_-OK%_1y1K5mNdz zV_osNwyU&RvLvU;S%rMLDXn$;hJ|Al>{@hMpP6Wn-{#z#`^YST1x%v8fUEP_F~d^Z z)7|#=2SFdr2^;$S=Q1na@jHiOV*CytRv%9p*m$&;I~lWaA+8$Cw(o!O&?Z1YB=wzN zUb+J>NzyL7x*#Wt<+NShU+gaVRBgQ%uV}OM;>fzE@RwqfniU~n?yN(^#BE&Z{TG~< z%srktK^590X!#ikQ4h?tTK2~A))je9*KbBwvsfvSS>Qhq*?X?=>)hgQ(X*rt_Q*(% zm#2e!Z20hc2@6;2DNl-!qv>GkvtNrLO6|-;q-azrKmu1z_i0#rw0rjb`D6QNIqG+J zqn_nHzKbWyRfu1p^m@b%0GBb!Q38gXDK@M{bP<53B?j2e*Wcjdry0W-_@4f--3deX z{3PVRf9O|8`NQ_)NqL|_KwT@_~czseKp6A@Ll zAMi%`H@ba%I$86EU!#@^W zcnrTX?T##lC6thiXOYbm>F@xz(`)r~Wqi3N8uX>Cz<1;vObS0rZ5vJTXhh8uPg6anuRDyH`uEWlh%k2!*!sI?v3wAU78c4{@~ajpm3z3 z;YhUyPoQ?w%XIt^K+x>E9xLf>MN40tJP%aBxXRtt@KhUlcd(jEM%PuF;bqG$j%5yw>0+6Wmi8a#%W2R09RF|ekkd9v0= zmn?u#Wj~IhD8d@j<>9HDxbVKLqouXHAH^KSJ6{Q6BVFw0OlQ7(z;%yyIWHW5AAI=j z(V?;?wX*a=!MsT(pw6t%-Mlj)?KH#x6?*rl)y%mn%wXf`n+i(X&84}XkJ8Vf3-|4* z4?b)YUquXgaceRoAs{*0N|u)W*d{{a7j*3g~F} z7xnaK=_I@8i0ii_y6>z6oNz(AN1dOkmlQfubTT%zcRwRoHzb%f`W*KXv;E9&ZeXbr zs->RYCl*PFO5kXvWn-1^|7Ql_WZ6AtpQBaS-vF0$)cqH2(NA+5`-Syfj6~M@ELq`` z{6tg(pF4^2zjR(K6>k@Y${`D|XVUkR9#2oc=Jb}Ta*UWC!Mha&D<8K_d@!aQLL-E> zo>4DQS3E`FEG8%?b{2-4!IN%YAxlHIbYYYQm}IAhaCTUEzr@~pB5JKAqFqi?;b!Ny z-VD*xSFAwO)x=v!GR{B};8C#lSbxWuQ(l4mWMpank3! z80TCR$tbxt({RVy4+INH`7wOi;F>eE{evXe=g=MCRM_a9>pkl+s^gBS@ z6V^-RAPTYTbLX#q#g&-=y!G ziuY>g_8;5drVpPNw(p(vKQBJG zZ$iGxQko9es!w6U|@ls4?iy{^tgBVBPbFS zG+y#ssV{EF?N;#eK*>qh#N}QPM+jk^y7ctmFm$&&AY?W1<>`v)OC{W=knay?j%PYw zx=uek|8dXiV)^9nN&n-Yd%8FOByl`Gn|f8aU0r&)`;c(4e)V#q^zZSjzvsK9k1v0g z5-v{&1dteDPfdU}0hIdyjTi`t1u-@Kfi;0R#lXB+uwWDT+CG^7Ge{f@k#BP=AneW;Nbky#T|8%t!jPlOR8cE%F3r4f7V6MKu1_+v>HQ?B|$B;jJPXerj>7|y>URRB2K67O{d*Vr++|aB#zWi`B#Rp8~ z;>=av%(czTjR(xl>C7Z3mhNVjz5|wian>Pk){$n`cL%If;%sx?Y>Ulo6W%PV;_RE= z?BAQ&cMsSP#5s<=InJ6nE}I$G4>*W?P^2v=%0m>51SismlgS4KF=pDtuyP|9`45?d zeV9-Bp_XM=my^m2Bb?A2ac~5K1yFaH1;)jU_ogQe9_hDW?gXVzO0cJHo)107XY5maI$;9Ej9%>gIvTt=&D)*cNXdH9A_E-I$|gXk?R4(0^vvoGmQZ{BNbDVCvC>{e#j^sGJ2il^cOPO zRNbmgjM7YxcFydcxJ7!KSZAB=t1Ki4L@Z+r=gTr+!W-P9v1FUI7-_TYqtlD_w>VRw zRmM=cD3e5h%rK^AHr~cI;#RrsRzHpms5)v&}vHRfw`U<}pN;MrcxVY0h~y-T<^`Exm%f7RsY@9aM0a;CMmqnM>L0dKS=`@ZAckX`ZqEf<9t@`94aMy zvd%D?$q|<0Y)9|nd?hcZzjvT=FUVXqo!&J%hdy{7IaE$J+wbxiFQrfK{0^fWT4vdR zVlXv#tCnH-;-_k|Mt`WnxPvs~ca(Q)md})N{ThJWlXNGSra$0(VA5e2fV%h49F?+e zM4{n-Gw0Gob)j;MaLwuSpxwxT^v&?>#>W`NIg^Sg5oLm4veIvdf- ztlxcACS1J^G*kd}D!$omo^D3Iv;FiuAdaj76?@r$pmugNKsUQiYrx6zhXF@qsZAh` z$o>)z3B*~^JnEDV;yrzQJ*ILZkdX!0Ew2dX%m2by6&w}?uj6S2@J)_9%EGDj5DfI=UKZwF| z3h9#@@4XOTZb`pv9^{+L;gEZ4aow)bIHX%Hu6~`sPKNSknh(Mp2w^jjsd6#*PLnzO zA+`r{ALL@q80d3PV+*^G6O8oK-3;U)O3&kzOu48ZT@U3m(|YreLn;uMig@Jl^>{{m zP-g;nuDhdmoYg|+u}VU1PRLLma*XkQZBB~gK~^;*I|9w2ATKM8N&4`Gku@mBs#)H~ zD7(`?Y3ww$I4?9^KA$iZit%Q5Kf6l_5Idj>9rA#qTqLd4!|X3E)Lf$1NyJ}e*%z@+)Q%o zhtyhW>P+=-eqpnkZ&rjPi&+cO0A%gyO1vyig1~9nZ|VvXb+FqC9pooP|l34-*T++zcBW z&^yNT*rng~I*YcN>Mp;yUKL#KCEmk73yWutfcJ{usnR=0J`r0m??fe2M zy~4o2B$j{C%MjA{eHjT-qOa;Ffvv+`(|fa7p2Y^g_Lv$<478b2vh$su8EAY{A~InFfy?y6vMW)dlAYOroQer9r;q!$^k0>#sDc=oI9 zS~Jw)um`julI>8JewEV02}tOqzuefZepnA;n$2&LW_gmvj)U%!opE&vL^mnfN3YU1 zb+9hj3Ggj)(xQ7Ns@fRwczbcXNt=UN^1k3p&LdFcsME zb?(0bDVV;Q{!aL7G{@*VjH?|P9m)~MrkuPwzbiLua=C1DIi;2q0~Noo$aIAn8{kFKM9mF7TbuO|sbouO&DsKt$zTI^7i%q*Q*7_I7 zpJ-ZKAEQ4e%>LlUZ56f!PQ=>6hx;YS=6=ZRI{O~L|0Dd?#e_&t5a7eK~xnb}Kb~P@L9{gVr=UscRJeBEnws6zuWaCG`R1Pg%unt|hd*u} z$#aZno;=X(c;@EsxyQeGSvHG{Oo#}Z2e$~#2hPnIL_h?Q zP#9EqV^mYD{B<-1i^43gGskV$`!0E9Sy=mGS}KMm1@IH<{?I5mO%`7Sy61*Y);Abm z`lq*PWcL#ETqUpkSdxtRxN^~QJ{5MAk9u-tPo}FVX(U3)r0ZsCD5{N#q!P*b%bqY>lh9AOfFFaKOz5U9~t98)cHcr+yr9YH2KjEUrC%pg3z;JheXkrFvlc`_gaq0G9Tj{Qxx`K z6;VomVNPkPRN7AI8tm~-89JgPPMHQbNqG}*YG_{_gYL#V=U97=IOpCCBX!B6k>PR4 zC%BZvyA-%T8*wT0d?{D~JXPxFjJ}4CT;qE-n~#RfWGSy_VX1Un%VXFRTq_bp-TAoc zTrJm&gSMy9^lQ*7A5oI$s9SYO7@2!b1=aR|9E(Q6SK!fM?HYG!(`eb#C+~IcH~s?( zc-CVcm%v9xtQ;@BeHB_4gD@(`D=lh)sbdR=eaK~}u<0leXO{^O)v`D0(fKiq+_USm zP!Rq`12bkD^mZj2#r1gwi|2e-XHCTb8Wc~BAPVt~>~KmU$Kt`%df0wq>U~fL*-Okg z0EMZ32mMi6-!Fc@CyyJX<3H8m{43#y9p*~a^BLjG&Bu0-o=+Ok2x1+FfJ?@2nPruA z#tG<~J6x845c{pJ-4Ru4eZMIUj!-V}@1{qp@?~ujun5W>Ur4OXa|Im4RM?V|hU0pa z--1J`e!wE%*F(t&C#e@Gp=q(Se!*X!E$=A6C@@ti$HqmwstbYgs&7xd_nmrSKR-i$ zD=?E{h;qfpKq{mw^B(tD(d}`H5at%$rvwDmqi+?d20huJq86Qq>e=uzAQcAr4&<4S zVL(FM-y-EY6&d}$za&tF?7yy#1B7jc|Gs1A6KsHTx<%b9CQ$v3*dELS9 zK#Bk|$?-M^4N#LY?8aoN*F@OG-Ydexo$u8Pihq88N`3sd^9qm8c?KmS10aBiBa{OQ z0zd!&g90Sb|99RH2VQ_-0OIO1=m8LnRjaA2KN3bOWINncJ`fA1`QN>q|HrTVe_!Vr z>Hjy@`G3HE{{Ly)NC7y20aJni7y%&p_fZZ95dZTibL$joHCGHJGP1F+3^zY{n~J)f zz^dI+Ig%-0QfE8TQZ<$<=14!T)%x^Zp`5RA)<|phM5%Hl8QT@C`wCa^A6WO-scOR~ zI>kEeb#poTt?sL%$8{f`qX!b$bOZQ4w7P=C1dPxaoOzjbts!*ebxTBAIJE&1zPSQTRO7uQ${tYQZKrj_J%3u_GEJQ4 zK(8JRrRa*uXvdIb1p8hdku5HQ2*&zEzq{+;3!0Y7P|HwWbqfq)T$_pg_4NncZGamu zfZ5chdPl{-@8*4|tR$4&9XlTcp z2FB$_DqRKxifi92sS+C^@_6?B=mFLbwwXytQV%c;y$BfNASYR6lj08rq7wMVH(R5X zg&Ssa<9G_>@b(`AGxgYrDAK?JW5W=A1Xz1r|K?XI?S&Y&uy5(K?L`AA0-c589?)$apHkDe-d;;r+`a>!1rG(%DVK_DuT@j z<@)vtvz%$<>Em!b=Mr2kAvlT>sIAQU+mTNFn*yOsT>K|ySW1?0jZ_ZI+vEBqlv2^H z3WD5!M@ihy^tEdvCwQ{Rcd6U3B)EedV7eoRT2hr`r2QE6w!_NM8Gg86 zFWv8D(3ujaA>=}&&a3Wk-MCLbq_0JyP_*#=(bTD6XIc*+^%dT`$84!1J-`FgwS#u0 zjrK)5l%?7Qa*E-lKc1g}f?Xa%=kTvD z@2V&yM|qA;3v@8BeaC=BNl#OK&cPsk!t@^$$$r-8eh%b!fzRApGYsu-jQ#JMB#2cJueZWlG$raR1T|V zE&{nVb({!Ag&IzbK#u<^YSrL$;NT0Cyz*Z6iGSXyy2Wj^F7;AR!li{^pVSZi*1fhL z&hGAt0FWw(TM)`@KQGqFNI`PI$UcEHFWO*)&qF?||>OVQRvE(G^iDgC0;U40}`+ zPMw7A5Rd~_u@cd8^m%Zw)EfQQ zIARV3GaRrG{$O!UEiS6Mzk|2(3IoS}I}Dc$qs0A-28Z{p89nzP!d`VlFv+eIswgSf z80m~=;@v(9XUMlN9DOuesfO!JUx$fv>a^mo(G2JY3_fAUROu-DM4)08hE%jZ3^_3S z3QVpKZyV+`bUOcMA zznwM@<>&Vv)8J!2yzZqlR3rhaRgR#Y$|%SZpwq8aEvn62QrV~!ORm+sr!!Nqbo5m2 zU9G{x(V3F-qiSW!I>T6<*$U?48mFmY>jimS=b*Ew9;LQK`s<=~?J}feVAEag086&?0s&YMh>FM;G7&B}yJ2n%Y22%ZfJ1v5E5*4lF+M+ML>4T+X zWOIwxMYWFqr&d51E@%3l_bDyGk0-q7HS5=566zC~ig}54eW0SwYHnz8Ys-&QF=VF; zJXw9KJ=!30Tkq5~SkS_|&TS%LzHOW|eR`s_%{#wE;!F!ni?Zv(p0b=z)7w!NQ&@wf#P;g}r)DlcII0{Tk);gjDF z+$FV?cyn;^1|ky0l6p0ce!pVmlc?e>Y?^62I9$(c8c7u z$6P9VZ5Xa^?u8dCDz#s4JlUoRNBETyzmp)`d~Fy@%-j9#edo)^4paBN)GDXK47A*@ z(YgM-Sc7Sj;%VRS$0+_;ho^Q8@*7<3z@N{I6J2j)-+QNtv^S=N3)xeO?nj8>RtpJ{ zvC3^r2RSSr4K~};^tUB}X?RrQa{ITshhdWMA3$#g9(Rt#vgb?elmoE9p#ZAh;yu3~ zQpwKAe%c27k!VyRNqDOAq0Z4WhHB~lIWgpPRHnDxs3eC-abXVbTz zzGbzV8Z`$q8LBi4)lf7W?CVLs*#5!6Rg@E+_O){Nl>38r>F*1_p_uYdQ4R3%P;UT7d&S=*L4}07 zdIG&xMu!vQe|~eke9T$%woBJy>+Q4Ri7?+^dtX+}nj9yxf@I*kkzqn#Ic&d%!C**| zHF8@+fOt}E`kpBC3hQhNCyff_GJzcmIqH5t29Pc5ABF1pQCR{3q(#Zs_yb)q>m)gqwzFzaeY z!ul3X-^37UUW52HsJ{4{X89>%P3mzi7;B})A7mD75g3E6@+=c$;9mFqN$+L71wYQQ zLdV4fe6zjGL6BQ8k*Q$OezCY=hu}auf5y0&z__@)xP-2_q=mQ?!f9L@V|)f9)9IF3 zW?;N!I^09qLC-Pfr&{3k1=_mX{&{)cq$>YFbCX;6FDV5{-vdfLzHMwS3*yoC^tz=?Lfj%Aa*;-uP!ff zK2Wc@#@_5Wsg}WN#l`B~Lh^?=PuP{_Bqp&j(&XY-sM%?PRfmGTdg}K$PgOM4pJB=i zG*wCf|AchH7&N#=E;)}kbucd#aZhv4GF}Rq%CQjd4@=MGP5I=SdODmo_Y@ApQ8#j@ z@K;%#2BfXYc;#!QpSPy7j<`QLwYPbb!9Qgtv6vyFZ7NL&%2YTr`PP+r^DI-DDN9v8 zOU)`vBPdHNKTD@OOUc_uPtaSSi5fa&S@hN3NIv`46mat-3myv@xt%5-Z*o_j&f;x0 z%{}OJQ`nf1zhPs zuoRW|Va}A*m`~W$*5l#Bpw5R;-oOzSs4An{!9oOD7;WAL>JR0)e7Ds#r0RrIR^Wlp zbUBVj;`P%EC9*&}A}_t=nxhu{XS;BpkL({rp_v~=!5Zi{APT`2)OHv2a{=3vG81o# z-n$hJzP@&RECewM1FHx%;7BC_2>3uyC4=ui0bCquS)lF@?#=)|Ps`!GCx!GChwcZX zW=ui9B-IBnJelf0nQmB_`~#AQgqKK`mFx(@nGB)rTOlw7K9HmsQDkseT(Q~U6*r58 zf*VeK8&0wvyY<~7@U_yen`l$5>>7hy!L=Ri}R`Sk3G?6wu8;-;y(%8^$I!R59b4?H44RY(dL)P}NSsbw;&gO{p_gn&C#E`~2lbgw+#np8B`@?FoVBx{zE?=Hb&_hLBX8xA*4t5?SA!3{gy1^E zQIgYj_;js772paCo?>3FRmI8D^P;BA(FRQynsP`XMcODE- z5ChC#ld^X;i4uZauW^D$zyK50uRE?)`95806M5{QCplA_RwCjpiX<0L(O7nqKflem zmwg*fX^94kR9Q6@+il4ab0|kB9yr5Q+AO!bjM0?7z77`a_!8LR8H~6R4{ z1$RDbhfH88Ck>geM|a}JJR0RaWmvjmt{$4gu7uvMqzl?V`(0@)-5HAASvK7{!QFX< z-37hfMa$hKy4}gT9;J#sl{P(3gL`V~yUUl|YnOYTUGy{+_SBELH{0}f1ow6+_D03@ zzF6-4(?p$&B2Gq;Rti&BU66-NUirtUQ|oO97CfW`GyE(&M4Ou^FKADe?5`pXM$3Ku za+%Wv-E<{R7-R?~BZ)Y{0~C1h_89PKt#^N{`v?d5Gf6%Nbf2#QPj#u;4SOz{sL#+u zX}$Jqus;5d^yqrTjeNWS@}=PKSZ^5eg=P9;VycU!+06suQ89p8iwf!u{vr$LVg1@T zyYX=1gTmMOpvW{_0{h-h+xYQdG11-P@p?O5Gtn(jRmSZHM^TbNQa4QzzHI?uj%B|IR;O0Ee& z3??a3>q#5%q!eY)YCQD`7AgV<`0!x9{jO6SSDqo5uZ-##2W$h~Hdp`p2*Cq?@X0IR zl6aU#6pd15p3>w{pm<_OB0oqmc&BGjxC)wd@*caIl^~# zOUkI3Q+^b2(E3~?k(m_`TO;j7hel3YUg;}JrGWH$(!#Z&QIYQV%4Fy8>@=2v3}FhX z{g>1Dq5T4IXBzcu+`B*fRMHgPr&s_7km;Uw)#8X#dxtW{hHnXDNo<=9>e8D<#ttdQ zm;^JfcaL6Y>gRMb@3x)zoH#+UGU08BuX-^u=ZZaI?R(s1yUtkgGh{@-Ex!EEL{Z2{ z!R8<&n|c$?2f>q#Pg3mcQ%vGb1>qF6hCrGkHE9#|80*Zj4dpRG`PEd?o76(d8lYPi z`>J4{I8~AQ+pn%Qk#3JjSVk`uCot28BNn6V-ea9YP$n6(RS;43bCdKpjps0p*c0uH zW+$avnkRP@*}e{(`e`}$_iFHwH1{}h%JMQ%{b_o!)(EX$v{PS>S*>$R(&YN-2dI@V z3oBrNrGCRy`erQ_Tn6LN2hx5}XrN}sl1SB>h%M7VLD-q}F{S$}i*JGF%I~O;(LkEb zZ2GcHEXBaXH1cyX$j{zk$e$11`g6ka6PVRe0jo(&-#qcV5BHvq_IZ3@Y8y^7t}s@>J9jkeDchnGHTwk;f#x!R2%BS&iCiROVBiG7mDs_G<0nroXIj*I6i+F z^1=Kv;*;eET91Bv{ZYioN}67B=gx~2$`8|QSekL$Ky7Y&# zTk!DNDgH&xjqXzbQVVSCvM!HauD2CW_1dir+pR)Y6Hl}TPxL0)tEMKOPT>;LR(EfS zldd}1Et4ltdW(LoUn%KJSS7nQA~f<@zG&3%=1L&p<1N-zo{~WWaG?%Ltn~Y3*9qH( z>R#{ZB=`@SqBMD9s^N`T88mBu<6`ww>i=Tzy@R6KwzcooO#@Anx(Q0oIY-ITAW?}5 zh!P}=5+o{uV3TtO$=D!Kkeq`yIp-WDgNO)74)XOr=iGgEeO0^e`Ks<$b>FJ@@1_`I z&Nb&+bB#5A&vTDR9lrhEdp+Kch}i7gC3?yB)`HLHB{De#KL5rIl4&#bD1V;}Gz-W^R>bUgq}xE3&EZozKK0{;Gj@G=3s4DX<^wF0g0Hdrfs| zBz5Z5rp3$hz;4{CXr z7~j^je?1VmJ`iI3C2}qQON`VX>y1(wOP06=JftM^Y`OXU0~Peq2*fIRh7R`pyK9jExBbdtSwtJ5roR!5vgE_R-JUHUP=u> z#_M;&S<3<@1wx4C(Tm~H^S301>F5o@hC?96$eb+;>MC0CAB!Dn4}Y3|_P$z_+Z)UE z^=9u(y}C$B;Pp>)Lmc)Tqjj=XC>`n-f;}Tgle< zT-3l0*Pg^0=|(4xi_PBGzp0j{KLQY}AxHAush)U## zK1Zk1n#mSxOzj+)B^yXn-@hmEH9%BLYSY*O0E2}JG$|AB!An;Tb3}C%@Y$<$?vN^r zUF#~}w64-sWegO%ug;lUb^o4Vhu8y6iS?=n+VZ&Kdb+C3P~ljx&4l_|{)=9e#-&QzJ)DIIfBz@#i zYjOy96X5{C?%iqc22JG8#i#wisMS=vxN-{sQX=*Az1|Qgfdzk;I}2^O%fy9x)cZQo z$e(m^z$gj8pcriXhz^C~K3ekWvi_2gp%DDI zOyt3^=`);{3olw(wrE*gR;F2R&ND+JFQL8Y5OA2j)U#YUMS#NQaco;52e$HYZR3Vp zegouFvLE!_?lV#SY9zROodi@@ScLwNWYg&I{3hN~uro~H=Af(G-B%{=yQo-^XrJK? zT$g!{roCu4E-;GxBXhf0h;<%K4o?*U2IALh%NYtHN&dhDSu6Aha3(toP&m`CAtinq z>YNU3Pl6YCB@3vTQWb2%s1Lg3o}=Hnj((E>WavU(f&AzrC>SIaINUU>!R*5vXG=(^B_W7WYQfYVX>NLSk@q@IeTGS%>?+o$2*=n(0jSSmUh0=ww3H7+Aivdi69$f{t^|ha!^H~&uE?xsfKh^~xKI1Y6-Y=}=1nB`%ccd82fWXs+gVXGg4Z`}_3693?h&^?NsRtTF-gd-W+=;J89z=abfm0-?`Nr>fs;nPL+8TH^r-uzI?MM6R0}orj9Y#^wyRCm068zD z%6IqP$8r9g844+Y3u=zww*T-%luNBD-vr@6s}$r>^r$swA@;@3tqJ;>_PVBlnV*3& z66+jLTdGlqIE)eN=RvjVQergA%^V^xogUr;wU5_$Y6Ek45ADDNW$}SdQctuXC-~%| zJAugg`Lc}=rg0N^_yeWb&~K-JlQ%rfh;6O;_mT3AK`J`&87C9@d zNsZza(>!r$nq*aU&w25Otzz&-)@Rp3uj}z$kJ39>j^Ism(a1JL(tG>i6FBFoRFi^&QT*HyDnXUG49b^|3l!z&p$FklZs%%2l zabLDgf+Fp4YYGU%KGIk7rmPfiIykgKS*S-j)-?ua3IBoy*s6e%U6O&5Ws-#aUK;vu zhx11pb=p9}aHf@=x0QpiddN(G4KfJK}^6xg>nWq(QE zGdqPu*IK9klnf~vkdB{h_q&@qwW{4jUI`t}R#0KLm{)GR?^sc`Zk<7vYCY3=Z|1w~ znFCGCWUl@8#2L}}1BFn$o?AZML^UyLJ{qKJ$uS?`-H)1-g1P~1I3BEsLJXkxk5Pva zDrb7YYsxAD#tG6RqWp9)PY(+|eM`0XL)x_f-a;X7>ilzz@1k17^YmzyL{!M%;G_5a zjAHO{oIAq1dp{+R=JP=dt)TYLQ0~(Kia@wsD@UFPw44s*>fZ;7>D@f-5prvh^;LY$ ze7E#0F2^t|uZ$ctoPr}1e6v0;`!Y2Ye&>Boo&j4(=~{1SOuzU%2gV9kSQw<3Of19| z>?Vn)xFz5}t!`#~OGr1YpRcD^GOYcuFZdu2UdE{-MVtCjGeWInc(~`mT91coOk+U* z^~5;sX`#@oJ1FlZHRC4VRgFPolrhI)!5;mWa?Z0hkeg?UnJ+m`g2gp&$Z+ zoYSh1pNE8jhO{JvbO%IfC&7lCjVUPz6>YkbZ2WJqNbu=andMzS8wi!E0 z<}vy%2C657t2qt4^1!gJ7la~lpP8YEBmj7bgYL785{Tht)>-jEup% z7ZEZ_mm{k%p(Z!7tpk-C(2I?!%slY3M&5cNq^-k^(p0Me8BW^dhRpZ(_(4bT28Ozb zdO=8r^=Gml6nVm^c$Q|;TUdiCWB?cbM$MQ&Ye{@7ab8^B&IdVIJ^Vl9@EdpmPAa%v zSw_zN%#iLW?;lgn$~7(-WJ2A+Wa!T9ErS)|%oXGXs7y49Ff_u2y$?K{)1sDQ6TX8j zoP#AnuhsmT1H}~LYkR1eG%X*#oNn)|p6~0J@1K|-Sf3v}njgY7dGL#=GZ(u23|F5j zM8pIldRZG4 zDe#>l=risUt=Dh(RLxV#EWt>6IOWkMUR7h~X2lH1Q-JE)> zyU?J!={fi69z*NV;ekTHgYF=Z%916B&6kDC-*1v#L~D&t`$BJUGazD1k9UrXiY_MT z=aredFaJBwMeRr99Dxsbu#US65Z;dap>@$G%Fwi;WlQSGdktT838s9?4PAu{{SsH) zOr~B=-1jetow4<$)>^Slncd!h%r&>@kMT{puozOLH4amY7k4I@unww5FN>9wcQuE| zn-iYpuF7q!-acNH$6G_*SX1CwQ`I$@k)l}3 zT??`>W!nU*K^| zN+*bxj5r^QNWQalza?hy@@WDA^#7NZ$p2-naeqzce?jBm|0#`_|99H3jlzFS0{kCM zybu3q;^m5Y-{Ox)K&BL;vy2SFr@s-<{Q`~SSj3@7=K)YKM-t(aG3~{$pdM@tuHRFR zv*_?GF!r^FKHvb7AeMs>hOSRrGbJ>^9o(@6cRs*k1#+n1|K5R8f5tNXn^@W`Ko}*v zMOB?MIU3vf2;vzQp34NB=S^~;X7MFpKxp2Gpgfls4A3pH4(lc%Gh`n*Hl(;d9eS_w z=(*mu)9PrdHuYZ{j}`t5Y4tZDUoicn$8uKH8J*z34)iZUb(wrMOBh}ztnh!WPl9k6 zP^j^+`zF&r%S$(mv*7{DQj-)HR&WCM<^6AsKhi5uN+AOwy;H}suqsgh9;^DFfuH_O z;Aj98OmU_TiE*}60poEwi{31P_(p}~EnvSJ(W!>vAIKr5&AvuPP#q%rUvaYfd8^yb zD%F1qK;2o=x({(e=15s^$lOf`bN;4Rq|f}1Nv3iCFXn2#QL#|MiOgZKOwkMl%1KNP?Jp*KJQkGIqMLvf>Q)54qG>RfE{> zWZFZQKBxl}XSozJ<<>osLaMWRDU}>3o>_46R_J{*|Hqr7i6PvvT=#-C*i{1W3N!tC z(*HIc^FP!1yJhg_z()Xf#|?`_rZU7x6)m2uZ=>2iR#6>{RaEyy_}}(B+ci@v6IAa{ zVXsYuJ3;Bx!vK;uVygCQDv@egifdF8%$}unD(+3hx{Q?pTIFK2_unWsgLf}1TpwV0zm?Ng|S6KC z&fosviyZ*sA2-gIf0;!%TL^p^6^KL2@CJ%NDfv?{o314}FLy=}3EozM_omfaxx?N- zbd=K+>D~Fl*vxVkQpsS}cXPJZ-^nIO)81y&L7{xb4xzuUiugjDocQCM+!SUmf-ezM#R32UFpg7A!2nW3?re z-ST{t&J+C*v!Vd@3wuqc4$o1G!zprRRRsLy64-IY1HK{FG?KBN!L(%~S)jY~{T)2I z`&sIK^8O^B{%M{{mj_g9FjTSOM3ek$wxvz=ETXzQ|8-wYS58wND{gerYc46Y5JNv_TcnQ*CsqUgfdMB zyJOaAy9gbx7ZEG3(O#EWC4SX7kTr>L zrSdrH!M6msrH+_^QitfK75M}pCT6KAVmJSkI!apFxleRbNwgaY>L1ne*d7Up^I~MS z)d5*J7p^B;y?eHiVw+dEk!oM_8ZPhDNsyoBrpT6`?!NJ8IKz4S+a~a4FeML5mM!Nh z@MBnqIZ6ViFk;e=DY)dHvt)-Q-(YVj5Wu&_&gx6N)I8GFx>vResU((DR`2=1TU*d?3xtvJl;Fh|9FtEQN-6{VldBN{R8RQzJK7(_0EQ^X4#CYC{Bd^(om zkLym@=KUgKJ&Z(3U@vDXqtLx!+Ri!-Xg>bl18Ptx$y|ZeG1=i=W`vxVP2;0Kp|Dnh zgl&#=*mzof0Z_b~VawvQg5*rVxy$>&GdSfA>Cf+&r`!mHQ}NRci*lq@yAk}K=-1bO zqF*jcNX7&&;Y{1#C{10KJ>zRtF+HE2PG%F&hL#~g zz7QM7akW^>hb8d;=+PwgXL5h{xO~Cn4<_Y?*$YJ@RYJhR{YGktmTK3F!9q4l)jqv0wh?+{kPjz!bg=*{{JW^g+Ft8 z_TNlcNbJA7s$F7PP(oXd@a`1>pcD8CBfeCDu=;_fn%0|Y)^i(VAUE!_!ShVW0Q`-F z07E8JM*55-zjgOWj!F@UglXV^biz{qGp+yjIy#fTH`>g0W-g1>lM(=}^f#)-VmM5| zq(mO0Ba|TDvt73U0*u0ETKfD2IQHy$F9f*N^Z4c9ROAPu_-z<+iDNt{&0ZjfOMh7< z@;^Ib{12{IUFqausMVtjt%)yzNf{@KkY*WJ^V} zd@6K%)}Nr&6ExkH=MxCKZu$E&?VA zFMme$7frE#{Y-Za{>gnEW5ST2$zS`2SUu?g@7>JmVygHxsD7nzF zpjEUrN$q23UB!A|vZ&o+f4%D{&Vgd^;w%5MZ{hz0*USM=$PIi4p=iu77!oyuw^U#I z^DD-mkWuTBv(1-y6)H23ZUHre7|IC{dDW^A{fgK&Fl=f(`MJ~&LUFE69__w90KJQv<(uI%s*&V z?3!;Ge%Tbexg5+C#I+K_=I2IdOcEA#6i65_(LWD$W&gKmJ6;Yy;RP2u`G?E?sn0tZ< zhmr57un-QuG+vF&pRynMz*{4Q8gf*=m9rTru;CI6%qLveEIUR_KL7ryZo_jul#pQb zUQS#l#{%?A-ZK+mdmd4k4ohj6!#8K>AuS^M2ZAN3S5Pwl^81h4rDJ7k7XTg;&f$&M zXAIf{%-X{`XQ#Eu06L-Iw>jXYoxFe8?bLmm)dAs`{ZiwZ9i`cAC6d_LYtzW^$W+{} zDs*(kk9h!48POGS1Go^g;QSekEd;?98MNIyz-7`RlTk!YG1#`7dTxCxaoMh9Ku<0x)LF=d%7B< zDsZ-z@X+yWJ^5+L*+%-S-Lp+}u)z6NPLkvKw}SkV^X=l=-Sh8dT>=+7Ra1@^Kk7D1 zE_Rzvb}xQn@C7gT+HbzN-0$Wry*%ib_<8wjSXJ=qaQxwmtE1_srB}!EuYO*gECmbx zK3z+C@%wBmzx4O{PVLX%7kgcT*O!M=FRrgnH%qU7U!MHDz6OZU0KOUqOtXiAYM{Y4 zyD@mud%o}pG=x*F1@?H)kE$PyC(+$P;<@L~6hSYqqSi{5x);Eukp+9$-Fl;8FHk5V zi|DCZ8%?HAfMk0H@vH7OhV#8(B#{O2wX2%aEWv(=nnpHR611H~8u3yiBAX&#O_}ZX zepqo)_KjNGR_|mKE<3V~aJ@yD(PBTMU={zi3YM@>aAM4s1QYG(bV}n1Q(8GO%gx)W z`T%#dSv9&C3;~?G#qh|G9Xj%3RGM!=?9H;JRcOXC*nP_q?l7&&DDmiyY=aX+9Nz~? zTcF!}C+o(UnJU?>tX8E4GFMzR1^y6SFc=!Zc+uS@qxc&Ce#9_+7M2S$Nrnl>IWd;U zd>~J4iT0xN#RZ*a6ByLNm?LzkY}C7Q30hI`RiaH*o|oA z9qT+h!4U{>pH?J0g<^zG)UW$x<8-LRPVwY(n89Sdm4TFfbT~q(z}w1N*S0| z{it*Guu72oPK6 z0j;S`TJ9VMUew{>p=_gB={^*wuB*zJ35DNLIVuSqYvHpu?*o}72GG!NN-LrVNX|53$Wefony+-G z=j`^%CxEGd-R>dCpIQjO6HXS8w`cCUJw91>Xy#9lAY@|It4k2X#w;8M;IOlu1Y9sd z9Pl6OtiL?17TNljNq#!f zTy>NMslK^Ins(aG?-oo>$74a(X!x8fsyxEzY=vg-v{Q1hJnB{dD#OKT7m}nRCRk&Q zh4!plO{*dM$wtP8#L+}WVVU}fe>|K{zBvmq2o6&hc2OOf_`I7F)|`{uxwip=>) zOjK14r{=f2M(3l+gH?GF1K+f~&d1Os)di}W+xOGX#|yNoKRz7THfTJbD2u8teyaKX z@!a`j-C%XetAX#P7w1zLlA5wVR+!Up8JW>_yc!ZEF7XoV!>&#qw$=13!H(F1`Y! zbr^iDJwMvZC8%~?>&?I6)%K&jE?1dI>$_F84&u@-*SM~=>w6y#9=vP3To;P2?|-WG zD|PO2LvpBo@YUe2%!|uSBx%ENu-0KV?bVi=cEc#P)g({m>YGkU4w3}9L4xM(&{N9g=Zd&8iKI=95eULoVv>`EcHt6;H7n;=j@>u13 zH0}3cfp+uu!=dxZ#@|O}rH|Jvv@d4oejnEjHSfL}x>&r()Z3R7{L;R&+1cek}PlVsP}hO#Kl7tg|3h52#T-( zeufWF)_LBP^A%`MN0tsHh>T5ZszjFKwup?iM9J01IHEc+kyo zcMGoX5%^>T@8zgxzn_>gR7{M^9|7{?D)1AX_OtZ}65I;%cJY^@;+Ea=hh^bZJCW>Q z2*}lf?y31~NZd5)4v_AKKkD`j<>C&vr7*eP@(pDb22hX{9WdS!0R|&BAwp7zz`rLN zn&=Ul0u6f?5*ncpnkyNGhr#!D3V|UwOEB;PuCUZoxEPANbc^?G0bETjq(Kcay$o-G zdbL5tI<_PlPuV?28I-nA>v0jJ&S3W?xEK@3X&F3ROd|It3Nsop%tcjc8;01&Nmm0h zJ;JiMqLwAYzIRg&IutQr$%5u?H! zu-l?Gi3lZjW~kSJ60v|)T%e<9xOo{dEK7{20z`uYAf#ed$l@T#SQr+}A`k&$cnFzs z7h_0aE+j;LaeYt(9+F`F+6<3SHw4zAb>6}VbB+hI5bW(qkbs%V?$b#05O2T3;l`ITMsD9)eE9ifKZY;xCrP1BD6Kk?bI|ch!ant+L=9CRj~*f@Zy+ zDm62CcA^l$I}3sYz$})kFc!WK`fdh0X2KtcA^8MCxThp|^dzdXCI>uA4j}-z0azas z=`d0daDr_(k(|ZA8uuCJRGHw*iBa{*bK+S>-p!MOcd=uBnf2E))Qx z)b+80!?BFl^%+duvFuWD8!2(R6%hg{Nh0b17FmKZi;+3UOND0mdL&v>CnN|ZC{rT} z3KQz3l4?MSFyX|el=qzkKwdo)@p&fUZ2a?YEaWs44n4t61b|C@+R*{i%hx!Og-K`S z@4a8gE)pb@E~mz&B$Fc0%;%Zh(#fS#DT%LBQVQW;&Qh|Z(s3%NDwOWQR%32|&}P@c zD>DNG^aZ=n1Po@R75Cq!W7|W~1k9rRDq%V1&vI3_?<;xcs(*y@^}uuB;Y6dkMh!mF zD57k1B=9XmGMiP_54y6a8@%^EEQRgfC>wx+jsa$w013<-4cP<##H8VRuu4_1elL#` zzwRj@_APK~C;+2L@-67&9~aUr;~Y`})WZbdTk?Hi1xJ4Q8ZMmC=Xqftb2PSd5c{AD z0D4b{R0#=d2Cz~=`BBn{5?E2i-6HHOVXP1i$O$?-3@?C(|K4X+0COP_c`#qLyZ1kS zp($>AT--=gRQb3lM~B21&ZYwXn8fvQ{UE$EtXM9)7^}Wr(961R0r78z|3Q4hgXCtk zj_M-kw?9pPi{Q7&GyM2b^E_uBp8Mc&uCiy|@7~GzHlr1%avI!3`zh+r`9QA47XflD54?FCu|!bfG_{FtZ`w zo2F!lr$W$5X@{+dJdlh`>l(wIo z0m5)NDndLDAnW&iRO&guf$xV90>nrf?9l?68tyAucLN7_VL_|;y*SyZt}zy*jE+2( zY`Ap~)>uaSeYp;XsL##feB;8Hd9MyuUJpUm*zh8T6#+>!%?osTSWjKWTmeaWV{;=- zZzwGo4SB5--}SuO6{{^Ff)F5VT+DCkPowYr-qBf}CdKhyT7%ppL2jb@IJ@Sy?<_+0jdeyC-$$fPea+si?L;G09YyV3 z7mei#K;K-GzSrl`d!?}0FSr;_o<|2JD zMzA&^ARbBjNR}t}eix~3b67-3(@r0TuCJm0OMH<*?q&bm9ry-s|G5iKk$umP=R^+v zfC)~$F%j@UUmtBxW63qJ+FQy`)@5Z+U+>iUECR5b@ANd*KI$KIpRdY6bpblWuTZo^ zF{Bj-@Hh5^HAFEpbUYDny2*TdM`;J5Wa~L;d&c_F3H`mbZ~6!pdNYYc*7Fa5$j9K8?X)-fo(wDehYGtyCc8W1#s*H~R`wy1w0l4P^eE$=| zN0U9Df13DUCU9UeaDNe|J0Br8`{ny+?7-KIh5jt>F)r7E#_~A@Pf0f%Kz^8n(R#dQ zBu8lpbb_h_IT01X0mu^0UA|=sAK-@83gsXtm*WbL&%}j2J$dE|xd*tC={=z??krrB zO6m`}X8;8o#bDuC7(3k}u`g6ExyJr-wG|FXmk|v|u1fD_$T|uV`T|!6f(z;Ez#YI` zXZ^Oo;8!P7F_{gRIzm3i6k-NW!Z4|sg6}%|pAVpoqiCOK2^x?9R3*#NY1s*KOEKOk z_ut8HOVSw}qw}uKmQphW)34x$yMiXW!}ZQ@sbjVxO}6Al^T9e5Ua4!rWot0zbq=So zh!T-ki>pKmI9OL*h)^kE?Bm&mxj7*mFbaw$0kTU3ga=n{I+9XVniBJJP zCHt1-_7f`BRbuuwINz$sr=}x8a*>DckH}_8PGa9#2~z0o5pBj0Q+on;wUb;)0MjqH zW{ih{<@?t^_5(`xP*I1(!Y7a?GmX~7J!N=|zg9mrFGc=_qqL{}pPZI4FZovxRFn~I zE)iC&9Bb4cewzE`Q#=#)md8E%h@&zdrr!B0#bkY+E^Qe4PMb*7jGlPuY}@bGtD${Q z(gPOl1MaT}&2JBg%rAhw17Z1JIGQb`zlo**Vm=;(LDR2F?IU@{W5ut4(u+glPhV4C zklefedZ^8KRH1!=)INXwRYb5-WJCL8Rq)vQ^>K+X;chA7*_UI7>*I^T({J+Uj~D?D z?Rmhr1gngPOAJ2MAqAowfki4As(s)K8G`VBp^d=Yjc{CY0sB)p5NR_R_bE$tn2F~h zp2RnvEr8J%%yhSaPZGJQl_}@_8$zSErIW23$)KF7_iQ9xP6o_`s*Dt!kWft>M zzr>{ZG0~p>&h$s!T*ZaE2A?{r>L>)Z1s!HPVY^LJ;ml`k||B9*G`LgNqut*XRi+j(~QL>GEFXC?k+UH&4{{^ zS^PE>9{q|W{dL!##hhI<{gdBs&X1zAyGl>pe)nFS$^7p9(p*J8{3+@89U%qWn|ftP zya^YfFJM-RNSO39EADn@<9SRj8lll&jFb z_!qCH%J>!{qQ)G|R-wiktt6t(o@7;_&iOt-+3(XuGW9}Bv9Po z(bL>&1EUum;tx$;tye#M@)q}&p?NTSjiF_<@~ubKN!B%wp1u#f_4rwSZp~x6(vDk3 z4z=qwMlZhLN*FtLvDX^A4k=5RxKCNvn!NfNC}H|~Gq={%>t~0=6YrDt+9z+XaV5=s z@j2?u{7F?L%>!@R)R_k}21#0ka^}@pgbQ{`T1HB2)LBN$<4IY?s&dp@#cN}EwZw-u z_0~yGf~0Ixp61otq`l~rdYbWSqyFjpw|LUFS-~7wv9V|s>1Vk~HVx16-v>!QFU-$t zxPD$#+9_@Ksdl5muJj9@jD5M~M!tRJkcx~$^%Ry@tNj`z<5<6$*XY>zvs30p^T|fz zi!axBvQ91doJ~$`q}pCPqKKzW&RvYbvMxQG`Asf;f?cw|YAGcixDLtV%ejrHayGk- zX}?eZC8GPZ*?sCsu-wa;r_mJTwScg*WIHh^BN9`$QRLs0$ z{CzR^_N)Eng&^%e3Y0kmR5Dy?SLg3wBJd%PAWNqCEnQ@lrq0V7hje(L;AoYmmFqXJ za5|N0BwA~qIk{brJy|$h20BM@@GrNN#gCKZuYa&I8VDJk*R%)75m|9wIt3AqXGJSi znKRmt1rZM76R{=mV@ZY;#CtU*R7i!Mm?|{K zTx5HTE?Ya;kOv8St`L#76~Oq4NqJ^op+z5>W#4-0OOe(c?Ou$wM^muln)gKOeilin z%0UxC7nh1-~CdAmT=QTPe%mES;aSxA6(z{APd+B!*n{T1SzSq3+fj z$t8wlE?NA60joYIe?P3XC`<9VL};6c3jKC%kKM(7gP zIcf@Ty`cl(=I%ukvN^F@Dc4rmjCt&02F262!1S zw{*@!${q+x7Ai=l?neSYJV^Z zBt2F@k%ryD3D^smIV=z3>PLngy4z#xsE@#J^!kh^RnsQ=t?}{=+MbT#i`GroINPFA zo{6rWJECBf3uu}XE~MKO$YO;I<7v}~5BlhQ+Y@4z8)~|VSMdFd!cCf#vkYfh8IxOg z(jw^@5H}8WDZ*fwKwy5%Vgd;l}*W&L##a+g@yn~=aTnw`Y*pW7MSDfjU z-mRV|O96zLwELkuI4joibzM@9->a18>O! zcOM)=x%reADZoXxkdeecELtjM@F8vIl7+tLE~haW)Vt<=8voYe52=cUEw{9<(JK!A zT@`0p;XHe97ItpC%(f1annyS9a6Odj8?tfnv9*{z>aL%O^HlYsTc%pNAtB|y^ujf5 zFzWL(4oL(}99w=?Owm}e+s6e7M^HrvsCoSYY z?DYMuHz0dC91PB%-2;}QM)yF>BnTv8_xeuPX1v@FhbJd9l`f|(5}#$_Kh2_PSQyWM zmzR1?XCf;GjO?eEJp{;^Y>D%;n0Ib7Te_%3i!b&I!)`|%_P?u?869?mxh}>!NgVsX z{C;UEK5NSFb6|OO@zh^_G)_LJw|U`0oY+jpX0`rWG!3BRhST0*|nnP-22TFAL8iMf~A)Td@y*Vm;xD(D$nHN*Fqro*38Bdxb)Zd#cnAMiEvgMB48vgBKjyI=m74^YnX0mTi(OQ@7 z>|`M7Q6=F<5cVZOFQ=tu1`m=P=v^1AYnG`*$D-2SP)V+Q&Z7KaUWxA#kDsmSjBTj!#INl)VyBlMD$(@Q1r;PK_TN)Ii%06vm$gSaYR0d$gE9 zI*i}c7R%6z7mNE$`%|D~13X(QsJmSBcr~YeUl_JyQ}syisw4n2%|a@jRjVE;azwWSwzAKQPpK-_-7{*yzMQdTk6%0dX=M-eg(iT_s<`?${&*Fy>m7`_T zs>3lhV)|3)zh$osDSwR)cMAv6fBrwuU(DP8y^lX-#^nJyPwJ zAoVIx0GO-$v{2Q@OruK=+&vy3Ql>75=F1%Iw|Wlp5yES2)Chp^1qQ?w?1fgSYXnq$ zsLZNeu%e~c(`Z`Oyq>8a=%yb0DyH>iUvrL+o`x@A=lj556Ju3*vSo}@aS83?aCaK%1j_i}KrKX4bKjb}ErUrhJQO8YQJ;?!9CB!c!lVd%&B(AAr? zXEFDxXYg_M7(^bY5$VxhU#9Ip3m^*_*eNFaeMozdLr?ID?jUEl3R}OP&>?fd=akf} zrPjjnmM6VRV|~nn-yBD*{|{StcimN`5vs%y>f8~U>Ji$G5xR*HtVJ)w(Fh~%C==x< zGy5ou_$aILD4YH$yY(oC`zUANC|BYrckU=p^(b%0DBr{=|N5xF(WoHqm=NWdF#8ym zQWI4k6Vo5N7PlU|m+zB1Z30?My`{EN1lqdA` zC-ki+4BRIk22L0zPCUw;cw9YU)G=W^F=4VkVR|(21b5Pma?+fA(n5UFQhCx!f704| z(#C!AY2c)7;^ed3$>-IRb{&)U6O#_>la5D|FL0-vD5sp+r(DFRT$QKX^rzgdr(U{G zy$YQ2z#6aTPI*>Od38*^nV9lkpYl1HdW$=aqMY_+pY{`<_E(+`(4P*po(^)K4i20S zNt_PNoxTpMo({+QsZUHtu1`lDO-JL-#8A$}vd_ec&%`UwB29o=NMNNuQX>Sf9x}nt6{qi>92-VxP?xpZ%abo1;IQYdxFiKARsnTaY+gm^=Hi zdbX%zws>Op)B0=))}|eIu8eZ7oPDlBe6CV?u1bHd+Ip_WeXcfet}bz|K6kF6daki! zu4!Vfd42Bl(cBl@c?{)z3;TSl_zf7}sBzuwIySUziG9m`+@n$z7POUYP4xn4ef!SYKE?TDbm-ySPNTxXiw| zBEGn)ytt;nxNg0;@rUF2;#T6~x7@|;>c#IJi#x;*0{jtGg#%n;i$S2TyX$|*tjOn< zg0{0kNM_`|>0SD-H$%UkUOnKS72QqD@!lh^4%Pcj5B%0luRi;FqDdDl_H~+X2^{sn zga@4guxC)^%J*khctaxkB}M ziT3x>K{Xro@e{^lAQL5-0j-r+sMj@=x=Pezchuo{X~7$`a?SH@<>!DoZ>PER0(&O( zGf1sfUN`_$fRS(#4GJqm6abzZ}GNJH@18^Y^%M){5q5TRcyU@wb9a&4@ulft;vjyyPGT*h#(-?@{~_=U(fqbIzX6UiX~6&t3Ok{!N~dFJCgqknjDy zlf>^5h-#LOZiUwGAUm@|9`>JD?;kMd~< zJ+urD-jC9Netgb>3|9FVj4qJk0A%;0f(kI1r=o*fF%AeHf-Anfp8WFb^B1t=ad3fS zF!X@%#w~mB@vq&-`P~sgVxK@NC*-m*x2GOs*FVDYc7rk^!LrAYz2l%`w-~k)eAyGw zahMMZR~UYJ!T?b0N0Hru{9*&Mym^9AjtL=pf<_Jtbllmz-N7i388j*w6~!_YO;+z{ zk?4G5&JoV}CF&&uuEA7zJ{$>i!~V7wO$J0fNW^x8c|cmRq@|){y-)HukepWGvd0(` zgSaZ)M+zzs684ZT`*7|8S6U92yeW(cHe^AhH1#n?$gPnEUnNR&Ne0zC6t@IL~1? zmzVWiGx8L+@>GuUR5iX(3kH-j!73bJY4pOyNI>}N=^lj>hZRJn0wTDC!^;77y1|$r z#5KzBGJfoJR~fx=q$vk>3&jBp@i}ieLLPnR`pVly^V9sKv+#Zt`x`JhJ5mvaeZ$NV zazl82c#Umd5J7?hLI_{H;yF3;nZkr{$?NCjVZ`@=2j3!qH`6q7>$7vD!Gm{ zqr{~|g;PV3cc&urjxUPr``bq1EzFZorZ7tKN3WQRJ-RUuss?=cD z$##l{tsk5@8;0F0_ToisGS(T$k~dvBh+0O=j;bB~T=e)dchidh#e}9F=a6q;d!q=txaQoN0ao8#a{Qi^!gxg%C?$_o<(LDnk7XAxG(^``9a47H zC=HX(0K;Bf`HJrloy!j3$rtLs)=LVFR$J=YI1}wbcQ>pK2L8g*Q4i-XWJG|)o_<6$ zKHQT5z%1cc1QZ3@Za*y7$;nn-e!fp%eUxZ{iC)cvurYq3!-7qXBY+5{0GVZ4r%`xz zoFiEA(=Mq)UQnmX5$8~Eo5^88?Vuv)oqs_^c*W;dg7{(Ut!L8TuWlvMWkG;joM}S( zvZ|4@ZzoBr0+d!XoXH~s6yS}eJHu)rhY^L)9{vZ0!0*-ze6tskzZj~Lg8`#(B1 zPMSTucSxW5v96P38y=3SV$zG@eD!32yw|UiDT)@0yQl-ZO}#qi?tnNDi&=RWA_rYR zb4#Rt*ug(U@Bfp3nCY?KUvQD$#Cpj3qE0iFQ~#l=y6cS5QFdD-FY@~8x;4o z@7A+tF_K5$K67t)H?J!2$w9oi+`@RMmRdiX7NT_eAxX)&a(6mz30%JSzmdM$h$WI4 zaRx3B_ngo57aye$*s|Uhw~i}q7a?y@k-FL6cuRjf>}g|(7Su{6sPu8*-DOQP=tc_P zue%|}+{o`s#?-+9F|uS3@;SSyZm`NI`_(L?)joDxmRO=BvD7+3Dh*QA%E@HUHCKqG zpZT4>Hawsb7oV6s!O{&zX-13t9?MEpTbPikjW113=9sII-j*C=7|97W=J%ZoLDz%Y z$Yld}NX${*rc7uaW2lF1KO8d4Iqcs$TUa<%C8y)4br-oFg;o2ISdbfuZ`qrxrCAtT z^pNh!3W>_lM$hd%0TkT6*!joOwfcy?bQe+z%%`OWI5+tTq{EFdXW7${(ifv9N25qxUXiO@-PN#F$Gq>~W|mN@BWZOo-noMO22kHB8L{k;O)v``*hqD@f6s(=-Q zoMhHJ7sp>&HxF(N)hd9chbD$2;@~XvZ94UU3_;o5P^F5H zY!@cTGyUIzNMI4nu3%Uw3ta^?1v=ge%nvEV*6- zdeSQFSVU&HJZavwg@`E6f>TbN2ni%GUPN!o4-uU#B=T@8mJTe46t&tIpKoe;Sr^Fb z!s}iFPPJoIJtKK6GAkU8un#w+8U7jhG;#Hmohk9w=lHUSdq0?}TWywkbNSeW3N2FG z68+z=l>H#-t$?<-_}_Nx^h*SCd!sSYofCDm@At914Gt|Hhs8zR!{j{dBsk%ED$BgK zF-i2s!7npR!S4Qb?_}$H0=V7v5Ay_}S6%8(k6mu@Y~bj(_A*rSMuHm5FN{7hGF&vM zD>dCm#kDfs!`2K=AdloP0Q!xdzPghZ!Z#2v}@k9A+!| zdUfskMx?dxlPhCf-)p?W3m_=IQ8}Qf^Bsj~#}@Aw-&x6-iUNH(FaqZx`U3bKY!JXl z;P~3zB{`-VX0v;O_edcOPaP+ug5vbEpMJ+C#T&6fYk%NAO+R!;rkg)J6#4{q2E*3& z7H@?i#dsQl4+Rh9+44`aW;=F8-h4}t7t9u=>SGISxBy*ElY@_GHo6%QQ>IFZGcK$1 zV!;w~#kap!vMcOioybYXB!VC9<6?a0fVPWTyM}Jx<+#gfIIFDr^<{JCr$>~p)?_?F z-H}D)VQ>|U3#M&Q_^*A!sn>Gf(=XKDbRI{_N^R-}Dug8#?qSPC2vH@5=;sEIuA)7w zTo@>!hNF>5KwE16?w#+`7=ERu#30#mYr@AY#oPp~zpLc2y|3Dd$HcJt?T?o;2pMKe zZlsUH?)=0_S?s_NQ>6F$2DL6wTpe@0?U(lR+lKy|?+b6Phx}ZcfdE?~Rx2cFq8!wT z5;E-nMY^)F{`zb4n_s+M*EonCeES!@_$D1+63V|^|2lhfa~*Z(n`!vrXc zIL`m{N%+fG{lEW!0Q##;^hc=N=ywWF4EYB>LWssk(2=e`@Dc6b_y~g@*&p}_43h-j zkhsa|v3FX^tlC(FFsMTa&_VU>`_OUE$bKh5X6-z|;FeUeIcwbrWt88qa}-^&N$7}!K$mPXIc>fh5wsc0M}#rValUXw+wLiw3RN#SHOjP#i^92Ha;a1q zHQV%8y{x`lXW7RF;cnrPYqFmx()=A-e&zN%w4A{k6L@+mLF%(fA?nenhCio^-Y>Ku zSP&h%iR^k32;p{K$CpSO#kr0F=0qwv4v%F4Oqh?AUafERw>1Hts?Px3Hh%iDKG4H$ z6|J~LD+I^*1d<&jhr@T zw`M%z7pw=1oJYtedLegd3j2bJO#b=BR{;P74);S8gS!#g`1N)dND&UmVNG)*@U zoI--bZU}0t5KNd9WLFM~&{qJUxtnXCE;n5yC<^J3i}&?76H6k7zF7@`T zT0fy1r@54R`hkj%;kJhlmmL@ZK1x2A_iJyhKg3eOvq_dS~%-d3fbWRH9{!X7@o z+K6^|*}4&b@2l8m5<3f$Q@h4O2k#jABAVMogfBiHM{`np2ZyOhZ3X(|bK7rSMQa@^ zE8)AGOk7{qE~HJSdyiT66z5CJ2TK^25*j&WU8rb&D?Up7XDPR~P0sM9v5+~w0s{K^ zouF@=AGitw<#GIjQfdfUj^KBA zJ`gpWFc2U2(Q&H}f@vGF9glnH^B?vLOv=SkcMQRIr;koowi5Q6Up77!bJ9V%2nCvWCFT?+)?((<6{VVA% ze;d=knC|koVf}}nkg*kC)Bulc&=(`u{7$F+&qfAdN@(EZxODctubJKE0nri#^{ zYjw7N{kYWp@WW*1-@Fp-u8wbK`?J;e-*$EWxIEq(d#?Sa>leCT`{ReVZ{DD_2rQ0u zB!t3oJp{^Du^x&qvcDb%Q{vbNCo^)~h@i5s*odU_+W(tZlJ2+}%~4jd8N<`EzZuIn z$gveCwBWcEFSc8;l^}Jozx51(#krlRK;g8Vq{3FYova~pu$`i##JQ7dVC1y(Z(fPl z!A^!%B#EBg47bhxl z9h9URIUkf}+E*Qv<$4_+lov#D9afa2J0Dh7lvN#8)wCQQRyPdZaDA+K@uyc(^|7ww z;_zdAHx~C%LqEC}r*W9A`lxAKXw@tsGy%#z`9I>J$2b2`fN>gaTgZ;0n?Txikd>`$*m>hkF9 zEdrbOd`f}R_1p}DuJ(LJL-f=6A706vfwAk?c@u})uM6hhpS~_yMe$xN{o$23me*da zxV-vwvFbL&d-=}u53gje_Hr%Y^5)a!2jm}KiGG&n{};Rx{6eVmUwS1g$B|;=g@k|i zN{V1NQ7Zk+H2>z64EM81oJ8yV;gt+gN7BJC&fZgItQdn!W`D<=s)3Tqpu!$UIPPo5$1OW@e5!}2tzi71T{X3CLaC5h9d z#PB7CTdE^!W~a%S<0WjOBO_XVrzr*ar5wttqk7q=sTJlUEZ!}n2JNS54RNJB4yt2j zE2rtLc7Wd z+%n4omsJ}yxeRTp^cvvQVyHC`@rIdbzh==<4o zfS>|_tu`gI&|Co3tdOT1or;t=FNDQcD6p$d$C#ZLQB71RiH=SufcFX*2r5;S)n<~j z&r3KoE7gogXVTlxOMmxkV`I(0=gFi*^ZvblZ57QbJ+G9 z_pkLxf*LDq^`%~#iw0E0TMNUMrGANv#>Dsuk$Qde}8Cp*}jxV;O^V<{^*YqoO+)j^|e!U4a~k~z2D;4+S8}T zc3sGAUUfsY8bT?@*Rdq8dSD_e0rdhK z(0fCobmQ?&iq}^Id}y!4L1XK`%qzh#YW@GC zSHk)qdL^PN|9ImB0DM7qkn%sIGZ2()$-3YlFB<$aM-KNX;{H47>~BX7Z?lyCha(5W z1eJPR1(=$ph#>@Oh41z`x82f)sRb#TTx0rzh?)$+k>T_{*IQa*z2(rxGVRgZGWyn6 z^+wS-=IAALRG&BE%{xOaG#dFIL_S7*<{fl`Mdm6d! z83p%IFA!5>dS)ok^LhDY;8>aK578%$*lhFJ%BG_&B!E3114Aw|+C^ruXY-YQ-G?RgjFAY*Y)2e8_8B_ge6zG`IiuN5()-4#qh0=8`w1JJ+kmbyJY<-YGGA^| zj-4Kmm~$tT%uQUJ3UY27p!XtbV^54y3{goz(Tqf`L=!#u8Yuu!xk1IT@^qr^s-W~k zLHWRzsl05QJ^*?mCTNUKs)?1viycCNB-P0W0AnBz2MiQV-CvSKG!4W$EQ()DdEI*I zR47L^9;TC}QJ9sI&A4n&obv@|b0^hShUJ#L5Gw2~LgVP>+ay9S@WBYIAp5qRRQCm6SSB;U3M>~~XqH+f)L502ga7sB8+(iy z)6+bDaMEZPf6=a@zEjFX2pfK@j% zWgg^_F1&nq+YVse$>fJc#`Qdz5FRmMnrgFD1;5S2p7KC&9yWN~jZJm4)#B*{CBCHq z*EVRG9bv8C?l@)sEysncBm2qjX(0R1AvztPIkowgEz>ieBvO*5TQMekxEg%!-%mf@ z8C*{%rx=3aDbzF$bDj4{lr7y-7CeA8>U90M@W+^-VL$hnx=Trbz%AgpMI@vO^^6hU z+fGq4%K>H#MUa_NutD-w4PZv#M*Zcy3C8h@DFxb29L86EQ7rFJDCSymD*4Y!6*ksGA%BySX%tkxU&mztQ7% zMTe8~d4W}dtJ=Y5N6b1P`&*um+6(;IVUr9P=3hFMhiZhnZ&L=i_2Pwb{oE8Uc5VFX zO!`4=gqarNeo0;^wJ|z=L*OwZsBPZdN-JyrbF%F6NNCDay%x5rCRls%Q)f{cy-a+J z27vAfH$+cxKj230@Ue55@hd8hLIHfKM!eh9AY*(SKvqo$%Y@2`aacT(P0H$7Fv#jo zt`(A9NEaY*p9+I}T4T$$HWEz2!Z9k}%c)pb<}AY^pU!{;ZWQ>dyL~zF_gg`rru78$ z83plXYY&Jpq~pi?F!Iby5I!Un<@63vUWW~zL61Yd`FIR4!# z3L#Jg{S|Va{%i>meg)SD4I|id(cNHv%yEENH+gfbqF9%1yr<_TNI^xORKk@JX(BI; zLd00oxh9#7Z_wV!8qxG30dAxE@zGp{D;aQCq+wQcbV$Yqrf#$2foF!T2k5$N0)VFBS#Z3rwF8-@4JCKt zU`#}P8y&B0bYeO_Ss^ON?~^LFe2m3SiDGirFC7O`Q`4R@qsD52G1J-6M54JcKx`ii zSnIC-@zskzKoRvMsoH#(WL+7oBhRo#HPLrqbDIh%mq&az86(7cy&^p8ney7)azi;I zQ4#S-^z~}D=41GK!$WTn%yJNT^`hpt{v|=lh$;r4OS5u%usDN&muw-Mwf4*8DIIHu zt&WD(^uJ9oaC#kMS9Qeue&aWKYV_0Hp&%D=wqBYAIO^9OfaZ4!@WS!!{7!)Q$K}{i zBR|HJMyC+x#1F|{gEi!+ht{vRmvKv4UTJP8c;B=9kgom`8033G*|c^jBFbbK`I)2C z-~7UivU63jO6YR+ei+}$;TRZ`4 zY7C%6N7*~=)4}PL=$%I_1mQQ0`=p!41w>C(JpJ}(Yow?`U_7rCf)RtsFhE3w&47r7 z(VK5cam+_)2o9esW)SX0@i^(Em@Q&Vd$iJHV&JkKh zhx4IDi%m6*0vhj|D`JdOYt?Ya>{)F7`EFHLXJZV+JoXdOru+0C`De_EowCFmd_Sp# zK|9y`lu!*!Hb(>dIeTN*70pjHJ)E8`!_To^d3><&bPj&qxxwJw_SW@rBP4*2Fv=&l zRKO4)3lWa7Y=4)7H&K940lMxpz_sfR$ZS4koGO$Aj@A|p=6=y@i~L+C{_v5V{pE4g zV-?_gVgfbh7u&WF{+6LV3VxVJpWZZ8)W#}a|Bv4UjIyQ+3 zao%poyx(Z)x_Arn#V^=xp{MKX0_CffuWRAHovw+&d&=*h+FT>Nf?Ict{jbL7A4{1A z{h0PP;vtK)ztLk4x&Z9*rQETRu<@Rt-(8d0FchH({gX7HqiZ87dL5_!y5oy|6)k5Pp!8cSS164#uZS|CSaR(g z@?R4S#b)xyDhwr+^KXgrKQHxPu@CL?hIL;C%Y+4(Ww@HNi?R+skbruPQc}#Y2R)?F z&J+o5(FuOINa{fWpsTA@u>c`HXlMisEs5xrc-zRy|CU4m+Q+YOK?p#`%^XOKxaVl< zR1tyQ9pTy<8K&zVRv7tsIFjHAxVbnKVMYrehd zF^a+hD&Y8_0*tY4AMi#Lk^>d)h`Pr_^b~0v910Y0#6VzJVVNrVPyl>Pa9N;S=MzD6 zf^puB_1hGIQJ{`dQLyN_%jiN!KsGccj{{~2jm+K=lFJOFb@VLdh}#Yc>~O~qOahf1 zhb4;z9e8=+%f<9u-I`Md=2|%y_rvaI1m>(Of^~GY`(u+bql2kX6Xo&tuN?a;Vz6{0 zLKOjgc9^7&uUsR}pRmXmD3HzZOvpOQ%_q)!m}<@>(t(2leMHzaeHF%^Ck&r9Ga`GjyGZ7S$jw)jUNQ3livdBL0&a+4ca6O!?k$z*W>H zr};NG!d8+C7R+X3&iWFWKSh~WpOy8IIToiy5}qi&GY36a#TVqGBItfD!ju`On|COh z0ny3u&dLZ{&ZHg9z+x%{5SfwDnK9pdfCh}WZUj?P4wTG5;v55~^;R1}>s%W%7EO>L#=5MnAhdA=M2M)!04NH5=80 zpqi%kN(YpriPeat31870{pEj#;OXAG*lYOnvfg0^t$0on$zWCKu85Akn+ z<}{%3FZ|U8!dP-5+C~z|MzVX26#k9uHVss-8|hXX8GbavX`5h$jm-C&*!-I~a+a~Fq1v}LE`F9 z%%(^D_(z3fj>^YrVgqitz8n_;xP^Nfn4foeDlUT&0{#J1PrAWu9+Dcb!UBK5wP@R} zabFT|aNvb-AedRyLs?90+R}fp=(?L2y2E^ntnzzVpBuG!{?NcFyPtAAZ>oI!j> z^ExQI-7}QfTL6|>%>lr2cm626rQXnsw@$25d+HXdV{4fOQK;w>`YKEt0vS zn7eIdwLTS(xCukH{00LU?d6#S15F-X4Yzn!^lq;;$+QrQr<}pw6yGMJ(uxzjlj*M`HKcx+iNg+{W`AUX3zR{U0*1(4k(i)>2WKn z+#X1H-LDcqG@N{{#k=S#p=cmoI!Zh8pfNzL($1i6F0HBG;Q7d-q$7QB(pWc(=qneE zJu>Vu&rwwPh}|oLc{`r`8qFmy`FDx({&ZSf=cMU86og-g!{mm0e!xHtkO&y?(Mlwh zjY3_A@D+@ps2gap9)3VaQXs|Vb)@AZ-7RarIccFVKEum>tewyEy6lMgH<%1ouOx*^B5m7-Ge>2|qC(%kRO znqG$TOB3tdh)C%v%^0NHb`DOLJuss73YeR>q#&`!QE`LcwZrvO*=%3B zh10?VdFdy@Z1=?i9iHaIKg6=U=$QqT8Jh1HVt+Ei`D9NJImmxvinleLJb;RABe6S% z5Eht+4ba&<0avAh>*0fd&NQYoiKhK+LW?QMR0AvNNl@pKtlSVGvHL>NlL#+I2+TcQ z+C6k!J1yM}Z*dOm#NC|T!=U`3c1DO;dunO?W42!&lL4Nu7iJs;S5(+t)GuM0uRO>o zpK7^!Ho4}^dIhZGWGf7nt}g&5B3>QlUQB>PT95uyA`zV>6u zO4wl~ln>z?-Q-lYyDFjVsLe)le?=?e+fUsIy zzkDa9!y)Ugr_p8a*f&39%*YW2?hb7pEP6j+MV=}L%btXk4?WWiT4Sps8Ic5ACW9xC z=@}=Wi-Mq|s7wk=QmA9zwWt6a#m*M*x>p@3WD-V+lXh_&K_nhY5*_I;vriozMe@j9 z|HggTS1dWuF{NxPB_D;$*@jA;PgdoOPIZjVL?tE!J(E3fkit3CJUBobto0nJ-tDbkTDU5+UwI|vo6a_}NzpHmlbv4&6vf#JxEUB4K@8M8sM|%XQ+O3{^?c9@ZU0MyA5K%2H3K44=vjy3tIA zl|m$EQB+pui?2HgyP3EBLSTNS4W`9@9>ptJ9{Ot8WzpG$-%kY1O4`I>Mk}XXHzOs2 zHzkA7xx-IRCr0wxvPx!E3m2*5$1P9qJC|7FKC;DqZtoX9HUYC*$^T+ncFKu&?)1Ff z^YjOG@lt%5@Ag@M1Qpfy0_9^W!( zz~5abP;aFQnV@g(CZglSRl1Ec%>{zw=oh!|3 zFNUT*rJgaWz2a)RLSlpM`F+wfM$*g}SoG*O;t<@Q7guqzKXiAlpkuZA{I&hgwY(ue z?7+3`J3r`|e$qGAyr?IaIUXB&^Ygv)4+8)1+lSv7bnB@=zbJdZeH#5~Z~~gWIqq4x z`Q>`}b6xg&`~LNA@HGw7_5PddqYu}g>wg{IT%XF`oZr9UroFj%e)Ij!&CjO@edQ_Lc-J?EIq%!u$oICY^_bsHvBlMSr`5Y zlh)|Sr0Ky#ejt~JE%1BU)J$b!t?DvVwj?bTypF3KqO$4?SH%d zVC^1SIuqS)=nIV*qzl`tz|8SdDI2i4J7N6@CLd zV`F?iIen01fyD*%h2s~L&SVJsA7q1!PZ`+CTtX8$Wyc z+Uc@Q0r>)Pj38&_3leomow&nuCY)Bmx6(M7O{3g6xK`=zd_3njQ!%;7IC@<#3BifA zE_{!1+V5mD?0Mi?j{;JCbN)i21^xjB@oZK0SK%DwDH<9#3XXGn+M47@GK8rTgJUw) zKAFel2s?S92&liz1yB`;FhdCBiL=DS%QE?~uP#n_WD-H+iv3hCz3qB>Sc0fYC^ zcQ`@uR8}EO7mJ(q0a7S3V*vG$O8mBU8M!6p42W8{D6B~a=tb;dft%de0RTq47l}c5 zpjU|T*%~v2+KLLAa9zD-3KL3KUA`Zh5vD1(J|F`jxvTSFcQm#neqO2B<(sKnIdeY!}WN2j%o)BJ1LB5Q62> zg3lJ^@I!UN(6_`c$WBB2U;uWDZx}xbOE-ieG7x*CkSK(se;Jo0XPQaSbOHKHqxRlPZy@ zo4y~^+%Uj!kBtm+yhXF-vm@Ms1O>tr1Z6%N%Q>1R2D~ebYw)0%JDeRg>21<9-eOrp z;i%h^Kq4lka^$P{nJpHQ;hi^eg)oEcYUBp#4GL$^&^cz_ZT{ND5T4BC1K10GkfG3@N$IkM3t<9klBTnv z4R38ReIc7rIG}f%1qf6b`i#ZQ{=Q<1Fv_=J9B>;m+)0K*mxe}))PV9(eC(x}`jwds7Ef$|$2Po!FKBpDk7l>nMS5UG8iiE=ns>$S=x8P9&)Ea_|88^e`^6A$OS z^U|{W*x7VtVWEYWno}`oiZHLylO5|;DE4Q$Zh+2~90W%a`9YEIFGNDdcRqmv@GSNo z#ig+R!oBcG!}1i{hBS+j$Qe)qq$L&f9TMGiSfRL1d~l5NeN)5PXy}0Fmn1zhCBU-* zKPSeRc3b$4-#oXf?_5s+&s{_akp_}4*ZUC$+p6eiei4vLYcb(Bkn|D)AT^g~Kj#ez z#I&6cWm)RRLTQCzO2O7~jT0OckF0k5VWfA&jQB;^L2+XY`cy4&{UdY7TX;x%mai?g zZ4la`n=P>a5rq8P`^Ukejq%h_fcR2VH96zmwhO%`@Pr%1IisrWQPE4E?|l=PEH^bm(YMI4zN!3*W^uEQC}V_ z!n$z}Jy0v5r5A&hc=8d>nYU;^u(UM3I_9&&yC>T0IAo1W{wYRhgL-dxuy~j4p~sX& z4pjA`G0WB202}cZArA;Sl_DDMXH`bQ^go1AT!YG4OaOqm$(@$OaP03&orYWqZ+W z*yWzi9X?6j<|b#OmBf<9ZXqa&Yf|Hom{$+kgB|$F2N5P0sN3!1iu;YJ>v<&R@!rxr< z_Y+SuuvZ4UyyFmaa2Yq@516t(rBQju0?)!SjX9?1Hys1JO7ITZN$=^OvG%bV+q zPVAuL2-$#5boUM#s?u~%_6eiI2LW=jzwri!8UMHYDMM&2VSoBXv6lj`N%b9?A9G7JqS|i`-<2AhdLJuO@iSUf}V6^ha%-UOM2s{dc&#uI3eOZc6~Ps96e!E#H4ab z893y=OaN6<5^-A+&2%4q^j#LYve3j(_%~9?{=1P@XxSqfw+SvmO(s|v&KrFv+=^U1 zL_tV5C9i=3$Sf!YcPo*RN%oLRPp8T-DKdk|l>3t%^vUG;$-3R4N>30f8!Z~Sw0ur! z>OCnMa*A5M{n~|n^vvY?a^!g7!sahhji}yvp7h*kCSfAnVy572wev; z$wLKDiC`Uy$LgK-C28+asT!V0|D|G}B2ok=!zp9{qM#%IDwh$G$FQdK4xtp2#}Xe# zgdHp8s;Byl6Z@a1iW?+_K~=y=B@6K^k#Lp3gYKBD%(j!9o3I1XM<$t(zEm+CRL@E> z<0@623ZS0H#BObjicAOQ)QiaN4#)GzP^MMy1x(rXs+NB0}c&is$xM(hlM%JXuj20Rf|p zbmNumOINv5I8uBP?BU(F-*e>6%1PJK(bXyBz8V>E*(mz>-eN zYMnZNlL@M@6oGX$6pB)h;GhkGsK)Y8#qp?W3yh78-HBX~C!H$|=L2985LQ>xu<_(}fdY<>~%My(i+=y`%s7gVZ_2885a4LaYxXh_E zU?dE8tRR(SFI?*aUf3tbw5!iVkxjcDS4G9eeBh$hipNAJF+qP=*iXYuEl}h?K6-9m zG~>?9p*A*I$qcj>g;-(QJ7RhHU^#ZfDEZ?0rkHP6sb2}PLyonm{6d~mp_Dm__-JnJ zra-sIaA!26B${r89)q4(mGB8cLG1^~BEccEn z_pN&CLfjPKKIR|+dIT*Eg&_kE%P|IK;imw1d_^I+0D~{e-l-xLBU+dj%9sX?Y2xro zB!aAC@q}oEQO(IeKndjO!lhZXxaM~q0iR@cztCw@LmcWfjg-;K>&@mg=gPJa&gGR# z^G;6ldCuG!PRUNqr5PNnG`te`-VfW=pqlDRu)M^4S(_hI)ek+>HL(oY6*M zZq=b2#?eUbw$Un=m(>yz+-~o=JJmTYH#Via4Y=F zM#>=yN18Bk>81P$m|ZlrDwDys9OXBqqcyW6I2tcCZRY1ujb;4Dj@ucD0tp399&ZZDFo;UluMEDOsfnS^Tp@}Pie?zq%AK7X&js87y z$<_KsbWK77j&0ZP3%Wn}>O1e$u{MyZH&)T}}Y3)ncJl zPewq;Qe9C3boBxY`cn6yh`}wuP%^^^uT3KUrRhh4h_ROzXKR)i_uXk*Y$QcOk*}WM zi5U2cID05w=RqI#iMXnNc$7otjfwohD*R3O)z()*`&9kDy!#JG)3EDa`H#Ko0|dfx zm+JT1@MfYs5~Do$qF6v;X|5*Xyhsqm5Ev1`SlQ|zCf43z;O)9rdfF;%o|eQd9>&3P z{cRn_V2ypy`s^WU*TTy4Oppl6nm8c=cThBtbdBRPG*_`bM{pw)*p<(w1}AHnd<NpIdZirg_t-!b*NYu2)JZ*a%_BHno6PwC7)YJJD*w{&KIkHNk{ zMJBg<`{0LM@p1H?e$4GnnVE{+j8^;DW0{SKT}&LL5fEXdgIW79S^(HH65BPpwj~mh z{kj!@frpP5`sUI@wg(GxXWX@dRxLl;K?A&=wVZ zfl4=rvi56J3ghME*L0^&o$;$>R+ z)#5mc>NEq=`~$L14~<%P2RN;>{>skZK0c^X@Y9H1zD-)KrNNP2qJyHi z@nOj@>|=~J$?)>ckSZY#OFXQUdB7#25sxmw7#WWD%-qeVI=og%QqZvbmD#$l@xovv zZDXDF=}2c=R_-!&ZN$rLJGxGYAkX`ejL)i_-$oj)XR3bElx#EnOox`*slDnMxnR?1 z@TB|kIcMDNeMrOD@WH5|?w3lkvDYkPpRYAShppa%=%+cKg$P53QZ3qq^5!u#dVbCT z_i;#GJ=(qJ++>m0{Vs2jLq4r<%zvv!#csjw#5r~G$h&lf#4m%}yj z=O6JfR8d$!*T$cJD47Ik3O1w)j*lj>zEAF$e>@p4AW$n?1!>We6n+#k=FdQVnv1{T zdTVr?%XBB$J#YUcLWTKj4Hws|*(y!NvV8BD4yd|rh@kYt7salr0c8=?ghUzYUKe+u$Im8l(mcC zq1jEDTj&|~pfUFIi@^C=lfZYf@hKsS4LVN?hFNG8c%9R$4NBeV9F%do=)a;CK81)~ z!bx#mVxU@`9A%E)z?G-Ymn~TlBa5%qmWB(N*_;XVM(MvU6<@7|CYpi^mUlgjZn7}C z8iKzZ`=$A92*Smcgjj7QcRJHIkY zT3qaPy&9}?8zxy2Cs-g_#JRSstrd(}(DH7GF!hTLf#O$_<|Y#Vh0~%rz197}<9^p9*l$ z-n$9)fAw;u>m`57uX}CJ_}X{!Tl1i=cld~IAt!&W5chHSIPi5p;P;2t<>y(s)O&Rs zuSy!eefi-ckE$so@g3Wj9I`}2BgJZsge zZ`}?2LF`3fz@K<=)&`mRW@07zJ8sSM{x{2>wsWVm!@rVOZMN5HQkP@4E^lG3SyF%A zw7XA94X#OfgA>6w+5nF$6v%PgYVqWSVrC@0Kgrz1=-f<^Y}-Uo8P_8fML?z-6yi9& zj*NmB!L2)SosjA5S_Ke9`HQwk?%RP9iIX{ldfh~Fm}M#;s)C1Njo$)LO)3|uHh%4P z#<$d9+2J>0`M)=|avw9!@?30dx&FTB#vrnR;?poY_X&vRoy3Z zPnLzha4HCe7d)=(f){#rU%`ufCuO;d16M-1OG043x<8SQR>2_~yy1wRbvYF^!s=e5=kEZmU?MfpQ$VTH8Zp6-Vznwu9Rw+0-*YCEM z20i0e)PiC8yV4`AgkJoSU{~kJw74c_eJ+uimN&Q(F5Hhxx=N$`C(#c=5>)i-4W&j% zX=>g-904EfE#6HfmOMP^JStV&cqB&n&mHl1R>^=ViUT#+u}K}#b~LY-g9?WM#C zQJ+_C%m|5yVYJYUYm0~5FiB5s8aR1A2CUp?LIoGW;p#O|GK+X2ykOMP?QN>NXY>ep zBeNbYcTF-ss^sLhj?;&Mec!yBklqVgc^LCra@i~4`Fo%#pQH*NJz958cWM0-_JNmWx>55OOke&EMkIUNuP5Fp;_-aULfLnBYhZLvE((IWdQ zu@U^=t}aC}9MtKKH@{hdMPG7ahjd)Vy*vo-IQcr8LFgmDF+9ACC@UW}+;2P|h;QjI z41TlmPB>-IBv;kQ3NV#MeiT*eC^-Uyc@TY>`TvvyyG%TK#!E~VD4K{Fe6Mkjf{>nh zkTBoTfz&&Hm|n^)4YYxO*5D$y5T%tG&|01+0ZNvgwtM20IZ9y+jRPOCIbW<{#T+gw zKOL_JmE3G(`Dx?;7`A)pIecKjo>*&*1E5;{Pqanfa-5X2hyZbNO1{YvuZ(jV0-S`+ zKWWoc8Rs|NP+kmYON&IU`(4?Man6{K3Gw{!Clg>karIGsoQTn>Ut?4fD;*I1z7C*) zI8<*JI574D{zE<_&K2A1bNeh->?~ZlGf8(il=p>Q_fM&wUtIrDxi2|tklz+`-y`oA z?@h6uM&v1^YPj{ND;Y^u*L5=pk zxW#~-a-g4i3pPZ(7-=M#vAdcea#;&^Nxwz0^0=|uXq|7n6Yx6$v)2kmk(lw)sM9}wvkenh2{c_#`674qoj0Gf9=0Vd53PYu|H7=GZ4`zQ} zY+urO^OsT{K~S}g)oQI+6!gCOzRJOf+cBy3St(`LT98Gm+1XEHwi_v70}XuILG!`y zY5v!j6~56{y>oST zJ6;tcHo_Pxg!EVqEgPC<$pdD-0orO?L&#qCM*o~^b~DZIId)$D*; z_7G97U=*z*iRfSSFRJx!d~WNb85r>+R>XN`dhzteVX{`Woi@rpa1;+*MO%0d12EW# zlu&w@{clxv5TCBI2n~!5ob>ZWU&YFu+mL#kBCMRN<07}xWne+}pNbgP*@=hI!IE#cDUDAjS?4T;@3&<-#H zRj1jAXud134+>?MSd_9kWos!jd=UF5!j=bjw_LTPy1AEF_$iiU)l7o**oA% z4?|9N`rqr2E(;A4_8o~l?p=2jlkR?B4~ne0m^-7%GBP8p%AG3OI_-PLMmzKS$?o#U zhjkiz=b{VeHtuV!0{BeVYv0XZCO_tjRclIUJgLR!`}y*1aIaQ99IBH3kPu!m=YF*+ zwXZ^UvhhaB4v3-8M*||5hc+*B_xpa^$jY9Nlg%3?#2kT{Skk}W^RY^Ma0fUOejcMG zp=kH@`FxZ(_ImU7Fe60RDe`v-?uY8WR#M2c1M3C-NY^OjOl427?vISdN3<_h(pRib zEEFdNUsS*7=e16~MYR&F`%q-BoLR$eEzg=fJaW7w^0KCHLdT9S!ov8PQm~L9_55b! zD*(dZS6Y5AaXtT1$!g%R;D}K1*Ytyz*VFHn_S0rU#+2v4e`>BOzx4bIZ+*Et+;bbI zwnCdiEdK~q9rbW7&T>8}hT+MCOc7=dgF~A5kO*L2e67tP5R;dp(scaobZ)BA$>PxW z>-Wbc!N)@^6`1QJ!cR}M@VsCv`xt46t!k(iQKMZxBx=b6XE;_bC8@aWtQbmW?|O63 z77=F>k|YS+>auc@u&w1P8Bw5l2OsdVQAJNsVi8o4TC`r@$p$ebBACy88U3MzqOWG( zRU|3d&E(C3nP9|NRVaoB$v8jMyp0xKpgq*HycQrCdj%#A1wo^dn6PYQ@9Y^1>?!a3 z8vzMd(VnjO&-Xv*3DNE)1*PZC?k<QC zRt^Q{3U$jk1>-p6{DguCf?fc4TmS+O1N0xC6Y(K?v?BwdHkF>8M`yNFVNG2B$z(IXPE3d%<0T;MI1wRf#YJ z&$xZ=3MuMtjn3{4xL}J!G=!`fXeby0%TcPp(yDd;eX4<3jBCF(KampOVDXE&7qo`gZ7;4V*?dBSSCPG~`OcqDgtU3CJMJ3eSQwiqm*hzgG9Nvz_IX2*Ma z?c#y6{fx{tiUkr=HU7LrBh@cH2IXqbjE-1xRjYA*=FAyP3!zNBRUxEDa|7T2mSOS~ zu*Ptdc&B=%(BnJtHdCxN3kQ?qSL;p>u%1Sxv*X6Q;*nyofdnW|=aHwWqmk{8P3Io7|E+cS13Bk?@}{Sz_}ue;Jw7 zxzpE`oqx%|K?qT!j1w`%EYT9ws`MTzNP#GE&JjEbye1-k5Y!zB*=_n-+or!;+^tUV z`7u&6N_r#4twhB*eO}U9Ma*K*lpf&9j$!DmLC@nc7u~vd(?WVOt2i;>C(Ib@Icj-k zyq-HKdl-ATF{A@vh#FK3Alt}%{_Z+{9Bc62bAFKO-f*3B*Uauv&`*JEuooU;ESb;j zV@6UkN&~Wo`A0Uuf*uHZIh@QtN{Jp?;s@yYTljWOA~16K(Td|p@rt2z%pXtuq~Se|AW#AD2*fBLR4A#3dGm*4H&pmehv5L$)fp_w2qC8*G7_nOD>Zs>N)~rs zY4;gNS`|!ZGO`r3zs#=DZtVV!g&*MDZIR*!Db%|!2)1k2f58#bP6vr_;(k}(ZEJMz z>EBhDsp*}s@5aIOIji&^xDV6~4Hye?5!?z42E`82s$zu%Hq2s2m+D9QSw^dbMke=u z>3gZgdJIh-Sf=kKm3aKD^3Wi8z16+9*nTjr-}r7za0YK;=6vrxo}l*8!2qr2F5{bd zxc3Jo&jo!!z+`{X*%LV|_;^MTh}}2xsqf%xY{$WTy4HVl@Fk<$m@pe8Ko8hgj)=NO z<9{5o2LPYc3~twG6_VIL*f-PHhkqz(|NQg5p;hfDgYW`z#<3u|&j9FAl>7po={6vi zh%K$FL&}IibbLHv(K6HvY}@>%%oxQc9QcAR5{8xd3}i*CAY+9Ycs^ zh6x}Qp&;H<6yZxBq9HVrv&l39O&8NlpVdsPiY0+y*j!^YZd45)4Y5EF%nIWQjDuSA zs{h0=|HSZlUl`kYVI_`5$-{@?+oG(0e0`{ofWt=GRbeBan13h2Twot=swM#3q>+wV ziu40Iyt&Nda2=0~Tt!-xe8`^ORsAsphxH8iMTvWwxG^HYxCrU$k&(41Zv8P5`kOzv zi42UOpgM-K`cew}Qh^adD!!JV#TdC=1}42~tiXwj#?=@P`5 zr$q0q9wXO|&r!F2tQ>f-a)@YQOE0^hEekKegd^2S>D7r)EW&cr#{$e)U>qz5RaL$f zSB4@~zyYet0R~0lfPhbMq{>t3VQJ`~PbZ-06XhWy;OW|m3}{6tfQ&I){Rf6_^x4{A z1UT0G4q*hP9ITJ-dV5L$0Rb8ot)hpgTHyi6M+gdLK7d}**aeq&4`r}`r%N}i%Nmbp zi1vWAY5BKmP@xf20jB7?kE?1WdRle1npj9^quVSBRnwqofCtHPB%**HFVu;LmroBg z;fPCs6J&NFVFW(A zY?Si8h&9$3@d;MDuN-JO-EQ(Bz|{84`>@@>Kk(7hK*d-|z4W%((V)AEy`}kuh^KQT9=xkbdeFG)(vC`3Qi5+KyYL@)2=QysU}e0gx< z*ipcxAE|OyF!`6QcHUnLxjqP~FS&eCJ%af7JKahyg-JG;HC7iPn^bsh z+Ik(Rag}X;mE-N5D=Y)@xq6W1{fbEyb(P5CzIE492}wHk0VLX(w%#imB8Q)k2^7*Z$XUCRW)*}IK7tCH{Jz$N4H z&=3CE72MIk9^M%qYg9fw@CKLf6vwMSyf=XkBolVWMGXw8w+R1mgv z@Qya6LXZ53?XarJWl~ktIDR{HsO?kO!R43xA;5@k5Q$bOJdAI53|Hroe_O3KA^)Jw zHoV>HPwR)9>9JsO5}YN--id@s{$`vlt&{!v;%TjA!Nd}!t}WMn`wQ>AN^TWySP*m~&3 zD5W=@HFoZ9-=8Jh{&$DGRRt*+uCX9Y5rR>y2>_Uf_*@gnO>U(Dfyi~Mvn}y|AenzZ zgZ~*>w8nV*$m{Ndra@*!7=b-3(j-dC4%$)`Y1yO%yo#0(LEshP5Pthh^zA1SKjeT| z1=z-j%|;-{mG*zW-hb2IGo^A55s(2xpWasn#SZ>n?-zR-<8?0%2zmMvRm(()CbUKw z!=jH^agO`7$ZNws3nu-tXC-QyS31j9-@dYJGZz82dayk(&vzbFATyWE^~VocvSE4tIvn*pw?j0#9k zLu9PaV!uk8`{xv0P7CNkoO&9(IU0=`RkveERfO zPX3a-RH^0|FE<7UQOOR(BC20ed4+Dhcyt0rBfwPMM;H+CXDFc3DXYQi-`NFXGnSF7 zK?8f8qlF$apOOHOpL|V}<;<-XzBsK-ECqnW*tuEcdg+7DeMl*Xru3R-tAfP1T5Pe{ zMq6;#G5LRPzT0W4CMLU0PdF0`4^sP&2tHBu$qnKmw$q!8DEslkzlFl7i>olgxOvL$ zT5MWyW>0kVu9q__R4}?alYekoF<~I;sokmoxVnxOJX(5{&~g?%zX`h_ljG$h;HrS4 zaS*fjF{TeZ7Z+0*A8au@IMYw)Ny(p_@@707(NclXD2yB8XcjkNZDof=K9Pbi)nEE= z$c{1hq$}2}6gf9g00M#3#P8^%s53P=7(B_?xLyQMYX3KWW%FvImMGO2Q%L-Z=u+KY zlKNJptuME4LShhjaVru0RSdK#YKw{sgwDipZqC3tUCN}5l)b2JOA z>tG{QO1;)56`K1`I+ye8Q)Np5+tfGk!VCUaK&(%$Y&8&ZuC?$Yo~*zn?rwXJE6}rT^_+LNaUwN* zujjCe;jpiM6j3q&8W{5Ge{#ZfG;k<#bA&oKQ}D^Vrx9^9zDZGZG$s1p`u96ogG9e6 z`Q=0ZNrtgM{^R6%z11I7yuX~8okiLN)y|jLRId<@6>t0v==gH+EsFf>&#!USe*(WG zRr6l`c)_i3zEygg_VD^w?VGRHyUp(({@L$(xpQ?eNN#&`JR$t;=J%X|?UTc0?{9xE zzNgv#yZZI!>EFZs_qMlxuMWT6-h%R#Z*bGmxDsn1ihKmFkRbxxU<=Ink_DbR_z0pk z-oSW}Lmp*V?&H3)ympn0E9j2s&q%ImU+`NT8f zuP7UlRi`YzHW!f0V<^yRrs{4nL>I>QgW|=BsyB>+OTCEwJ}iJNC=q3AR#9fr+o^y< zP)4uRZ1-WjGYfATtndEFe(N)VhE)3w@Cp`dLMD=AIZc7Ik8mVbfy?to&cU@Gl~MgA zQ0Fo8%>c%4@3Jf($C1gR&lP1I-|@Z3l7TSGsyB_mzSt^s}Q0{Avs~^C%I4 zjF!>1yYrleVkEByWr!&8UH0~Jnv1xE?Rj-9abD$NKQr*3BLGqp6)Jq*E#V{vUDush zyr!cydiTyOoiaV>r(Qz5ZE-3eTJNG4{M_{c!O=t@3=pvmW-b3UWiMt=o?uz2XLi}` zLiPdPF}*3Y{&*UV@_#v|yCqgAJ8MrHz`fY(EbW-6?!B^6L{PhZC^XrTa?M@5>+w^* z9BUYM*8`G&ylLQPl$ezWD@sU@w{Q1N=aO0HWP**&7W zTJ76#M*SjsH07oU_`Mh}t&H_L<3tk{na`Kzq3Iy@rF0CWT}l>|pIED#99ME;^oFK$ zSHp-DV^SYx3b9^i{*$;SOCYk`{q>B~yRy#oO!ArEg0Vm}SA#(jx?GU4qG3yB*RP*( zsd-0|HG&ImyB-G3!&1xdio_{y%%%iD2kY%g6xMZIbU>l0o-Rn_ zL%~;S;IpK#aZEvaDJ@N(#$v60{*Fmau92+|u7Esmsc9o>AIMM?jC_}TYu45hm~yI? z{$x|zyhmN+4N<#*^P$zpLHMv9Rj#%}8-3HLl9}kgVgVceskJF~i?_mmyE6&DEzecFnP%~kWDwd_Q_K3%}eaf+fkT;0fS@dbww9#l1Svnh0xPv&KJz|WM zARRXtAie8SOtN*$HHSChP>G`lkxa?JI|L%tJB?8Es71(qyp|QrtDdy`#$Y?i*rNXJ)#Z4EbmFmOv&n z9go4wQrnCUEBZktpgat0M3GGg{N25w*UauC3u=#1yyX+JTVExF26w#wvajh6QtY&Q zi?h4SR0+mNC)&Mef?}d?HWSR${m>DyPF8yO@<4+(c zG{eF&PhzQinsOZA-W*ehgeQgVl0UT!VzUy9_hcRrfW*$%Cl$UrztYIoi8eq-|2LcW zk*-EmJu9|fW5Kt_6)zY}bwQNp7How_{FjGdZm+m9xzR&O{oP^O|I@=7{wdJ^y!Fk! z1JALZuZP~Z-QWKH+3%S622K;hBS)$q!k~vY^un`lSTQ&9bDlRKXY$_!0$D!qfk5F? z%>Fsi(UH(ByJ4D`$zhu1;Th*4$R!Q*%m92VZ*W0?zF0eSKpPmPLNPf=WRir&nV2J@ zc)8+86f;Vf&_MJ?gt#M}p|Y9uHs_e+!x5}%jyNX+zGg_408w_}Jk{lk>8|-gAn*XeCUaJUNYOA>%JkN#1ZK*az?js8u-x| z`*D>va1m3%`QO5ADcJ%txygTsEB}V$*zqh+@PGlKoOxJ*m=-mqpzT|}D02R@5lMI;Si%f5~9M9m0K4_IZ9}AAnvbA5lIl)gXNspQBE-^_hIQU72aHKnfP*< zR7Y{f0NGpWd16TkISUTyx^=~0l=A!Yy!RJS?n6$7zU+KI*gJm^g%4tQ z6vcSr%XpN)fI=&;4~1go4<*}ExgS|vTW^(<0t9#N3!RJeH3jGdVub-P8$< zm3=Z?E;O}y_w(1t$wXtWT;uh=#QN1>lV=uTSRC)K+ITnqeTMpBKjv{LJ;Vt+3-+tGI ztEyM1T9`gCR5MKtpmK0Bb5ql6Fcm8`;nOv>PEa#Vt28}aR54M1;6P(p5obx=ZqGn# z{#e!g?XcCxrumqf#m{sLLb!Hn&g*uy2a>rD_PK2CpBo%;=~d;}Hk@%cN!lTDt=t!^ zD5{+BN9^v!8^;`4^Q$`@pSXN#bNniK{o~BF4EtzD(kY$G7T+vNlZFT59O^aZW~=TY zQtj~-?%dSQQ!nKfhvz=f4&QPP&QaPA-|Yp8Iu5c~xV*dX_?>;oi5+ zYMus_xt*h446bhEiGg)40md3EB;Fn*=0%jtvc6@$YJq+e8h(}dzV}^x+eZDs$G+TS z{=Cb<1bI=Ai;$ak=e;Tq9qE7v<{@Uwo(6QD#&n9d9f9`F0iM#qfx*F{9l=kQ1AQ)n z`I^?xgCB5f4TLJ%o0g6pQpSe%{}>wMkEUKx#Q^akw;CpB!9c`LyodBD&gX8tjG;Srt#YVv2oC?g>|THOvW=T8HY%u{p4)Pb&9(FS z$XNVTeV=y9TkNwc0!)>UcIx_N-?>b!t3w|Fx@X~8O)tE^WCteV(F{yCcP#5dJJ~mZgp+>51vTFqDfa^N>eDg)P$K?*S(p{{GWKkcYm1#yFxdiR2o?ZS)|UH_+PJAype4^pX}@0zTKiPyxkAnUt3VLG{Q3*@ z-J%3p9lTCno_%NBsghh^w?B_MOpXj&yRJkA=wFNccBJ~qwpu~voQ@Il^jew`C|!C{ z^mv6Yt~BW9RfF(Y{OTLrZ}0bJ_4hy4{@c)Ryhv?aA3D(gL2&DSec*)ytUE#|hCw-* z=f;MoX0w08(u5qF6r9s9uBTls#K2zeNIc^6&28s7VOS|t;SP#})-nSjW z%>^+UZ?&3J04WH@__^<>3^)mfx@EAdLw-CCKh@yAkm!9;34c}@aS7yaxK5Trh7ULS zri6xg+?kr>-+37uuBrwp|ZnwSTy;kld51OFj5KJ znI2<-4@IrNd##=P$=ZH%wx|e$#90lWO;yjsJbFZX-?Hy3uuZ=m_79%b8~ltah7(@_>Z$&uEF-ANO6pOuC?S zYf&CepVzr!X95SC-O+q`#COU%{+jCBJV~ zJ3du^ar&wFo}uZf`rkR@&{M3fFBP>O5FJ*kN3Bm@rtdkY#qYODCu#D>{EIjGi}V5V z=P9h=-fCO1D0h}AksV=Yw>tO%mB%Rcy#1&z>Z_t6n-7LT|L>2d_^G_K7DQa89K#mY zk{finfRm(yJB?W}uES#*E1{)_Tbx$7&Q?aPqXTDF*tYeFw&{8*o8mJkmrs_Tij2;_ z69$}{6v0ppY>YFnw_mp1QQ1Gg4?BEpag|h?bwZj&usOL&JrF-{_vd=}jK%FQU3Zg` zlWMz7_TlzRwqKGKA8gf$#xJeC%%CYF&*cG~{Kt_Q#8h@=M$5liO`@ z6^O`O?fAL!^;6sH+JWoWx7Vfkm(5TAbPfFJW94bR{WJ3PX5#6ep@Ew@<-d!!Ki)t6 z`{nlU_kpLY-y&zs-FLpZ9k6nr4458BvjJF8hbchBsE{a9ij5;-l8Yb^EgMdv=C|xk z6fGb9&+WM(5UUu^;M6HlND`}@jJ>CXXLzgn&Po6WLf_x&{LVb7K-h6+gYZ6F4^WI- zQttt;M39rk%xP?~P)4I?r^C*Ypz(f|>}!=2(0L#Yv(G?}xVm zyDNS2$LQX^@QW`PVwtA3!B}D@rHGbF4bC6h^hb~eV2E{{2%5OAE9N+sE-4+!%z$y8 z&3v%W_Nug?D!N>rse+B{r7B|+r~k0X8xgM*@9YON4&K|NU+9xQ=&ic*TW-=AEXcYt zw(Uggo?ED=DE6Gk`o?whnB+32qZ;yXMEV!H;_U{d~(RgKei+B$EDFkfOr>Bx(S=b z-E$>!C)u0HycSA9K-bq_pFd3L9k z2rG;2i45av6{Q0^YaGmg&`^Y_cfYfdfu6ldgzu=S>-{XU_n@Y?BlLfWfGL7^%;F!c zcs*yaq{`Om#2M324BN^!VaqsYp{};9H3X^=j?22Ig>@y&v_{o*I?Td9?IGLcshNsJ z0SLYQH0to-h=ZJis7~i|n>1@Nz=gwl7G7(y75$~7O!o{~(O@?B9!Y-h{G$^cS=e@2 zT1mrO##;AV0;$<~RW$Kg(Mb{#hgl4*usxmJy>kOi|FhF~*q=jecI@T3Q%|)PBZCWr zS*Zxks%)E8?BR4qUnv+Ff+MxMw5PQUm@(3E^rxg05?y5BDy$nRD$+pj+%FXV(T6@i zL)JT%`Gz)IdBEmHIa%{-orn$bmlP|_c=zMHBXD_b^$uLp|M6->Qxwu)I5;zac-Y9h z9W?bo2|ENIu>cHYF__}qw9YV#$U5rHi?&lp z4T${>Blw@)h5mu6>c4vQrFraZDv`Xy0D z#(E1vhgwaH3@c0e5ijDD@&*Y&03Xlq+q*l1&(S#3Jfz*$8|GM$BK?TPDk(^9^rCy`P z)(~4C z$~ZBTiyk2JDjfr<1Z$EQHEPuk-etQcw0GplL&am1r(e`;^UPdc23|Bs!S>e>#ku{y+hR_pKQnE<&Bycs>gU zR>>%+NmL+85ZO!(phOb%x|+%T?Y!7P+bVMLc!0?%jvm2Bjgs7LIvfIOwknTgxq~&{ z)G%s2-Quc0YZv9FW~OXcI-2G$AHgU-4{3lx`20~LJrc8yHqL@vw0)M4POmeV&U353 zx)0vhpL@pQ`XZDxADPftro=rvfq!QFIpW(wQwP?oL_TEv@Om4afmiGrbi#-&W64R9 z%!n36B<6Ip#Cqm<5?GSHS}Hg)EqdD4;BHI2I%i7Fq3k+FO13sv;PH%rr4#=g$0x-U z0))zf5uVy6KXo_Y<|%X_R3(&x3BP-2<)PDW+4=-JcE1N zi4(Cf1WVvru@iPSRpFi;8SW=f@4@Y*`#X~LQ^SLh!)xZ0br_Zw>xGAi4`mh8?j$z0h@dz(c5Kgab}^m+FbUXbcTN8aI5 zpo%tMJo?AS`Js@OD|4l^$lM!OyL)krN`chPhz>*85fU$nHJ%0{R+vghTdQQ>RXo64 z!&=k{>N5l_f!S9KOPZsMO9eZ6<97%3M{$j0BEPhmDnPW_V`2lJM*6WN%fqy7NDF+| zJLVU_1we>*9{HSfz-LCvU{NEaZnkO)}7B-&{VJ z{hYzauDZ??C9Nf+Pmv}J*iY({U`W?;rdcv&3WgPO_{^Xo-c=~7rnbr4kPGvzx*M)#1Kaw#R~U+ zx%Ijn=8rs1@$Ow$Nw^%-i9E@E)4M6mnmO(m`Mc<__ouRV$h+!dkO?lyFJk|&l!{0` z&}Fh*_hV>OFT*L%ce%GV+4cbJPQM4XM(AYEIk!{C6ndqpP_d1|jniPhel;gf;`XSJn3}{n^>!Q7Gp-VWE zhGq0zjIaemUIs(iF+<~AjG`+LF^kXgkwUdoYJM3?ZxLm`4K?J6TWd}TnoD3X3L-jA z;6}zVxx@jOxC8>0r7M?j9t`m*vw^|lx7Rg)|#v|O^zOm)V@m&H7)j*kmr>P z*$p_UfqvW?XQ@}fE$?%VwA_;jnJc(qXwHv(?q1iNZ5bZa6`vSkrq!pMrzbh5`PpSC zz6*7klp5%8Z?_={0aD`75pWD zSF!+1BG|EDXhE#Ci>8IQD1fHG)L-(!TS-OrmL`kK?EEleBGiP zSM!n~hTwzQF$Mq-5FUtdVSh9IevB}RG0haXVfOuR_`@QNs_ z=t}lgb(bjmvCR8V1t;QV<+Vx;FJ#POBrRhcpNmTeu0tmz%ETs%tjo)iKFEHUc;#9y zwfsve;fz~)^6u2Hn(T*1DMYz~c! zhbhiEQoQpN`Tzqx*edxd5UBSwL)kkb85#QVOdS=42SKqxd?&;aVISUyyPF zAaG1nLhIBBAu=IE0NF;_WlRl4Ky6MK(kcVVw19ldh=Md({`N=tPO7s0LTSpO#8g!> zcMviaw<-#p3=?k^lFltqCdp|o;T6esQ503-1xjYx^6fG5Ry*>eL{;N_%!VIovfPxs zCOK!oieF=*->n$HE2IY!GED+#eCkxIuU|ocEU!=0jc!T>mbFV^jd9o7)T$J-+SE(G zU$1i1ocmYb-$Gzks#(BwM^rVR-Kxp$RnaT8%qHbF!*#N5$TK3HKO434r%1G19Xwk# zda)kAr_OAl?y|daEwMiRf+BW7-J4p2Ktv<3LOqhRB{aJw#00u4p(&_CwFuLMV1UAhF+&1snf37T519%*wpEu)-`_CII?le zJDRPV*RJ~RRCg}hlO#^>(gaH8R6$?wxDl&k@l1c&UNbR3*z8*WE+^%a(2hey&+p!f z2h#9U)qpg$#PGkRIkh)(=T{`AkXyCIackQ;eGAwnXz^<~uNvl2H&RTNSVVVnYL}&1 zwOSTJZRjbI-Ju!bUBBX>Dy!{fkCH$xP|bQMinyoQ-IVRLZ3d#}W<@1G3bk3aB?a1h znw{96*tZIs_Yqtssx%gUat@|TCc5)x1Z<`&yVcimJ=yZ+1jnYiVYhc*Z)T5j z1`eGWYTC@4xB}a!Ontd9lq7=zn{9dL^7~gk*CS`y;rPj}h;y4`2syhH)9FvVX(uz_c|ray30oVppbiH|};| z+#3*}b#@z?)Khm{v2*O@*AJRq1MJBaT)UtK-HAh7W0J4wCwy;rxh8hSG$*3dm&)x|<+f|Q%L zvzyh;skYs!6mhnBD4-pbT>-syGibNEn92jO#!=dcLa%4oHN zl-^6v&Bu8uz}W>rEHD0b!Tq0}HU?`V=FzvmoPO>Xq3E?K#osIFwj;n3@hULwi*1yk zmqZiE#Tqd(edLqlSJmS$2WHUt=PN~cD>q+mJ*u80ekc9-*7LC`?WfnYYssWvYPLQc z^tqLP`S_=8WvSh)lT@X9ANuc}C*9YTH}`y7zIeA5f8!`xUGH7}W21}xyZFf5GKztCAY8l3vNI~QOE>(mHrSF(8l-D^&!1vfndJ|b9%+E z>%65K0(9$!2kQy>o8e#lq=PqXOVq4O?%CZ7G3W@evxd6O1UQo!*%F}rBl*1g={!mV zf>;8>ynF&me}??~X-c=vF1pRm_R~LME8aZl(Saq7=FhZLzLfrZnfi$md7Ie>(ELpEXPzvfP@t;Vb8;+zKWuX{i$4S*+&O8ACR*>wG)+Lo;x5HJ!BxrBr1=-SNepgW@kOimOr~M8gEiPO z$;sTSRwW}YjdKV&3GXwc6F#HAr6725`z&)joh&Jdvg@osCd2wL2@fZk`a$l4D;UL( z3;@Wk?@U!Cd}b7yEt`}rSionVbj4z6??LP1#YU&qrG2YTU#hKD)Y*u9a^mn8 z86Sd&M#h@llUyW0TBX2lzZYjt@{dfvjWC)~n~9pSuDNx4x`ic&`o41&!MjpxHcLaZ~yj9WGQSC*X~%nB$;* zHzu38e`?tEoRkS|>D_$2Ek=NFaI!ZhLYHtA{|`&&;ZN1$|M7FV<~6RpF0M^R_NHrP zZy6yMSyA?;u9_Am;5^RbtjBr0-p}{*CHBhg zZ%@J=;mo*Q|p$(wpf zK?0TM%HRz3&~K*i_zB3B#Y@4JkKE9-f25!{UDDF}eGp%-gx+|`Y-*L`@8)FcWI~NT zboR6dyJXLAw{syLTU z;CYwP^XSmrM1vvF!c1R=0a*1jf1VHv7rpfYq%yQVfk$BS-DfQM2mmXD_{g;@>a&V&d-x3_c*w5yH_s3aTTqeqXibl5N*@%c*=v802}v=z8W>AddqCm(bZR zv-4aFFZUJ=?Ya{dZh9SaKa_G4mkh@$mJfmw|J^&o3Rbh4THb%$uLEcef9zQ|4?hX5 zX(Me(My1nDPRV>hh`udw%ylHml}(gkv;3VCm)TT;DL=-LOHF=x}-kcAf3{DwY!>FpBv;g zeX)^XgNHqqPW|l*+3-byL;x6VYz8>IKtm-V4LvbNu z!>uWCM+swxVw7QK;LlZ)8XflM^8&dl6+`xJbBmSf27^%%PY}4naiPpiJwqO2S|As# zy(m%{w%#r+9hjc$_Gyj!Z@>qiI{!P-fPBH&-W`{>C>rQnu4K=mAyp(bK-vw1tPiMu zDj$vCzlBl?|wJiQ3*}*}U1nXJ3LvS4DWEcs{8?yNfPzRVD z$v~PKv>cdN`9>}+BLtd2wk%$8Ivo!IrGv~{o`?$M^^4IS5Qf!70sJj}n$VAE+Uz0A zrA({JbyJev5&?=GU=j2y9ZLT}{El1x(m;)0?t}suQr45B^RK?Hgpvj!M@S zAqSjA)>!__#7e#p-DBfrQE(c3FfjqZf4t$?7c5qY_RS0qWz z4XT3iI7R@B>UVRQQ;%`M_A;r}(ScZflKnMfsEt+}-cun`2~ds@`ic!LsU(hDBE7Js zIxQ5O4~G9wZ~4OjqW$dFIGFs{XN9fO^6Mj;b$+YXulC8Rp>!qnh*Md#VRFEtlYNWH zsmS+hbkK6oVz?-AhZ>7_;8)uR``yr|Zw@HJ@-LSviar2U&R(clh=4`PcKd0h_p|Fu zhhK_JnEpPLGDQTA;z=2XMAj#oq=PL-#kz;?X&tqWz9WU3b?+JdUcA!AgVQj1(dP`l ziH6(F)CPps0<@!?c zQ(`<0_S@t+!2bHz63lQkp!qP*2DT!%*~st#arpSe&h1}rDMKC-Rm^_9@#_yikhI|@ z(xT+?ACoQdGT?2vu7|gEK~o8%3_ulj!(^{Umr?opu!_Gb?kwnXAL=hMUu;6_fRcec zHM`Hhp*BjfPXP>~jJ)AarXkrRKmW(Vr#J&U|Jd#Wlvxl_saF#z9C~CE^r_wcS2J7< z#Ibdr9N&Rqca%7r3TB-sup`35e6jn6bJZ8CX=*Qmt**pi@2cnGQWCv@Cdc+xQa`7|L}nFxEpKL)0BxXbt%J)5 z>h6klH_8BS^alGRTw>|CiM;C~N9lAyg1KDO z?kFn2p8)Yv{9x-rMI`5Q0Zg4tjr>^5gQG$~v#Wm~$xP?}L#7$pI%U7-s(oog zo*sQ4GrcC+HNt-e{BZAcJKB(ptW{s3^oDH<7wTvUPWxV>7UBW34gBFA*)Ei3d|+Pi?fC6BmH&KuC7A+SRL zd!X=}CBZ?IQNrzpanM6(98Cs-yb%%mJ@J)1U+NxdI+QGao^(E){GU1HEFw9_J@G{X zS%^e;dftorjg;5p-2pZ&vGT+{HfqO(WZfmCnc`&G0BbuYSx|`OIVlr>X^9Q#Zoq@nv{L8$Ph_Vo)81M zq~s0Z;p5U6z=?Va-?@`Q3)^_{Q(r@qUh+~uSPZ6Q1%5d65E;iT&2@BdPnNT^3R6_ZYxyb>GAcTGqMpqRJW7nhggf`}E??^xh$EFRw9_9p z$Y|tVS~tJDS<+0|UYK}%U7l-b?xt9i$=)0AZbDdO@t1ucb81Jezl2s87%QZY?ygr)8NZt_lGvnuynFxO3{Wc=|v(`$wS>sKVdyl z{k1{<6n*hl2I*BPX?b7sM8K;NWyooTXlviib$m6eV|cmGaU>3`mL{x#3zy(1S@&;G zw?}Tx0|u<3-)3g>9{F_Yw3v-c=>ODcbTHg5f>OufLU8Cu@IK05L} z){MK`S+Cqhk5to)_imDS-4&X^IyyI2@4amcMD1O@CWQIzL_G%NhA|cHm)O;WERzEz z!n9?Rw^Jl6Wf2%t6;(a=+(6>pE(OXHxP>~)<;kVHvLejFW z1s1H410ydseg9h3Fn!5x#rfgh$v>a%JtKGf;4m<5h5r4wW~ zd|9#}@kyawB+zUeobIlt+V%qNWZ&~p`6%)jviC^FvvbyZk(?(k`tv*l5TmW9+=p z5V&Bb)MR1X)U1+&Jj`!LC*RkQp<2If-;nyCp?P+i)!LJkcCp3N$#5mz_8yzDAiuUAeiBkEOZ^P1iCp@l)qt>~m z)TK0k!lvBl>Cn5NJge8yPlp?eRUW$R9DbwpE!<%=xpUmSXVTWt#fxFaxwAK}b1WP; zSfL`<+gZDya@)(W1)|Dlv%IPg;i!W)__Q4&RF!jPsauvmU$)n3EHnF}!Vy`(d*bW} zx$WiF5SKF{j)z=&8cP3i#>uu-DTWf@H!5`67M2qU(?@(%}%rb%}^INo>s#mtnnv zMm@?=`ITBeR87{1MbiJ?qo@(arSW zs5|St-Bo_j0Rl zW$31X6#)Md5l&bAMro@WJHaxFp=^%r9>k9}1Nc{L;ZJ077kyEivKIlj!DsA9Q(ckc zBy7_w=Szp-`=W1a^Q1EsCB6gSa{Hh|;LZ3w=vaiwhe?u&E3bhK&Y^tLVF@F$=HGMK z>P}M4^EJYJkBr7vsjI1la6bS{7aA@O+bbNcP7(OtdK zpJj#zLmWMLD(@D={S#gjhg)MT(Z?eHMApLwefG#5QB4_H*ps0mpyBVtGq>)5$NMlL2PQaF$kY~)1q;5XAW|&?clH3 zb=A1~%uD>0zoorz!ePp++0=RTJr;*Uav{{`Hgn7^z+&Sk4rAo}bM~QOvVA0RoubSA zk;+a*KTG4}cSKf)VKv!&bAFw*HUcTWF3Gmf(f0*}KERyrcvRVYA2-%nHKME@oZdU; z4su;iuqv*P$$5@?+2fHF^!wzad+~{D8eL*ea@=B&>$4z{t?$}wH-sfc79T~1%Bdw& zaL}{hkhD`?|KQNt;P9?s);GZs%fU}~Psyf&pHYWIah`<}ghby7i8VfJk~m{pyKn9r zvi$ysTyjJ0%>9He%G#d|o-eT8Rv~H6vg%!$j(emH*Q7H9(zE0iT8OG!?`7(~kL%Go zZ#&NFz&9=AJx}iJl<8V*!d#0zolYz4LiP+A%6kxc(EkYh^p5yDGm`7P>H4f(>&H~T z-N;Y7&;~hFd)OG|Vion$WG9vCP4-$G)}&*f@BlKmli&Cylr(MpPo})x+}|dq0B5$a z)xV2B&KB!SK)&z8R~OGdY@daZgBH(=1wMoY#f04)_HX1;`=LS!4^VoUG*BC{y-3Qa zN`2=^#NBUg)%v6#gqK-mpCA1sr4v&oPF)5YkzSx5TrRe=%r0{%MB)u23Am7&kCkUr zJBDl{|BNcnZgo&Fs!Ue8Jv~~UA;du#pU&&EP!V@gj}k9!-KEK0rv0@nAev17Ih_ze ztbqUS#x%PnEV{Z~8B;6xZ>DU<78k#9Nq=z1>37U$zJK!X9OM0U;%oCB3~?&#A^R(5wrgK%YR)8Pt-zebBS(!?Sa1ApIZ)S5Q^BJq$Qljq4z>f7||&t$FJ zG8HO2h=bx9Nnx1h;00hVaAx<3t0UFBVb zdOGl&0#2>S2VhEKuAsP;815V8Bjk`-cHDw6BX3nrY`ixvxoo?B((+tc!i4Y=835d= zY{|>_U8b*Rq4C$%arEY#)&Qs$fxUQ%Jcf0i^t@_!6Jo7xi}7500@R$|`wdzk<8F<# zwVD!Hi6+Mr70d7BTi+~G)NH8Aj8qTSvu+TVy3KOi!VNP{;{NkI9*`)0P}amHi0Ywh z24SRsS&kZaAGy>>-64ha@j2WGM2d1XA@43TIit*4pT zOkP>lNUOPFcD2sT&SESN{6sLhwv<+msk2o#PMO(OH_hq2Lq7Whm4H^<{%Q6~AU}nb zRNmirtJ)4IgJ3>w2W|arBYcS&VgK;K`a%2dk9CYlvyYC6OdK*kN|os6RDK$WDOP~s z%5KfDZw?`A((+Y;43lL_;5OAmvZ4#k^K|0>g$P$s{@BM*`b&8JwYYhT?y@kwD z87!P^o98D&eUp`k-n(rDYi+5U^o*5vHizAl7vo>wia z$x=%#YCjIEI}_3oFo3 zMrs&PG70(o_it8gsxs}~M>UqQvQhSjwyEcTd*|LrWSf<{$Q+VB4mCLj=Wj=;%7Kv` zE02i7`Su5x;t5|WXy`%r3(qM6CRXLBgYWw7gfIYxZ*F$>iOH6+-Z_OlDD3y=3epl# z_N%WxskZPY(mFcAvM3H3uHvlG=W=EHgZHQ?Ou^lBa%Dg9ggg_DTA;f?(}>yz7lkt% zV!P49)FU3BzA#4pW1sb#Rv)+K%?s*Bk8-Z!2Eco2S%66|8^KV5tTI5AlhBt?gkr6J zL;EUqu#Z>lH@M+@KjJBZS{8}{zsQ4dH=9O7URFL5KFU@81AYB6wv_#|(oOTvYYh%Y z2p7u&^N})tXEeM7?VXYUeD zxRgDC&yk_XNNIKCSdjwjmn`n2K0^iryV1JIq_@htQ4~e5O5btwdvD#3h()EoEW$C4g%B^0t{S5l z*GoZx8+QaA@kbVEaQqhkVnor6_TT7*VWFj(wfrbu0u=d}ytb_7M`1mmURWQHD^B+R z>>-fI&YeqXgz+ySQhC2}a@LW`RaQ>e>R}|zh_Y!Ulrl!&I*}cwdI{VDRE`IXZPb~g z|DQ7CB?VYT);KR`eI2z0d0rG44W^sX4F2}g5Uy~@?A|BQV>yfWZtX3gU znkpYfP{mTIe~6+7z?ezAfXj$EARsu+I$<(uGu37Z`Tr6idij#Cu0aiNGveGMGmQRA zNp}q(3gke%XcccMAaAtS0z;4HdLEY~s|NFNNL%h+!t?-b+Ch7QG(7gzX)5`nx!wmg?FS3fECj@*Vw zz|-&qA+S$ba{fF+{53(QMlb5RN{Q*g)|(VXqa4lv@|`6IkSCyCY%fSueM2 zVI9>$lt-Uu->nA{$-5ORjJ@&$lCLDto?dHgwP*eEOA=|}+iRSJ3WMrPztfYw)_HjT zBaHnb@qypg1vC_f&0b07R2Df3J1cy>za;sh9qS~(lMgzm&dsxmLzxWnuze`;{-4?c zQ4M!tG@1Hw^-DgSr5HNe@bs6R>>aj^Zypc)L)}(g<;}^)@fC-q``>;KN1rQzO#1FP zuV8g;ds#mNvt`1M^NhlKCuu-U??LI~{&qQVk~~dO6U}t>H1 z{6nJL$a2s9`=$rAPvU{Q;W?wEwEi*Qb8>!}o=lZr7tvv<0Pa09{!Vu#UJ z4Da@nm9Pm=0aTyD6WF5kOKuF$yPlu>{ky_?`4*>+u(!fqK5J@K zIi(njPTk&wM($p#g{eKQJFX!F{&c)*d&a#}WCu;TQTu%5^5KYoO!1IxH18|%BewEE z<0Yq(V95G!+OU{(5VL=U@gQkt*e2`$Bo}H$z~dJ|q~*U7hzvJf_s-2Uby4N`>ibs+ zKwFabM6~OC>_xO(>h7=BGJyVTI*D`y2}&LW)PRfH>6ca0IYCE-e>OPr=ga&6L4gY) zd|G?^Z9ebDWZeiDCSH6f+J8#qpg0evHXu_|CSU^TMbab4r+W-^+1I%G_y>DLeKe$f z)V$^4V7-#c>{siiuYMX9|MGqHdk42k$+d}IQtNm{*qQo)D+X3)>)p4sJ+ri-A`kr;$-50N3Ge@dy}c>j($EiUBQg5;PlEtS|q&cAQW~7W{-0xyBI9Ci>!54nvoBBXNES zIDLQ%6!6Ng0uE_|qvSdK$HAI9U@hxdQV+0p2v|oXRyVy*W@;1zHu zrKa(XG><~d6sD!{bKw5o0IhoFJ?wyG-D@k8V(auco07OM8^pHjU)83Gxcjw|@+g39 zEeClXB!V79q!Ge7IM5;jgwW&YGfa`Sag}_ELh)yR*JUY zN4eDSiaU>#$Apx~l$pp(P)m|(U6v7ySS7YUMBc=C!}nyOW&XFYMC3Yq2^3M-p=&{otCuO%{X5J8JSU!kOLKEdbW4J5bY-!LX%3% z)}t^k4{wp#%YL>0^YeKC6=<6;0mG*T{^h`^`94K&fvXQWs)N=fcVg7PwiwCT{+4c_ zXU|R+G|G2u`pZ;k{O!Z?W3TcT6z+L-oPVg`-+a^gB9| zQ;gD6N8LNIkT^zgdh`1(z(9?{pc|Hg75v88L8tzJZ%}^dV{lZJp*#Fbt;I$a^F(T4Rp5|nXrZr0apr3a4R)@i@&hKCUJ{+12pp=Y^%cwEQWbB(1 z7kX9hF>EmM^1gxA2jfEv{@iGa5zz-PI5uAV5c;~Ynm25aum0ZR!Wl)YNl@=m)8_HI zM`-GsP(d3cZ>hp;9z2U0!eQN4M3PII;iZh=6fy1XShItNshU2i7!7LnkQbyr{dog z82k7!X$84yvCY3aXJ-l|x9yI6?|9nPp*5-5a`b0_F!=~k%cNIJ(wrmeivl~fH#qz8>y2G5M{tdIVMV>-ep z_XKA;!>T_25b3J_W7_)X2wH_<6uI=EK3(5ZLm7KWdyOfJc-Pz-H}#r#61fJ_iWEm; zS3P^8MbwMB1wbWk!H8ZAv6f5s~RUs@Sc`j4Ik>VH?~ zV;S>HZpJ1zopmgw6E*TeY^AiYYg+dC43%x@%E7ywlL;5sO(9C!BvxAPelfG9qT9N( zx@H5y9+PgCbb<_hf;qJK4JtE&Hd?iAGY_b+=sd01CM^Ke?hs7XJ>0Z{nkVTb?3RHP zd8gV>s{N5!vc6M#yk45oqfjTKy8ICO>NVX#67p4PfVF2 zO_|X&pzH}2c6C;voUL+NkfzyDdF|#Zjq!u(uKF5hvPn>H4Y$qU_I(PTd3%QxF})^( zzpT4|SB-Y#GY=2U_S(LJD<|(U-sVsAIbR(;_%*4oe4Auhtb)p10?m5KEd~ghPX>B7 z13J1y3~C^h8dtWu#PkkyA0aIPK=-v=rtbz$YsXFN=zhHGYZ3aAU$25*e`N`@!678M zWw(=Uc}{Oagu5Y|39jr?o2LT}&Ab#DZ05tV$;k-_-wM=J)+2N^jwr8)OM z&ge^{9Ea|)YYZq;#lm-UuHb0(e+HA4y+xuSD&<}ogvKXL%Wfix znYdZ^n}mGBw=N?6b(WQd?mRh#hjcUTm!rLjE(Mm!mk)Bj_Ht=P(VYP*R zr-ebrKI|Pm&!w*b)4qx6ldb^?JfeZ6U6LdCkfX4-#^s(dtf{D8T!x1!#8ZX&Fna$L0llKy&OGn0|M=jbhBP) zI$LSWL0vimmN}$zg{)C5M#gN`Mr+I_Up0El4@?E9Oxcdd=&el-1I(G4On3slw*y`T z=2_tL42+L0pB<_H&bRbG&WSs=&I+_CJhr)Qa_`OY{f0o>_G4Sl*!#W5c2j}&3xT%7 z$M##t4-Nwzq--8s1|lIRNV=033@45}K~BQWj<XsH({_3$rv{j*r}kT~13IOmXf&$D>{kmuoN&tpOolFt&d zLJ|wl64T^?lCz|SkmUBW-Od3%W8SjW9GFa-L2Xn!$FS z!4sM(e4Z&4nq_{LrWBg3f1Yg~nqzyO;~bjnd7i7UknPr@JSd-crzJ03K0o+I5;VtEKA-8T+zTyC+1fyyaaw}b}uuI{2VOx~H;5MIftrVy|p6rVwqK3cVuy=$cnA$azpmlYAm zEpn!s&_jbku|wsXgu7`%q7=8~nrxMtpM^I$C_Re_Z{0op$Q1sJt(R*1(_?1BxKLQ$k1>+avc-gGZ!Ass*LE(FRfyliE3BDzB^xiN5~KBrjS>YDGQ%*h@6%BEGVc( zmTtx@{&aCUa$Wdo6m`TiPXb||SlXiK*12vnSjeq!3X7MKZT>wJf@*kyvM|&d;cw^8 zPfY(-c0UR4$SHWCMi-twll!+R@NfN)@Q?np&ENk%jeUN$t8pSHFO7^M!vK8S!TSx@ zzihAf%)78_pVxLzZ;^ICh4fNybUY(eoiDr|ChXdCi@dn4iXx0{r;L%ru4b;Xbp_<5 ze)BOybKXISTW3S*Gm7~wicg8Mmq4(31*vnQFqpij{>amlWyc7I7HxSO7|kt*1APo10m5;Vh-nXqN4SrsO; zW%JZ}J&^;CU@-bO=S!$&DPYQ*ed191YV(4TlK@wQUdU>NQl8|+N?4+p`A_2;hu)s!d2 zYz0ZA6PW=LC1UK+5{6S6boPxC+HVsACiQN2icT4xZ#MGswK@~$R_)P9^V(w)26NQM+~vFGPMVnxCx4$-9N5g}F{dWs#Gq_--a7l45|J5XZGIa} zHf5#|H)!Lo5yn`qKJG5d?IYAAZQ?y2H?wH7!k|s4t}LNzdY4@kUG_vvlCdn-+~sW6 zPUAHVkKqk!I+&{Rk9j>^iz9zM(_Y<=myhe|ra80IINp&_k8F^ZH*5}aSRd({nt+TU zvExf(8Kim{Iu7#@^HRtrqi>u~#}p%x|;6B|VC~ zJ~uyfFInI}3idkRsFKa66bQrlhWBciETUflbX20B=KTCRX3 z*bn$vah=K=HGfaFYWk(!!_6={+nh3P^)!MAK}W({-9JpsScBmJ_XV?~PI{S|W#C?n zl}$FOZbBy1^&6C)Vg=kVO~sDvfi&gKl56>CY?^%Wr>P+MC*DK#M6)so%eDHKbgy(? z#>>?*jO(qFPT!NfnNQFv6(!%Je=uS)12vBa>Zg40AZ3ZTD&&)Dkx%LfY==g-eLGh&U=rr4^ zpP}>NX^Katg%LS$*E3*DJUP_BSSXIOSe(G(y-=RB9!1z!ukwg9Uut)9*Mh#IU%KB| z4EMYoO|}PP*9iLCJQxTminq%px&neS@kn)TtqD71hL&nrejP-GJuddPsR1{hT(3IJkHZy-LTYucE zb?VE+`xP4&9q9&$!|DGZwR!9K%(L*wUcx^uU)VeDrIj+yNZX2oEe0esT849KXwJ9n zI%U3NWPR?Mzo{_v{VacXXA2lL}Kx%Ka45+7?VaMvoVFjj1OXpWnrKEqbHGDjXiR$H*m zEQ=MKu+a>bK-eclHNu)F`XcnXPLd&+Y=-K`i90#_HcX7s5;UzcJ7y$uS*C9i2@rS?=q% zK9c%8smsK3QM!Fkc)IR8(G@e}`-PS=JYRn$V3c-Y5YGb<+T}JekF^ZQL^tXrPu;AA3!})xjkXMJV&arNH@S)m1vXmD`%GHt9)uX;* zi6vH&t_UU%PEBzw)pZ)QC@@xeh{U9@Ko=ne)>B0%jpa%sfcdjF=Th0GcZDDRn7vWt zt8qPk<6-YLHXMlQ3`6$7Cx#QYLS}W=!?NV_{0?lD=EYf`&;#FO2iO|R+}VJ_l5g5G*g6-} z`JnCtzpP@|Pa&)GVVfnt7hSMl5--mG%ZUq@VZRmT&PPL+{EP9h4YkuxfWbaEthSwK zkMxw^&NskU4#~>r^NFzKBY6-Hoc>@i{?_{9U!Z6W_Gcq*>iGJ&F3orUeK(dx6hi~7f0?HRuuxV%DjgiIUKswasnUV63>&~!A2ac1EFRr&e9KsjeU46ATZrUdcN6*2!NGq8QEkSKss8Ic4BE?Jc>OC%gn-VnplOF}@urAr+4KFB}V1gLSMyQ^yX6qaXxus$Y&+)eYhwlni!i zSXnN%69jnC5v!v{)J{cHzCuiap~I*x+8JXX?GgKnVy2hxbfD$T>aq?Oyq`?I8X?$H3aWSw%nF0c6jd%BclMRS(rq^x)7>X}D4CEl9 zd*Zw0)P#Szh<~P297-2Q%vGJI33uJN&Xa4jnW$Z;$^rd4>)L5C}ght(ja*k}S z2mlI#75ycIPcZK99RdiB&X>wO! z368`?qtKx4#U~QGJ)-=*Xpa6JKoE4DutGag4f=DNz3j>D%LCd99Lp#d+a5K*Jj|Nu zNXc=q2{!+O+G4r!h7Fqv%VkL^O-bF6S|q^Z=&QuAMy2E+1i+!jY;c=qA#I5q36Dg; zi2c?LZZE;j7-`03)w1Nmr{tbVvC*IyngKw>g<1~rjcnD*D7J+Eir+Z4(IiSoWLnc&4)k{`8dz5mtN>hNlk(p*HTSvc*_q_O z)YO05X%fe2_^aDoMB?Tcn*Zm~35r5f3{C{oFQiwG!5pbv8~R6h!OBesVo zjFW-@vYd3XHyJ{2p}>2n-omuuayF!Lg{lX`Vj@jV;>$jU<|LYcU5`U)Oc_}wXlyb$ z$xyVSAJtYYOqp^_d5n!{aIkE+7J8q-+0;03e?yP~NWbxCWU#!XVZDLh-hE^>ZZI`w zxMzO6vrZ3`;g~okIWMIZ=dv`MWjNnR!9D!x$TI<|1D)bDF80Yy$r4hF8S69#8_(2x zG${7HyC#ng_RKk<$-)DJ2-PB-rUB`KDk(bbtt*luU!XTPgeWj6El# z6OZglUiQ9Dt;!Ikti8FDT&}aytn(elhZ>d-wR0cp&ptF}bTPMdvC4I^opo_wbal3L zb<1`2oOShPbn~}#^Gw}HNj=OSJ)HHymKgmxK!XH>+_Ee^@L7MwaXt^(N|s@2lZaoh4Qr#rKKv@szBpzxQd_?rF65S&}zzPTF}=gx%G6Fp}J0MaJZ1=8?qrW!P&w(jOh?c(FgkmV2@_dDY3{Dx7yY#~Z zyR=75eS8~)3<%z3lRRKEXk;Ly33i?fEuN!uv%2}f((CWj3o$%On=o^e;F&;MRGS8j zF@nxkSUXB1YNOOAxU z^G0lzJep1+Cre@GSix-u*E5Sg%}a|iQtC%5b&%Di&C1sN(m!GAi;C9{3`LC3j!UpSv<0Plb*2>S;N9o!q{Ip|PL!K{l zlyHV|Er;bUC0H(}2CcwxsZ<0aYI$@ZY8(rB!u(>&IgYAev9T2kyI4G6d9`KudZ!wgE+OSt#8Dw> zAFVthw5ECA&E^!9{n1913`NtTVA|D+Gr9kE23@oxR&M&F{B}(_o>Erk0^2q#`{iWm zThIzQoF;XY_zIQt4xsKqgBiKx=Fp{d7kQL_D;!yAhj&09ek{gbyc#jA)E@=TN~l#8 zvFQky9zYVM_RjD^g$!AUr={*GNRj6EwiC&a0ulpVOT;Zq7tXy;tAS^OS^E!l-kp>-~I@mGvK-G7}RNOI;C>MQsg zM><+D#haVyu3J*fvO+#MN=&YZJ%Ya>!{lum5?{ez)7-~;l@wp2h#r00 z=NCHt!+M16Dt48+T#C9lQ8eW@PY2@WX8(cX5tK&Q_wT65nL)I-x+(e$AxWqnJp9ds zVd2lh&kVku3zwZt%`kP}izF+oxY?2>Dqx27j{ODgObMsK5xHt1z{tgsPy+s=*og-* zxtD^S;Mfi9sP9Vi=?{u^H2C7?A-vka!bX3>0E79z@JKB4OJKEQ>*S(VzpiD+@Z<71 z$bDsOs{;(amN_mOyH>{X+G7P5C&@~{J!*7t94EyB)*8nttw!m}&U9lXJqa7T?8wH0 z-OLh*=sO42LTk`8Vd zQbOB^Bi~l9;EDoouaXm+QCs72GNPq`7j98BYRL;pbA{_BV_8(UTeh(wejP@h+d;-0 zb^VQfafTfrfAEL=)snnj#T^)qNe#zy^`V-zk{Y`TLE?r)m(s+rUpjB1$wf}&;=(m( zDH5yB0dQbwlQ6{aQg9*f5h&hm5}gu>F80Fusf zLml$J-%udCfq=Usr&&NyXW@0J`Ogu~SIAn4bRY>c(Rx2SUt!zDclI<4vR+NfXuH*q z-uk_>)oVDr^Y`zU$A3FjgF(u}-OL34o+|sQv3N=CF!;`rA#0PP@^>D5KmNQ$R`M5u z_~^^Ua45!FAaS zEJ|*X@y5GviY-xHyvbkn$7!oVv5f%rdi6dCQR+go4EYS~*`ND!5HzBGzr#`2baiU@ zei-Sr?nQqt#uFp`&#O5vuaOt8iqBk3R~aT~%br}TL|~h{Xxlh}&kpBu53q0m-|B$f z=7a+XB)9|)uqEqci<6e1-im>lA9ZV>5K#maB&-oY1weL(s3brLIq`-Oh44UZOw zn=0qrd62HWp@4qcyCE*?AXr}0KH<4YLN#67`BF=oAY4PU#N z2;O5!raezon{*5W!m8wn)CI!d((ZW3_UWLU`-_+)z(nkMHV0ogQ(z&z2E-nH(@(2x z%-Cay@0o#t9IOeH49s$qRFm+ZeW7H&na~>YKXQXdlV4~#1OX-QIKmlqt<572XRM%j zZH_43N#93jzWodr6@8id+V?FUn*W{WT?<3V9OZ`+S7>Oek>U>LZR~LJb$Euq5n)pNQsMxh=f}-o&xj^mvR>yk zoin{wVs!jXnE9lFNvt2!!c+_>?Yqjm01@v6hq4Esp(H;n%qB>VnZY|2Vhl(PzH%G0ZGFXA3j{Za zv5)pH>_?xo1!sNkU|iMW{}J_{;cP!}`#<~%iNpx8H?dc#QHr9mM`=-N6SWnMy)|a+ z##XDSU9;4tTC=FVi=v9EDq5qZ%|GAkx_wTW*Yam3{Y3cP;L3Hcu{$bZjz_GnyhH0cGvtr)}G$ z*Q(m<-Yv0hXBe0;Y3PYUdXA{`B!{p49KW&X@$-Xxv@VvdK?R-0_IJbr(1dKz(V*LZ zIaC($*O>J29=pP1BiauZG_6Ixul}4=y{SQ)A7eeYblX0fxDu%LWDS3N&TaTC^gbh! zbQyvSq@6QkrXc)NYJ$8C-gD2%hY>1-RHMMozog@(?(HA{ZIm??p#;bgO~@U z*?lK4p#Q+@xM`1CKBjhcD}4VqOWNDTts^&+mhe}HhOfi+?BA=V9KYIdsF+1etLd%u z)d>NTiy`HMOljhmG3QPBKCyzVX1cti7-9Vq3bPTltW2cDUvn7f2>Vc?V`ts{TC>(9Chni% zgk1y+JI|q~DI|W2;dhLt&_fMVpgn4)bz8)`NA!XIAKd4fcCE@eDYGFSRqHMLIDSQ_ z;=3s7rp#Sc55FNq7XeT4zrFTIE`<^`+3_^^U0F@C2>B`Ah=&b%OIS@a9dd?oG(9^% zj3y#YH6AUJwaOnaUa4;=DjwAnBl*w`5^@ie*9X(8QjOzB;n~cK8{!&aIaT8c@L%}i z5YCu9z8T#c=Yx82SmVqxk93h2tZAmw8V^-!Sjc}J;ZFTD9K<(s^%FDmZv!J*>r`L3 zwZ7&6%_cF48Z}`K&Zu4A6@TL>Zu@ek zsx8-qJ}u&_>gFh$cIf??q!n;(MaXPzfpI#Hb=*(7ZbS{cHG_ACS5T4ni5R;exa0dP zuCJvN?z}KIvg&X|i;L?%#_!LS?cXp+Hc2SQx(T7xvb;?^Mf!3FW}iFz-N*uoKFC`! zO1k^24wLfIdRNa(0>o%k^ap2qY8hYaqggk1@{;?!b5U(Ot1(=e5`C$$BKKAL~pYu0@3|NsO z0&(1g#?7O%Mo*U`KVv9C4lh)tPNI8!Z!IAJqn3{>6asUGE6m z$W0P%79f90^ZqMYehtL;D#5`f`;vX5ViNJ zY1rnF*vW^Uv1kC&Q{j~uL+S>E<3cZYwE;y`k^{*RZg@9z0J;a#j$=zHsR(3m_W0iyTCL;_QgwUi*%`8{aJI zeB~<(nYwPEXMQw3B{uu7FHT9tP{A9>|2L8Ta5;Zzhzg8_VYXxZOus57S6T-*ajzib z_nH7|`>U#}TY#Xj&aXR?fAeEMs(Qv>{8ZvG9{;gF>Jqc&H%>)dqM31!D~eDm;z3 zFCXV<9_QSy_SOj@1W=3<2QkrP&G$`RfHkB>2r zj|+;A&y7!Pk568TPrZo8vm~U;CuEu@WCtZ!MUq@1X$tnJAl@XQ0dCzTq%Vf33lOxR zV%P#2-ivpG_9Zk5`&L&OHsR?QhIF5y9iWoruO(>|K#6676uI)r1LnzNv83B*dZ$Jx z1Pe3(RJ1%K#}$g>d#Z%bC_3*As+V+7Z!$m!NiAUL)rY061SrA9ptr^3EcX8`vG%$m zY8#=u=5ROXXg@n5~F$0E>6&Ubz1H1VOJYh)7j-r<2B{@Vp zl-#G~m1KYx(|AOZew&iu^b4I5j{7a2&To-kD+S_5T5}tMv|Qhc)!by=ddxo4W_z
    jG4G8n!L+ zXH&_M=r9Rdyn?-eIb8s(FRl&=-8SNyu83Pb%6J-_-yZz*HUh+M2r@cOmr}^Me+)Xq zO4t2_^&Byi0C~`2fy`E#?zDi3Md|CLBDXOquPo?Arl_J3xQ^e28(-te&>$uNWLjbk z1K^qn0EVF;2?l!mAP_V#hohA+q^Zj$+av17u!=-zt71qKolcq8$H2{?#>aqR_92ux1Fd1ZmQq_ile!s&D`&HMC; ztmLz-_bSTF^U4)+0cH${a;p5FIf6|RMAcYg8B*bzSK-A7(4#>j)fE`FN}qiOL&eH~ zkjkLE%8<^=u$9V)Kb2Uvswl;(7|W`-kg8b$G+2%?>82BA5p82s5wBRCS@Y;xwtbR| zzpSc*za=C5C^d_%rnJVbE7&!v!ti+vki7X;NV1|j7A#K?P$ znU-Z3!S14Xo7pdGTGedC`x6Rm;p`8|Z(y)$ntj~>Y4S5T_X7+AAZgVeIsPA|Ufi`0 z41&hs6!KwxG>aQ>@lbf!yCAb1e8Zx7V( z(#@7S?_;2av<{ijyqmeHzH2e`4bJ7Ab{O%gW`vpX`*}o80?OttSQPk|TJttHe(dTMlX1I9@YsvPz??%y7!5DGM!UNEWQFU-x~JHCHV0|u zta|3edY1Bg8k~9-UiPeC_V^9<%v1JmTlFFYdKVw{?sxT?mQ+dX_{+XUQ$L{J8>2Z0 zeRJ`VA?HtTh6{ZG8{=vI8erwc&FyY*g8(Ghh+{=CSZYik=m}*cxYoHful)lvj@op5q z)+>3{KQ7kK_RY_*E#P(%Ixi%kw#i?4ya#nP(3{`vE*})j9Fl;m0gx?o4_fX{zcsuX z%nN-DNqgNn9NG&Fv$F~tUaXPZ97JCYndZ0s8GiCFhYGl8BWov*>cYZdeG*i|SpC<9 zR*2{9G3w?qWt4ms--cBtb(LGwbI$D1<+Q>2XN@=XcdaN~Uti52YvwN(2b*%SltdC>-oznP#_4rWO z_(;L{X!rQ|>iERf_!P$n!i>^~IqMG#VIP(XKCE};$U^+@M_|SWAa34@|X4GS=i)7!Q`Lr$*a}Le^-+L=M;(Z6xe2pEPM)9I7QJj zMYT3XO+1KjPSYt*GuTWsg-^2-PP6q)bF58s{hdZ~&hRSF@Y~D?hR+BW&WQHRTw9xw z_&X!XIV-I^d&6c{E_{~Q?^f)YRa%=>`8$i^oKsVt)3BM-3ZK&{oYU)>(_fpr`*+Te zbKY2a-o$49LHN9R;k;$fy!G0=?caGc=fXqf1xK3&=kNvB!Ugx91&_4_ufGcz&P5;P zML(Oxfbhkj!o`rDMMBuxV#MD?Eay^`@=}b=Qe5~_eBn}J&rI@A?tvrxWE*zid98g@3vz{Pd^i z)72WWnDPnW+8|Nc0NZYmMQp%|HYj>GsMa^A|7{?+HtAG08EiM1A~soyHraYNIo3D1 z{%s<;ws=*x_-(hY3r1`S7j235Ze3g7lK8hJ$@N)U>$L=d0G{7q2n9e2TqABq0*>Hd5C9_pAU_tJ#^SfpFnUqzk;amK9D+};K&Pp6 zFo{LReRZVi#qd+4dLoDJtFreQ!uM;e-@hs!%MpXTE6{Cr9wBECTv~nKTsiq16-~jZ z*HSh8LPu)U@i#JZhyp0}iZ@4p)vhw;wneqeKAe4OCz1}s@>DN3yUy0wjJ4K%Y{TeU z8t9f_qad6T*dTlf*;|-VvroAp>5)misk$xFW63^-M3GV@^`P-^Ej9c2ZYF@%UY#sf zPvSD@Z23CtvRj&IkNRnjKmr#dmuvy4_2ea75xGHSUc&&>#vx#Sp}vr(z*`w)FTcM# z_2SO+yFH!$FKSqQ03)nw;uuy4OTc1K%`sGArW?J68%HrD+$U;?M*nNJyD+hq>s5jO z$UkrtYpa7G*BI7=zW5{8Nd@N`O>La~4N(C2YnzqrXYwOEs5ykJ55h^-YWjptFlRA> z)N^qyFo;v9D0t7Qm`nA5b7;j@)Da(fm!a$k3qra+$U-v%ST!&iM==ob1cY2q;;hT|m*{N-c6f%d51j&$SMS(;dB@MwK;MrSph%T^Z$sMIq?rH~yFcWAh^~s8IJ&QRb+g zVR@#vA?h6=UB9{qT=%G;{>O~)btlOD9efeJ(gw;#SVI910dG~<=IEfu=x3^pNq}Z3vdb* z7HDN6+(At1aSucm+IRzfl*OI;h7XymkdYLkhLU`^=ljgL-EekRZ`)LLiQqxt!axYX zohHzSS^58Is?3pDi~LGfGOieD3epK$4?kQBRK@b$xbP(PUL=m5RqlVrYrPoZ8mDP5 zTEt)zRPgIzfp-p1ms}eDo~?E{dZ{Z~AS1g!fU=`i!S31C-Zz+lZxEWk+IOfQQkW@> z|6tBTpm7FfYH{!zuZnT#joK4Z`(T9~MQYu6TJC=T^4oLOA?e6X!$!=NI_wfg*Lxhk zM*_K~^M~w}%AyU{h2{uVXgJjz^L8>gT9NjrwHY(1p`89wXMBMM05|N!@>PJzF#XE*hyfG zFXf39(k!k%0A%sm0A*au`M9AB){VbxC1^xL)H}XPzg*Ppz1|#y5f8KcBq{i)(C9=c z8);hvPg$h`Cmu^4v5f!8fJ|qJ0!aOy)ljh%lZEdyQGadAA*0nGp_0c^?9f+Q zr@AVQ6?{U41?zvn%aES4-U|un;AeZEo=wpxkb();_qHUvDLjBWZWJN#T?Xw-j#;gQ z3-{&FrPJj!*E)$Yk52u^t8N9CYhe}MlA0uy2D9!O*1FKqna}aE2*hhE)J)^mew7Iu zRO^}T(fe^WpS|*{LjFVb-TPZJg@1lkqTn@#IJS%~+S4i>gBs(UnmMzD z@70DWH71pM^EH;IHRc~`9<+_l*N2?GM8jX2ztKWerk>Wi8oabz99?MbJpDghwb+nu0~TJ3hTd6vmoD27^c`!@Jhn8Xc-DkZsdW^(V^P0;`YN&RV41}* zDiVYQ>HtkK6ICS5YkN(JV=<< zO1JODYY?_ywmywYOv8yDi}jQPi~#7WLz|Jp5GP4Ag+Y)ow^jTo#lo~Dzu2?)Kv3Py z?zCh@6M_H3N-->*dfSc-Z4Hv4$zx%Cib{{b4)~kHk*YEBUKp(U6$|kH z?B^qo=$Dt&fvt_yDy33pu?RmCY7EWELskGB^!8R~!8e<8Ho$aB>yf`9uB!e2At)YW z$ECMTMgDc4#ALab91x%pl+bo;yxS`ANgB{LG5O$b)+V>w(&~?>cwe`S)O z)j#m!1Y{50SpqNlxq_cvq(2R4bpuV)wKS{}5R>n@87r zPAhC>LE`HaxXc*I9cI#vp(IXri^7EmCAFl; zL;P+rzWxyU>37kWYSR~p?YhgXAH{#FHf(-`3H|Lgnm+9dD?DhQ>^Tg4V%O}exEH5+ z1PkGDqbXtAH-7xxle9SX)>I&UXMEzuqf1iHZY&3v8diDaW0>P->==OUCHxZjWJAJ& zgfo5`5OuwbB)(n0k$vyarZ@_;%M)%-t$*-Rl}Es}H@^qX;0yi|ZNs5tL0DKWHtI_# z1vD(i6sU3nKiJ`E#!~z4@>C(=V}2A3OvI-)XW~C(;K`3Xp*HQIy{_WkJ%ADByoq%E1k(|1z`mKqyXt zr_{gki1(={u9!7OI|tvOWjy))es62dRJYG*Lc|iI!hg65eKQRLO<#0PzqXt%@jLz5 zP_i_6#tn-Mx!??WLV}#|vkax>43*y*;vE?%g-i{LOs!{Fwct#>j!gaKOu_6-{vnH% zFIHvcsgFMct5Yc^&GV!sL2ONS3>ix&lq(Gez|kZR1+u?7_rXiKgDg(`d3p zlyx{3`R8P?7Q9m^FrCk_Qm5z=F@MhF{$huxJixt_HR8k2qn|roM}m*<{{&qEusen{ z8Yqe$09YhsdwvX%r~;nMR6m;g>i<-?;P7|RQLx<5Gg*lv>+iZ|@N>*AuXnI(yg;B2 z5rbUi6rQ30c}bhcX!qkUz9^TXJ@RmZF7}-DIgwW}S<3zlCVnFDKy+3_d4R_-wb!t# z_u}(S?WozskmQ?AHD4p3dN%Yq!TF29)NCwRT*5(ciDKsqB}G|QOWFTG8agdjAZ)-z zc0MR!76)A5g5NKe0!O9fY!TnIf$wH7;^ZT?AqC1S<+h3iw-sfDP;hm{5?@FpfQ;NV zjuZ@eAt)RxfQo&fQ*4x2ToFKZnDgY%eR@2p2p;lGj&d2_nO8fylF=>tkkPGT&-mp8tZvM* zZeb<$1KY!ykh;~CI>x-Zb+-B~#ril%{dP$GUS7SoQ~mx*{o$W_o5A`c#fD#&4ZeI0 zeF9lS+F4DV7F-mz)@}_Xw;K7J>z&A5qnyp%ENqCGz{KSSmsx=H3|*C#c%oSc&TnGt zGT*Tz3vHBcK%--wa$&8H6M_|1W1Fm_L3XZ|jFfTg?9I}5EH*47>IkL~>aJ&!f(|#B zSmXp#byxB$5~`z^;vQ-_JI4Ww80t%;%Pz`_7#D0HYnHKk{lKa|>#LoU!+(gEQ_p-% z#J$YfJ@G3~dqvUYg4YkG$ENh2vkyFtA_IQ5y4T6Q#)iBO%5MuBtmo;AtLei~L%k#4 zK6koPL(5itH!o;9(N|I1)+f}PRU2T>c@bjOkxglKkq06|+rCaS!hE+UwC14bHJls!ouA6ekqyC2*-h=F!y4 zhPaMB+@#j)U!iYVx|6dNG8`OI;~-7OGB53aru;gsq1wqM{&|YlW?1AuB-?#ijCpFw zSKDt{i}tM*E9h6Gl54ZFn`D#wm?xXk*{@+ez_Ri#hc!UeV?cj(z-YezF2|tpwKSaq z%>A%IbNvj%faJa$g9BaM2~a}OY_)zI6%qz zAOsjeLOsHUBd&&@4%WvvQo^T(v0)?e9OgTO#8ff$;e@LZe686=BJc<1AII@Nx8S{0 z$6IzKIW;tO@i_bvO&wGHz9MY&*mCf*`A8L!S5fuUc*wyvMjD8`%KD~L*nhn0igB!) z$g4cMdO7~%Rfbt(LquLxAkp9bAFpzZ9-mVB(0T2{T-b+tj}J@TAM$EH$ckJe#;Y7$ z>xudh(yvrx<`cUIa&+u(wyq|!o$KYX;pFm@KK-wb3MRW<>WSxy!-EIIOo|(UElv*@ z{VQBidCrfrpMb=D0Ss&g!D!zIH=3SgWCEHEsaLY5NqwgRhw|-OrSE)f@znyLC>p3C z#eN_4%?(GxfSPNG(`_Ud4NEweDnKfbExiyP3uQw+s(1dCAdVi??p z)ex+1z09P$WFh2(u=EWtSYS3QCmw7?+I);6mkD8uHQ&wJ*hzN9%vEiyUWNvjGKWTJ z%Sw+17oE?I>;y%oQHry-H7E}-v9r8h3w*L$`5Llvbu@Eq7KE#8=jm9P6VJXWF2{|g ziJcE8>s&e}n09kOvF0x#4sOP*{tbUE0NyyFcv+mf{GBH&MdFzA$(Cnp@0d@=H;oS| z67zxK^M5}Dg{@gf15<`zm@h@Rvbm&i^bHoqFO-4FK{3{QH9-_HYAlSDD$%kq;DG^{ z3q!-Iy+M`=z-+BZj^Kl23&PZ?bX-wokD~To_L3p&n0Hr&a-+n8Br5RKGw=I zuOF&I#)wA?G^Ib>EKNQkD>os3DdBll;+v7g`#-D8%)Rg2O|WJHs-{xZu++zz+ghpH zcWzRC$GAJ&S{YL(Zw7uc+U!FVILW5=CF$6LB`L2AM{oD;QVMU_W~{jU2@vh7OCjI0 zaCm1*h)z*RimV>nP5o!K9+vsL{b}STDdW{%Zf{#eLnUW{-B05gm~q{?!SpR=y0#+ z#dx7EnnwN|boYL>{0Zw1idv%lzO-Jq6GG*KAs?Tj_?`28>u$a5%l|dVJsMIuJor~P zQzSb-RYFvw{hs>#J>tiE_xd++9wdf8F8=)(b+0ELl037?)+mo)Z)y%YNhdMzDdavm z_pzOOy{^PD6QQUl;+XCBGuAi9Tn#y#gkxSp7SHVy!8e%#PfkSdWs1Bxk(jLg@$W>M z@Z+$yXdsKsveY!ohIGP~zEOto^S)C+6cR6U=4a^(^o!CMGuyyAv*Bd#+GU$;9df== z|9bHFX3Z7ZC;%+nnKbeysY{aeMpDITzTzx=Wfwh3EX$dFIy?S1Veb%N$qh(ukaryglvl`> z74JqewtisE+m3zwIlIV(v&~M&!{a-V1be)(IK>nG{L3=;zK;KGNS!o9F+N3ga6~nQ z?P0-xiq3i-b!kyry$lrl7kc_uJdr37Pc7qQ6cs9Iy#4pF-Ot4G)8}8n=lGyVf+y90 zxGa;W`E#+-@auWQ;-Ox7@#CJKLBQH$osKzff)dra5BC$3@68pSvQe0_w`Kq!Rmuzk zAf!`#u7+!cF%Xc)jOi4pH->v(;aU*ZjyxIi#5Gj4o)7B)*Dlg{X8c7jTim8MhV}lA zex9u7`oOdMyLXF}!~cQVO!f>*HB-1W^Gv=@QyYM8_){?Uu{!}IL226jJSnPR{UUz+ zos7kDCr&0Tv@c#T8EO#cmILmKRDj&<;FXu+Aqn<}u?VA3eA>wn>c-GacSqgk6wcdm z92O^zqgmp1Lj@K;ohOvb)xICKh=5d2CJK|}s<7$}c1AT~bIZFR9|)n(!)@=(1Gu%= z?ey94CNI!KU2P(h<2kmP!bhpow42xDu|HpDH(X?M8F0AP^91_GBFq)2d&?)@7*cka zw_`?UzNN1`^mX_9r{U*zgouBC{}LA6x~IxWB&&fVHwc62&ZP*%tG2Hy8T>`0QH}EY zfTTM7=C-6dNRzKYy%?r5DO(8M5X{#vkTKF=wu_O{Vs$C=9-<+yJr;Zw<1VGm{RAwn z!-L~Du4XS3mDUx=aeSpK^gQOIl21MPm7Z9`fb<>lw(-Y$5^umV`clLE&H8!WH)ITC z7af}oZaQc*>w?wNgxF03uHJ*Z9(d~l_luBKk!~=t#7H_8{RBeW1E#QMBW>_6n{U}KRkeoQExx z?{`~#yH~&n#b(gJe3Xj$Fhl6Js~{&YpaaTu+K$wc0Pafd7K9n=R;>ko_J=XHO7bGX zf!j9KSO#|8${v9@4`V@3u^prEn>(dJ|zy#AEIY?RM6!{ZEwqz*Lx zgXTtMSK(mBA)vlk9cS1E!c$Sr$+^pGB6v6X(7TR+ee6|C9Nn8?b#!pZ6NiyI+!gHd zXr4?Jae4-pcLai`cv?`;q@rA9sSY{q_x{F>N*1PiHr%~~>f z82ijc5Eu8BAxamr6NO>P7ofjuMCCcHE%ev%wZjR21_=TN=6L#>4`Poy98KcQyheh3 z{08J&oN2H1ET-t4%W#tXN6-cKIF$nR<@g!cu#7`YPM|M#%GAf6)wNNgC-lb~+^=6b z)WsuWq-P12Z#rDK>)SIhi+@v^ZdweAtxCD)g(swHBRex{mKXK?Bq^=1a6z!E`t=)7 z6*9T0NK?A!cmBsMjRjPu@?#$^B34)%2%1OV@~>bh)&GVXncqI&RNPYmw%-L){{}Xl zUq$=PZ3;A_wC_~RcRn%ltD8F9hJZ!$Q>xcYR1^^QaXd*I>nw=~Gr?-A$_|oLC8%!jGIz?yT#o=H^TDHYzcCIle0BYX*1TmY^Q9TU6qC7kl9iMF zr|sE*Jq{z~NaheyNQ`;!do`SAarD`~4C009D4UfN5EDECG0pOeTJOl+)EgLNB-@s< z*_V#7qhU*+Dci9=3~1AtI?XmSEGtb5L$iT?d4bK?|%7@ z@Y624jh&n~1c;>RUv@MJ^HLG1?Tqx~v_7fYY#&Wr;}gFStnM6d0d+NlY&R`s+&ke0 zQP6H^;0)Oy&l%G$8Yj%#{gBCQw&wao0_b82M~O4w5RfEZy1dP3Q!)j9`cc6LB581q z4{5&D4n4LabUO;Z(5QT=@KW7+kCB%DRGWKY1w~1LjTZDP5m$`4!QYiq$N{kW2uo>= zOi4w7v8760J_X+?*MzN{_?)nVzcrG1xbG~Kfl<|Zbz$kG9P0F3joPl-?Mr315VA%+Sr4!HvMl6Oeo)9ZohxhG z+h5}_p7Px?o(knZSwI$mQBI-t2O~hJEI#vd=?9N9UnA>mbtT9Q=)vfm8BPVz&BMOp zHnNW&&pBJm)z6~>5nty*#jjIX@PaYj4;k|uT3*zFzGe^&T<1GP1J`jQ!wq%WH~#|q zE-$wTARZB+x__#-yf8o@>8e_4Y^~#G>6}i6?N?8}wyGlxz%;9$$t6loL+fqu^oE~5 zasI9?h4h{r?$04s<#8(GZ{dfhs{M^R#*ggSKdxU1$`7fg`WVsyCaXrUy^$b;RAm#Q z#QnxjE+Z)9rDL{PPe(=Jz3P{at4|fK+LzS^ed<&KHhEbxRs=#{dfWm+ko5-ddIwegwqb&Vzj&Hx*0LyF>GYObUS#|Z2cr> zIBe(lVbDbX%^!Kt@IB4jAv2dfKP%4`y)b-GH z8bbGmWH0&cBPj~GAncF+>%E6rZ^G8j*MCQce`ziiy#n>Uy9gcDK5du`BNEnMlh7yD zMs8BcDQVo7Bg4copMSp^K3)E32vbHBr}jMNVa~OSMf{RT<~~sWaak2jztnZahpK)h zP`8;EwRG$B=Pk0BH_+N{6BKEWFO(M1t!x;@M?~8QrLd)_`?*l?MO@D;E_MvsBi6?- zUS&^otyrMWKSQB0eXRQ3{xA^X8|22(?Qwc290|U+cPqd(4rKC{x3iEsOz_p5S{C=xPvi`7w0_(uQR3^G0d$IPNcpqlIB z+dvUtb0cHa5#164{jMPbY-+qx$*L^;{W1&#pQ9s9mSX4!lzv~v1xrRcY;-B>fc|{O zDV1qFWVbNkdckV((B`hGb4-n zappI)9JjU1jfb4T+P!1YaQP?($=F3-s8IVm&x4@={qo0D!$I3nQxlcI7VZ0`U7>Ts z;Y#!?FX$q`BUtOiFouz+8zZfxDXq6gVjXpgkRx$1BVGlK6fdRd)ujlGNh8TEnuY07 zY>!}k>e4l{BWWgYh15ke&1LHN-e>uBW7re!IK0mV-_99;+1%HCHt@dXg>L$+oc!4P z=e3=M2W=Uoqop3YPgWBih$)ob7_F$il@qP-?1h5Kqt41Zij@PS*nY)(`>^`4(S|v_ zGVoYa*#M4zteHx#S!3*VvdnA8u{KAkwwSSwgBwj{V_gSI9Rp)M;G11#jI@S@slYg7 zCgYZ6fpKy(ASxOjFB7dJORNg*L&6Y5_s{i~N zOcFzui_*WcTWEqBIYZ2%I%WHNh}F?owW6} zvj{zv3*5_ToIDx0^`@3w_=ON1Pm-ukJ&#n>+(A6ThCE7&WjPoYSWO?NkmIKSZ;+gh z)@5Og&6$rkTK*~>8)9^qPB_E zrlUrnnwF9N$asZgvd8kJ^Qyv}HaSe2#@Fkj=TS2&94`oID9E5>CW%fF$%r(!X#!6j zy)G8VCtxgF$I9lE8->YEE$8U|HKGhpD+B|i7!voTR^IoJ)xCHZ+2X5Uwt{Kal!K8Ph*RR-^jwY8lZ8hoJCPc^Js&F0{Bvy-g21*+yCDqX3luD8W|uj znlXqYhHF+08?fpBgPGq zQ32+p7K}?zpRJA>#FGN6QIghKA32CaxX!3J5@fV3>UF96N~+H4$%y{jJ?poFd9C4A zIQd9tMhlA5k8`H7bpQw9ZCVL)?u2#~_xjq$dCr7qoT)3GER{Ui@hsEva_cdJnopbs zQOH;u?98km8Fw)>(jwvXJ%SDE=E_A%j7SZ^=*fV+GW+2|G-y3@%ygsip`6RN z!cXKyCkWt$BH%m4K%k znnpW1+}F4s5)dcs0s*b(v369i$+@UO{*-jB`>dhG=0gA0>^<%^a z4#pEQn_W5>Z|~_Dw}#GM;32JvB*b6|2t+PM*4JjD zV$I)U@AsK!dbws%qr)DMr*Sz%XUmV)B++is)2s22907r@_Bj04M0!)~M6Hlqf~WY` z{pGrhc6aSwotpd8VyGMvpl=5^*i!DzhbrM(n*%UHGCdvCJ7zNJ1S=`-yL~?b}OJ!{0n}z=tZ4bfcR2glJWE>0YZ=Y6D;vO=NAszSLG(6YSlv2x%+i2Z=0 z+gRVefEk=n;Hb z?`qXnzzs`PdKa=w)o_g>9ws2i*p(F&+oY3#A7g^vraZm4m-m*ub%7jSnLz#I>B7K~ zfx>b9wQQ=JF4@Rq&^barfZqKpjBS#3`T*8qmB5F@(&KUbuHGyzx;@Diw#+$@$|pu`6|QJk^RsOqzeC~ z{hTTzMovb(`PTVI$F=)>gTMIeSOqmxg#2IM-j8R*kjdbF-G81?nAwu1{ww{1c}&)x zS)f@^mRU$jbQ+w!@|B}~khjUXe}1v9m6f9JPpb*>)wi-|Mn!9zu4|bW1rwq)%n0bw z-&6OS6gjnWFnfQVug&Zc=*N?rF{sUqk)rRcIZD^ zUs~91Ydko!6in3#cnwx#;J}mJe|#Qw9p)&2^<<_iadyRd1r@D>3Gu0?ly)RGlbp1& z7s-Jmfq@A*A0Op)Rj6~*70w=f+3`$~3ijRmZUFl}z3z-dy=ZWaj9k3Pn@k`%$H+w< zQPcY;_eIjY{#~4taQzJ(hYPt*I)4Km;hf7A#r)^KP>7h+Ne-+$Ztt{m@}g?^PhCUJ zr%UB}XsG4PpGKijPu9y<+M$*Tmn|-rS{9+-%zw%~zU=rxy;Q5iums(I7j(7v^LFLs zo8eT|1|1GW0>Rae?Y1KeNE)#lM8%;=eSF(0_=F=}&(* zcgtr36BN|}SK%lCg}v_@esvMNl@q}y^)KrvsCs}+)SS%sD2{|KVmSBrkLUl6hkr8? zo<4uNdu;Y3C+KqD{7;1);o>~utSxmihj1AP`!h`V+lKfHCH4`Zbk|&ukndtBn58}T zj(7&*>4c1HU5|N(lG$$h9PAzQjo^8;)33Rm@Q-GSn!WN6X(H7JBpoLF+k@!xl@Xb%GOjePb3YYbsI_eK`A*?2vQ%L^oG$+8?Al6; z`{FClN3tHXd0x9Sb&t*^*1JQ_PvGQ>)l0omP_${+H6(|E`@+3NT=7_SMvpX^#--!F<}k zOM(U7qY6TW{wu*kMZx^a6n>GS_(!-bEqj2WJj*gf zq~e)-r$}XC$RClal0-$(>hio0(VFU-PSKZjoqt4Yn?@DI>Rzveh}C!OcZxOi99kN> zrBmFxhJ8yHdhOK-YyP$74~cEH&C_~ToGr7Kq2ImdA9jhib-8^MZ{K`%R@eR|?~=7+ zx28+N>!9<}%jxU8Ti1Jn-dkPo{axe#*cMdao2uA@I5MO>irK#2M{;uj1tODDn(tTA z98V)%CHAccgn2lQ`oN}PO-}jkGv2zOrRhXb_>-2VcLa*8X$nEAJ$BYNRFGnr?D~Kj z@SbeVBSp41Q}XD8!Vmo$6N*2nP}6!eVSW6s$7f}9z%XMf4aieR*##(QOF#6#|dD4k`b7x?HD|=T!`g^ZgNzyUpAkVl)bN@Pg>#0b+p+xM_wRhhE z4&D@$y$9bE-NaEhNC6&52*3D25A>o=W@%9U1fH1dh5*Le*Fd^f5+Ow7Tn7lvp8y*8 z&kjsV%R#t;qClc}I^v8Q|AuN8>C|VS+z@&TXhZ#1+Egb+sk9>TjI}Qu5RQ3ZQWyZX z{>*WCv8Vt;sk3k4UH)D^r}}*}$ww#EP5m0L2Fw)&et?e=46}sZW_icC^8yFH*aSnQ zdrt~!c(~1RA_qJ;=dx%R`DQUxHHcKy@)&7H^_gW1hGoD$WIe%Z(Sdy^d<*!vtM`@! z0op`L5|RYcPU)4K%@#Hg>uUx{AZpfy|YPSCEsrt)X)CDO1)n$Ezz)wFDq^v1}ZyJ)T&8C z@?x}snn2koNtqZ@i^xB(Ds#W{@B>%Es;p>xY`D^Qmb@dZG62OUdPjkZrZhm^@vOyzUM=&@dQjxs_2EnUPI9XD#YDL5@H%$Lxx8Y6(^i&*1efVRZJq_dV`iH zm7QY)!KUvIficy@!F0_=87(!K& z&u5DTkC0GK0L(+0p0qT;JsN#2wq{|v|X9%o(y91B$>zeyx6hPZM)B1FiZ)W`zfN^Ft zWhnfU?*09Qth<>(B-P(+es(VQl3*)LW9=2mA{mDi<)}9weZ2#H0P&>Khx$tj-1;?Y zmX3VOGDmgI1gb`1U^ozBAn?eG97}4iaW6k+b4T^a4dxM);R42}D&IX$h$P-8Nbyib zy<(J)L|ezGlf-S<(b`UcekJHai-+t4&Wpe@#C?9QW{sk`dqx$tYtVpN2n8aPcrqbG z@9Kl&F4FB6ZvfZ6Pli=$B#n|4HV$kd^JDAzMY1(6JqLdkg#dx=l6SeXJ z5D-G|NN=JB2)!e{S3#r;NKv_Qzx(yPzjLl*?=$Y+=bk&p{d z4I?|{A-3&2QVl{TYTW=MBV;ePjUv?`dO3n8szrtJE=@YMbdO{%q`lcnB_;rSv(a`H@FzK#T^eC6Z z``XjGCGglNrM|H&$)a1WmQ#rTrh-6F1WnMqJn`y{Rf%@Ic{u9C2dlCx zYCJL@MVb-SlOVSRY5x!7>-WBf0|{2b97d%=evbOW@nU;Ifm(!L%6e4!huVI<0v@hqE_QsQ?KgROUVJ0sgGf-G~}n z=DxlgwKVonm##_VyY5;wO52EAu%rI)1(;C8j{z(U#P-@!tBD$Aw!NY8Mvt*=fU#ir zK5TInX!ET+%!yP&Q!%{tF7G{xjvP^SOSBhIQZrJPwCB{E3!MzjSW?|b&!ghgJe5AQ zs8-!)4BEQWI}t(^dk~)RHVlS;6Mv!&yg5bK!iGLjJ4yWES#gf7ZTD<7N_4Z??}7g* z;nNRW%0)eb!%}v#mA|JcNo#6y#%$X`QIN0%QFak{UkFjbfx}v^o%WNI_Id87H!fq{QdM$=o zAC7l;Nl%`SZ12M1r-s<9txr!Qfi?WNOEW)o9$AK?XvCo|fmtmhDMlfCV(Hs@njlf^ zZI`QXacgmB_1y$@#o0^hNbUn#D78G~@cC7pU9}!O_yh-TgMkz+d*G9e(#lPpaHzO% zpg1)_ia$f@<2;H9ESDUpngEdlBHL@M&$>0D&<)bb8fPW@)e#tgat_OYR&*Yb_0>2V zVgebY<8*t$beaG{gW=651Nc7tnaMy2D0b%gfhO1f(}W1Dhu zlHauh(!7_gJm`3Si{EwCX&uOM7l*okbh^n;xVvL`*EOj9a*HQ*Fd3zWh$q;EE{Fzy zO}m~_`pG4ao@|Rje#ct^=D3{m(b>M*AH5YdAE-i8Z@2iJ)^e^}A~S$waea6Yd)*=- z=MiZx<{t4T&c$-%-bLmHh_7Ty<_4+?>d*@Y8LeRTSFB15diyWy*se4(nY8dWht6w; z*%MlApWNc;dhceS7AF|Ye;#|byPucP3+;wCtPG3_4ls2Opq>uy=BU>rG@NsF03r2? zr}0_TW=tnSMuINd1gZ(-CkOx3>D+E@=mK47%k->MK;?=H{mP1`kvckZ$u@G?&1l8% z(@THqghcAq13|SyqtBjKqgkG;wF#+1Y09ROjAw<6wuoI_PbtoM1@WL^G$r_IO+I8Vu(CYWa-r!~giJdV*hya#F zPXxcrH|YakMw8w~)5|^+P@#PVLIq-()d;JULul?L1h*A!2{|q8_f=ZsAUbxmK>vb( znlX!^F_n1?_hs7r8?=WG!q!+?zINl%DdEa}W7gWF>XsO072@}@alu1cB%F@xtySrj zlbf;%ChSE8ysvKZ#W3@`&IF8;?)q}j#N584dGIrDQE$q`IpgPs zRL{{M^9LNy)ureG&C{l!({6U_Mj)|pyXRfLwDzS#mMRS6=|dC3r|p`DF0E$@xeVVD z(rhFa=DlT@=D29V_rfw}_?lXggYoc;)eEu~t}E@QuUp*~WL6Vm(HwZn#1?cBoq1wD z*C;l2F^+jO!-sAWsYL zJy)M~n#3ZDZKF}OHpYja#sk?m{r4C_-x%NKB}{u-ZGeo<@2zf75w@u*qe?A`Ud3 zcdElP;_@6$=9yAeB;sF=zM%WGu(Jr-G9Mr}>vDF$R!ctF-}s9bDp`9gCVXOO6jquCaB#SSsR~H7(7_EZ1IQ zomX-dS5VQMwZjI>DM(Vu9^b1f-ZJyjX2bR7?ePlP?XM|A^miskQNkJ+n|0^y_U)xg zq0&~L{1j@aiGRvlDFWM^zf2 zu@q($?$*RkPumuroOBmz;)`LCNRnTTSMXeFP2pBsW4l(C+*vxs_5LH(2Q92G`=|@Y zpw-ae(`Q4sFOSTX4clF&Ji4{Tye!ds3CNvFbKRV4ezgG?LT0dTd}1$`O$~H^z17b9 z?)$0?BVnFa^Q?~Mf|SR!9tVT<6-m}0QIBbZ0V!Dt0$UPCFOFleitls7Nl7x6Ly6Oo z^Yl(VSL(XTv>Zj8)TaC1<$l@toh5Qja+xNiC)4(rI{$sB$L>I}8ufVuopB*Q z_0(PCtLwG)BIR1x)tQrRPR2&g4_#NkPtD-NWPmc6lUfO_CmF1*6LjcWF!MGjW z_sGeiqWc_+!(L_-P%6sC&1689SEe0hFBP?=K(UWUV908k_+cl@dT1_C=Dcv#yt*^D zfN%O$$iB`($-U?~yuvD#$m(>*^^!qt#5iFN>RprRPI3(c{&|#nP5%v!;`)Ma}P?BCL>KrK$^+8@As^DjTOFo9;P~CRSWe4hTbl`*o zi)46z?kjOo^m$^@{DiT^CWAKeLtV+=tGT!S{+=ij@dHSQ$VZa@Ofax zZA%~HJ|Df3>o>;_ZSN`AoYnQ;xUM;PJ-J%z1x;OA==n6xx^$iMC;<2+z`h3MBr1AA z004smWKifo(I5pV5?`;02465DUj)YXpCsF~q<`t-4DqKlfBWf0fDFbak4bF^B!yFJ zhN06?A!O{QgW4|OAg%=*CiLC_J=aqN2_3Q|dS1w5c_)iouQVNHR*X8s1~YYPikA`y zA-G6$Uw9?`s47l=(9Sqm2VOYU81T z7wL6{`LGG>F4@VJu};EZWoGKayfOj6CH=Sv_fKX8=3M{OXc+%WO8GZQUHEfmjCr&oIaSo5@EDA4 z2(NLG`a|EUfzEi6 zm&6Q;gTatXnJ}C+R|1U?9crimuby~rrIgEdo=z_j$W>N~zrCmpmiJI!3>&o5DWHdd z|B1nH|CN*5KgvmpB-e2V$5*RMi+QXo4Q3nArsKwdp-{ng>DPQ30F^!!t892mcSzDj zTI5#RbZ;OuvIrdA5ZC`yCeiAARR{<6DG(wqnio@`QFvA8ueYMluO$9g+#uLr>D>88 zV?wJYcxO6xNT{SV;w%{O9IVxi!NlCVsgHAj8{^__b~FbZU>Fn#OghlVQ?gB$lq$YP zn+3zS7hNAtry370L4mMPC)dHYxSNKrDgUVn0}+#p26Tx}^OK*C=>POI2k9mY(*7O5 zAs@}H75NjuQQNnm8A}9k)H|2Wcf?CxqjrX)vb&SGT;?a+rR_UYP=OqSLKV*FbnR#J zn)ww%2H8d#=|RGk!n*kv%k2sIl?58bSDN^tB2}VlWlr7chJOG!7CK&?m%Ekp0CHhc zvDL`z@@pBw9BbPR8W7cx%=e{Sq&fzq!23iQV?cc+&;dh>ERkQpk|2nZBV6?J%Im|0 zY9cs9e=%u1MXY{vt%o)(8;Z=fy9Brsq)K2wN!H*V`y}1Iw)+;-bSDy4W5o=-nT5OF zPZ0^tRd0mSMV(4K(Jrz+Msa-{@R+!6w2h(fCS}Nd%bp$xC!sU&p6!#<01v@E!LmF3 z7O5H_zv?7%dYoo53gnm3tFV)Nt;df{m7c>Wi8)~|6d58oAIAH_c|Ke)J9j=pBCRA! zSyGW_R%|li)Ok4M6Pn^`vfF74ciy217(|A5UR0H%+~Ogg_{Xw=(-NK;^3SnL>WQ^2 zRa#tqG>3{*b6k^}6iK8)2B-v5Mq?8o-z0nd+~qSavo*s0Jijk|1@5$KaBfTl`R&GJ zp@P|8FkSe1D2E4s!#V`XkkUzVUN>)3!1KI;Ew0e@+^Pa8^oGwGdDqgHy@Y+Cbi=61 zQ=bs4qf)35>IGzuFD4C11m*E$47Z&7yz`1)^wBL%(mfTVT)TleHRvFRm%4kY(Lh8G zwg|{2OU{PlpfU4TfNybVQc==1jZD>cJ5=wTYHpdN&@;h^HGmw`m+Aw3g=nV~s#niL zaV2L&34>{IMlzO6h)|_uxEoAj2Y0Ff#WX05u7ffKP}L?KDg;Iz^fA6xYh8~p1)^Cn zSM|9@ui28Oz?!UO$7t~=RXyL>b~h}h{B!1Ro<Mq&cSHjz zgQ(^$AF7A8QEFVy^)3<+BAca`EUwp{dqer zuBgFKrkVgOS^X2}otrTR6pCp|q}X#vB30DqDAxy|2j~m8p^NF^Q|ps%c##6PYz{bOS^jW_VQX z)UnV~&0IH{pufX3z0MF1o@1!=@PX1v(IijP8-fpm@$962^I=4Y0E8JEMke3F4h?|0 zCu|_DYT7H*5D)L$ih&tj6G}D9bQ)X?@{XkT7__trvb6wr)Zk*WTFKX;TVxn!4;R45<{(NY}rJh_1iDNo9VSJ4OpF5Xhp zn;F%BGtELB@WtVzE+~b_>geErFo0DN^r6@>WL#x2gmhal{9dq0Os<*2U-3r2-8B3Q z8>9fYe|A6q-;es&&JW@Ld3pFhHv2z+`o|9Z-?PkF{+DG=H|Cl}G2*YwoWidhezzsM zgA4@I2$A2Cj6!LLfu*`^bZHwZJ&t?wcu}R?7zEF`GxV@$yluo6j_Ggc-j4j0c0QJf-I4kSIJ}YVvcS7nc4-^ za#w=?x>^2hT6(|I`iIlyek#>wIo+MBB*siZ95mncMmGk}e;G_e={?ZT0Ky(_cm~1o zYVTOtbEXi)*NF3Bz}a?dBAD`N2IaF=a?~vUU`Wy}@k~MUIqj$a+&1#JS^u^H0uX>J z&_3{A_c36Q;C}^)6^51k--5&>uXPYXV#IxnyvOPvATeIKKS5&5;L9Bq{|S5_oLEsX zLge17PU=?`{skoF*i78VRJ&@{cz;=)>a2b}^Bx09tvp{-VwV|kO5{pcP3b~wG|wi~ z&DNyI2YUMAyX3=?<=$Yvzk|ebO&81(`+!u{1jf3MDdvjxiL2(Hr@P-&Z_eQR6%8x_ zRG1ItW6suYw!FXxe5X0yc@BKyWcfITAk;yDsrN{7{vobG_x<|*_GKqgm%ra#zs*nn;+AS#Q-Jp zG`lBSBnQg~kocfShX9o$S`$Q}zcT+=vPE#=3BQ_In!21*ZiXgk@op$ENuOXQ&d6`U z`c#)cZ!y;3D4Qcv%erPEj{ZBYn_|%*DAoU4_-Hg@w!SPPo5v zY$CL*PQr%o;mNy1pRNg^n`=Lhi>F~57+?Dd5_5Iydod9mfO2{kewoui zLo;ciMP##nSJ-LeE#>wN%eUY5KX1MV$V7=n9E`WN8ejs2TaA=*Yg)qjIIZBVFJYm^H1b9 z4i=(y#19waEL;zl60R2?eoTJW-Mfqn6+c?ZjCVcyl$%q0v|3obar8O=zPQqG$%yOm zdd+h2@kUzjhSE?ynZ&m(0^^0F%{GnO-*&p>HtRpN>-6q^8MKHxQspl}gIRh%f6(WN z_4{$22bT+}+g;)5rcuo(nFD>ov)O+C)|sQ;@?`*Rr@C^q#@&4Qi2~W1P(pT^%Hd%> zrukS?fSRQ(${#_W@;&AH6S**P&P7ReRaF5z^?c#q>Lc_~0Cwvd=b?8iSnWQIxab0w z_KDqwNrTFd@OcD7dlTEng;ThMCdv~}#FIf#Mo3yG5>RQ=Oe6uQKQRTt&*iB1 z7o}6}V$vw9VQ=68t_(^jG{4`Lf@DO@lLGE})u2-K4+;zZ>7QHZUW^hHTSQ43bhSVz z3#~qE6UlK&=;>PBwjrMw&aX(6>{;C?z>g>9@uCjT`%+;FZaSb<{*{6ZS6F{h6Uodd z*|{~{j(9kVcBupmI;c^9z&6K3toY!%?oy}G(*&f605NWG#mg7zJo(y!Keb><*l(dd zkC=k!IHWpE6r~*%6N1I`<$;R{m=}ETUvF z7yO>0)%k;O43*swEpT?s=d+5Bx|ZVR>GV{jWkBD;x?``{yZgU@#8~!A!VC-T{|}JZ zScmm~SweTAlU(OmkMDjtj;hF6=lu9U;(kT$pWOTX%95u=x2~U`7(W@`ud3-Ta=qU< zF@3aO{U=C_jfB~_H=b(|P zrzAwKYmWNCK@*bt50Kd3xc8+I7G3kF-yAecMwULgZm_^IanK^)QyO`{Yk}kVpcO@3 zM#RrAa{rxsAK$geuXNasjx3AIF<26|Iqb0PDSJ`fwIu%FkVq!@gL|KJ*yU_go;cF= z@$8$!lWw=j^5kWMWyOiZ9`By=l&@XOs>g@D7-GH7&&nLuqrNbsigd>A6>X)X{^-bx z%)f)g5_&4K<+?u^JvjP+qpr-=F{*XT+l;Ep;=9+Jl#a*xBC9HL4A*bi9FLFoR8>`Xue&}to|vVs zu4y&gxSMo5xnfjZH`2Xv?+wv|HL|*X*>KZ$;&}R?r~2L3?oI#Wr2Yf)KR{wc?mbM7 z-2GI~R*2HKIYd+q;Z50AxXriupAM^X#TgME-xiQGwQV{^J0aeFIV{3_~p|qsl?aN>?#gL$B1~Rv6W_-Q!{ahTFipP{_iOALXwiEa&9zjb)wE=A?5D0}gHNT=W z6Mt&^;nm|XH`Q;paF8SsV|CJpVmp{c%v6@;9DpkvS_U=9Q7I+DbYst5kcV)Ph*2ah?G#jQVW~_k;x?l5^;_r7&N~wV3`{% zm4iGR7O0ruH8saMImfOZ6A;rHpcEFQe{he8ik9mO<~s<6B0?_00BRU8Moar25Gu4U z4Q&vaWRR9_sEwt^qZ6i|gfJi)j4Pqs0jTtFh(G95d40@D08BWZ3S-NuY)e_W4SRz_ zJOHb6Yu?-Z$}F@@H62aqg$6Erqwj@mKL~Qr+J3Z`gS_ z2|98)A@Z|x)JksTedoyIn#enih|Chdnt8}FBf#7T2O(({iADLd{<+++{?^bHHwZME zWTPZH3`s5L283<}(z*kGr@G(}VUkpG$Op4Hgh80F#1^%&U`!<~DT7Z8^9h`f+MVaD zOAJ$OG~kAWo3N^fKccsb4#Y&0!vUe%*p$B5IH_1ZpIF6qgi81`3OEw1j`Xjg!IVJv zP|(ai3NE4N{LImp51-p9ydcu-V~BnSB|B)%E(%^5a|jwDy-<`3W|=K@0U6gwE|e&eL-b{Z%uxh6ch zWsF(jOfukjUh6DSD|{$D|v{|R>&RGl+@VN z@%C4mI3(X)Zn|yIPs|w7_YNik(T}?t&qIw(W9dlCZQ};xpgsrzuUbSBj-nY0nrZtx z`s2GA@P|==-=UCqrcQ(v6Vw*EUP4;J^pYHu4$1dk)D!J+iBleUS#6)GYt1TAm-#I^ zg-MO{!Yq)UgHT0M*DzsbYkc1=Ay(18MBpuj8ZYG>{3Yfw7N90C%O>y7eu>SoSjqOw z&vDK}WNw917*mm3(o!Q0`(q?zPG07GbEBFD@GMi z)SV-#M$%L<}WxKWXFWu z9cEzFR*bR~_Y#}edP4r%qJ}0!uUzV}usjf49eaO*w)}{@u+y4GR=0vCjhnimf)!i# zgLo`ofLqf8c6=-JM9Qzy@n4${)txA8IpS=qLufxpyLvOdYX#?6fDrSIufrk6tyv$n zBX+RDV98=~TEh=P#UXP(tuIN<>Z)oY%0t{MDbh-LZoW426%n-uFiFf-%%6J~%P!@eFJPDDE15}~4u2IT;;FZFA}Q1{=tT_U3h6%x+07lyiliOk2|zG8B_=4nhbg<6Y~a*IVh z;sv)*oJkp#+TWOu_>fa-02uv#74Gv1!49$|i%z6U#t2hwJ?Y1jJ@hH3I&lZ$FhM+V z%zxq}E66)q{VCg~k!_S}eeL zr8?4Xbzqg-(gHlQ)EfYNAx&W$HMa9zM5QeS@OK(zNsYkGq8Qd{=>spK0|wCmV{_hE zucOh+7%#S(cqF;Pj3FY!E#miXXf-|&aXsm4s zN&P@J+5lrB8tJ-&XnG@}naeIEwPQgNU` z#mgR=Vl+yIv^uW_&rS_0Hi|LO0td66#*DPzju7Zq^k!t_J8oX(p9T-_7K0fFs?z!$ zRQhdS4Kodhhj{mMsr$sdDcqQ60DSM>_yc@D)o=e+qRHIizjE)*nnj=dC+_{a;(YA? z!M#s=JC^xZ?tQmnwhH=d37I$pS#6-oIM0PV4Qei1<(juD1w1sFJd**2lNAn=4H`6h z7}#sEQJbO3-Y*k%PZjIeC&nO?`GJ$Tj499NsY@q|Q?(hBUAI+|-qM_LBpcx&*LQ6oFDaWk<&v(8?#vxM2E zK$@pp%ksH1HX!1j$3{Bw>IoF&qCo;5Tc}4NU3CEIY%97r@r6;aSmaZ%J-jQK?sP#(V zX45NIeyl{MC^~T{y7((DmVDfkUdYy1#s#i=X02W#tkzvyE_k+_2Kh)%uNd1y^GJ2B zeLf=UmiqlI!*8?H6cyy^TMCV~XsdTCpG&~0Up}YutaVeb_4Uy7aI8@TsXyFRzylDz zEntjirqs>UsE;!5l&L0kb*F-6jvBJ?L*W1qm&Osl11)3)()42Ce74|^O&ao<8mWN| zsT$O*2((O)+2`qvuWnFLT)n6*I4pCEa&t?-b8At2#>)+yUQWY!N2w1(!Vf@%dT73F zXl(dz9u`x>-*1iaY_E!MFkDz`iCoJ(S)gW>gfY8OT#5#(XesXd(;O6U3UO{{YkWBy z^+oaOe1aQPdKNmgrGSYx#U26rx?M>%m8b`uX) zL~mzl>|Ko78#Z14K>g*L+uEg#z5X9-6wt3e66>&O3X>8w-$!4EuWY2dZ8)cF&~I*R ziEr-qeBpn+$vva-!!`LD-xf6LK>TD&cxEe6V)s<);pwPt-OBCC58IraJ3QwORZhML z(rk;+Y~UomdR|r3eDp=({g;gLBLU9c*B6du?;Pu-QtQ3nHSDFi@LI*>y|8&NV3E3K zb9F{&P#a6LZ-3!pSwMn`4DceKy6OZ8KR`!*E+@&6q=EIB?g5acFYugbnu(e3GZH%h z{`>UR$n|q4Y0uViO?qiOlrktifXfhiE`Wq;N2FED_+4OL8xy_u0_!;})S?z0Dq$KH zNVd%mK=Tt79xI)3+EFVZNChm)pZ0_qb2nq5Gd?TcUu+5C4Di)A?if2YoVpUnI*eMa z$Zw7Rx$?`{8RBs##cJGGIsZGcoR3@yVe}k<7Cka%BD=Mtk$$Kw_^{9+4WNKZH9S2Q_Oc z)@;r7743o0*U!_v1E43hz9i>MwintCul$6CRo@!_7DBd}`zdAae+9^@G5>&`clQRl`MbLE zM5jJJruoQqlpgfC;(&S60 zB%DS11drg6spt+Eb;;(@)ewO`eYi7O-g_>mPFg^FOf$}){)y&YvHNVZIjn34{Un5p zHG)Tfmyw~@(8X|j(igBpkbxxW`#Mh=zKAvyT)^KXR?mxC|N3Jc>%gBYBnqp4zIS&NN{3skaPca}p7p(BK(ms%v+S1J9 zyjH|Tb1SdP9wW!*E=QZoLjoh0A26(2Km*vTMz6hAU!Oise0WwTE8eNjB`3Am7|`k{ zwLj^g)2v*^1nk#BAc3k4UpR~|q2V`+zSbt<3f~$erB;S-gk7(O%Y#GeqDHHDd`B_Y z##YJ8LS5git2%K&^gY{c!Qb}xw*tu9f;o2%^w{k2B=41a#JFSxx8A_XzT$WR*GXiX zf+O2T=egE5gkq^cvY~jdJbN)E(;Q3nvD-ycDZ0r_xMxsRe%%e%!@SmQ0v^e6%b&oX zBxvl}rsVngb*pN{0$-G}Ea|ge|Dvjy{hhsl?HnoFLg+BL4ryJL7KbOeW1=2?&bKbnlQDkh8cke#A zc{luWk)^rPrl?V1$;1?FYM6jl<`F-HVpPfT(L5b`;o6rX86?b`dFk$WB(B7&>i4O1Cph~D?Zh*+ z!x~C>x6C!tFSNnbqre6ZPoSS#n&=Z+lwxLZWjhzwPG`V1&qdPCm@+IPuU$*qQ7t|ujjmW-}$#j_| z`UD?naoxofgcPP(C8C)xCF^otmFI+KF!s*1cC9aig ze>;X)PG5%~C zJbp2MrzziZD1YAVtGU;=DsKl_5$lEtvu$m!{0EgH4s%CiZL7}(AAT3Xkes({;=WkA z?pO#v)qXWizp7s3yY~5lmv>72o7<-*_C~v01raDL^Q`aPxtU zzxQCXM9B72av*>XVXOr$)tWj&bE^|N1U?~N&=HUPqn4ORmBhnhVosi1V`p?=kW2tU zY!Q$a?6yN`ZW5hfDf7ci*~kadSh^RLG@?zYPLIhzxaTZ|;?q<24-i^YYqN5mYz@#W zZlN3uEg_OowO!Z6VN$WSA8*4G`>q^)d2!w>^c0%|=Mz^dsS;Z-lORja-F=&DRQAq% z^)mfeR^9E#FGV9Fb{ci&c7v8<8*RcfT#ah9_^~Vo!yP1T`iL_zhqO;^R)j!qKoul8($q3OUI4H)(kNM4IxWLA2tGLhs_o^4j(%8-_G>AF%t?IfrkZPaN)04Wz}$WQnnF z`eaZKfzDOGtgeqjU)K>WjC`5I>F*4+W0QCt=@k;I(v_jC&|$jIS`Kgg97q^lk_c4* z-BLF3-}Mzc#@DL(zWtH}nON?hKkUtN^hi6!J}NJe()awGXEx-mwC>2EaX|6QJURAJ z^J>NGPd2_3ohW{i9ii-MR^5-kKDEZ+fQnsLr!0PYm-UtMq-?5h-i0eW1)H!nt8)*=N2d3OpFLdnIQcxHbnOk41M%%>D;5Ek(nP~iDqki?;rj6I&*SDh6m{JAYMFJ1`pB1L(T9c4tP>e zJXsJP7Kevt;>j!U6a+kxm1~o9n zH85v3uv9d#5*pYB8`u{cICdL2p^ZrTMlRk)Zka|N%|>3cMm~o|e$PgMphm&CMxo3` z;fh8PLZj$lqu64j_->;Fv`Lb_Ns71Wj7*cXW|NFrldMD2$yv`Pxu7QbxF&_nCdG;- zB|?+(V3W#Xlj?5MIVb@|Pf+6}sLK#EGzpq!1T6=GwkJU+h@cxs(90y~R}hFoZi7LB z;Ud9kmv8~vjHYik=502SX*ShtHZyBBcWA!o*=!NiY#G;VmDy}v(QHF#zBJf;d9nG* zZu3=Wi!FVN9dC=hOv^RR76-EyM~4!EzWT*E}1PiDq3z5T5b)t++J*P-EFx8 zZFQq>b?0rpE7R(s+3IQ5>gCXS&$IP@P^))bt50UDZ$;|^LaQGUB(~V@1Y9fgA(MT;H9yB)8goh9_0rM#VGGMz+qyW_H$9biN^U)(>{RUF>|f+xZ^aMdZmf@OCxIbTw&q5zM-p9lBaP zyIO;~+Tyy}GrKw}x;hD6U4vcSi(NgtT}0tnA3ae));%E8{Xw&P(5!pNp?lb~dnBlP zG_HFrvwOUvdxFqCIoLh5*gd`5Jp=7InWgWUY1Sv2cea_IT!*|Qwfvl7?y zDYIv_qUSTAXKk=&eX(a_w`UXDyG7r-&D*;p)B8oUch{_U&!KnUv-fLI??GJeVP@}9 zMei}8_uF7EQ5W`OxAz3v2Qc)3`1-)IeGsiasCgfWV;`wkA6al8?0FwNtB<_0kD|Gc za;T4LsgHWEkA|cl!O&03*DpbIu9nBp1$W*}!_u1@SNm~@Taq%q#Xk%L2{?x^dbOH6 zLws?@6i;Yq`;6~F$r$nZoLP8ny%;Ix0nZAudIb~8wbU*b6VEtOCR?l!1;B(Pp)ku3 zeyCHefO&|=*C&cfs_5YDvQAjOOUqntJ?-&9{%^`J#mWy#%^#G9KBz2xP~H1*j${zU zFsQ~is4hFGp*5&!KB(pRQ~9M6JgED8P%mpxzjE+=^Ps`dpyAS>(ca(%k|8w1kTKto ziR_T6){vR`kh$Z~MXw=?;33QBLsnTs)|EpxMA_HS(B-9}D|)V7cSuIu7)IRrM()avcxa6f z*Nq{DmOO57}Vw#gJLyfJ$mqgoTv|)R1iBu-9ce&4^L2#<8r+ z{1sCZ=8cm>m#OK26I1VimycskV?yc2pcaT}kTb?b5X(F_H9dsVM3cPCpq-b!a@j3L zlxSrW9kU!fO|gr0kFH&ONc))`v%oh4@(#M7JHx6Ju{nh@>kDFl1NtSTUT(rfYi|hg z#J`PH4@1U14z;QwaSbCCN7I5~p!Suket*XADx{Z57P5nm~Frs5>k|12+4` zFboI_^s$UltOJqxUxmHH)7Z{3_&}~P0bm4lZ75M7%x<2+c99*!awWz>5K6xRV<37h zUZmx84&~Mbxt0Wg@W4Yf?UyO&nqiDz+bq2hV0K`~SX*CiTTMVUqFwJz(?F8bdL8x0oEe&!` z{%n{+F`g42@{$$iAxU;emz32NVj(&4vNU;n}e zDInnAS4R17%JUyoWc~}I{y%(v$I1&&qx@_ZHPmTZeFT*EkCVEbQJG~^>^xx)caq zs8p^uqKjT(pyaX+RL&q*G!O+d<$c!9r3fTsv)<+g7v3`dB=;Z=k2%$bXe?5viYvdj z;gzI|4-vpLQc4#kV7W9~;|tzIXgNs?d?Nd+&iJ?g*8jo=DWK;6@l)pCl+HhRVEqfD z{`b53Up8#Ny@0>w`M+R1|C-J}V0--blKv+e{+j2%eAtLE6yO@P6Y^6^#_(Sx@jUv; zx}|@Y#J83HR!SBg6F{E$OG<_c{3#`K?g3Gs(H4}n#gl~@YKY%H+T}HgvJg;jyYYvV zEQ0;~PbrxuA7zb@8wv|Sl6JuQ23;D-k*Lv!ObonN-kLK~1Ga9Gnw7WYxKo@#njjWu zp7SXNwPj143DP%Rb?x!^2FMvnxBiQ$2#^pRj~Q!?-Ar_$g0!Tr{eDvqyI3>=-5-FO zc~1tmkgTuST4;E7O6T3BTKDCyRJl~~x4yfd`^rsQ-(7y=wK@6z{t2hVJHLZ3vmc)6 zyub3+=j+-`b*jXB|8K`zUp}mmcb=xM5v(gd|!G=nJP zlTg0042)`!FeHKmWQ&>vh-Jgr+f>aha}ZFbB!a~C)z-Kgz4bww4XJlF1`6Wsdl9L$ zvB&&aTo{1`42B$eAA5nU>>daE9B>8E{m4ZHGYUt~0j$*Yn7B7F@&J?!#;ZnZ_-e~4 z7R!JH!)Tar)^;g&3XVa{UA1E=e*2%6Q!%8%D{4*K&3rIKbT)<(9393VLL-S=yh9u3 z>;zJx#DQGNHRUlbFsht%6oTF@;0g>z7<7?lAvotn-8&%%3Ro=h8BrEsg?w;UiXtkX z4uf>L;!ZLlSelu*YMCEreRSq~ zB%C6(E{ z+~e785U|rl@`QD$=whOfL$}=Ww+ToPdn>n^!9qPlC_hQLk4crrX(3<2Q@9p7sPZWZ0QtXFr^{B=eOvT=Vlh{n}>CX6D*d+sr3@xj6q zjScU`xC^d63kl~_e3p`~79TDr2X6SRWJbCAF6TZ@@%>bgT72}muxZ10t)kcU!RMOJ zln3i?W{QtD>mi#Dwg_*U=|d^?Y>74(!&@2YoE^8(-CxMf)PRWnrKCHq@WH#CzTKjW zJqGlH$SrE8%py7al}d`p9%lZ&wfFU-wMQYb>f z!2VHT0urL9dya)JI+V?Un9WNmtq&WD3mau8uP{JvN9;Hj8JWyza&pI~K*eG=S_D(tvfRzaYn> zA6H#)QRM4!Eza!Ob@}vvarV|vQT>7c@7bWkrI%j1k&*@%WRVsSX_W3R0Rb0SU}>bA zC8edLM5Iglt#mhtii$|-UOw}=-KssC%tpHATebMoNtV{VNKyQ| zi9fRmUVRZh8iy;*f941!I6*y?mkOcdYYf+&vZ6^)+m}6Wy3>TV;ZT_QKPZ`|p?(S` zrKtrf@|1(xbWj5GrgHL&^;`c(v#Pr-K6G`Oi#7Ah__^~o&q)u9=WZ5j=UMF?1PxwM z*DKq~%_0;kA`Xw4SDp!)+=ttIQ#};K^(0HTmdMwm1|KXvOBt__EbHMG2)ipiTA1lVpmRM0-kS?CtM6t{1b~e(b>vT=?HqC&~$1~ zzQefEF)Yhgl5%~2z7oG{S^Bx^|ACT;wpRP093fI*+L?>rEmVj^FgeIhbrCh3HY<}DjfS&G zw{UWDIPvyIf}s2=ohzZ={GHs1KFpk31N!k`7-AW?^M9jcEdTwd>_=NAMiC}+4XNcv zbLBzN-qXxX3=@lW2TG1iH@6nwN0I=zgamwJF~y8;Zyuu)zg;>gI<{|K^f~GM%3HbF zmz@so|LFen4id7du!)i|_en6m2_d3dknR+pkF{y#ytAnPmLaGw!Qc}Q%rXZmnbt=D zmO?Q7^CT52^7ef|TdFysq59Yo_8Vkv^~DnZyrJ4NVBf)-y4zcxDz6{c zw#b1MZ3`d3LVrQ6W4jYL=XGC(tPqI>)&81<{C9dPqFVH8>czibxI6C;sowiZUMnth z()6=J5fq7+)^v;mLFsd+35>S{$e}2b9?S8a)jcXWLW7W=xbelSSKR{eMRhB37=_2{ zFfnH57pJk$ZonNYUd9(P6zBSppfeDC7?&LWaZda7I(~gz3QNcgpwSxG#Pc;1j7Xm9 zi*A=P4D+9nLs zxIiG)x80hM_aV^k4IzLLSNAG10fDTM6#jkCVrylR)L`e=fdrf2&WDk_#DPE~U#-vBY^A~(j;plibLNtQ;D#{ z*lt)foAK@Q5G}{VI=2%vRK>Oq$5sZ#-(?`R5cA4+is@cV=($Mf4RUstb#%ROha!A< zHo-iL-2PZ`h6|y`ql&+Wfq$=Ed1WH2XJ|2p^vVcwQ6M}_k{s?w))|Dnmy-l(OuEkx z7^RZyQW58Fx{;5{lpwGV;ckuN zQ(=s+o=GR#N~Zv^o(kT9x`w2OG2(%Xv?Tx;o{-c;1JFT|qr|jKtwSSaYm z7KjKY3Qz$`@HkRvD!3cz79oqxkOk}jCQg6?qz_y#(#FH$Bb<}?a?=PK)1F92eRGzy zn+fv)$aH6kE~Nnuxip^348bKCHduTpB!)US%e6Dh-7JRo(!oWHyuFv)$OH_kawnV# zxox9dRwrRy;+`H2Pu}#`JI#(-ApI7bgl|iV>Vu9Uyh3*%)Nrm~8B#U`#c(!hf@T~( zkfeKtw5ONQvjkF+N?wM8F40SJKljB4=6sXMB-n&jh>?%=dO~}HlH0wA#d7NPb2PW} z!qN$Iy|d#+gFS)^Mso|s8NIzO9W;MNRXHhB(ZAR`j~J2lVo^_LeC*SoDh@@G+V}%*kweIjJ}k)j5t3p3Q&Oz>N}8k7W}&`2AFV#^93K^ z6eGi)PL-emZ^-jYdK@k=EDLx!LOvmuZL#U=9s~4P%Vs=xcNV}Qm`XY2OC!{AAF5o3 z#~eTie|LnRK)jQGUy;XtDO{^mI;2cCuguA@w1>FR@w`-?sa#dQT&>10+QtQySFY7n zuCrV|He9C1RADGzVPswrNLXQX>VSs8DBwEj0ps(bb@E}$^$BV#GOvus%2!wA)zoNtXCB*JjzZsUmVKo6 ze_-POFxww(=IPZQVD(2JNrpUSBp8mM$Ur1lRHr`AeqP`B9I{E=E=E3qBdKYt^;zJ4 z*HN>WSHHaMULWER5mZx}6;itpLPPwbWSRTFhoPNBFCmDR#xbC2eIiwWLIxQLX$)KY z6Q)BIhzjyOG7k%wEnbmtgjzHb6IFh4bd*B-c#^u_fk*7AN6;_IK&_+lA|v(rqrYo; zZX9~N-*lUx!8|zhEzu|bXWaBLC=&atgm9%<^tyR2rqQ_)7fRycj$b78B#zYu0luR} z?3d}Hj0r|TC2YfJ&`c8BwNT+joTD7@_n1CyG)LE`Ud-aH@r$>9^Yv_%pzg+SiZ3oB zhs0wYiNEDMv375cG~)h^)`5fFboD|JK#r)0ds~~XQq`|>n?9Dn;W(mm*HpzpsMmj1m0G< zc2wne)mS)S-Rft1$=YEQ12Y|CS=o@r>@a~&-5-hZxo!Rt`Mt+&UI{)Mvh4xdd6jW_ z%f=}+)ww-x_0QgQ%_+QJS?=1_7!gbC9p?W+SzD!tOp-2fv3w(hmY&G6Y#1`Rn6fdlHk5iZ-dN{;=LXabqd5EGen%l! zm!l~*B%Qvt8Isy$?%HVJ9(`j9o1F{eO^RS_gj0qlUZZkrYr!3QDS^Z<(?luZ-%hIpA6WTBxZGh&0 z!n>farVQQ|3?4#Bb*r>rgr>>YX3_{h5Q>os2mqFh9w`apEWtibWK;e=o$J}1Y|aVcnd|tjV}(0ht||0EWd7y54@{#C z@<0(uUg?tI^fGB^XFeu(W{BiJL#5B+E5js0j{ZRzp!WA|7x2tTATMQeEW&z)z23n%^W|_x|Wc#IR0Ik^IBU7*LA#09zt;9KqTxN{C5Fc zxQJ$5pknNczeUOZ&LpxfWmqlMFfC>kF6Ake=e%Dk`n$xryo6I)E-x%7wOXz!Tz(RAU$xxGy3(`Q$A=_;BeK#_xI(np*X|8P*RJ%kuHydm_QwIUh}F@;)mIbC)|JJ& zKC9!bYjYyr5Jhsd^Xh+vYs-`Fr(Yn!c&E{BZ=#0owOy)A@yS&? z!nJQo8)t>iudOyN3O7OmH?Gz;{!uvH{M`U6Z)Ol~K*KkQdo~D*Hp$mFfu2oDwk_(X zo5o68^x<0yq+9TwEtVp2P(#I+wJpwuEC>sjmbCs|??RvRHcj5PSLL9r(ze7@A5(*a zD6-*W@Q%Oqu;ltJL)EK{BaK{fpZ=rbAu}4VK${usFjn*2h`1zG7H~nNK~f2*;kyr> zlJ&^@%7`$t7oKgBlJ(+1#3H6WTov5B|KV ztBctm2*trjG=3+a=Y%%YZu|EfOC1cn=mo@(B%2J|dFP>$IWOc#qxR+AvQq(%xVwHTncWXh{Wh+i3^qDJ{1JfEpUwyMobsnoX#Cj6+9&{I6|fY>+lmA` zu%wum;D*fSs`io5X*Awq=Hnl7#>c?gVpGkxQ^iMX`=X=94*{ao^apybveoH|M^l_@ z9O^D%{Bl{wSs7oi{2qQtS6aH&P6!O(0*PQ}5AN>rWgqQaEc0HT8H}YN&E_V&=iniy zWOvdfstYqtbCjO_AtL$!MdYJLNO(36Zo7UngL5@~Kb6Fl^vY$9zQ|i5ycn>~%{j_k z)dLh7i<{)~yLW@7jx;{^(;Nn6fpC^2kN-@#1VSGD+l@h5P?rW&M4A{AaiLU$*1F)_9e@rH@`s|`FLj@(4vqGW7pIr>|wF%+!I7`VZ>rdVV^2MW?S6>HusY7LM7K~mn(4{0 zQO%S3r$c#9z8E(c#W|i3pWERc5fB1mOHaO4TVdV%Q=+uW`W<< z-oMPxr+o5aW^6%1J_#3 z5C;236(V*_Dks2I-l$4;cd+q2iR5nMgFE-3KJC!QWKC)`kJQA6YNU<+7bP1`G0pg2 zlnlj`53$ZL5FOjCi~ANenxGvzA+E`jeWtG{P-^3tW001L&E>BTP15Lx!79-lq*{dU z;$Y|)$+#K83P2>+zC~B&`o+}8r_kdEOp%6-syfkNI3nT6nbb+teO3YDyiI;z0H2pY z+CeZQ!yYYVcsj_XjiYGf7>#{0VRQ(6M(Tamz#61knQ39ZB|H(?y&0WtKuC&7(9F?{ zAp=u7-_v>;v-Ka^o;)WYhnfkit{Y(*toq(UHnY{tsaWKj95^QDKd6?3CK;g1PKrsr z_Z9pEs{n0GM?4@IkIbPaU9@3N2Wt|Nf#(PU(06EA(bFJuEMbNQo0tl21KMw$A31kJ zZywFycp9Vdw3Bnx0P(58xqi97J)v3 zED|O&T>F3Wo#;#g&iqZk+3;Z;I21MfIY>r>G#yAR8^6}-u(kl?nTm=VC-0^(oxYs zFXi3~I=dWzj1Yzk7h{aB2l!#AAa8`i1p)IDPK=f8AWwlsBDHSm-VrF`n$J?Nxt;y;#x{Sg{p^+0s*rwm7*_R}*`I>I6YA`YDc zAF0I$+}ALPVv1o{9yUqkAb_>yG{FoMWP$2V&4@Wm;!y3i5c=I|n5CXl%zhMA-B32y zLAzPn#L@dddzMkw2%Wri8gm^mM7GWk0VPIpe9HK5pp#sIM65!j8IxkU8dUR50i_74b7VeY*ub?MA7;3lZp95iI0)`}(; zrD&k@@UKXqp6mCxqg$quOY67Lx|*38%!7S&mqEg{{wobexK8)u@mf=#F`{Jgsfwb$ zDfUw@L!`TgK*K;PQKf$uo+0nuDh??Hl#a!FPtS!Nw| zWvEmBlgyy+G78lV&IcDOVW_ViMn(3Rtmz*01h|zEX=^R1crpv18I{P-3|fvII;`VsXz~bjxI#uC44k77^Q-ab*O760id5jQEq=edElBsextFKzx6F+%gMNr_Z zp`8Se;@*D^oyw&yS*5zhhq8b7OH_YTh^r3~D&(EvRZHvJ8qj@i^03_<-YbpGIg zS>sxCz6}dlhrguN5XkYFDm1Z*i(J?1g22$%u-pjC`!vuywpbC>*4 zMu&D^;<&S&l;X8-f@ePyE@;OYcnS2`kSUGdl`r-y)>O}UL$`nE(Gm!(|43n=+R=mt zkDC;U#k7a+-^0^Pc&+~`exrGid23_8%W(Gk`&I$P46(koDdPEVG(TK9U-P3mN7gq@ z`XF;_Lq1Ki8HzNY~8I zJf)Zl{qm2|j|LO^c8adyRa~l6Rre!Wl;$(*kCwbA;1|90-RHmWyzVv`ye5~Gz8l2l zh*TW0?s0s~5a`2@)0dr2Lk#JNzIC~FO3S}kz^Dz z0FrHs1NZKgNu`N1W>oVAx)B4Z$w)+**Velrc`Q2(mhw#eflWa$b03Fgs`9TMkTv14 zSb{Tu@31Tq{fjArOV-V;9m5|Dxx(7yz$v*KZ2c6#q^Z zpElO9xF{R52r}g-a5un`2^W*A5wkC5T5d%vz{x2#38aY!#H9uSfxZ?FfFUP_S`4k< zIIybGnc2d++DEwoVl&nvhA{!K_~xk5K2tD2DV3F028kKy)Q}&1@+n15JIcoUov~Rq z*gDfDh-(cdUn<#UEY2=&AP;?j)vsc#1~q4%_POswyEDgJ=gS-Wt6%m?o&a1#trYc; zcM+#ud!6cUXVr=sKX8mO=yAMr;(quCrleW&&ev!}^XS9v8vM+3BxpC;FJmO+liV#$ z7TPLvOOu7ONZ-j-Gf`ctlcQF5GUTz8# z49YPT1r8cA-6hz@b-cbFwq{Y^;UcaslkaPtn8K=aSh7u8CKJUYGIBULnbAJo6LT4* zfx9VhqjK6_C>Vn|sM6y6r6!jWwLhJtyl-KHhv>uwjog$?ZtRlcG3BzG+*84=liO-j zE!0!H_Ik|bQ~Q`Hnvki(@~OYMQ^$i-2R0g57UFfTxD)8~P4#HvZsqa2o>R5yeg(Z) zV64nA$vp0?&N9{=f3EPx|S&f=40XWT0=1-EMSkBbo;NL_dmwjJKXgo|1SzXA4`muDU^E_ z@TBu_v{s6Q7ZxLmN@PWTzD6z>v0^VBCmfaz~PWO7($ zG|DuZV)*?L7rAp?gcVk~BS&#&kw$naa@oY!wn9lFD`oQS)3@(I)@E+~l?h9{wta2f zaCY;@#D#mA?+h^(*1X`;g;v>DH(m5tp4rjfj*Wv6HK_ z7qr9(mJMb0xqL~7V%nVd5~VJpH4LE^Fye{NmQ%WwE**u!{B`cNJVUIj2}%jtj3%c1 z;`7$X2;8tz$Xeg&DrnIX1GBg$gE)ni+m|QAN2t1TG0Q!3%jJ7yizwnVR^T_?25590l*8YG zi*QD$L1o#S2nf$}30tg)2iLO0FSF4R8`!jsR0IAPU;c%w_3^hB1&fbzwxXR+mDa3< z^*T3Wkb)KRjD^Q)MTU1dmo_VYTECXBDK})xRg;15nu*)Q2&kz>1Z^$Kw%PaJ&eGlr zV8%_Ew)(6I1#?A`mm^$yBU|+EG;2oUQq!n#MxsCH91M=|<-P_cxK&gZvgZEnyHVUD+<8L8@kyRau5G9&vVP}i4x!lXgiK!F%7%+1?Md>~o_hTX-LX30Bl5%rLCe`Q7K z6ZqXrEZm}f9EcsTy}Mo_^z>d`pOpg z#hR|ND<>rK?EdV4i^7RXzkUK)?;CsXKV!qr4;nj<0xlQS4>}+gg!%WH_QCMSR{ML_ zdUZt{M$VM;wgd+eTtF|ia6ffgyEt1)QopwzF=>*6l3ZP1j-ylZK04g-D(#@pkoK#b z!!r#+e+$ujbVc_lgz=b4)t=)tUFivnbNlQxMSLeQx3t}o7~2yyW*eJF8?N;Wa}1wt z>&sMKl#E@nJ&$CK3S{cI65b6mIDWGG#oB;E+g+D4djd~mu8r@~bKHA7uGPt+$Ov4l*m$voLaCH#^HvmPEK$An z1IDz(QQRc&+>E>ClVZp@t%zx)&;}i>Aaw0^m0P5SM~wcPjs9B7YYMS<{0sj?iQK+M z^bT%nW@o+V#A9*>Be0N#%nh|PyRDd7aWbfB4v0`6nhDjJFZ%lZ!Dre{0tr?K$1BRP zF%J__>=)^{6H!lj4o`h4D2g8=rO1%gGKe82_ydV{5J7L!d+mmMxUPBvS6}n%)v_fM z2G#GH`QE0lpy{(->x2c@$$LeeUqPqeK)2c!=To8&-)|3IS9P5k^rhRGqNo?=|B9f~ z$#IKCCy`a&sE!k{VD1lwUjNQdW*{EtLON#LcV1zY@Iy(DuKtTA`xKk%uC(8nX)H$l z>`msSPh{#zxgYzf4Z19$E@&PFwqC^0rSY4XjxYkRM#~~_I_KkDLu`{x+&!pn_Yk3W-PJ%N z~cD{DPBXy?^*DO zpQLcpW&X%z!NO(X!DZ2(%VMG{9K%%!Ur0%DFi?M4YI0TKbXEEM>UC_0u-p}1=c=mx zs&?e6Zs97w`0A$as-Eb&k>R>&@-3(R5x)jQS>+C@7|@J$TRJdSLph@3tC>81nwk3)3pl$eU{&YjFR;i_5bLlXyPI zO`P7MLw`H9tt^Ne8a62N_w@p1{LS^;$kmfn@JKtg%PHvnhnpV0xxf%UP1_iJ*GP<2 z+oiKCSl=3$zyEjJBt#b;+1E}@N(sN)OTGWcOjrP;&A*-Y>+(s^_1Xxv=^|+FP1qTC z{VQ8iVUCz&qQ5#bN>8}Lcbs10oI>BfjCq8M;Aey~EQVdaetG>eMpd@K9U#`DC=wTP zKHZeG*2!YE-%pI|15xuC);a&+98P4C@jW^G!8Mx7`7lk`hI>ctT|x;&aphK%hFBxER-_Ktv0BT-IQGRiJfsU zM$qKF)YMLUSjl6G2OxP1dx<)4iMHMB{r+B#^>9JGj$zb@$J~=WC4iL2D=r&vC^CV3+hY2e{NBC>&yRHQ5n#Q|w%2W%>1sXb!UHKv` zJ1a2oU|Mc%+Ab<7q2@8ccfu``UFJfLykF%--rijoAB?c5zYusl6>=@ou@$c%YCltI zB+_+MGk;W3<)Faa`D0w+?)%@%FJ5*2p_FC=U0q$@?FEsYc=SM+L&f?@gu2CA2qbUB z2C1|a#fRqPEX9Wz-MYm`Sfl*KTb@caSB&uFhf0hK_(6Ya;0dL`q*8zmt*Xj^SW7}V zy>ITYphWGBNcc&BLUZU21iC<~codU%`4>=SX?~3R-ah%5~Jgm&30A%547kmX(^&Qahucjl360 zB5eKa7VQ|E=zYGKX%Q~BFH1o=w?a>cP?gAd%n$JN0Jeeq)wMnHU!HhAuh1&Az^EKD zbphH-^E6sH%;=^sx# zBw*MS&;P2FuBB>7WZNxncAFDEz(1T~?UU%J$OZd|9lqC0731p8#j?ZSFEieh9GHP% z{a!VqL@1ULdc%b%J{Un#H>Wb4m2%KjYY(%&rfDb1ZU$6TTAKR^Q%kN%qID^ma+Zizc26;^&%~!oJ&MNYcF^%qq((E$U{J9_Hr&~ z&c8siUO=xi_`iamt$7Mx1@v<-|HDxS@R4x&arR^bT^!W0V+K+z6YV|ImE%8-ZE$J>Ix8-eV28sM{7+!hk>1oR?H-9!O9*VnTZ4e3aZgV6Qi14^= z-UULXTd9}KrU?~t`(5pc2I0VwaS0W}jjgte^*T_OV5Q|O)4ed+pf;HBG>%2Y#S>VGqfYGDMpT+UQ%XH_9_T5!oO=*$LQKMIkSbpxt( zA{htZ4H>n9q}!Z4B-B1CIeROXy}V|eL>)!BwM=M*U!2nei>E+y_Z}#tpTS1tEJCE; zp6>zUyI9uYJO^n4i6N}LT$o>69Wv|Pw4Qv3P$X*kL6 zD($dia-GtedPJleiw{}8OTSaZrfP1wIA>y``7}i1ZEmc#o5c>(e7R_Jb#@D({;91b zwWehGlFq8!LCC_yA^xpeIO~ZGQPFR)3l%^DoX64nvlh>BY!M^g+2uc%~?&X9@u6$enxK=>6F?L^N@we3Yh z{jN3Krw9wabRR=Hy3`6N1FGK@xS=Vp7gLgalKgHyPgh03a6VBRwrbxEio4WiJc9;> z{*vhyZcugb4qem!uFGf z1j<3JO9f-UK1wOpSXaC`9Z|dC1m`5}^XXYqA-Q1wnHucoK4f#$n}021h6XR6-Au*+ zU_`fu+NsWsy|B0dG;Aq)#8OuzPZ?DknAR)7&X7fP@^<}Ftv_@MJ)A_ z{ql$-6I*MuG9#4UtS&5kiCQNYMUZP@miUb+)lE@I+=K?gFvmJ>_jbG@D2y=_o}1Mw zc)h=C>{ZcIUuk<`XZ_D~hltmcUcG0cm7bz)OPS~eSacw$0#gnw5hyfk!WsS2S&iPj zgbECU>pcL?Brkf~++AG>${?g-0VIhz3~}s)+t&OUTS2%jpo$}#n*-#HQ-eht*b}i) zquJ78^W!M~``RmI4f6T@5mOPVCfmz24&`APQtPm}I9tHlK>IUosn#iWHS`1Mpci!3ceg4>WV>{NmMeRgQ-TsH%2raSH1J!Ei|S^CRSga< z&qtvbK}b8PpI|EVb_^Zb*k#bjXwcAqjJ}r{=*^vW=91B}`@oGrjqU*McsrSp9ZE;8 zJI2s^>yvVNP|0#TxvAurOLj6>TcYwNTTt{JCn@ylkQG(Zh9%CCqNo`<>qxTYwHD zcaJ^NkkhBh?t`pK`dctV5ckt)d*NgZF&Zo`1{~+?!oLBRiO@?k9#m@b5w=*^kWhQ| zNx7Py%U3`+LzVYpLaYi7461jysG3QznuSwZjaOJP6}(!DYjtXui}~mC(=c;m^cx{lIz5k!6!I`!7Bc%l0ViwBL9Oah6K~x;ShCxhP3M z7^D_69bLZluBNa#@(Wt$gku&b4ndy|0TzLO0!K}(&Ztw4?0(Y(V}Wd=Lk>zvjB?4% zB8Qqew7~V~1f%EumK(UKP~(q$Nrya8T6n1Lq<-V_ZM3pv-O7As3#Ye*>FXyKLvxPi zC;RwqrbM5k*F!W$X?5&(LU+%JcrfHV7_ z&ZCQHFo!9WhdV0Cbui}DgOJMOJaiz9*GDLc7Y>ORp?Yz$h6PTp!O|2 zxCSMX9Zf~9ir>N|7>e;L^-;0ns6Y1~AO z^0uMOL8q%?iIGGa56TlC_D~M=w0-&A?KTC(Wk=MiS)a5g7rYBE5T&>LNt?Hm>e5X) z(495e*KFoZ35=LWj2H`##(>*g-PGo7y#6`vkO-l=g?+Y9e2#(Eq)6(zc{NOAaJcaY zSy%N7VH0}v3@>DMSSN7*gpm@NF0eGtlw*4=^g#dEsP-=ZL%y`H8a>b$0bOsyGE@dwlD1zRS+}6YIjuK%QODalhiNrdTX#LO z6PyQjJ-D7i_eisLJvFBkg-w(3TR~7H!2Rgx2ib1{&m|4gy{v}vQ?kQ&&rdnJAdD!b za`s7{(8lRXNHX*wnd8_OdNTjbujx^=(mv|M(_+^1DdTtFJyG=x_lGwP79w6=M>Q|D zIfBm{e5sIMq`j;dS$^~!SQTa-$MgRtKu^nh>0Yd0!@dJ>u&&^j1F+YRsYeH*qB>Fy z&D`i*xo;lH@m~X855IhyX+00T2F{Q+&tA6^U^sK z(@`t!0Gj+3nMqz?hz9Zf40h%>xw zq6rpTzz%Ld{N%MTF$`R zin?C7i3);&-3d!q%Mk2g@|>>sdBsBT`{v*zli*I@U<%|5kJE>}3<&VPIvV!|ycW*0 z$fw2akC3z#^V0%cHDrJ3rWS`jIel1;rrS;AYE%K)_lnSd0TgrE);``=$Z@^->DS?% z@--)+FDG#}Cw>iYx&&oZc=Ib|=~t@DB)Kb7R_=U{so zod25Oc}vz3W6|5?BHh-e-(PSX<|yYg4dLbVu?bb7)f%(bTAQ=V0Q1D)^p-KE8lE$a zKa8jkc~wdlts?o^x|Iz-^4@?fj8gN9)6Qbz&Va?U`s!Vs7O8gz`ETX&@?2IP+(GKS zT+vIp)wQ&$9qQWZL1|7@uW|WZD#Kb2R;oQbG?E>uPkuZk{;dXMxmFf@k7}LZe*3OO zGO7VOmRqptusR&DDiUfrT5maSwrW+oYHeY;VK8_)reo^{oxWTp__l7#ZZ#*udL79A z`ETTBn?iYceDODdN!yRsd-6LQ)mEEt*K*EBxIVJ3p-8{Ev^gXME>o=y9R+MfEPr{z zhBhB`i#p#u-uD`k#)-V* zAT_pi^c{=7FU#e~;iYgvVVpxbo_+W3Lui&Udl7=_DF@1)BWC&;&9WG)x(&m(x+L~< z^|KDv5IjddW(!VP%E-jY*}O%b$9WZI1E1QWZ?oqIyU&)RnH$_|`=t%3!>q8{O}Y0`~0SFSH$BAjip{ zG2wygu`W%hQ=21C7CB%TvSj%5TAxrpHj(FP-fb60g?V#@MgN{J^u}t+q5M-tuY#S2 z_3fG&I<9pg?wGd+|>x- z8;yEBk#hs*Roba|J#`1YZiFE`?Ozp`e2yU+Vu+c36Ui2H^J_?B2Nz(z5!!#hIc)oN zvB~=nWFbyr&T*9`(c3O7F8qLZ74|9&TXeV~6pq!yD3%^)t11_ILX&IulC=afYw)hA z=O0t)kG8^V#6+tFrH<0i`BSud_PwgJRBH;tt8;qRTpq+HQZM9EG90$JcsDD@RtTmp zA4MNm;(iLuybLXH-72C!F6R_P@w?7RJG`N0&XUe45AQEAc0IKSMmP(;4iQX?QO=O~ z;`d6hrPy`f;s_`J5D0zJRWK_*eQo7?8&kpJ_3(LxUMVOTa;)Y}FDUyMiqzLKwneH$ z5fR-QajenA&LC1~VsXksQZG5y$SJOoZ4bzH(MWxzpC; z_irvVU`k4jKm(t|o{_f&vRd3i#}5b0~>ZJt{( zzn7mQlPrQ>(YM~-B&5@xEbAx1JkEKJ^HN^mp0HUt2nwZnD!ci8c=Dmb%i+=g`1F(E zI67}oEhgiM#b5Azkbuk zos)rS9I}z|&Ooy3$11eNx6vwEN75tbTJ6N2(MiBgzSkhL4}QX6gf;kNc7#2Ge2y#c zDfKMwCats%L3l@^Su?2s<2pu|E@d$G>JujdfZW;sh!Z9`O)D28i~o7A=W5*d<3F$w zbtkwZRHRzAR#Brq@tah&xb&FOX_?HCyPu{U!EfhB>43xIvIoyTJ~F`QK?DqviM?CL z9&pX6%_jhVl_V!5N~+4btJg<%pkQQI%p`}jlezM=Wgjsm4}ff?L7Oo$T3O|Uh9ZjXky4nv*CC3q!(}YP4I?fz&?uM9|Bspz;Hblz52A$ zpabzf;ILl{%1N zih5{yngCSTFc8?3ka@r}=zF{YncXd*6dCQJ?-G)yntbO$DWp=E8&<5psj@4rlBqKt z$L<42N%#)EbeT1=#E_)vY@-kcudJ-4G)dq1gKb;iAdGTmX)H3U{l%N&->Gsww3QuT zI@gbt-Q58Ka~>eCsIaQs+uJ{PBkU)ffy5g;mOAB=nk+qcybNaY(_)Y9MQ=*NK}hp2dVlHbp;`0AsP_jwzTL3a^$UH@FS5Pv9=i)ouwSN zCmhA4V{fY_!alkS1p5dVBp&1p);TXrm7nH;5V0(oFHoo92M5hKNyUm!zOdyffWVSUDMP4PTOHu9tg#AV*;4_O zWl;R?YwW2Uoh2nQdu9MF#V<}C#k)huqVigP$z>LO?#IPWaipQ07ooXXPN&9?>-!Wn z_N2>Nfot2u+h!VsT3aPHD0?~sB`xkZ%YqK(G+h73Qfl0i1 zoE??y&EnGnaosb;R&rh1Ny2oMyqV5ge>x2%=`iiOtbpMU+sAeL{?teGq^iS!Z8K|+!b3MJAD$}#WiVPlb+xW>e zn9FEpY5Pk7zj2**N4!C9l!q*hz?Q{}XvJECDO?-^IRiz8#}V>#{s3rn_86cB7!gwN zbad5ROPUlmlJR6S@@(Oe&{R2A-x5tIbEslc)1>JPqmcfzCq#g5j8)OeCvyrK5EXqK z$slY;$Gq8nWaXt@d>a!+?hu~Vo}}KJ*kHi({$BvRKtsREQ?7C<20(*75Tp;g1dAbX z<=kXofdC4ARYq7WA_HK#$YLh*MKI81Dl;z-(-`SAW z4z;_1#tMuOQ2#$##SoS-h2MOTIb%4>w(RhrgDheIkhq?P=CyX>tmPwfG|7-I$c+zz zZIa}8#|Y@Lpb0HxVi$P;(WZ8a&5K@BLs`mu2J@B2E$tTXR?lA!^O(hHW<;lX(QI~e zUo!2;>W=7?(eecmFyaWT;H4Fl6M%TjoZp2wBoK%zVJmL27uZ0&c;bC{zVrL>h>O=C zz=2evsmgJQZ^R)*pv!^nc?&aS=@un@2I~M&$;v*XCk>Ab;sO(+BGyq!U2t0HC z-4P6^eg8OY?*)Sx0s}Zq!%m?ngii=SuK{R)jT~uu*Le>2h2(wj1(^HVN60Y){QLl0 z4>4S?1k1mxMeu~j$kUTvQiapB;b9KS0507m4U_^vz*-k=EN}E&iM-=WI(we_fN_n} zKIAI*xbF{Q@-Cr#AF;>pVGM4>8a$e_z%S-Al5EzS7Gb z_V6R*`%$NQgU(-)t1|>m_r?Bw5pVsaA06rwhepNK_xQTEedqEYzen`%BHE5g^p5Yo z#P0yF^9oNvR`=wd3J2f{fe<-7kbZz80DM3g z?9K>xOZ=SUA=>Z_5#SP9p%LsL>`+dQ2%tdZF6_k6Anq^^_izB#unr?n@a~IRNG`-= zg^uc_4{7iZ#lsAxPEJ%(Z4U#V)@_8mwOk}VC{(~Pi zfiEJGelm><4r~ZqfiiA^lpF&v7~+9~%^(J`41bP-#0vm!VGHQO1&U52Nzx>d<2lCg z106&s4Z;Lw;7Wv2iZmh=49z8#3jt!XC9P3ON>V%W1R)iYdo<)dn#Cy*(I?{&IKLpYT zaAKc$;SRPU1xkSv0B;lpPcDxHFL$LPF@h==asY;*Ap_tcH?klpax*(pGUqQqGV((< z(jk43BR(=DN7CtZ5&=+h08|osT9Phdav^52CU0^zuMH@-@=AD;HKnpYiV`7)vm}s` zN@#Ncm~tVs@;7%gIBh5)E3+%zLps>8CnxAF;Ug@`kSqa2Knmg>5mPbMM;}!p0!at} zoFE#WYyeIG5VY`1TwoeXzyLyFAvHjx@-v=pVGk;W2C{dy{>%WdU^g}j6tKa4oH7nzp@e>cLjOWR6)J=mR_Qe^ zG%Yf8LpwB|n1KtxsPb%7P83W=Npb)ls0BG zKL>9Z;wkM4zy}17gszhuYc!OCPwlYO?f?KO3qlbFs{qifI~WHoHKIx7#3{*i(6H$7 z!gLWQq9S$)NyX_zVRWO0?>j58KnNg4p_DS-Q?crzeYT1Xh$NVME5jJUQ|~U zltA%QKdJQE{1X5G)Ib-sKrfX+M^!?t5mFYXK^#H@sX$&jA^<4VLj815HMCIu<3mFf z4iQ36OAtj>GzC~xRxz|zIkYd_6giHS+IZCIfK=O1!v%(P1pke60C<&8wK7?sv{MdiHL6N0D+6U!b~5~f}=W*V@nUh zWarQ;r6WDALx4t8K)}PSI-)#UvH*~FJ+w3MgV=GWug_y`yQlL^lj#LExqW zEyO6}C=mFdEn=Yr93^BUBaQ}kXM2NRiNYu{BPy0iZ~qxqDr~7Lt|BY8VqufQ38D&P zR_0;<5^fJsfX{p3(2SiZM_FW0iRYE69z$n-hYq*$q zQM55h6lJ)SM@s_bRlr1YJz`bjr~M+9dfDX7CWTPopFE=~37CUH2Gd;`qq4xJLqcZ0~p^4*8FRrHvW+k(=gaAbFB0xss{Iku5osHF=Xc zxsyG)M=<%5MR}A-xs*+LlSBEGRe6ASZ3?RU|40 zB#==iemQ$NXJGtzM2=Y_M#qDa`6Px}IsXBtka;GUcUf}GvzmMPmbKYwV8VW6hjzlL ze_n@o{D(wI z@TYc0MxF_)c-A?HR{{al(IAF`7iN}{#MqY(f_)fzM7((;)R~>P`J;&?mp|f;HX@IF zY>)0JrTgfIf@p|f&WH{|jof)7Ajt_GC|5@W)HtG(KFMBs8dZKeB{(=Ff;vZZdNv*v zjSRx1X{e3fh+=fEh@?3tK429Jz!40>5!j#rGT;^np&(q~gfv2?Gg>A{`hNNtq}6&@ zMA}6FtFAVxqj<@e005X?xp>N_pzEtLg0^JqsAej;5b?Ep>#3qj6syXxvzoWL%D1Dd zw!#YQ3L>xS`h);rx=3kQL`ihKs#%B5>xQ)m70^EY^!;9_zU@XH4 zeBJ1+-RuqCKs?2{%*Wx!&hiZ-&Wzs}?cWS<%fv0njl9epuip5~&j2mNk*&}gB*_(R z$sJ9{BkhNv8Pysj;G9L-n9bKXTufw*8(D%cgy0SYK&-n&)`Y+pTA>v%!Le* z!Q~F=`NmG^Zf+r{Ph%6$=iX1~w$CQJFXm1?>SXZ2=`ZxsB7@V9B-StM+>h(b!~bNk z{Ok|^nk4|mwEvp#*8jZ~^6riR_pa|YFYxTf^EyHX->yNVeHt8(?y^+!D9`fX&#E#H z0Xgs5*~r*OkKwd~(lu^u8<1FrPSyt?)pHJ~JH44rf(eqK0b08t0QBWjU=J7o1}Nbo z2q0cSJx6+7BQ!nIkv%DhPweV%(J|h-ULqAckQGIc1WgbCIKCETapXfY@EC^^Ju%dk zSqFu&j>=I8$Abu4^me~-8J7^}o{f}T4)Jgv5rPue)M?Yw!a{@=R@=hY5q%c(MS5=7Fq!ml0g*?B0p0WQba)QEOX^`B%4Dl7FE%p zd=lm5q#XG@<24^48hs-`lQOmAG8rT@xymy;lOs=`GcA(SM{@uKGoYfWFf}GMA5%Af zGB{mxHV~TC#q}kXGbV2n0AxL}AoKSB@+q-1+jvvEhLSj&-#C*pIhPXvm>w#56ZCzy zcC#|JI7Kl!IMC|zAJ?-?AcN*dlP8maxQ&;`tQ>A(}Mi13=sXYjs?;( zG4l}tB1I`q1qcurfH0xLg$x@ydi>vP11~lJU@F9rtbh+*!+7yx#_P^Olthan45X<*0TCbv?0{7&lg*JO6W-#8 z(4f(+T)TSx3O20Rv1H4dJ&QIiQ3YfPxR_SLKq#pLGz_adEQ!QD1OU*02EZ*`xl#qk zog4V=VY&!0KwDS?=ys<9Gc1K(x(v~V8*56(BsJm9LzG@wCe%4E-$P;ooE3U$v7SuQ)0mE z+P6!`X2@Lm0O+Kp5O%}#&gJLyQQv(4gz^bOiaeH30ftl+SxE#m z5dTd85b<}AehU$3;A8UvXkRap!7>8_iqt0_Z3EZ_lV_?aq#%PxIR{|?qP15XLMH%H z(_Vf3)lm(;aG{l2VG)qqZoQ$V8f&gKIGK~l$ zlq3`0LxvI7kzMd5C|Op*(U_f+a?VL-op#=dXP$aG#1>fvc)=4V357C9K|2r=6DY0l z(ux%b{TXP2fDR+*qmx!TKpMl`0u(PL9Ms@Y3q^wx00Cf;6#)o}_oltHVu`sx6&wlZr%HQaKmqXq5W(5}-pV$&8`|U<#4+XdtN^6k|jMn+I9L4@V3D!U_W%$ZErAiBP-41go5?thy>H z#UfdZafGn?1nqPITv?Z*j5@lTNPB8W?8(O}RqL&}DmAkv0oQ4OGy^bU1x(fPQr$2e zo!b*9B{WH@oTV@$B}9-?I_SOmR_t_E&f?td&~ndBcind1jrT)+k|m)+>WLI5&ja+m z5a9|Lo*-pYkvpV80m#VWbOss3v{5P{gt&7`xie}C+Kg2p$vCr;M?#{Jr_R|sVE_`ReClo;Lp)TaQ;hpo&V(|&a`OuZO z=k1V6Oi!-;^wQHQJ3|NUoNAMK8nT5+h}%A0vJG92ef;vzPk;UN?`?nnCndnlFw8K+ zsq}qMe+=QD{{YC0EjZwR2fW1{=4QbE1(0+Ki68<6h!P7P^_lOaQB7#hquhl6&)q55{%6(2fDh-nEG4{eCVB%Z_r zv6#UTl?cTsN^y#EiXj!Rh{Y_b(0y9$q8Gmi#xRO8iB=q=8PABuH2T!=r% z3z4K@7YG?>K^uxFf*RB*5q&5^qCkadOh%ke8;S>#!3Knc^#3F}z?3*YkxqtylAB~X zX-W~|00b!{rYxi>-fU`?zdeKsA${pTL+GF+eqa?VNeDs}%Fv%Gb*Xp?C`yQQhl+Rr z8X-x8YO+8gA?%_eACMYUrApPW0${2I2}M-LO4S{HK&DgsNl%6$p+I~hB)?>cuY6Gi zt%x%f*@+cD`*5o~U?ZIdNQMC>(ZBGhjAa90Mtoc_SjvnuA$~QEPx{A`036`5Z|z%U z74og8g|;g?VAU`p(UHZz%dm(YMhQ0S*32U0vzfXoi5h|dX;9)W4!c2qSesbbVivCQ z(JgP2;oA&Mf+wvY0zw=?EoNu}CV}7rVv_+R0A!#PjQ?e-Q7Y*ZEp^1P2!SjBBC`rm z{0vXv<%M_$5QsIX7q45{0WXr#id(dzBUP1ec~q|lW*N3er1Z!kb&t;=t6wFz{lxr1$VBn`iq zfdTrUD~1>#8lZ^815AJr8iz*_wm=AZ0kDC{a-_uxd2s<^3=i2ydjXby8k1keb?D~HmD{9=Rv zzdjKxINa=DZzS5!-iV+}-J+oYfEleA00T0bPGT3KA}r>sRm?ECTln@FdI7*n^Ye^C z*@PKH7>c#YyPc-&bvm~|w?WkXXNE}m-0Ai$>3F7XTrVULtLVt)8e4B8pE=&-UURAY zUGQNS``G^BSBJ0(8+j{a;QGo*!aklO7{Fl~sS8CR3PJ!8)^=v8JF{{YrWSdVa5%Z77d$} zBCQCa#2^500NYf=>tG-6*FzHa#=d<3aSwRvW+{EX2tjOLM+GSa5tcJk9m}POoWvjy--T@vZLjNAiM1%@aimB)xYLbT~M;{$>i3?GRxb+_a(hCDpAZ5l@ zEy6a%Cm}upPjiS6V}KRJmOMq`i8Es&YIi5n*b&tDAt5p%(=jGx0ufMBHq^m~JOYjD z=w0n+HkXn%hJq+PvnY*XDUouIXp@haqA8r>6MeTlkJ5a+&_q0BDyR~HFVigbQW>^_ zD>)`GwU{!$vNFO_G{%A~Ft;o)^ER?F9UF5k)G{yI0yon!E&+3ruEsU$!Y&laST{HT z1w$_-IRJzrk4FfE3p0cc0g{`SlK|r}5Mxd?=^__1g*KA{LbHwsaS4p#3n4Wu#d0)} z!3V4o3tyv31JIPM@c)!EV>0|CE@TrCQ8P7FlPL9QFzl$7{^X90xDf2~mXi@^bNN1s z<2`-@LR;}Xrqdad^G(C!8kwU#oZ~pWb34QnIoVPhQnwcluH$o@`gUTtL0hXJCL_|^~L_=|%aB*k$NkClWp7EJ$>nWf0X`lCrpZN(9^r@fy>7V}z zpzFw=0ZO0+YX6`Iic15EpbN^N4eFqHq@eUuNtcvKqUR8!BzlV{O8!GilPNwebbUe+ zqJHN}%=w@vilXgk7RppT`=k&oIsh=rqRiw>O%hE6FirZzC}3Gi+GK7hQGsX?PLl-@ z?Q~8QEEq5Jxp;NtLD$H2@L?r*e8x;KpJdRbC0zrYKQT`6PHJ@osA2QYL{@2~nsebf|Qb zsA8d05Rn2_FddG-1_h7-w?GI8!BY@urY7;GTQO8cr4T1T3|c0slxnGC(Wwqms+D@F zo9e0xD*skwRa?)IRa*rBUF95R1y*HsR%8{cX=M;3#{{uOT>W*cv-S{ol~)I`Zwf(M zClXjnwkyEpT5;-!QZYLn+;!~kP&HUMm9cUC61Pe!-Y zL30w(w?yG(U?yf{W@e?qXKHr0ZI-uKW&m-OY2Ako>14pFte+qg?RXKa!bh^A=H#lTA zA-@23a5e#YM!|3n$23MKapoa%7H7a2x4p*ZnDcRR0y2aXe6_r|!Nr(zE7x)_XAm-{ z0oLINK5;Air4WCSegR-}IQLT;OpF+4Z{;No5)ucTk#s&cqGOrADPz8578E1H&CL!YpUK3>u+q2X|s;gl30!YPW537k6GvcW&2q6nu9< zH2`9Ke($Rge-|uYOL>ELd6fyfx|1_y#E{o++d8Ote0iCNdzzOJotMW@_e(cx zHlzp0kC#3-sK}Mq$V2gZ5aAfQ=Zk+_geK9+v*(Mw_j|#&5X09X%ot7*EB`olVU{nN zd`dOSyJvbvwgnw=6$Wq@Ki7P>vVbQmk#e`jMFD=TAb#XG0K-588NtLcF$}Q`5s++T zW(>x00?ROA%D{(vTTGx4nt$;J6^!wQ_g8@b7l!-?fZ<#@0!S4KQO|aU4>6AHB$fEj=4TjK-KDEV3ZW_#nnN z05@_aRAMDI;fzmOOPuYCj*Z0*Fb#o#ZqYc6&>*m4F))E>6XR$S=J=LiauBHf)Nf2C zI$PG09UsJP6BvSwS&g6(dN!D1llU@^QHqxPC@J_T67U#go6;!&*>qIxGxlf_2bn4x zxsWBHoV0u#)S-Z12i34bk8A zQj=;kk0L!X(gTw{S%g2yFb*S>lOZ$QS2KjOGcg+tSQ8Ce6EsBK+BU6(ADa+?fDL`a zlukKP!fd?Woe*Plj;|p#RAW9&d^K4E$u=Gl(;bi2?YAPXH3blqAOqb78lh8`PnQ`u za_OB@ZoGC$JH=DnDN&eWI*D1C%+r`h=Q~BMLz{W#(?c0h zZW3)?6o_dyH2s;(iFGal(jnvO@|iA33{ zL#$0FwvIfYxfQ%F>)2zStIid}9_+`?C&;es%g*f0ju5o&?9ndm)9#+oPVLu@?b$Ae z)~@Z{?(N^MRNM~k<4*47zDVM3Hxr6US^f|h`bnRN7Vch3DFLF`L+o>0qW37DL*tCb7?Eq46xs zq)bXcA}=>29~Mq}bX)r60A})dqwpw^6LW&4L-3*#uQ;X9=LavIXj)Nf+7N8IP;+Wg zotml`rBQdP>kiSUaQp5nZxn?L5sA8}`ZM)IQ~&i}(WnpcsBt2yT9&C6`cGGHH>qkA zPAn&%>Iexz_62YYPOtOO2&=k^R#jzNAz`ezy0UpM_p%zSY&ERIB_YbiTp0)v%BojH zTCWKKSgjyfgcYyW>MrB;SW4Ecl=ZDx60pM6S>Ebi3vsSBp0ixBuI&o>6$!5?E3e_o z`NMRtJ)5rt+gtwHTB#5C#kH@oFZc-iT+zj_@a3=&n-H#i9WyH-+68!E|41e49RXV! zk8dX^JNrAk6*0faitw!+5nuB~8b;x=`L$otFA>Q9_H$^oyt`n|+q6%cVMwcB%e(#< z#>QA1y&ciH)Z4YZK&DG}$Lq1UZw3%)e*eud(1%!o0s|B-RA?X?s7Mb?C=^&?fFfH8 znI#zjU;{P)3IPQA6jEUpVkHXf*kV*!WjJRndqECf&@?C4`v&@`D4L<{OA85_2lHE-tJ+4E=6p*J&u zH6Wpt!g8%beJk4aLe^3lFdN{7(*R~BK|=#NfVAlWs0~jma+RuQghK8Jkfv~U?c2E- zmID0R`gQEtwQuL%-TQa&;l+<#YZ`?R`skO2At>S^QGKY%~1 z^~O3*p#lagtfP=%fMc4>+AE>}-8xbWs9Vg4kAPcl7~mO>00;q_-hwjVnL!|2h#4XZ zqYXEX3UJ||ghon*LJQ-|Fs=b{464ElZyca70CWQ~!p;I&B_xCrLQ%zrNHlS(9c#o; zLjc-)FFvs_NXA9KhUkSz*qn?|uFSOLvH&lGOmIPl2neMRzz9$)Bn@~Pz!6?}8K#w1 zLZX2fg(zbv01ZmfggitO6%T+Nrop141IcW%L@*H@ky3^J3lOv|7h=>=NKFfbFaiu% z5;P`~XuvQ3(gScs0&fXXQU6+Nwe?n9bJca%@XXtenHw03Mz>OUTZocD063tJUmyfl z*pQx0Xjt5WomMwVe;oBkg%G%;l}7ByF(?E|5k;txN>b>6XYzEH2nV8(selhN8!;#c zV8v|<7CUkQB#}rmiCuT|OmP4V#!Z+Zf(t$vL3AtKtbkkYh%jG5WH0veDvJ^&mGQlVjpC}1+7?C_;H zUYp)EFegCSlZ%C3Zc^8lPqcObYC|(tA*iKph3W$4)M$$XIqTz=RzOKc6^*v;TA^Dc zcKU9-^VWNBzIF9gyZ=!U5&^~mc7UanOiUUELI46-G4KKgM+kDs%hp72!UxEIK`Kl_LB=SAI_OJa3tjj^7{<_Pf5Q#|TriCi7$6jh8-NCe z(ZgYIBzb!v0RI4J01W`x5QjQUoDy@m!zAADi98fgP%Nh#>M2AK;}S{$2$+e55P&p= z5hF2*V*o59Bowd+3@AXvvIsIH4yDP@FOnDvRS+Nryud~n#|SninDHrTTo*IA5R$^! z?vUI<$r%YrH#_+xlAm#r1C=!fi_B3W%-TvE8D>aCUPX{-Oo$JGD8!+d$p>9pnOM}P z$WW$oORH2F0J3!|K{&6gO>2X_|&Ul%M`wNE(K53jp$>1cBiofpk!c zWQ;-yNZd^09>qKaNX-`v2uwc{suZL+Voy$}AOwXX06XaETmUG*3G_4y4@d(c@k!}0 zR@%}$wL&QislhGwv`~dK^{D`0fJ>DMlv4PjIt$6_R*_QxCzN6s$%w>{aH`YQO;f50 zrK(kz8c@IxlrFq+0W8XQK395@A!~(d$LMO;_kA>^BaKk!>X(YZ_%bHK42q!i2poJy z7Hk8!L_&Rm8Kyc_p-<6kt+Y2$hX$n-%xLFkF37FQw&Jp)8i3SBAzIRE#sJM>f)z{x z+W&{@#BP%1ZEt=1Tk_}=JNXj{w@ecv#?GaSLm_TFMWUObwh56e?97D%5P-C$ka_XZ zu4h76lc0n!h1SI`L%Lg-0+iRd>CJA4k{L$oa%QM$`>t{`gI@I#s3)=Pu2|+P8vMpL zo(KHVbTi{s(exL%%1mMi0}!DABe1y)F$BN336ut>_qL;oZ-wRC+zE3P!3!pFiA{Xs z%m^37yh;EwhQSPGV3c11u4jv19Ak~;%f&FR7>jp|;~5LZ#yr-TaW^w$ARBqbOJ*`? z{xr{pMB@^pD64{#|u-IRg=B^WiZ1~#bK_MOy0X-Gn26e6XCotj87A~hy~3kxW`l4jd2S)KZ)I)JAmA48!S=7e(`|bKU=*Xc z1Q>Uls4b7%+v^5)cXG-LQ6Sq>Ic>#j7xM0Sn*`s&-1k-*0Sb~bgfuKw$#sA>p=Q@q zI|rZ9W)KkYg*^P+2$Xo{~S;@$2rh(K7c4tVHyz{38}23gNLRIT8%{X9OTDK?H^XB#L2s$$c01gkBP!!(s#)^-mn7|7c z>&YrQklHXJac-P04NEfWjZ5vl$vx!(V6IGt0Vd&Mi=1^BJMGs1{FU0lh`rj}_ ze}LkPULnJujxW%c)r~KN^usWH26MXmwATLi!zcmrL2vwFScUlmNdJ6bvS7}RNrMtU z1gVB-Z+qN(KlI_xlKR=73=L&q5_)O{5ftJGr(y<8I3X^$y<|Wp{yCD*`Ggn=2>{3d zb6K8s8@4=oEoDhQ`ZECS!#&U1K<=x&%QLy&pg>-*K*LxAP~e5735^}#g=CQDLroae|=#Y;9zUmRBtjGz?p^E%b4V#EV z-S~+e;XBW$LZncN0!Txrhz+=yL-6`St#}F`1Pi(-i?c|Jwf}G>w~z`Qv9FGC#JgAv zz2FO0sScen48%|j!U>wAOP(XJgL=Dx1F;OuTDRXgjntsShM2@cq$XM%049V&uj!3f z435AE1mZ9b&`6HuXpV}K3_+QW5yL@d)HEH;3{6>)Dsc~P+a&t1k7#U_{CE{@?2qHI zqE9po1xymQaF8bnn1-VpDY+32>4>U>BTUhVhgp%0Fb&^{k>E?7b#%XMF+`u($H{`2 zfRs1QFcKtTj8nmlNjXP<)Cqu`#w&?0GpVau%By&+#4_0uicFKCQ4j_Rqc@2Yv#FDW zz!U!K698a_R=`E2(+xtQA8-4VM@gb643mqbl}mY&Z2uHNPid5y(}fBEM@!rgTQ`kQS~X7UMw{WnmU)k(+42%4&g@ngp9|;i!rMxpFy|puoD?X%~ly zmw9ovyuufKDYAM5fZq$4>H!&p35?Mx9)>~7ka@0%NwBbF2#h(Ajp;1C{20ExOBF&M zzmgf1fumI7BA1C7lzB{>36~tv8D8p{pD7}tF^O9c4#(N3ds{(o3!AC=Mw^nL&VtIh z`3&Ph&9M=cXww*QTbs6d8=^59q^ZHC{7p-H#>_yWga98ZTAUzp9EBiG=DeK9$(+qG ztc9SSwksVy3m%)K!VaTn$kjAq(lNGMjE9@N*+Gc!(l|yj!@D}q9ab?tf7b|^Fz~8`XL`erH+{; zR)S2Su+uE@(^|TvEi$jcs2`qrP+$_K_WuYLDmaJ%FeZ%)Id2+(XByK`nx;glrXU4S zbmEL|a;BIGr*SGLpa@mXSf|n&CZ0GDd74pKRkRxQuZWsXfs!kOLa5DxD2igJU-i|{ zqKJ#iDAMex;|i;>Dyuv*Db^{fqpFRhf++-$saBw=@9Zqy+o_)Vsi2xKXk{sBeJP;e ztIV3Js+v};(yFxSs*OVkWhE=Kx<1}hE6uX4bS2jTfUAKjr~s|2yNWM+eX1<^tAG_O zk%G8=-6ge1EE-%a=!zO%xU=|30mx#vz(}ptI@itOR7>eB+=8uE&5YB^Sj)nUvT3bh zcs&)0EqkFY+Y*Xo)U8>qSwgE-vHxf;{z9$@2{E4?iv5Bv1p6=7Ag}gDi{fwz)3!*I|<~gu#6T33> zwG4Z(HUZn{qZO|e3=7i?nNE!;1|*-t|<8B;RJQrsMau^a2L zU2)tX3ku3*T(-E}x;5N6%d*XoGAcvcD+>zG&5m?iO3qDP6hmB4lQR;7v%+xQU6Ebp zTH83YUDegJKf|^?vofb+h}{h|%*|cm^)1#dUgS;QFe6C)+Fa#*-Z?v7jes%gtzPTB zUhK_Y?cHAP?OyNwUhoZH@&6rP@-1KUJzw-qU-eyI_HAGHeP8&EU-_M1`mJC4y46rKH?<)-XShx_{HKNwqh%8 z;wNSXF0S7h&JGwWD--YnD9&C?fPn#Uf?Ie79#&&ECSzu>V<^^RGLD8u-~tDrF#l*{?v(}+kY7b+WJku{WC&#Iz2q{^U`=7f#^mb#p zs5;039-d`b&;c0Bge-tDb!KOGPUm%IhIZ!Rd*t7Rb+~$USB8$E{^AUW@u5Mgm+$L1~%vk z{^x$?W|U?IdjGcPeV%EV&gY%x;Q?UjWFUfrW(Ht5fm(k0|x7CzUb{OX)YFMg2ril=HZpD>T>ps2aG_Ic!n(? zWoE#J7JG(F@BtWG1-@p6T@V5?)`krr0&r%A%D(JqC@UBcgA=G~>x~AV*#j1^9cCEqiMV6hU%2Z}7)R)3Q|gT{geoQm4dCG|Fa#GL>Cx6~aL#Qm zPVUzxZPV^>>|X8GhB4T#4RWS!X0UDC_Us}LZ{8+u`tEJ-K5o#)a^+_3GIs6?&)(>s z?&`j7Cuef*p6~BQZZNm$zee%wJ@52h@Ag*m*JgA1?(+J!bNtTp{qFBWE^ZSDa5DaI z1OG>GGFEUd=3#7z>=B=F2$ygQe{0ZSMU6-vQGkOV;^AlzYG^P4jA908n1McEhCvu= zPWSW;p^aPU^iPL%S%3AY*64TU;X4>=e?9?>V(R*aF<(dm>MrAF?)6`9Y7(J=ozR@^ zjRpf?13L)7Wq<8KZ~-#L5Ez4nNT%LnPiJ2TcQSs4NUvTxF8AtX>STxF9CGA#f9~u3 zg#!QuW=Mu&{{$Lvv1@noXrJ~QyX(ld=Wqx1*A{hB=VoGffKph645*kec;!uoc4-el z$W~~DXLyIthF`dZd3J#^z5`c=u|j|WdRGMxs9s0M<5N%dRj*!A&;WWba|^%UXa6V! z0%8VE*m3P0`I0|*{cZJG5A{G8^-^DXRcG~BcXgjm`dJ5hTi@YbhcN;GZ8(Sq^k9am zuXU&%_F~8FWJY#zU-o9dZS9qKe<$NX$oFX2_h-;{X5ea}RiMUw1ry zcP{38@s)Raw|6qecYVk9*Y0ZS_;EJ-#7Fn-P56bE{Dg{M`Wija+oJ$O#h6RJQT_x5f{jYl#J>OxC54^-a)_u259Qn z-jHjz{nriv+9!T_IsV(P_(zX=awg@a=jLC?01k&`t)>m=hxKT1`-z_AVgE^kAO`gk zDD^Fw;{lLzX7=`nF5-Q~rfEg?w%u+F#2=d{=h98+lRJk(b$dV^h zer(0b!Lyfl0)Z?vq$4x~9S6XOVd97mkb|%=II*&)FDpQ2V$G^`E7z`Gzk&@bcI-o; z4WkhtD6{NPW<_6;jT>#ivq3Jh0RTx%BS)uDr81ja_io;30S4m@eAsT{mA@ng9>9!o z;Q*5fbN!VeR7bd)nDnG*@#rnIYNdJToVBIHXeHDpE0AyitB2A=tpEHRnpwq*YGc9$ z4zf3Ckjd1pJRXMFJi%LkLI1L_z~a2`L03Z!CVeqK7Va#2;37v=tZtOPLS@3~F`9Ofp<} z5gk~ij$B+A5H zTtpZ`qCr?5#Tk`Zp{b*q$cf12RLp$mj0?}`rq!KT<%v~|BmW6XC}_#-p+qB?K<3d; zK}nH~TLQc!3}_CS_za3Ft`tcMrNFnGs;jcvs;gKPBHxy(%~VLHn+Ex6dN0lx;)tN0 zn4*j=4jUt{#DWCP45J;J3|+?>tJRQ2`iZ2Hdp;SZmxflk(v>7u^vtYX<_4yoOp^If zqJZ}Ik(lVNIT2iLk_D%n-)5;$w=?DWZj*fe8swtPG+O9t`>wR^pMegl8>Es_I@P6R z-TGvwpQ>h~Z@rd!s<;<|9J0tGlMJ$jA)m@g0R#{bpKaT!Lc<+tgee(ISWYaZ%gpGU z^R_Ta1Xrvp5tr+qm<#}lXK4^U+DEY^#B*xn={S=>u>W;P+e6O$tO?V@Ii2uGMt;|H zLER}gQ`%(B>`<7|3EAPHWRDH@*(=37Gp#cbBN=-bV&cV8$@I@PR4iWhR3l)f9Vj z2P!bb7cuz2ONJ;aBkC|PePJR4N)Z@`45N%^6k-^8sG1TS04s1@4lD*>#$hz#Ayd>N z4LpP}kfhO!(ZFFF`_jP=hR{zmAy~tlvzjQ*B#>tm7{eU(j3+2!8N-;v32i8!Ld2my z){zf0Mp(j5))5qnWF0G8>B{sJf{K0o$Q*+b%K!OLbSzXMQ9KAj5t8s3CPZNiU${#d z!myZ&^FdNh!UCTL?~iqkCU0@6|=ZSY<`iA+kA*5 z2icK!5^|j#spU%OIhH!!F^}WqqaU*ZPj!m1mxz>JchtkEMy3jLkX#8oDS1#!Vp5Zw zgv$*G z!Hy0Qg$Z6tBA)tmr#*#fK|W!E2LylxCa~e-ewvh*KBt<@P^Ckhg_NB>)eb#L1{+wQ zgi%b*Pzx1tQV7R0lWt*>tbyOQ6IrLc#EX=2;Ul0SMxzuoogafx}^^kvqOfg5Xr@B3N09d5Lx zJ?%@LQPJSGmbOMks!~DX-5=6+q$*x9ixE^(2^B!42)Y~kI!s%YaLtR z)vG?Wstv?yH&ZRv1zI(vC!*_G-x}7a-gVLV%oSt5I@!uTGqRWM>`P-lC?qygw)BCAYe^qwe;; z@!aov13cgYA2`8X`Ty^NFX!F|U-rI@w(xmBJl^h}IK>_GX^|mZ;OWlzvFW{WW_R02 z`Bpc_OK$R$qdeXpPr2t9EpKEjo%bWv?;rQyXW-Ze$6n_g>)6MhaqN*zLfNv(&a88e z9my&q*_%RSWgJ^bR(*)FXUHm=Zr}U9|Ah1Y;au12bv>VtM?=8|q(SkWk3(_FqUyHt zveNsg!_y+jwH-}f<>?gvvogMGyM~D?GtUmsszP`uGhZa9O?M@=J0z% z?6rLtUX_J${~yh~&%b&)sw^%Z{%9Mxb{JHo^7(q@k4}0#>wqF9z>fg?R@;1wehdd8 ztir2!3%8T+BLC->qgwmCOPCr2Sa^T3P^&S=Jf2#H^xk{N^ySF3EqcUm$Mwl zU!Nu2C>)M75x{&G5jgr~ow|l=c>H&tx+QAi^6tO$?*9Nxct)!J4$lwLdG|?_B}`h_-@iGQ9$)y&QeR$~=O8pu%=<>#y? zTx<@`n;QC5HlTAI5VH~F;J}H|0(8kqLqg;`jUq@J86{J%QA{E*nlU7;2#RsbiH8S8 zPlx;85KrgANQI75L?PT!+s?7f%5avk5<*gmK+x)pz00H@8uI=59x92ww1nf;DkIA% z=kO|5EgKc117=AB1mG#A>^Ml8t9L+R)!FcUu-JXPtW}|Cf2r6@8tm{|4Fe!Wwf;-0 zPvVSQnxjm{aE$=NB_K4&l#u5Up#9B4!dV}-MU%%VmWu-?%|_zKno};Ve5H-Wl)DHs zCsD__5^k>(-1sDY*Fhv6rd@krg->#49Sg+~=GNso^o1{oo9z4;?MKekwZ*|_XW zlk4&$SEWK%^?$CHI64xJ11A0nEg=CT*M|tu#BvJ^&|>v<^4GB>b2YjFwS*1*r*6Up z8-N>$f5%=F4uXH_|KioZMFwWgT~FsPq^}1bG)+*rtx5H`NcFprXZLH@u7N+SiXa?C zZ8Tz`n`X6+RZ@4blP=o_~LvfH|41f#M9oAn>l zW%4}w3in-AC6WvaU6l>pR0R7}z+0*uFg-dzw}&66ENJA<@5rOCiB7shq|s7#H;5GkcCd{WNSi zUTr5QT?j_IA99xM^$)BpLKH_Nseno3{O*X8st4d|Jd1u19nOzft@~s90XgR2NdmMI zGdhKnZ3JBbXTw<6YuJjJfO_>D0dJj%0wl}uCG2?RP5`T@e53&N6WKSjH2U%CV_hh!RY zdym?6-HY4?WF5lOJR%E)VqVphw0b<_fJKjOm$(QON$(L? zs_u`KC2)*BF2uxfV2Cb7#1+phHOwPAQk?aUvx8@X+L}$!PUQ)P^&zJImuFq1C!v0a zup|=SvXe6GnVL~s^Tjhwc{g!Pq%OZYk%Lr3=atRll_?=yP4zWRv&P%7rrCP;iTmzT zmckrjO>U+av9N}Rv5ufGauCt?tiJ|gupNtg3wYK<441%gTF7z-!kLEXQvY`b+?yWJ zyGNp8x!b+{b%ZHW4G2&AC3^SFCwA0_fwV@z2x{hn#>>eJ)gYI(*3O0>hX$-M3|u^f zc2`h4(7spk!bEI*iRe?ioAt3~(870&Wpq_(nR~K64%%;CiTEKEJ7VogU>iaP?6%sJbF<`L@aWMcWZv>-ugP$=(j2&Mq-5Z zan!GNimpkE$tsFn=8oTp81Q*QM-fLHHmQ(zYi?9*-3}xI|m;{ zJTT$n<8k7k%olvQexk)M2-Pp_c@9YlJB!0FmOed)NDa_B72yz9-YS>?4H)3U@%{me zJ^l9c$LBw_h+m(+0mXL-o+PYI-`1w&zx;21$53GHJ47=V0vAb!{*uJhM(~41NV5dE_mDU`%#C+^P>&$zjQ9Sy3yuwd z@j3`s-_>_WriW>r`~Z_JZtcf=u~5qb|1waLYUYB zQC8eOydC`gv!;kO52(OQ#BRAtaFpip$z%fWU7A4_lFLpl0;rZzc_Xt?^8(5u`;snX zEssQ_zoL11K#c3Jl^0Z_uDw)S3>FP`RJqHuAuJ;^?yMo{_dm>Y^%ou9Q4Ix{{s2)w zD+DPk1L#YGNPdJVKSFjBp;(w$FQ(+`I2Qc^J1~EiHb_vEHJ02pzQp)DiIFmjrl(Rq!8c}`i3P#R4b244kcs|NnbBaS|C()=W$vQ!YRh1C2wr`} z8J7~O$qF+x4boi#+ydN6w720-w+U7FPh(^AiH*J8&Tj1Ixw3gJa?N|BsHA4ZUPs!Ey;|?rT6;#DMxR zpWZN;Z>^U;1Fine@&O8=s z`sVg;Y{g~ai#`#FLBv6Z`-^L#%hl22VV57nW?1m_Hsax&qr^pp_*;r_2u_F=f8|jGAm@B3h3*BLrvDP&@Z!_wPP@mM68hkApu{t&l-J?DIpuMSdwvj{|M^7_ zQ(K0pg4c3?;N7zT(RL)1OLVMd{JTg=zCy!StRP22s3brTy-p7JH-CvFte zOD5&)CFNX*X9Yr8^&d7|SSWX-Sem7dhsTzO#UgO>bOTH*`WQeQG>-)Rk3sEdU5Thp ziLCqjx$Z$^{j#Fum7`?hOMPNwS!ZONwhT+U99Xto5{p~?;3^s?l&VZrPzKrYB^j{l zYrk~mVgc^cL2L}PQkzm6=^;&=D*Gsv?;micE)|5-HwynGAzV@6Eaj2`UT=C;Qkn5g z+5K29$4!IZ9$Ot9Sy7!0zr%8V)mXN=X0?>?jdtYxCN-S7eg%xvh>WD77enHgpIHh$ zC`c2PeONej|8LdHlwSrFbCncwys~a$*}wrie~v}8$93hZh^`l8(aXi1wL*W!XG0p6 zRbMQ6%g#s2t|$ZZs`cNL^1xS`KJ~;s1nDoesG}p^}5=3*S{Ap9KV|uY<}&5 zy7v&1dbSfWKc1<-HLKq}?Fq7||Dlrf^`08B{$Ew=<>_M2@f(Fp$RI#~P$Aeb=>Q=X z#w-zXk?ur@qo8Etf&*wB0vT9#$&-PEPau<_6H{#BAI#vkEcDe!@*5^GT1r6KEs(>p z{60UNRpBY5}Y6)bYgzB}rtV9a$n|K;unZQ(KA*7YliahH&~YZI-32E$&= zH(R444$UW?DQzPRI!A!wx(#k>9^AwB5Vp zxgUMBz4>3;1KtPs|Nj1Yo@27y3y^W8lfbm9aE&v-2wOd?9Gor#i^-gla40q;t0KVL z@1%FJ)l0&S(aqy2x{5VN{WK_%jADBGX!CBelvlB=4%#5xP&`oF^u(O5bXu2-41A(n zkc6;+n1ve%X7c)o1>yN~d}pMg#c!KrM4QgMl03zHE7Iy(#4f!j#u&lKbSp7sPlSYO z+;q1r*(vBLBl)~VaYLy~C6r2-DEv;&g9G{K#!(#8w02omU^RCVI}R|k7JeJ=2s3nL zC2+-CSs3#^3Yh`Plifh-&0~c{vYs6^EFA}bt?YBJNU1L?!<)qn6 z0|Q3@N9+tK6nN^00#lux%b8k~PVi1>}Un0N7!ysN5c6Yo*W(SHd!$6+> zj)N7CZdE}{Nqu%114k)q8o85u@zaQi2s{K4_;UeNeqU*)B*lcotD?>%BKHZ!QOAb! z{)AJeWHMXv*0bO*5qR83vsW$z)vG&R!IV`nQqP}Y$W#DcE!}WiLU{TRfYIXYp6SgA z|E`o#8A`&H8-~BGi5zEVjOfrgR%ig@U^En(iyN^fNAW_%{~WSHUn>M@FnbFvKfgCQ zNA?g@-L05$gMEy(O4p`J@P z6L=Hvzq1kbOJ+ZyEh(W*){_AY?KguI_dg+rlRzw3ej~h2O1+sRh;a%J-YxPVpXrOA zpCZZ@IQ{#f0C4R0nZ&fSlCxc{Uu%Wov+cK8{!pm@oPHlj(0^)xaDf@i^26Vfz#y;< zoT(qH<)EL?9Cf#3&aaPwD_wr^hqDMRT_6r_R6AffZdLTt&mvaqxaqdeDD+ zha&?7fJE_GWF5`!mACv?8!%%+e?R00dqPXKXm_HTPkQAN;pX)S0gTgGy}Y68x+5@)60>MLP6Wf-UChsM)`F9 zZ!vi4!y#E!vfx~i{8dd3#wLF-4rR}1CtB!~ehVps8s}e;Z49VND3Hk;7pv6jQA&@T zJVnm)SEf4wiCzc*GE?pj%4O+{V=K;~*x8h%XUF&_l)@Q*7VpV6_R*AD=BbV+lN+K+ zb@zsfp}177DlXXDf%Zw-WnmZz+gIm?jKgISn;Q`6kvi)>zrJ_z`i!HJlud$62mNyRh zb;NAVQ6)?UkWvQxh_`iv))=SxE?WG9)qa%%=LtJFAXX8Z1q2J=Sg9&i#+QA*SimL_C5w^IWkd_~miE%?9EvtIsb zK=Px9qA{Ypmz+I-;DgqkpyEeZawTal%L7mxE%4bMW=|HHQeWamar)w}uA6|j!NUpG?ly04kSXe|A9i?^*XljA+ zzvP=JKVS~Cq)M~w1$%kK0#feGfSs`LsegF~SeQ|n=!bOMWJrtMZ6dq(yalPeC3W2r z1;_qZf%d8n&g7NuIdabn6LEmah32?xRV`FsLtVxA%)yX2mqF)iK3mf56uI?`1*u`O zx7XtEk{s#2`SX7^$Xi-jg=B*aAV zIWhnXBDJ~EBJ$--Ig>_|#(W~?>_0-EGp*yVKKL-z?zN25_7vGgKcW*@%J%Bx)~pR`}mNASz#zhki+<8LDN`Om>?W7Wh=j zTEx9ZG}7VPW-x6Tyd_U#M~g%Z@RKS_p%1N(N|~+a_1`~m04kqt?Cx#FlP41Rs&4IU za}-3%*7Cgk)7p$Q!3<$@`7^r>BsL*6eJh!2*J@s`Mc`PKr)gD;G3O#fa9fp-Cp|ak zD+IhedHTz#iV5-FFLVQ{-U_52QhNw*90anCe#x4g>*eJ?@+#@NoUwEOxOaRJhV3(1 zrb~4=8lxvG98F- z;YG2&h5vcMh!40nD1c~!{gu;%Kh1?+ahcQH!SCW+1XS0I-PQ!~Gyt~{N)deha0fV` zlvQ;D-?%!@T$ZLLirc*|{|(;!_a5bm<_mWL-af`CAE89hlRQ)ZlzucW*<1g;y>an@bu^7fK9h=B~EO#%X245w7Me>gEX6HGR4}dg*E2S5NMV8wPO|tQF<^ zXzGu~*s%?{Y$?njWoLh!aIO@lJVSDFu!N=5*y&g(?l{{0So(}#E-3viCT|qyS1mB6RAJu~HhMw+Q zW9|XE9F+7-fxNA zzc9!@yfP@3@{jMz_Y2 z2{0#?iXStCc?O{RXY@2nB@)N^v@U~{aE#II+rDtmi2QAqLZQf)RgvvgvMECHZ#<&i zncSs8pwbe-ga*!8kC;zY$O2DbtLi>g&0}(>XCZ4TW;?Q3LUOFt1Re~raz-%Qfip}E3L1RA*Ga(MU4Evu)l};${G5}hSkYy9*QN^ zt^BFT+MS011}S@^DHAdYhx{2w@87d|q>|E;N>7tuI_k;I{@R08CQ}j@S21?V^f*mb z3cTujn7U4ub{2%+J~=agH&c3dS@CU_SxB}?a->rT%B|*!8{+9JGh|3JzjtzOkT5!2 zxE-HdMd$J?O}H@kZJy1g?81K9!pj={;G}0ZZYxj=(pc&Q$?0LXg5m;RS3mLEXYSDKAD{s| zu$jW;cXtL&krcSwQYj8KrP9|wxXP4u)9rV!i4N|J_Z(gC4KQ$H5+ikaxRIrj;si)x zBu$G1)v+z6R^YZc5&c({snDPcqIm=rr?vpb=TzUVK!!dqP6X)kYn2(;A0f1S- zoLNQIIoZocK?d*U0%$_?-US9_L1orH>|FnNxB+0|Tf@|&m`lP?L)U#OfGHnP_) z-XYysSKyi}zNIS{p&QC;5#7efE0%BEoe#P<54c|5rKL4_)3z{p^S{GEP$deUUL##j z4_Hs4Zqsc^6C<=F;5}`^sQ(C(>sAeu+iQM@JQX`>FHd0TJz2J|#V=zk()Q~8W9f-{ zU$Fq`B`}z_pTChsWIT8p3dp}dV3a&0nxJweX=IY<0=|8@J#9=4oD!liVGEj9iZOaHG%Nj?Pn=hOG+ofm|w?7%Si?~EUCi}oLj zt-yxqtqt_${w~DTpD#RJ2%bmI*P$W84!U|wTK`7lGxc0#jm%HeOahzD-e{x*)^tK= zD+Hje%ra+X^1`Mx@ZnH7OOyj#s}GwgioO;VArtwN{5rTmpIyi;FFmJ2(&Wj#^dn^P zPm#TQ$~#Z;X8EW;&-4E}%YXAzqM+m6*b#Ct0yjVPY>1C;+YB@DvtTh#n6&WR6#`$s zv{m2AEBuy+Sz#|a&nx=90RO&F1hFfoi2MWO7t?c;Fy&{^*p+a8D&hU4!kZId>Zy)_=Vm7ij#pZuN};{qZ>F)3N2uG0(RQ^uckH))0gv1Q@Lr{MBSlcPT2% zVlmB9hdcK{O;Q3ps5h^oRIJF6 zRMk!#PdkMa->6HSly@s*tT@q(jIWdVI>lW(6VadJN;|*ycQkNf+!ou7L-Or2%?yLw zPwqJW8glYJ5wH#F){}O2x9F-EMe0YP!e(3$U{~1$SL9H9;)%yxrC7#^=*TVifLf{T zR~|`>o*z%dUI%&QSBjP;VC%NL2N{J%Gy7&4PX`4_3l@ET+u|i({peIhzHa&NpEzyW z)SqVt&`@B>WQ1A!v3FrYQfq-9_uj-C+F5HDNVm5hMas-P>!vIaHa+ROc{$Tqg!G7iXW(EX<+`CoTl7#-*FcQuK*Q2Eu4qhYbZ>92 z$apk%h|zs?k+k`0u;Wi}-Heat@Z_%G;H{E=Xw}fAbRf(2f^?VoW%S_h0twSU62Da> zo9(84&kRv_qk2w;S%X#dnWBtN!wW141r(9x9`e__XH)c|=_cgwuEO?<_55*~;WAI> z5@VM16osv{Dy)=f&+xeCgF7;s0@cc)*Ho0MKjG(8#!ut=gX4#1$C}xlkS`s} zjg0imt6w8nWyu-*owE;)a+6t^wOZ&KP4whej5Yi?{k`?dDku;_PE$<3_X!nbuUP~O zJ}iH9GNb+Yvz76pPfKlH2iCG9{x+mJUiNnDf>f)zzg z3lc3#64Ua_dt4TGvAh_O4@Hr+Z3 zT2f(H7kbA;E6jxt5G0UKgS*rUashz1&YPak0?=s54~u37jrDZ@K0jI?-?oiG4T&>8 z*D6vks<(cVVmp{^X=bpwY)#>C+<2D2ZI2!v9` zBtH+6Ds(rG{Cd2-UBvYh>Zz%fj5D%UAz2s->eBKt1K!5ko}dIeIj@Qj%#k~~97p}S zemVYzm~x-jp;@eNTjb3#9ClC!hC9|!AdiV`cphlGJ!gI^S)!BI-+GjjplY0$LxTDJx@?U1$CyP!M2#Yg6|%$8W)Iws}nY*2aq#@_r1^ydbjg@!kIB zbY0UP6$$=Q_B!5(iCtm(dHa2zW$hK)4alYB0NXH)9|K=-D|1Z+Jmh1Dg1|9j>6p|x< zeB-XM+l&eTe)Z*5yp;skfsCsLz1U%g9JQ}V2Up-{hsjtat8evYGa;|r=1=TiO^#AQ z#~X`L_VORElmC`)+H-eb?~$3#8|!s8Nj_Z4e7X4YbHK=WlaGs}c-@G4@Zh~(b`TGa zGlNo*l^x&Q>}!5Z~dpX0pZr`-7cwug=o zuc6vxjHcqAt79M-l){e1F+gj+d?!!uPQ!v&m_+d*7?0;F-@iRrcb%XFrul$eA$~|T zL9%_S@A1WmheGIKdA&o6*Q(1B%Lxf7O7oekFnCgBaSq+b$!RFnW_<#twWC?0531MP4DlY zqbW0GJX{7B)CUayS`(K}E9XvZxDN!f81pfw--4$-`G9oH*F}CnL=jItb|*f>+j(V$ z)!?W35fjUx6GmbFDx?~aAt39@E?(N-6G3SNn&)G^0tfJ*j}uEyEkRv)tceXjA{O?R zF6o%rtcA$>qEWLqSBb{Mzd5gKqf#M|nbu+cE?b_hpyKZ*91epnmH4z~;A2LHbj^cIAj3(yES(cM+6#T#xg&YzKSa_C#c+qo5!eXiDh+;5&nnk#h^!P6OLq?%(i!VWt*J;74I zhtz)b-#=BCFIkAdhYKR8k}6(O2F<{lHis3sV+2IHWt>I}lg%kr+Kf>_8Pn6J&7H|Gqr07AHVj4JX`3HHV-MlWGk|JrxSjVu7gQ0;XuD$Yky{6?$KrptpP&jNbIy3#4X zRrUTkz_xq47|}_dU-#i|O!#`bN^#vE1u~N|4tTTvYYRH`gZ5SW&#d`)Pj>;O`^Qq0 z(ay+oSU-6yWwtoY^MBrKYWg1oAKYAX;$0u?Vd;}URPn9D7EJZ$l9&-#sWa`pY!^#`JRCJ*fTn%zxb7(6ey zGSBWHA`J-;V;=n)Z_u>j& z+iO?uLkxYr_0qHQD&;tU&e2r#URs6F_u1?HAHygn z#D&%Ls4Rv^#|6Etq{GidMMEX6`8=R*Whr_;3I8W#T67%;$|b5GG5Vh$-|%4UJ>J`sA@d8a7y@%w3;{vu-=FA<&w2k| zlk?(@)@v*Q*xSia;p)-L19}we8;>EWZo9j#c{ZQ`P4gdgh_53fzujV{@3Y>`K-dtZ z+TQ;?q`<{L3A+5wLY)!MWPJZ$w3BRFW72U(!=L1K9EU<6c+e?QgFIT9z(8gG;HV8R z#~P)+%5V?K%u~$o6`*Yk*VM#D0!JVKMqtcE@W22jHpUV-0SzNo_Cw#`7%v4b2%H(9 zEIjrFAgVlVy64QRT+A^5r{pI%e9EG^$__oV22&JcDP?GW#hba`BrG#&;!(8OPHL)~ zjQWr`O+^}Q&o~&wiA_$*exAW6NQt{F?qw+~A<#-!BjII9yneg6!w&U>!Gs)Fz*;d5 z0>nzgMA?9Ni(DEB2AB_UZ!gf{--hink%6P+0d$Ba-w8n=k!j|N_?0A(m#H&l5G?u# ztQnwyV{w{!-WLOC-D!%t3<_~+z=%ZstTle51rqolRofI*a~4tbg1eUFdId!M6e{I- zaYsCy$k`W1Kxo#qKlBT?d3>HcRvk_l(6Snq)msXL2!^L+_jjMIM66{p#p;}oVJJvP~8B$j;QC#V69jN zayV@#Y56 z83q^F-#N4TWw0|&->aRcGQ~3vzh%^Hg3RJfn_b0MlM7_DVjhKZE_ktipu9aB@f?DE zHfsjF+m+|c#?v0ddlsaUswH_*Qher&XIYG*gn~3DwmMYGJX5|58Pgx>yo11};1bpM z#b^Ne;4k`#%MjFH3OMn6Y@op?+(Z)!EPS6GWSki zv6X&_T5Zsi4kpSV^S9CfdQ;;l@ z*T0{Ol|e{ON~kwPDAe0+#hg>m4jI-bq)vtKpajG$06`1DNFnnh$~p#~Qk+gxOJXp$ zyMw;^j#!>eP+1{g*tkZ8o_YDjY)y)CO{-myMlF-rX_QZ}^y5-#F{XO#y0q=IaM4=Q zz(e7P0f~KErs`4=#nbFmt=LPWUBVeT2Zd4K5<<>S?fVcnd}EcM$1!Yraj4Tp-Q`k*uL#L;aRC? z>mF_SOePSy#FK_AL@K8_@bN}C*$PZx1*~((0#1VPKp34XaEpgZZ03fh#6G8((Vu(Y)w&l!*;WoFnqHv z=r-N=u!s+KL2@R&i#LPGGPe-4J5ZqSI`^`Uy~?r8_Yl)JL#FW;x0t@$HO1Que=u9B zZ5Zr-E!$_`azm``gE{XF0L9E%8)_l^+Tu9AyPw%|b(~?{$8z$Ce?yxJ}Fv5jwi6pxp(3-+zH0=29#LeR4fW|#1=V6zG zEj~I<4-Hk1xhD7PaxU!FgZmnu{^TxRAN|?F7zD@%nXR1+d_~ObJwtxn(elOJPw0D& z@_3^O7_{Csl=r%7fcf4Y$jq`?v2aOs4sermzUnA>S#}h;!lL{9*GC2;wn#Y?BMJ3) z6>dMBNbq558m-`Te$3_fK5R5QT1vuh^hv>6RL*E7`(1_Aw~`8X^Hs-ARzcqoZ_5kD zs7Kz`)(3?xjWu$Ozv2q6R~>JS9&axg?@SGTvozlGXPm?}acn-`e|us$dSXd|DnN{H(QuY_dL0ww2#f;kiTAOeGDNVp|TeM6)D4MA)?^$O5w(W5dNvTNIL1uTC(f4J6 zB9-BLFZeWj0sj7u-G1Sj*C$pV*a)F`#2~)gP2`oqGtE*oYd$lB@v7XcSI<*ke&?bK z%MigP2KGpX8!>zBnL8&+yGp2%nAnNqnIbdeJ#!hvhF76IF@wiq>rjKTyYTCjRLtd= z!(uAxdFSNTAg;lq@SuwZqs38|dR%RwT)swES2x>7dBVp$libx(!6j849rz;lxKf!B zxnw7B>y54FLw4`6>pBNl7b)0lL%`m?!gg(g!*J311$)#0jY7|&!-py&s2#L|$|0Mew4nxyZ5jkEhg^xsDNcy^{t0KeWjC-0*EYwO?WpbC;UMAW% zbKUid5riKD|1e+2#P7Q*q1K(eNUNj>rT~LepXCdADR^qv>C?ws__b!Ejn0a==Z&KGX$p<&))Q#daE8J+kk)nH3RM76Ln(gxIOlaH2*>}Oo)u#PmXL^;Kkk598HO9hq| z&l^T?H5T$oEC2x3(|itBF+v`%4F^VW8-MyxX9yN%oE(1szgmBH%q2O`?Te#00=XE^ zjhjc<{saaGk)0Id!1oXw1+wRvfyi^%od&UhuW9csCa5;8U*tBee-l-JUC+1w7yaw| z!SYCv8nju0>VzJ%Qa$?j!3qG-ye@k_r7v9cAG>BPw)L+Ku{i&{ETQa`DsY(9y-&Gr7opi_bo0*Z8#f)}pR}pER(w#~UrODxmh;&R1?;29S}jBZpU?4` z5l)d$*~6GkJ~$P{%iDQX#dunKHw?+&@@so;sq25)OQvu;aAb4VF6h0Ef_>-sC&nq9LEG(g@^IY$*kr$2TI_Xoxx#A{@uev zj~nqH4T@V$PJYT2A7}%O*PhXRZ+rbIQgp967eCa**gt422PnHTdOs1o{+2MFKn;35 z&_mv=US|9-ZxzRIr!p>eeOTq2rw93A4G3E7#=Lao8JBVgF(7>d&&Ex0!AHbGl4~yWaTgM3#k}ff%}fD7`ayD z9=N~=C+F!0q2Hn$`u=BePX!%qk~d4?z^sa?(jouMe% z=u6@QKQr`B!jVa171V5W?%B!qUZ!1HfE1N@&i1nUXv47VykaZZ6PVur{ADJ<3vu&-B0tJZRP(nhL&@02buOG!?5}zhCRyJ-5$>GaJYeAKs;F#?MJZy}= zR|FR1kHQBTLOSb<|T~8uJqJhvlBHo%(l{O9@T-|Y2I{wsTv4L z%uu^(M!3}&f;E!V)u29YO`3*asYmF2%V+XK-q!K1247&vEV3teOtgVVI2sq77|$Vb zGp(!!ckwI-Zop>w)5k`&IC3ixaC-YJ_B2<*xh2M=eNTFcHJcM1n2m}@bEs0 z@L?aTE}I7A$|EVp$NL8L@ITf9y$)J(J8aVPQmc>D-b*Qk3k{JWU9#^Mv>P` zOag5f?X=Jdm(9IkQJCTlzWw5NvEbq)JvYy}bfY)PYRA4d{_#(B^aQeRkND0Dlio5S z+~f2khMyZ zu%^m9<=ZNYTeNs7Q*tYbiv#%WK7NnKv~z8BYn>U+xh+kOOaYE2~bL;U|NT zj4i6RZ#z9zoHz8ox4L#q+@pNb#83*GHg7N%ULhNkje8B>{fuK2UtB<3PRK1+yel!M zI!}wGAl928$K|pc|sW(z0C=DXgB?87ie17Nq{6GK9nKSb`=brn%t~fRc9#QyJ z3E5Eqyz)}~BVPK}38A+zCZP!(k5G$GHz8~DC8K#o)`{)t93k%o62R6;+kJ?i?i7)i zDx>Z1#;3@7)>J1ellGVrXWXM^N!8|k6D}hXBljq#N$C@;Rgx+bzxMU4G`15>aLYc8 zQSFPSyrR-0OFprVJ(W#f#KLymiGQGzHXV|XbL!uxdzK1Qx>?hBpCJlN)On>+L>BqP zi&V05WDVC-Bu`!;=qgiiZ2mMX%WsV}9~^+SCpI9>BqdWQ87 z)`qS-SWByk&~0w;+Vsbutu6DNEv;BxExBuYvAdeO@L{{T*Df{;YfPE8Qp0Y8uk8be z-P5)GuLeBc4&%axAI=SXZ4Gu`_g^zU?*et&frA^Gd`YCzS1t26# zWFrOjg-aGG)>c{5+zkt^@R?y)QxI2z)D_XbZI9PmrPkrf^`Wa z;k{`(k8&7@n3`jRV`A@)6iuW@d%hW2XKO&R4XC{-Sz;UZW2@BE8;0eNkFrI^fCaOn zO=9&{jtOtBv)GkjoL~{dc_tKx*ph{Y6Yd0h0i++kC_Wj{R}Ss{-<0TUoyBV;uJ;! zqZE9m(;?Zy>3_C~7Ap?^qGtX%s!n zB*TL`rko=2&Z4!YA`Tk3pnN6hviXM|7j2Fqe|Yisn__$?m)l6O$SzkDxc((m$!96< zB~|X4jwo(G3Gn6SP9N6Xh|&r#%lj?y-a1O>fhziy@wI2E4vW<4LRcHN=8y##BO(B& zHWS3I>CuB_R$zV;8eTJrGO#M|?Xfaz+cK-CCikj&4OJ1NyC$|diH>G4XLUXz6FxV8 zKKE3$3t#W*9Gs#c?DUbi*<+Rl_c^hDW3b`fy8GSfMz)^_L7)Gn_PsYr zOlVQ!!-hi}d)o09DbMC2qG(H`#Gz;6q-hvUz_UOF{zSLDE)@_vuzt1G2-LQD}I@L5oBl$8@ob@NS-p`ugz{h zB~GMfvv1YK2)68NO5Xb2@R1BBqaPDiJ1(!^BrqVE?WL(~p5cfjgoA_33lMLs{f7;{ zN;&N{|K9qXj!f^{r)Z{+Gpf1G@~~RDJ<1A*axsY_iEo6}VBTvk^mbE~oVS){N$0ZS zZtukaDYp=u#?t*v{P zK`Qgw4Ehs_Wu&)b0d`A6xsZ>lowkf$lw3j2>}$AS>LzsDs3y- zxS1$G9N*_*6t6ShbMMu5KC|gMgN=`;Jf)f8qUbVXQk-jX@Y7J7_!~L&u&X6faa02~ z`-~J3T~_+3i($K~oENu8+MNy%&*Umj=Q`3oRv?{EoQGsjyVs{@b$`hn-ky#9ZXW+3 zzH7tXAtsSVfCCVuB>GX(6K2@+ZGd8KAq>4GR{$CPR!GPi>E+s2sr?Iw67`W8z`;bl9o)x>PKIiL7*T4O9J< zvbmfSL&!Jyoh(W!HgbzLGG*%oYc#00F4?gj?<7sR6{LPPLIFW@@&Rv;xnur%Zu+!Q zl^6^V*`#BLvLz&U={mH@og6Qm8NcO3bH?g zLXHNz6i0_*(7H=)I5{93toaE&_;e-t#i7>J91X7P73&F$K$E-K*6jvTEm~9c7^3f~ z9F%^c**2b9874ohCvr30zWR5q$i&_$=+jCY_K;We$PyS{-0|4C`thdx$J=`_mjsU@ z?FXw1KT6#mL{<%#NAIF-b}P$wtJ@yb~ zF1M>ogm`|=l$sP5E}Z>`3EMI3QE+RxHFUdeJL}zJw{W8Lds3QzD);*S?fs(v9&aEK zYY`hCxSt@LqZ7`0bUbov1uGjnDnGivqB>eVnwY_ZtMmA(^nMf+qq~3i^D4$JH(~y- zY}gPcc0hWF<3GBgQ1)TU(V{TV_@#sK^8Mtkyf@_A*9-e{0*A{xp6zW#wXlOso`ZR1 zFO%`fj#^#cPgHrZu|TP@`ZtLKifsSAVyhtcIjkRB@7Jp%&p7STTfWr0X3|#(KH`&8 zo-@r`bjZtYJ1Tx&TvEp#lBar$g$&ccJ&v%<@zS*4z0%UY6i#KY=?YD zV@+c(N5<;z!n)bamfU@&ept%K`mCV!uX|R0yJ@$DkiOeLn!8B!`E+!}0}~gVtV4fG}v(Z+~Aw^e>dVm&~@(ozRD^OYR!e~%Nygb zZwr^^3YWV|R_IC>T#QI~j24xQnDPF9Z6<|Y7k~G(`oUwn+kCp0e%Ru1#<^i6Jj2yY zW{i1wa9ZjwaS?Z}h&PtCTYYBS8>szf&ba9qE;JL+t(}beod5NW0rIv9_vdqzeaS}) z3hQpt3n`5ZcmKH%|9PoGBlx-8XB6ukp@4&PA!Ew$X0u*^`6&2q(rR@};>o=|)qvYi z1Q+Lu6kZzrPB`18yWp!nL;D*kHWVNJF;ey+7CQ~#1D#`3N(R(Pi7ZWig@_Zcs*^06 zQ2peAu~(QmS&)C5^7}kx6PJ^PFGsxmMe~i=i3OlDfbmwa zFIPPZpALF`e{{!}dU(-EV6MZ+&zrScG}Sq9?jk_Y@z#)N@%u_tUIL3zao8I9Ma)iY6y>!a82U*3+3(V69T zG0VFQ674nzQp_;LGe|AlxS5%F_>~xMu=uSj2_@;eUr&B}2M01y=5r!Eu4#Fph5a>?^seHUE zPHq{Cjp;&BG+I~bCDHDZ1t?+!_>M(|3HP>Q*0D1-lb=|AW9XrEjTQHeb*YtZ2lu}Q zYtF-)3h7`Rqonu#rTErJB0W}mVjnsSnzqgiXLtmZsR5MdSG=eG+y6mKK3e=|E2)1| zU>y+&Hk0-mB-M*ewO*TN@1V@mb!l_$`_REu4p%&$5Dnx~Rd``VDT1SNWPZkO6zYGq zRL4FvORg2ONtjfhEc%*p*orYBdTo2FS@LJ4I^%-2{9hi%5KSV?nWi44CU;86`J#?F z^7t0Q5P(8eXKko|%FI07*ZqFa2?|;p5ZqXH($WKA%)t(iFU>SvMLI9J62!-XtU(m& zE^H1xw_;`@XeTQd9#+FN?`ak#T7B`zY8^IBF%X2^(QN-TyaWs&OaU;-?r^S8A57=2 z<}m74!Xp}2rscqVR2$plFCKI3*{h}=MR(PHx^O&wSNZErv;cLZbI94JC-yeiCyX&K zXh7%`91*1hGCW+=x+DUjheb&;ngJ_d?+j=4$4E<)|bTwN$rf=9qZs)1;P2d`OO#69e0Sa93cn2Cp zZ0<-+Tr30tH?2^to-6dGiE8mg%<@iYIxdr}fygegigb<}yiSQwZ>GEBd5A?M<}$_5 zjPCBm3Y3|^`H5Ax1V1YMUV1RX1`{^zRZ_Yo5vd|n3v143zrB@&F;ekkF<`*9xg0Bq9t_u z=xDtrIi7+bmQMSNc*unZC^g7&gNBwNejN}GMc_lq3s18VED1tJ2W=eEu?$VVId`db z4Ffb~zIV0Ew0;eJ=F*7A{$B~z@U@O zO;M?8ySoM`j}+<|qUi+K0Q8hUp0#)|{Azq{i827J8uJpBRYbs>K}{g`zG08spK;Oo z-RAy90qA-LBg=x)rOI@vo;ol?PZFMxZ3!d?-z!~VF1fEHM!EKE=}vl7b7{+9g4peC zje_e19j(i{LmnZ|(Z8W1Dwyjd44nWDcn7KI_(P4M9Q@3EMAr|qIu5@+yB#5W38M-( zi6lZ6!Jdr_Y$H>mlEUWz2lRk2O`%Rzmm_6~IzR_@&CDb!2GL7uQor&GX0vgk{m3xn zNON|-Gr|sO)uar75p!dcV=e|s3>NNg zHqn%7X?bfy!^qhbJQ$AIR=T&Moom0`;vzU-)uQkcsnI`-LOEezr>1fE$WV$ny zDWM+d+q29%PP{Fb=LU=(jS6{bvXvwSU4Ca$+}tu`-;yHj=8)_OZn-^w}d zb3v$Ct!uaFW7j|frmGQk_(X!{n@{&*6J)r)QJ6Sbr!sV;ljcV^c_fh{GS2e196%$~ zL3=vJLwzz57sLs)NAt8HkBGV;Nn%eY`RtE(d4ON87RHCH4%)o!{P?-iaEJ?nWb<`j z#`F=cMQDRsGFsqW9qoYu+0g0k=f1}@q|co_vo1o2JPn4|#dHxyKx>Rp!s%V{1A;)ra1ybr#vP zneCi!>uEi(PTae9*7>>T->t?7k$p#61+Gp}>E^V$eOJl%i<6;kEy)xI9_H`A%=bvQ zwbXf8=|20q@=v;bK;+ObP2tnBs7&W<-QlB__sfUT6CJA}N5Ql2S1x*fHEbpxJwJQD z`tP4i-)Qv_j<#zJsv?W0RmO!0b*)prkR9Z<^CC9s+F^gUWJvj`d{5=-AADWg*AxSWj}4takPr!25rOTkYvwE(hJ2cZn3LT z^PbbpUd3(6hO7Sqdd~6*iaQ!&*VAb|=jAF&yXFnopIUl;*1u5NcNY7-INNj4)~j?F z(C~Zttmjt`LFqU`?9V!F@8z(H@@ZPbpKp@ASCcRPeY3#;P)t8d=<8^bd{FQY^VRDq zMrA2$1WAoM;rBK{Mdf<7;opo7giI2r#xYWGcbum&>wdjv23VuT*m0q)~1yi^e(CVSK)gqweE0U$*2={{D=zJ!I6&5o) z*6n+c`fw`6!VM2Ft&{_Np8dkZo`Wx+eFL@9y>3bBv`@{o!_2iY?a0}NS6AW#p$YKOW0JrEOmYy3+RpFEtTI?<$&M)l3J2*=h zbq4Dw!RBHii2)G_4WS3Cq8D6}nh;*wjU{?@Lod<7l-tc(VEcO-HFgoazoI*4Mp%C6DQcbvZ2y@Mx?!D`}(r8pAEI10;kAcD-sI zE$EgioLBp_L?y{Z_aCQj&erNsIL*!~Vx~l9gU3*s+e&j#m4#Q{Eu6|aifw~k(au>q z)Ddpw`VMEReCQ01E>o0uRO8rUwA(63vDXQ8!76jvZI{{Ab4c~AEqQQClYdy!8lc93 zA%(@OkBSM)eX#j{_OVh+;cr~Z0}OB0q^cJseamh?=9SzJM-&!HdjfE)a!Ut@Tk5uJ zQn_mm9}{$YX&LE|<*ZV?X{i|jY7ApXq>JRMT2|s=7>Kwcz`L&w1$3BPH29`eF_htC zg=>=SZi1)fqW}2JQ|h9C*F`6wbHmwPi^MCdY+~KJ8ojoAh+%-VLkfsS!V% z^2Qf3)v}f6wNdUJ9_g+?a2T}+9)_#se0kl(dLXynTCOD^>nWmcwS zuDX?m{mifz)GgZ3+>q>3zg-TdJswlB8e}x9){WjR`Qou0OHPLA1Jdy&`3|+I)m0LZ z>S{r?f6*5m)qnd1i-&(uS?vnkyc(EUB`~fc998{b)tKgGJ%^C5V9g55J*%8YQguKl za82cKnKOL1RnSN(1TDFbYJR+10dQ zGQVc&u#0;t)IRK<(<3}F?74cS4qeK7n7JzU$4!C|DYP-Y`!CNyYi+r2cR36fN#+6p z3?2;Gc@{Z=ASU;xCHQBc&H&TFoO;YBzPfZ8?yD-{K0RTkIO1imXqg58D zzsGAFXfXw)ak&_en&pJZ=09}vJo`Hd4HOoX)#i0oCc0WwO84||bw&NF zo+GjC&bP_sM;~NJ;D0bFCshtRyR+*j-^G-tG(B#!%)c0gSg$$IIm^; zhCO(LrFB@&kbna`L2|G{{!8BZ%)OKOwR`+m?4+kgH@QtUq5p@aL&G0xbpnM351JpGRtVaup*3qH z=JzGmUr)EIe#dh3{oi5U?t`n7-71MNJPi-p$oX4nUD?Jx=6sa+WkYLEBkZ>zd@~aM zqUc{|qu!T$SNUA1(te4?~ACxj|6 z#gZC}>h_Y>>_|Qa#d%`S(zL=XF-lM#K)i1F8!V8OdPuh^#&=(W@1ec@@Q+?RSWb_V zOiBzmTYl8D(Z9VuwVU^OvR(vwiX`EtG^?|G+Z^-7Uxc$-NW)W{qq^sTI8%Imptc6# z)$Y~5qDb4QNa-~U28Y#-xJ}tYwOu4ngQs*~6~qP%D-VdTI!Z7;V0>UNsS+Ud4QZbCnfta=Zh;eqA-(r=>twrv&y}ZU5pSB;Huf)fEtcLf)5K4&j!?UtOz$#G9 zc;lYp$7LC@Gsz_oJtjhIu#aS6RggSTZMDU6tA*~Vl;lDHCO-g1^wu){e61rHOR2$) zZXwb*=ddTn#!HC@oW4>Y01@VDfH+T?4^3RNc{&wH<7Y?g&1Vwi18m4XLcb5{{OsMo z7CxO;i(C&*9}%Y0^{cstmAunZ7NDrQLEtX2-T+G2#aPN$O&B6*^!Xvpc^F|R+$_1) zrlggS(F&PfcY$!#J2G2tD}d^RwyYoxI(_X7(}Odl*X9HyuW?5x`7 z8w>DnGuz$rm9KNd!41Wc0oz;TXavhsZ58xIX7PI(kL0Pn|`DeYH<3;X>&Lk2Hs2ZKGE79CvMl1vZPg z%sQ;ZgwkKiT~&Gi6}%D{_T!4U$`RW$lDv9lk3<^DrL%Tq35mII9Oo3sNt9pa)&$4p z%0=;a7T4JG5=yS#5M1BTa%7U^8xuN8;^o5b%U>M^rmf1A^%fVZ*yY!(P?)G=+QLIc zD&`kmAt$&(ErZ;%mg{0M zg%+}cQ=?mz?>d|9?Ml;DBrowS4q-GHzZ0!z$BvzEW;-iiDijz$%NP%Csd(Snpz!`3 zvN&F0-%{ZySfTUIvzYN`tLx9+A1UU*h)?Bkb)f|u|bE?;h_H?N?$6TCorj_0yR}EhcLBxgL9DGR)6T+ zh@kH$r^>(UsidG3P-s`M--q5 zHNf9{i~ow&oC%2MS{On*bbTpM-mT~ck-}E8qCp8Us-^v66S&*<|HUm(W!$U^c!t@S z@y3Au{{ZJpR6(O-9V7p)(cvOI(TgAciSZClsQ?O1jTR(MogSdr@4r3=X;%_0k`ciP zvGlQ}%2%&@XZdlfI)k|YjxHvlBs1z0359VlXrx+5RI>xMD$|UngQ}XF zCMl(ZRf^+4H~=ma>^pYAM?t`KU`(Ov2M{2Q$4MrlG-Iir7z~_>7iqi}a~w{WOLH^K zmGRr2>qxtAT%ZyLrI$~4H!ab5#b?=>?qObGT%?^LpCM>KwE)KOs1AHi1PvhM+pUpA z(n(=Z8ke>**Gdsu4>*rCZ~%ZQid|dpye?dbe(0+H=dB;kKF-Hy88w0EFSAPAR~2G* z_f-r3e;fR=2lqb~sJ&!R$_ezCtuU>y>dtxeuz27u&3mc1Gk}OpCK@?U5Z@SvGLkX0 zf6L$tGs_9r5sta-6J|0(#vyBceDNU*h;9!T$|HTWRCM#nNQd!2N2yu{{*V|A-h$pqlP4@r8?@a_aAJmV)&6K1YxwRa<4;A|{P9%aY-(`Mf$ zStho={vkUqTr7lnqp{!q#+4oQ3y^h4pn(DnNG%?^SA*fhjYdT7r28K~aE6haji6$M zXGXYVh5JaX*96xh?+3;Dr}KCQXiD-wE{RW`a1wBAl9KT7DF2?SABEf&_S6Lwf|#}G z_8hoy0FlI56bL-;dG;S2nQw8rV`^+9H@lv$8y#=qBGyR$Wm6iVP(R3Hh*3JFc5X&r z{6C9XL%9PBWiWa)5@phk#sN?|(;*2trQHz=uxe=-Q@%k|6}LDHSMx#k8#xjP_ZNxj zyW?j`_u1}6hU8~J^?Yve{{IVjtLo-^z?4AL7|7c(A`C>@U4nCKrJ0qsmuTTDaV+uQt;Km=hYV=O6#>SFR*}63aOFxdK9zw!um^8lJ!QcK>fl- zy!fQ`W}@8T!e+7>rOnnWUD3s@G*cs+Zy7e;i{G-oxbV^YKZ^_Ae(g7D^F1&4@d1M) zpZ}WDn=L61`+~Tnot^w_?=L?piY|U+t^ zfwV?h32x{EC9)Y*izC+}Izso0XW9Fg>25oKR_R@Z{eGC((s7KBT=;9&NKtg6y@SPI zW_J5ywo?#~*#Ch>vGT=^R7}4eQjdi2ieCcHTe6)hC+_GaQm3A+H0@0M7hNP|y$tcU0LB{fJS*`D(U z$)pA|LZIK~k`@lTa#0mw`J^AjLRCt+7ziDt+7kG?iQ03iY+f!pM4ehv99T8x$&_fx zE)F0I^Y$HV5*1%PoA-ExUj?LRLX1o=LbwWR%RK;6Qt8T=%;5HO)zOx3H|W1r2#@bn z%Z`8<7$eTAqx;50KXBn1(pziYMq%+@ft#%BOgeItuaX|U-DE$>(os5mmHhmClM}>@ zRijKziIUnvQD?2%uIz;Ht<1tk`!Xc8z7&Q>9VHv?G&mvI$}0RZ5X^U!poBfG3!vFx|(g8 z$Rz<<79K%7J)ssT^#?u{(g6OtuXC9Txrik+9CAL;L<`TM-eDq|qcvW?ZKMlg{rSWA zR}eket4zoqHf}9iWiDM`CgKx2dM#z13(BfMk>JeIi#3TdXrilBf9~GiBV~O#5-q*b zG%4^-9=9r`DLdGa1b!)P>Aqi680koFyY<$p@i3#o>yI%Gx`lwCuxf!nQX-H%23fVf zfXF!~BOSeoQ{yaPO|YIKH*xLk@y=|au}F2x3$(N|sM5QA`aLsxx=bvpD#9>fu9W2_ zBX%FYL8sv4xQXRE$&r$myZb(2zm-j5tC6J=^wk!Y!*>k_EwvuT)HV(ax+RLs_BuUG zJ7&3i;4SlXVa7_W^JkrJV*KrI8n?-#Qmqc_Uw22AyzUOEY0WBpg4X-#^6VtnzMOd? zsG=hy6vXBLqRQ$cmOc)ne&awamUUB1K8`?5RNY`G+3MR}4L8*63k+`NDj_2}}ZKR59HuQg!F28ES7yLM;z$@HMa#M3ku>+j?+6kwP+sGhyq!rU#U+4Mi zH`%(J+j5f=cZvz~ewrfJWWLT{Nm5N&18`QIJh{q{P5W}@=a2KpciOWTQ| zCapwSSBnA&&jeak&TpUl%SRi@!uPY$tmujQSe;55VnG9EafPf50NoU)yVnGSHcG{~ zQ&ZY1hM|J8FdQ4=X+es8OFvey?|a#j_z^tN!W*p;E6t%FBM~EAl1{J8%n=Oo26fH% zqH=CFu7&R%zm_F0Hua~`6L~?}2ykx$nD9JJj z!T-6C>DRG4O{)k25KOop=4MiO$>r<#_J~nqWhWPTmt2mh(dEb9yZ=9;D-9Sa7^G5@cVK#Z;OnPjl8%o|+OhV3=qy$)29Eaj9>46ItMYzStMP2_ zo{#P~*v8A656E4%;6D2DKDWKQdBa)`n{?j3k8ZKuIdkjnVktLb5@^#jl4_lJF-%`X zF!jle{?&6%zX6&SbqN}MEC6&W9MJe}hLKW{J|BUtkt9>8uKZ|z_b$2MM)GmPI15t6 zk3R}UpzNh#}K zJoJ4Zl&lzbyas{;Wc(XPV4vgE6jbJv#hXUU<|Iqm4RV+hd9Lq55aQ5jim*)@0k6X> z`eW>wHYg%|fy`r2dEdgC_k}FpqP(yT?gaPs;i@*`+etw=n0%h!j!GK-1;v3F@MN-T zC}`qk^~fa?m=WO7^6eMxYycz-ACe;3%tjBl42|@VY~D!vQ3^mpM7HmUR^t`G9KMwl z&BzL-Q&DI9ya`$m2#a~e&!EO=9iC|W3b30NGV`OqgJ<*;XVRG#-Swh|s`Jeppymph zIM6KP?u^D>-}E+E$9;f>(ZBl}kgwD94qAV=YDyfq=P|dvdQA?+^L86laljX- z1KgkDMvb(uw?PF3NV^PBWZ^`5YoNkI?!tP~_!JE35$Yd6U?J-xi9j5u9?T1$a*=_N z#HUA0cvSZkUBB;vR4VuL6y%r@lEi`UkuP_i7#|rJHDrQd$MbxOK;Ah(GKw$CQUW*! zC29QjpS4Wjh6AukH9EeiCxOhE;;*Xdg@;$e)d7a$K;c)k0{_vHtkH`nG295Q>`pQf z#~`gkZaZ<5nW?yK6S7cP%ezUKM3iI7--pkaak>FH(Y$(1L&feyd(TNUjM!>pMgk8~ zZ9ftL1&Bk_#Aat$B#rpyl8MwQ*`2xAcmnt#l6=xFlKo9ccM#Q-$h{S6A`xLNeE*@H zdgV>FIW-=F)KG8t=i|d4?{5VE!^Kff|Fpvspq&olY|Ui1d98suZqeF>m0HsKo)_|` z&`$$$2ZFM9isj8`gsjYtb9l)0&E%=6jncgqwnTTw%>PR=&xQ8MI7R_)TTtaV*?V|d zMrZ17VF7a&t{+CjCEwjOTe@%uL7K1{+`qTGATsUb|@R&nCC=S7hR0+kY^{}J=*H}iC>Q@4&z(tv?2 zi+Ep855UlbGu`ArI?a)}kBVkOQ|FwcBk0vM;Iai4ePTi!h=fUL?Y`w3+A{s%&NcQH z$>L5E1rw21Cu-JI|L#r}agItkQX|1p8n{xYqqrC;=@rgV&0@C;e3TeKZ1KQaOa;v8 zC%4-pF3(%{IA*Q+Hf+Y-Z5~=GZ8f0kGu%6I|5m&pVV}n7%5E>4+kT6*&CU4jy+&Vv zbDN+7$+ZwEN@@|@k!Yb zeMky@1|I2hhWmN+`+dt~h0+lM<9FNpPk10a<=p4WKR^l3 z47s?~64;Zu<=#g`7)hu!p)8w*sCAyFl7nosm{NN zM$vwKoa7F585-dx_tz+R>7c)XTANQZYVQd0>3DG2JC+(fFftZ>)T!vH=DZL!PW;l; z+QuRY=|ZQaW%STC>z>zw)yTtGLt^e)e&gsH30p16btrrQgTQm6!d&hgf5Pf>Q5o_!Jk>%c4@)@oxFdZqaIP(9S$+mOlJM`k&ZRHm3~fSJLN; zDD5qy6>mb4xbm%4ei@j?1K$2xYF<>n0iQg#j}tcVwvghf%^{t40m;iLYK@# zedh4m2M`<-s583L5MH7mzbQNBA}0~aJu>*>0@}S;{sM|qm=MI7=md>G3@EU@i4{s4 zdR^3PmH*;UL@u|X@$cY52+BP*Ca_~co%-a4df}Z4X&1c4M1wwn?Q$x(kc@&cZ}}t#o8&3OFoE?udy)Vwajd>jN!HFY#&jCy?lqY?%W|H)CyTc z9jphjLYF~u(^wn)Wnru0M@HD|{_#6~WpsnY^#5tSwlV)maj$E&hW>B}=zP zyH}HCMxc8}92Syeyp=O|>&I@QX{EBg+XF@{M!w^jBUn&z(Y+)2|B*YuE{Ob7%brlG`mPyw) z&59?nP?NRKf<=ZED;KrFG)OJj0cD3p)(xWa5epm@5Sd(NpruucA_zTg(6+@t=F3}= z%b%eGz|veG9%M8d%FIr`C$f)`j-5889oZAbNG|TTzh>t&E$s~oq${FSW}aKxax_9BUg!4K?%ixCi2N2 zK1=D1d{QnT3v`TjMA#BF(Ycb~qPaK%xemWCvu~P^zW@?AT3>=5{ti7q8zELy0lO7% z-C5sy2!_bp7fotdf^a8}TcPAo*)1C-i;Uxzt;`Tr!n)%sN(#p)fB#6AaLJ7Y(5l4c zJzeE?J(Pew>DuD&=YV!t9#Nkf+)0Nc9sbxEutwdil#UqBms&SGXCHgP287J|%1K>h zNDBY{aM*m+`|m#K&HaOm?f6L@Vt{bQ3K{^A1>H(`UCDftrpb;7&69&6Qn&|0H5nNo zHnOG8fu<5hy8&50B8&ugZi+|rveR(}!-F^S`FI>8Ip~>b64vowJ!lD&EQIg^=wtzV0N72HF zC-;F8zXShLCY;D(eet z)}UnWiK>BW>f6tNz`d$f7Q<^Z%_M?beym&0gElu+sRC1L9O#{5_OO^F)6|Cuy;M0> z2FYl;J`oLZP`n1jTJ1B*i^ti-;=FW?1JV@45{B8K^|2o1Io@Le)-Y_xETVgkKPnQU zn5Ow0EtsvR0mW!U;)=4U=>P?T2(9N}!LeBpw@R%(5gBwa7mwyK(Q(ffR4~r) zb-?u6I0b~9wgxg07+Ik4yJKjAq1pS@ZDTri3m!(4<1xPui6X6emuBObRlAUUyid>d zVeT46i8^2uy-SJfYom}Ob*zC%H6Gl_2Oy zLh;j&__8rjhrjQkYc;8?St;#PD4s;U2{CBK_v=)1nwR;i*$jJY$*hzfz@(T7y;7A0 z)E%_{d$Jlz_>TpY?m|O@zDuX7I#d0pOx!jI)iZA-g^;8JSV-orbU?M0+3$wHluvhx zlP9e~B)C*TL(iLEr<7$&>x;(TSKs4{Y^L>}9(Ep0zPBtW^VJoq!+C5N8`!s=EOv{1 z3^|)TvY4_96^VX*`q4i5`NbEXkI#Rtyr2rb+(Qb{nq2E6hpj0%b=)78eEA- z!u?`&7fq8x=}J-bKd`#CW@%FXuhA4k)V|Kqd2jtiE^=3TH9!@ia#B zRSQc_3b!i{jAgMH5=qWYLowRNgPpnYFY`oJjgV#3rfYM-{ZlACXLGSU{PK%1WBbk7 zGJqFdg!BfYFWLU4{?92_9q?OCUt?E_R%=21rRo+bngpqr^uNXZ4RYhq>PAiF$oIU` z_W5H1nn|4*ZW_5?CieN4Kz>Y|MY(!LwO#ak=g~MT4U{Sx4(cGir3smi(FX|%Ag!m% z93SjEW8aRxiWaFtCD#~hH~=Ij%QLw@J1pyke~kx?1%yncGJq;wMLelh?<|cOpF$lP zQX<3eYWA0Z-=4v_2gU*zHbAAfBg%F?m79u!zeAaejfkk>M$GYUmKY;|%t6Ry&2 z`7V|Z7&!crTmTT!?~~*vZ2uD`e$nMx9r?HlC~E%!gp})qcbxMBOyNQs;JM6se!4Pp zU8IC5;_<Ra&-5%8~=VZJP>tU9z()I&jZv3a|#Kj5Y4jQmdvAVLl@vUwh`o@_*#k#jSX3*z=t z#o@dzFGXc=T z(p~&B#*tQDcX12-;4s&F6Sto9oG-urVe;YCo!a_G4e@!#64)CMeq#@j=&|z)#;*0z zZ)k{gV+3cyr=4tCJY9Wblvu(#`NN9Y;ln-0a3rHYn+ClvcK}-?ieO&P{p% z#9)W)$^J}k-)N>R{_L9tJ7}!5zO^pzZ0CAT6};gE&+~AieKU1EKPdXx@2MeuU*wmC z3GcR+0*V87{byh1>f2fy5)V8dKKr_K*!HfM;?Ot#*%Dz(^j*itx+(+)f}L0(w#9uP z#ufmmE-z9bq;$=<`PnjWVN5bzahl4t6P2I1Dz~cmT}By!;T`Xw)_cgrd=tk2kmr)usjbz>DKQ!v#Upz6_WLKsoRX2$QeLW4=%>v3Fdqw67vibul>kFR$xF?k+$~rwYI;^+1{_3I3+K^c2~& z4q4MadgS0nH;ONmk*^rt$jL;!H~fynS)YHXXytlI`TJy5#h#IT4ML3=`y#ZgL0hINbLH0iujFL~Gz#6EGA3HyNUyr+Mgx6v2O> zZjyd@csood%MvIAS1`~MrQCrL#1X_{qVRqMC5W14+JkYOhtgbvOO1xll=D%^U6B(S zadFyPezemmwAwL|UQEVr(*c}xa^4pVmRcH9XUx@5bci2KL6N67X^gcibCw@-PCxSy zV@zz2cO!~5ZYM^Lku4b=n}&_eaF2Z*6PsNbn>!Mlw-d_&Q4vk&gkm)=&*dE#@)! z{{p-QL;13WP@+!$Qzg7`C4i+R2{A?-@g+_rCcMBW!w8NjWJo&!Cy8WAiw;3G&BQHG)I#(wxpC}={=KWO_r541fyA;r6isOT4jTZ$W>{|H2`lD zH*-@ruoMV+GYoq}mVe1T&s8{wlQ@d7I4ojaj#E8zs7l*aE0^Pkn!`EP^eupCncZ_= zsiR-4<2taTApnK|0;Ux$|9P3Ei9HA=Jj8<(arhMfWSXy;Jrb6Sgz;UlX`8o+o4Ki* zyUClq>6^a^oVpo`Loq)M6%wXc6#BzIzxY1^G@Cn-K(yEs(HWf8*&eLuLJ|avp@u=f zxIrDnnk>Rc;VBd)#7@?Up5}pzJ+zB7BttLqi#ZdYAyh2!Sr-!}6(5zJ`$-~DeM?YYDEzSbxE1DNpaChIde*>BvDP(qoXtctJF%b zgcq_zOSYt+9HC3_|7f2l3Z=vmOrbI=0$EI{Vvu8nkl>^;3u#x+6io_4P1a@|AkYi^;VuEAQ@^RYEfLr<*&G0ah#U96T~85B7vJF@Uq0P-cVFMAuAnP2Q=fFQ>I(J03)Kc}Er%O2%XY;$+V$wrx8dRd!`r1{C*aX+iO2zjhZp>b85! z9ci{^vC1MQTRa?#x2kk!e2ci*(Pw`q6@o@+J7H*umbjB!9gX&Ak>(S0duf>#Mw`}Y z5?Hj9|0}w;VQQ$Rd;_+D7UW9RL=i;DwxbKXzL9GHuxrS+6u*Xs!WO!*%e$;$yJ_(j zyW6|NOT5KvyvK{Y$*a7}%e>9&yw3~0(JQ^vOTE=w8da-sFm`&@%e}k7wQ=FKH`l%6 zTNpdX7Ro0TXuEZ43wGq|zG6{!XJ>hm#%1_tx0&#`I}v&B%fDYyd1!%ovh!wu+n94U ze23e=3A`1_w|vbPX!DZ4F4leuOuB?+DI{~7c=S;o(#$fJf$HKkOO%t zSbC7I!lhv4rJW4Mc-+eUtEbaaEgZQ|9to0xDw1GDl0Cu7z0AL!$`POntD>4Pq*{Qc z3KYxC%luLB@jIfTvUMclm< zn>Q9~nAMfBkh8Il$;jpG$o5RWGOIeA* Date: Wed, 3 Feb 2021 19:13:54 -0800 Subject: [PATCH 139/313] Update debug-it.md Use 4 gdb-layout-split-x.png files instead of gdb-layout-split.gif. The gif can't be controlled so it's hard to use. --- src/05-led-roulette/debug-it.md | 34 ++++++++++++++++++++++++++++-- src/assets/gdb-layout-split-1.png | Bin 0 -> 75393 bytes src/assets/gdb-layout-split-2.png | Bin 0 -> 92300 bytes src/assets/gdb-layout-split-3.png | Bin 0 -> 102759 bytes src/assets/gdb-layout-split-4.png | Bin 0 -> 74680 bytes src/assets/gdb-layout-split.gif | Bin 381509 -> 0 bytes 6 files changed, 32 insertions(+), 2 deletions(-) create mode 100644 src/assets/gdb-layout-split-1.png create mode 100644 src/assets/gdb-layout-split-2.png create mode 100644 src/assets/gdb-layout-split-3.png create mode 100644 src/assets/gdb-layout-split-4.png delete mode 100644 src/assets/gdb-layout-split.gif diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 3c27b3c57..91cfc5317 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -210,9 +210,38 @@ mode enter one of the following commands in the GDB shell: > **NOTE** Apologies to Windows users, the GDB shipped with the GNU ARM Embedded Toolchain > may not support this TUI mode `:-(`. -Here is an example of using layout split +Below is an example of setting up for a layout split by executing the follow commands: + +``` console +$ cargo run --target thumbv7em-none-eabihf + target remote :3333 + load + set print asm-demangle on + set style sources off + break main + continue + layout split +``` + +And below the result after `layout split` command is executed: + +![GDB session layout split](../assets/gdb-layout-split-1.png "GDB TUI layout split 1") + +Now we'll scroll the top source window down so we see the entire file and execute `step`: + +![GDB session layout split](../assets/gdb-layout-split-2.png "GDB TUI layout split 2") + +Then we'll execute a few `info locals` and `step`'s: + +``` console + info locals + step + info locals + step + info locals +``` -![GDB session layout split](../assets/gdb-layout-split.gif "GDB TUI") +![GDB session layout split](../assets/gdb-layout-split-3.png "GDB TUI layout split 3") At any point you can leave the TUI mode using the following command: @@ -220,6 +249,7 @@ At any point you can leave the TUI mode using the following command: (gdb) tui disable ``` +![GDB session layout split](../assets/gdb-layout-split-4.png "GDB TUI layout split 4") > **NOTE** If the default GDB CLI is not to your liking check out [gdb-dashboard]. It uses Python to > turn the default GDB CLI into a dashboard that shows registers, the source view, the assembly view diff --git a/src/assets/gdb-layout-split-1.png b/src/assets/gdb-layout-split-1.png new file mode 100644 index 0000000000000000000000000000000000000000..f3d6df494b65b6c89eed47da7d601e4a2bb76752 GIT binary patch literal 75393 zcmaHS1yCH@x^82^l0bk!a1z{;;5uk<2=4Cg?jGDBc<|tE!QI_$aCi5a$KLy#ckaFK z)vcPUu4!4_t7ZM4t&s21B5zRePyhgUBPJ>&2LSLo0047>1pBf^`{eliWdUa|Af|wX zgtW9Sv-a{A*FjjtLEhTP!CBYN5HPl~wlt)-*S9k?w6Zs`b~uG=;{^Z`Kum~V!6oge z#alyOIpq$zOh)O8-!3GDCCFleuilY}gLu7RLZf-v|ZQH#@%IN%IV2G312=EbR>A#zZXC={xR^M6~piQVMG42 z`BO9k#(y>nk)V?ObJSl4|KP(G{Z}I|3v^$)=zq5f5Bm{TmE_(3{VM=p#rNs|o-qK) zz?XR`H{0;g#Y6AbXjjQ{?zq=tH)b07?=!LS{B?=OO8RT(+!WiF@wX3p{du{rbw-s( z=keYgf|}2!8?dKw&iA_r2!wq?E2KFhJxB7Yq|TJEs5bY9-Oe&7L8Qb1*o?Q_ZQMvO z2T%Lmp2od9lpWi}L77?@@V}%9b8fj@D?{ zYiVM5+L?oC9xa@vWINw^Ui{gbIp<5JNKzSms#hLWJ3i?b(>MJQIIytq^d0R-mX79X zer}AOLIwcdR@bEdokm{BFoPJL_4*E96}Q8Vlx^5GCte~#A{?~lkW-Be9jX4EAMTuJ z`m@@Pd>cE0y}Vnp*F0m_F?=V~BWo?*?B^Dr9_3Dc?CNyxlK|}-fxf7eu{XE!K*um* zvhkK!gft4kci_qUrsX=O0?qFLk!KYbqis$EhHs;4-8eSDkvK~?1IiWU#!4jqU;v*@ zkZF6jK#gW3UsfMnc4?;TbabKA(#YQDTzG!{ZrQ>rdu9IO{2-GPCUsZl@%ed0K6&I( zrez8(IXginME*0z9QxSSo4k-uXV3e44%nhz+EmfuatY1gEo#Dl-_~Wry2nkS=jqVn zsyFz8EyS<2)M6VBgp*H}@1aZFcG|(o0VI15%x*1xWim%>o5PQ_@5(b9AiQy# zeDKKX6)fXg9nSnZIl4lr$eH+iXckz%J;p$N3=+ZekKJu%>D2ns(OgxkzTmG&-@fbE zkM#Sugou2jJm2LN^%&~5~nV9}+loL&S`K)xYf8M)OHlJW3rw`YfAeFUn&6|p`b9CaL;COyrQ>GN=ZLp!p z$^mh^T}X2jx{76;o%a+TZMPvk0)R_;pX`CA7Yx9+2QloBrp0^Z^J}fE&4-hoo2!E` z)7FJn8@u53TjKj`hcS5p@joAJXP820t6UxOKU=2TRGRf3UErMUDP&L)ERhkV59Y|{ z6zA=cd)R(OYs=3tNKz-VX3K{Z#%AZtEO?A@fIz0=W1QFZUouaLI6*%ipVTf~&UZnC zuK-c(-2MHTv2og}g0rWD=-7P>2{%glvS4$MQabjd?=sLLf0nrv?b`*Zj8EiOJ{ zuZr551UD7;2rK}NQ=1T@EOCiUfbo&Kv#Q-wK;!&uUrg%rJ&4u75<46KhS*X+>K{9d zG74f(s0Rg*QX&xJVTdS;0DKGK$Ze-P^>dSNg3dtexWvHsI?qmdo;~Fl|4-`qw}H)# zZXALi#QDw%`quf5rEe;i$A?{nU&c|)ACGicE-GACLa}RT*ltiZEMVCYt(tu5^xh5( zaugI#+0AQ$yX?-AKc;^CR-Qze?KX*B-sMLfVPCsd(`;W=W)hk4^yM zXG`0GgHJ+rC47z4eKe&CZo+vL1vPATFzJAWqEM&WBc9$K$7~bg|BC($&j;hf_aTMy z4IR7#j9*w_b6k!@t*;jh9UANz;H<%Uu_+QHkBD*Tm1)B{@CY>tR=&eHP{))G8vFV+ zJ*!S-+v2N*b!F6_&M`>^YwTB%Zwbv&H9RZhGSizWQteti?-hsvQ55eu5o&8G zhJqJCNpX|$9=!0cM*a8F9#@ouT9%z)a=ghblN4U9xjim3u3}qRX*LMJ+gPn7$!I&> zvtM7bIuFZW!cgHnJ@29dz$+fhv72=EDD(0=Q>**j(;B<+Qe9g;K!jYy`d{`Zrv%bdX5Q?!kN<^44?Xy`_so*m^ zyA+g(&gj0;hotWX;%)nTJHt6!_mR0+000dk_S9c$FsYVqNAzh0ugK^u_M|)ClCLzs zM}=XCbZG8qP^dZC7E*%;%RIhq_8%dwhtB`$tP4|zV(5laZE+650(Vb1(yw${zS9Kw zp7|My#hy@#0f5$OC7TA~Qa)2sdUEsT(oh1U2DIgF{qod3E94##X$W%T~E^RwfrCnQWi7_BzGd^_G(q%syLwMi2q%h5%|UY{7L?&!{;A96Hxw?mCZ6`3#g7JUeUBv@g9I~f#j zQ><{{gk4%@9@cSkcvWLf#!N*1LqGBhDq+~rA~^@~(hN4ppLw@sVGYJy4Iv+Ehek5& zs5Zd>uiW;%_XD}Af>H!MyT(5U zsRek(hzTGexDaJP2OPnu!7opaDH)RhX+q35Aq>_;#lhC0Xx8}~Qe)Ra7;-_t5z_)4 z{Jq1SKj3I+_Sp-e%e5J#YfM|~nC`9nNdpUUoKIjSt3SsR0O-0ZUT^C5w)T%@+@`b1 z8+`I9h*kQn5j;u`wdCMD9}JAlSs!zy)s{{Hu|M%|+0~Y>N|dXG>=abp$OIh;axZW` z_-fk8?g#oiLGRLsleI2bu5D09-^}(z z@U|YZ4mu|C!I7Kv$N<&4wgA4obApz|;=efoE(_6oWB1cGPy)`ti zZR=5swMEZAZV?|RdTApCDBO+SBtOITMLtR&5!?Ejbd?3(T1jx6hq#lZGBM6SN-)Jc zkKszX3x68*(Cm!F|M1z%?ejAs*IS*#k2{241HGxc9&_9~zsw<4r#Tl@OEF(DS8sM2S-U%M@aDYK*mRMF}j9>nn5;b*8!tA@L76cO8**OhkgyOqWI zV4UlaQN1zL9xd;@DH}4s)E=dfJLe)(653(lTO@KVfnh)f3 zOg}+L1rXKp4bg}~-Lgoe9f7p!4x^NEV;PMk<%St=#*>%%tH0p!A z%zQXbh|!NZUaPk`-VeN+$Ph%6vke$jf%Z8Rls> z$n7|X*qE|>U`O*|W`5gjtnYDO5rmPxcs_!dj}ElI;CZiVn{9cUGsn|i>-MLk?t9PS z;BxJ%h0cplE2l1yF1X;uCm2B49-7G-My!Rt2SOux{q`zQN31i{u^Dm+Ggsi>mlFI6 zFveNqF7WbEC`XL#v|sb>>S`Ga_=HKwSP${lMjOZw7dym7jN%>+OpUB86L1PXglSymLPH|8mq&fVj$p!=JBfJVV0|icIdDp$p1{2 zv`W&M39Fgsx+thm2J`#m9Fe1QH-B**;VfujRGoFc_a}rbTd0{4-`R+qtvg(mbs`p}QbXoBk~{pj~(8mzc)WCj=4&JL0~3|0%&d z9@3QxFS#!N7EfOoD_8EHoT^U>b~NE08XKHM84IVx3kn(I<^>9%_0dhn)du*%>EHwp zU$kakFLAp)kLNu9Q>59aplVHj#J9Q^t-nCmY^X{1uyBIt6^PsbScb9osD1n0A*ig4 z4k9w@w0`|40P_nzMwkLA0P9X*y=Tg2|DvORJ!TAawxS*?Ctrwl(!7Q(%9P+dmaJ`G zm!#H$6IcHH)7Bq9Ez=*d;gQRVp#6{otA`T+La7u9h*L)?a;_FINs4J88OL}Zb_KD`BgUGPBDK(@`&#L(L&p#mNN zfMs@Dm1aMAl@aXBT15-D{g4g}!XZu_Gl!-sq&H;Z23;Uxv_}~<$b?zg)PdD?)p>hbckJwVL2&jvR}R;tpOao1>k6@vyYN{ZV- zbK~_eo_rIE9S9mh!`kV5`<2jXlY|LDIaEbCnReqJhNvnL=3Vw$!{Kr;O5jFqJ#}?-^-l4ff_ve zvEj4!z)5jsYVO)2GshcaGO+06+-GqCh`j@ObiTiPIwi!mVc=l^?6bT9^osLc#?5KW z<~6tuST7X5yYtj|1P>n)zVRVXf?YIenf{wle_Az@E~c~#m(Px!IC5*KZoRX}#zAPU zyu-J$#S~ud8bolEUc6U)o_3={8CZ&PgTnci-T+@f*yV?~OEBAV>uS@H@PI%5H))Hs zdVxJl=>6FqS#&Y4{>(ku^QV)Sw>ItISfEQ4Kyvl-9j`0e}n8MNcgqR8kkWlj=NtYRhvy3E#}Mp~Bx#ytUaz>k)x*+!b%mX}B^`oI%n1$hkabPoIt z%FG)4s#1;c=JF|uA$YW(OQK=3LI?L!4$tj2`b;3Z++YR0>IVq-17Q+5hv7^Qjk3Iy zRI2{4j*G?(!f3O-Yo{Z)e|rmNY3ot%={=NcwRzOuD?0O!Rlaurycw*X&_E_ibr8Lp3~Ao7tRJxA`9 zE(Df0{q=aCB~A|UFUHR{?-V!(UY+@hH*==?@yFNUy-Hla3U2oQ_?_I6YEu)|*TT{7 zYr^wglP7DqCXmKmuQ?|h{#4F`_QcEqwS)}Fc!CYG>`3XG_U>&!t(rqZ#jGeo0|LoN zcj9g%s82p)-ew?LdF1w?ul@?5a%4l&@geQS*~*5G(i&Uww{P#*m$rGFekkr!&*{pP z^Q^&yYLSSF<-1u57-9fqxp`j!5)~40sTUsKDpw;;2CPvJZF@JR>=R<;T4K@0E$BbC zP(H9e?@J=5#d zamFN!s<*6ZoCi(JA28_AOUEbt>dL)C21o(;w`c?$G_yRlHgNFn&YCBLp%}&#1 zR*R`2<%FRrY?2*J<6RYr#skc`s5{h#>s!6WnY&l{kTEo3E1C=@HV41;gH7xMKOr$G;bYTTqgz$y55%#-KIg}}mqfIAk zQ9VhYO=ko!etl-d3K(sE|Lh^6x>T5i@+5MAKuUEMja|~@0svGMmCQC}vL^O$e4jVD zARRZ|xC``TszmV2`@+?~%!(Nsd0?Qh7}(Iv37rJCCMFC-(=s+3_|pa$pO-N^Q50AP z97xphMQy%K`A1RS;flsbX@Rz&GosqCd ziLR%1Ocbw69N50^Hl9YmtL5(;k$iT&4?d3VY_~q*+$S;I6rY}%l1+!8dhFZf?@2>L z19d>Odj(H2rMF;4R4peYM#d|PmN_)(iI|~g2~uC{a$+wRTb~xH8h6(u*Vck5mte*- zKY*GVCL?JV(FYwt4D823il0=?F|;t<+FcL9X!InDj%xm6b+_K+;SBRIMw-o(qs;Z3 zgfQv~-aWnC&V39nsTq(G=J4tp>zdX;#eJWkXP0v1#Yxs2Z}*|4anHg=Q&m7`RtzR|(U=!Kwx#*( z+!%8g*{A+{gM($Zoh7WLaqGiZ=8GPiF%s(-3R~g2&l5ZwS6NtRL{OPi;CmGpRrHTZ z7KcR|ue0F_!{8+|)b%5V&b?s1wWqm{3wRG@5g{03KlDY}z2C(mBhm%iJP3Lg%x@`P zg$D2CIitW6t!hKf+s%+)eRit6_|W9d+fRSW!{TvZKxL@tckz)^O8Si_$K62GviEUM z9qqR5{?447k^yp@aC;n5Qkj&35$aDt!M>%1Zbf8gULi(VPm0~t zV`+z*R&(FE>{&?~^es0o95>z<2Vx+*j76l~v#Vb6@XCA=b#iMd-V@&caYCqDy(cW; zijpS^bok15SkRUSC00CE6YXGZAziVLQ0SV{5NMYeT}YJAQ539pVq? zGDafGkA)vUCl7FywZKTWbw%Jecm-TuUZ7iXuk(80%A+Oew`Po8Jl)=%pk{9xQ~N|8 z2%iexBAyH*Y4TF+*}&e4c(<=^6@MO5}xo* zQFVV>o70uogWG@Cm1^v9YZKyb|6DxxNlp{=7$xqW2LkAN!9u4*jSp|~IKpZ6o7!S8Zna&U`vR(|+H`dHqwc)j&Ijw1 zZKG)xr%BqJE85PR1m{H>KRX$x;~P5HH7YJSN_34SHjhUiL1zM;55HBjF1eC9e!Z1_ zfb2?~(fXgII7N^SvzS1upN{cxEB@r#wFY+ll;T}t5z$>Z3?#6;kGLpFRd1tfy`40w7byd zc$AlI@WjHtxbAQ^Kuv?BRf2SoPFzfV5Ad$+I}w+ z%-H?FF9y5rM1KC|vNIuPsjz3F=U=`Uh2Vel&vYLi$NpoPb<)53-yJle|5$kM;HRKP zpV}miOP9D3R=-j%SBm#oLT6>blBr#LaT*DDT7SHz8CrIFMDo> zYU+`YEVut2VlIq(fskx|D!&@%0of}wPa#-68DFfD>)8!%i@a&tweMg^;&xx3UypI0 zy^MmaPxsf}ZE!ldtc@;r>l-a8cwaFhaj#ZtKlgdpC65?YFC^M%|JlsxiaaFj!j~Fu zILGYPt3RHfi;2?Tmbkb>%W#-he%aUi>Aa8Fd0w=Q;%`IIX6Z{Qmnep%ZAOl*yFVO2 z?I3)R>~v5E7t+HPxPre;$rc&O+P4QaJdj*9F5NOFDeqmG(F+&UU=WlZI(EX3{KxCm zC74npx&QF0P(eIo>coi9(w*>28_7UFBZGnj0QlHe3mD5sOuYIvo`_}s9tlAIoS6TB zU5p6u<*z6ejm2LbEttyUZ0CiM_b+JFH+3PzmSo5Jz5Y_s&N-aEAV-ku=MYt2?Ez)&w5jCiY7o%dCH(ez|&SW3jFePMQ7 zC$b2{uve0OArL|Hrl`1<4ydTx(d;cd+lC~EMv;-WHSp2%1AwGV@x=VPPR>HaoD2}3 zp{_Shg-&9Va^URTK*2l6!jMs9$>kuIl&aLjurzCUf3Rb6Xk>{3c9HfOo?M=25= zw%5$eqN)MwPt~MAZTHH`RX0a(yrFLSn z3X#rs!2;tP?-T6w6^Hg56dMhd^A=YYY6>d55HJFlcWwH^io!Zn%xheMy>NP#gyi%f zyBxy363ZZNbwSP4bOgStw8^UFF{hKG9}pY#U@x>uC57yul(QyWDsy#1HwmdQIAB}h zh}vcr_ePD!Cti4FjKj=qSl$!ef@YjkkV9Qvzm?_(69%!OtYcPF<*b1*3^2eIog4$P5QD9^ojOfFafuZSGThwUh+*p7O{&yBYc`=bq;#Wt- zT>hd_KeHk(A;_eZK!#lx6zvtV!b@R z7<%zV;^z&DtvbrLc_rY4vSb~4=YVj0w^t!^~B(qlXPJToKO$v8{uny-~v92*wM z+e;}jiacihg;n3uKM(=1;UWMAH4>^DDK7E@`^+p(%A{Yuf&*?-5kYl>klsI>H#OUd z=46DGH?Mz|fN0)UQ!fLvy7oQ-C_d?{pA@|eWAGwC-HR#CxTU7ceL2gu$uW0S_RJ_D zP4mARvlX)xNhSJT^G5AdCri~$+%*K)*~Z@i6*tnwh(5MWZf+JtIy|q#0svsmf~{_z zl)j?=s9NceseN&~@+X0tC-&k>kDoy5P~Az2gF0N{Oy{U)jK}7pdCz>$cJUBgnroDr zHNgeDH{JfS)5@KP?x8Q26;lG^KbeMrrOI!*5)=Roomb%`lO*pX;9 zeYV5jJw7BYfz_1lE!~G#R8)!wi&`pK4#H4)2zdrOJ>C=E$0?cBTMWd& z$Q4HCHFoV>RQO<}Xefr?LN$#ri)qKkVkdqmnS_d8g`U~gRo8+FgbI+f!$hjm^ayLz z^J@uoRMb9(uqQW(32T=VBCGTUlU?)^i{{IT14eYbWloqmgkfkv>F|W9flJf*18!i$ zX5qX-e0eyTT%k8Zmu@8Y!M2Izwdpl(qpMw*=|$@Wl^|)QW8?~4_#_zjBiJo$jyTPu z#;v_K;$NW>bjB$UL}Y+ZRiX5>Y>{wVEaMBAs&EfN(V0fFqm$RT!GT?+XdWZ|q$mJ< z5OJrR#GyJGX*yi=;2>AOR0wrIp4!v_KB&v5f4LAqD4l$NFk|{-|4XVxik{-un^;=L z5x9!C_WJtMQdkBm&!UC2v=icdbO~QqSicu@OR=!bhF4VyuvD?JP1mW&ev8SXld)K; z$AmgTnfb&uO)HgPi4xE6RSH~JwZc%1NO_Sy&Kk0?u&5HyjasF7(OkbbyieA#25Tk|LJFaqA9BwgDR&~{@RHq{2j9)sbk?;Wq zw%5pvS<4pDFn(I8h|qoTfRkc{Pi{+juY{`)N`#~AoAKTIMZ)}e{_)>=oDE~nsCi+Vn&pO>7`kFn z#*>=p1rQq<@4urxoi#sq7@XKp0?SIslws*M_n2o>`k%bN{+B&!ZQex9{}=31+QY)F z_ZLX|R~z-%2EP9lul#QjqqVVAw$pEq=xBQkkjK^c%csZ?Fh|$LzC_^+>TfI%yQi%? zxzd@}lO=f7;LJ}u*e(CUF+K*W--Sjj!TxlvoH0Lno<+At|f-WQi&9MCd7c3A;H9q7(W`M2|6 zbv^aarIvea8^PKY@w{@|k0D(EID;E1I7#Hc#o8QDQ#Xs)etLuv0KMI%36((LbUTw*b;tUuLO z?o07*;l=vSiotdgj^Jqrk&#C_jHNQNf?TpG1>8g9#en_5C51Ojn-478xW8q@q)jWc zXTHyD^B2+2g(Z&w+m#6nu=ao^UD?yjW+f* zDH@B}1e`=mb6S}-ZgIISc|&kONomjPMsxD1*jtC=(!!!b^SZBd8*l!;%z)yb_Ri63 z)r^8N<%sN2pSvVv`yR~LvZU5WBtZ{rnt-gAsgB}eKHJ_Ik3*(P(rmZ3GQA25J4b5+zyFOV$`=FH4)8^--Jq)&L?5xKM1Vj_GKF?c&o@zKx;(=Rv#^qR(hbTt2-TeYcXZ$sRBGM zXwZnjvz%MMi-;$Y+_Q<(c_{mvjlgE&r#B#VbjOI?ab+u6=F^e`J2;ZSy6Na(wObgU zqTeO$D=O-S{{pLd7MAIGrK9Z%3i)iw7QmW`xrKaED89Mm`K!q(!xBqbg`i!C)6{4R z*fL=s0EogW6`Kn9WQ~6>9>V0(6)}uHm-HUNa2DrEnw$UrvZxA>4N=q6`loYoh1W`j z_HIahm#u^R1A(_~$< zsvq9#ZPpo9f29SZ8v8*w;ObTlFBOlDDB6^EFiq+WAyIqZh=16no# zJr3p=m6Hf&e4(C2KJ798L8pfa!z#E3)XljQAj+rFI}zRGoHX9V z_q`g)edNTYE&r6i{B{jZ5_+{>Zw!Eh4Bkd7oMPeG@GSM3d&eGn80?F%7b90rE+6&{ zhnoPteIJ=0C833&6*mApH>1yw$LAxSQ!WKJytQePY`SZ+RN zkplX_do`9ShYF&wfvh>>tQAc|! zxU)gWaR2+5{BP8Pp4#|_@8JOL2!wV4Za{N`laIZ1{d9?#2~x_r%i0uy>w8Im1(Jwm zooJ0h6Je$C{a13_bR^LLfIJIEsF|{D#N96Kt*iK6E=~Y-Ji&{@oS=bc>DayfrOOW< z_=gg7v49LqDI|1l%W{h~vy^XB)U58lDe8q7SY*Evr3->2rz(mdQeO_Ce$ba%nwP`I zsUN_n2=B#3G&e6SG=l-Q6Azk0Zq@RIhPkD$J6e!l!|ClOP=#5KoAXYI{12*h#mAjw`V{dO zH8t^M-~Ky^!bf>3blF>40b(fm)@5*O6@u603zm?9J0(VYT30xW!dc^U9;e(qzT<-2 z&YpXnHLUd&Qv?0YXiE&i3!erU2Y(FD7^ZA^ya#}WMXB&Qp@I+TB2$9|>EBo%@@ADX zl`>;eDeHe)OueP{NHN8-DL^z|q5L6180W&KNuKfXRS4+Yt9* z@{_CkJayvv#}MudPcnJxoHhp{&{s><%Iw-v6MY!6Mf!W1_P#ebyUBW-t_l`3gUa7v zi>5(I>z~zOKD*i`OO=#ygCxF@s%25rOpKi=1y5Yym(!FrlO~|3rf^v>prMLp4JZni zvU<4ZbTfTxxpv?pquHMSgJoKGOf~QeMx}lT>{zivF0HJgT9aRlHJXvU=;vwX!nw54 zc(2L~kR)h@ErRfIJjJ9JGU{(WID}FUYD-<+VEhfif4r%6*q_8d?t-VVxLmQmgnF7& zHH*b@$3dCs8BLo@KzBFp9ozSQ)*&z?4qMI;?X!E3dwddn7KHJ+Tzf4Z?P&MX>j|Aa zt8kkdi|;p7Z?C<;_xbHXaL+p)5$|1SslZvU843{OwqUGA!W4IFLBXggPR{>(74x74 z{b7XI-}|P0P!fDwT6?;LO-lZNlBs0DR<2Yk6je6)t6D#?87p)9n3cKybZ8yjgeDU%1-c6p)vep`Af+) z#muY(*U&J~%)r{}w`FB^_$NWtjQKk2-BH#)cJEHK7?zY52sB8|)Snt*RVp1vVdP#~ zN>5SjKFs&uX&-H~h!2XdN(O_j8^P-ep8SWF-+LpaW;Z%5y5+Ri!TkEASyf+)t5=8l;?27EqHYGMH!rrs8?2cU|Fpi*hNJ0X;sb5KQHKuuxD_KUj^y$th{vA zUQrJo=|gJa#0PMEG83caTR<(3cDi^9eM+Bofw_l9K4BsO2<9r@YX3p z{jA?1Xi+brdZ41Ehe$AEbWu(#Ix?DDbM%V+!|dOXEE4>0T%#I*Kdg25 z;|`eADZo6ymVFyxX2$Rjt`}>V%?f{2$)}cvDZ3V>7x}_+W~OI9eDl?F)o6I_9JprA zZ&Dx9Dx1>1FfFPi@=xKV5I7-umoN0f^1lZHKF42<7bPeXs>1}7m11dO5^Wt)ZiHDy zn-UsV7A5}Xs=5sSU6J?wZzT5J|HfMXni!)iO%gL;)qm=W(Ntfzvas{_yq1yJzSJI> z&THMWnJ$gXU>o{qgh`=s%_lVR31|`r*P`K{s;@0HJ1;$5^{umJ;4q%{!H2e)Tl9&HvytO7pe(Ua3~vF8#RIpy)iwM7-#>*|0yvHuh)L z3hs_mwflS9E7B07u&h6Ba~W*)hB}7%OJ(MV&dLv`(~*6}lyC2G36rb{?DKi`(TB#8 z@j#p9GP%@C)yB%#ROj(C^g_+M=RfZSXgqr&31?=woQ~#Yvy`PFKbwg3ZVwkYPor*) zX*OTxt3*o7^8{PUQh&yjf5iu*jH z@5N<^RsMZavZSS0>-l(d%q4XblDW~FIsfeDH2R479Kz$Z@xxi;59`J?=A6tX5!*|@ zE7rboeOB~4Rj0al%Q%x<^F$;gmq%Oaf}XFo(?Z`npzzZHXMSA34>Y}ZfRDRcTW+?B zI1g3c10o+)%7OCa`)P6N&t>Cc#N-7q+*x~+63%mAye3JzF5@jW;*&D$&4?S_x@Z&B ztwf$V?{w^_mE>^f$T--AZ)0x`Ot}<6{?dJyhW8ijNe%CN^UO=flJ)8yCyqAuOzd7QraV@AZd`WHq`vl``Sff%Iu>l5f`H+1W4O0}4H)}9 zw!LIBrR1R%;cBD1&w|L_9PRs{igG7;=vnL<|GRd+wQFZTQ`e^g9Jyy4|BC4PuLuu} z@T>P!cYXXWAM6PLBGoZ(wQn%((ew^$7F200CEQXi5Ae`;xGWtKj7|5uU+_BrXvT3i z3#iMLD3ZM7b5&9f)H~basi&-HXN<+&ZjNexhh0qeU~E~GRTmBYzkbDTLZvH4jgf?5 zYt7VhW^!sI;9Fgq)p4ZrLjEM>RZ5gYV9+aWW_w?FGrp8oY>c+XuS606$S;^NIkFKd zwRkZpS^$#&;drP3(f@_tTA7ER!TOLD|IQ^5d`c9=F;jH$FRe;hRySX4&Rxm z5X!o@f2kFL2WL}4;i>OAQ_aFzPq=9u4f6ucLYkWLbPERZ#}h1RzZcwFjFeB;to=2& zLFDAEVAk})p8_N6B*gfK(5g@&8EGFML-|;rcTgdv72jIiEhjaS)SnJpK5?Nl=fUgV{PaWg3L?vREFkJ2Omcr+R zETNw?;IF0MKAi&BW|eClw%E28#cUVEF`XjN5lA1dXj2%pOT;zo=Nj~(e4lynAM$w{ zlNLJ2Ev)$BI%({zDDtQ~DPrSPOSzlf{^84xek4$;u2Y*)Qw1l~ZI56~>rmAf*5TF+ zvgV|#H}>gS%$!hvpT;e^aRaDdY%hCI@fY`(;y^vMh-Vh=gbfVXi4P6x?2f)=lh#sH zP+GGpDXppod<;@zC*|tuM*Y$y*0;YLBgB`@IL}Vc&hr2@U)!2XeioWlRISx9Ppj}X z=5bonOekO{y!WYeY8rv#Gqxx!G+2D&Xaoed+J$Ai8Y{k-BGff`LEO`S&|YGeXoj-F zA3vDatMdRPQx;5Hjp`EmWsv|`BwbzElD|1~hFnov^_pIikT0y|;f( zsL4q_M>Tz}v3@9L8awZnIaxi0b4E=96|m_eR#%Rp_@Vd!8(7*}1?JvA;+iOXDIU2d zbYOTE#ie69KyHs_NL*Dgy{AhRdiMk?hqEJSe}BV(4Pdzm>V~u1AKbEs*V2cIV<8Xz zBWdWmNyL{(QjM&gIq`whTENJMZn$=KPH~1nu<&NXi#3N<))p5f8W!D*0!T98q zR!V8oSe1Mc##L^-JTX}?RY;&7ULOd3{UH{gJvCihCdewDWlB zJt!|bbShOCTPD4 zDU74qB@73h_cDQPk>^f7U;9`pr+ICRY5#MJc#vHEd=31199m;LbRFJ>%%Q=dj+5qTN#tYmBRLb?Hh=y`bfPVJKY9l} zX08Az+fbf%h`>-uWDdlFDXRa*!#UP@$^XN{VMt(R!KT;1XDelys1dl5VBHw>XqvVv zQJ!_=aoS>+;03JKKV6&_Z@-JeaEqo>9-Ej{2Nx$hIv$x0(a(u;E-o)$3=%{LY%s8z zl)03S7sd3%j#|z|#GLA!A3N6Js~@drZ2ns@SPVqQ%WpaP%a`oMp3q}qbyhBb1E^~7 zoF$}`*+)vcmQ5y=!oA#sjyO}yzm;b-(nZiKbt!?c{F(wQk$=1oq~23R;33#+TBRu-=A*DP_~PRpol+AVBPMp6Fp zB4BTt6Q72lp4ZSm-1+Oa54i&m3o4)b-pPPA@IdF2=Q9PhVO)%xd}g&3_S(6`y-SQ%^_MPB_o3a6 zay;E!Zymb#DIv{W$7r*sxOhq5P7|e1oT(@uTy={i>oZ3aGw{1d>i!l<(3;lQMc~T| zU1V0@A3@Bn{3n8bP`2`JUGlNNL)?^l`HQ8NwID1ev&%J!n) zSAVnH`O;`A+YCKuXc=+e(KZ`}yyaZY!{*F!=Zt!@=j#HIFZ!$mQyd|=iHjF4RV>ApVG=-{W}ZrC2Z&d z3p2lNMTv{?hDa8CSB>Ngyz^P8}xV{Tp^y*a@W zTN1Ut%};}_#^R9h{p2iL(=`3+s_CCvpmZJ{wjZfRI9w|3XygbgHQ~1bu)J2+&}^Kl zT0i1)xHG>v-x^5>T9qrvMd0k28AV88N8taJX)wGAVhgQT``l?##W8)p54vygf-#fh z{h|^;%^*W$UuK2Sm)v_2gw+zivd!K{bN9xC7ZDDVA7LRWx0_ z62rgM2*@jBM}>30trm{ej(^9&IKbROldvR zH4?rPHdVH?6TOG}o@eJ~)=G@ux6?Eg;)&)QH8S~qj%HO(mDvklM$)|}IWX_xHEx87 zYEWy9DA=lMeJOB&kz}NfkQ|p8ICNTQdRy1jy{{h;MLG(!SJZscpse}o92bh+H$L{2 zmkf7=HMTHbKaSX{iQUzz>BGItAxvLQFL9((4;L?BbIX-Rqyg=DnYfM?>9SqvI5{hwL-R;k!Uh-?n`{mP7?zB@n8QR>mtQR+q%g(C9Trz&(FS1n!fR~=wCGSldM zmq)Zw1U0>qAKk3hgjT=)m;4jI_P76t004q55M_0NQGT8m#z?3z5Wkn zZvhm?)~$ax9wdPT2uW}c?ykX|00Dv%Bsc_jOG0pWcL)#|+%0IZA-F?eu)!uc0|R`M zbI$v}x8C>Os{2(@RLw9&_jLE(Ypv(`t-U##MRN`A;IXEb3^3oz>9soFFF4T%RUe9NG&NaYYlp43oh@ekO2EPNGjU~c`$zux_+d6!{ z)vMKW$xXd^HM6?U7Yd9lp<4zO%`+@+|BJTMoC@y|a3>vmXJeGTAK*%66Qj3 zz&bg=E?y3mb>!LyUw>;H+P`w1tr@jqBgxW$;iKA0blqlPkd>*lc~h=mU@fJ$glFqI zwyGHWQ9EhN>SKqur$eMFh1!?GhWugctx;Vh{je1|#ST!ZF60#4W$0ckT3(a8?=>d8 zsKX)+JgYams2|0Ciw-*%VKrru$F&!sKpAua6y8tXZ;zX`5VHR#Gw+K7)^> zAlPP=x_i0BDff9{OLorh4&~x^>i4@xT+dDH+dkYr5V$)tzaei75ZqJM>oLlWu4HXo zTi;8mxOc2vjQ%sXku9G@H+yaD%Oq&OG0ekJXMAh5gQZ%+P7*m{PFM1rz9HFmFsHUP zreRru;V8|2uPK!kcg9d1X8`l)X0!ULcH}aDHU?|qd^GM+g6LIy4G}QTg z6pA0P%FP4OOgbfbFS_oio8&AG4tQ}R%GcnNsl3dKTO{^LFLO=(IQJ#mlW)-qwGj|P~lQLy<`VWbRsgi=sWcn zAu3l;@iO>JHiW{xrOLbgi*wpE={r%^0*Zl|)2!d2oFYg`hmQ*B16+2kEA|FnNmJf7 z-CJ2XeUtx;O{J%!p%*N2Q9)DpPGlPu+jN;lc`jMV^76$~1+6hymMx5}yK=G0fTUq@)b<{T&G!~mt{WU6z&Hso>s*3SOu#surz3;q89l7D3X_s{=* z8JB;9IyhB7;y#h;W3Tb8b4H@I9+3A#kg*NM^=r<#y?0e`X{u53KWzzZ6UY^DhJW1G ztcS+ZligiaOk}@8N?v!~pOB_fC+Xg^l>cHgRQ*{9!{3kKE92z+g<+&^tH&Fo{0FOH zG`6hA-f}{0kE=)E#x?NinUb6D+ z@>4>VZ9Jog?=P84GUNdT#dE~3@9|Eci&bY0H#}O26%RP&+@srHYFCJQQ=Aw>jPd&` zX=#aOQgI2sVoMCrB8Pex74sddO77YkaG ztHI6|it%)Y_sb0DscCSW73Wf`eYDjqx3z(`dGyrZ^`1S0%jX1bCMHW)pRC#?w4O_} zg#VG6N??q^1e71Wx+L%K4^{$S8|db|yaEM~QLjYSSd;eG+tX&f^^m9gl)%(-+E-H8 zjy`_+l@TqQd7w@3=36_fTN5-VOrI3StMbr-IgS|u{guN%V{QnlTybn9^D>h5#Z73; z!qaCg_lQ(|y_jN7JE(J@!0;o@7lr(GUV@JEmv0FAyde1UG}XUFc*i$jk7A{;Vsg)C zNv&gJQ6UbMKne}bC>y+W$IgC!(I^~2+Ti-gnfBAsulbXNj?ng)Sw~g#038r^TWnMF ztEMLJos&~t*f(yUMf|EsP@-aCo7<$Px-yEXSJH-a`ZCp(69QxpPUHFB=?gU9)A?M3kpuc-8ft_|5n4s%(y zjAILZmA5-ZZ(vtiu?nIj$4bYJdNCy0QBf?Pb>;C_5vO_muAs2!HY_{Q0<71wxJ4M& zraz_a>d_HIk`7<1wO`Dj13{!vPeH>W5oLYl=&=HNKr+bH)AOt(;9cZ|fx`^LLqKwV zjz=`}>*5>?jS4 zawu_l^dF*QVWD2T6v{n5aB?Dj003VjM=ocMeiBFponE-Rw@DKL3c+Q=?`P@&^silA z6(h-ak1|a~H%fVmXU>!5jA~ko^hQu5^STPBh*4iwA5_$(e3g%BY>A!`iPYo6zNn{k z4nc?19Qg96woFDIE!O@rukQOo6R=hyNUQwwILoAsc*sBAC8hI?@aMM`}C_! zLpYY>b~Xn+P<<}4yqfUxey70+PkL4EZsxG2!G2@XbTvGkEu&nj^Ju8=x9m^~8y6

    -x@S(=J=cfCe{KgwhAhB z(#W2_`)Cf^;=*QH)Z?qwQ97-%DCBLkOhW*XI?{%wE44Y&UbgtC3B3*j>nwmGQ#&C!AqN5x zAAO@^$45~yh8_0hKD2VXyyhxL_Fv%D$O05xK9KG}>=Y1i>`&Y#H^431uaUxS95%14 z8;Ze{6c9JJmYB2>ZrX^C7n}Eyfdb2<_5o8S@MV*Ur!m+t?X~`qhN}qJ9IldXFl9k_ zT6H+A!ROs42fP4Ro$uMFfjX#liTphyC-yr4VS_r$2_V1IV;(b{KY zFUQ;bHYeF}r)f&|aDG#8z4SG#D!n3{`O(VM-L`1@`oXzOaZTS6@ERcq@SfOxglf_m) z>J~?&=QMc7g=-#d9}*vesS**^E6@lP?gtqhN)lLi}k6QMyMf z6~e3p{HS=!r<%~G_dFsHF`dkZ3aizM>Hk0nM@sp2V3!O;a+JXoVJ9MaiqfBb1IH;t zQob2DSh1~d?0Ul`8Hl71Hdw0FnLTyVL(1Y9Gpk?>W|Gy|W?_L`zgJcNE`+KPAQ$+a=c4YXL6c@?u1Bck$tRFHxF9l)vAGUcHGz5%l`OhZ^iPG4udHif%9H!uajz zf^=DTe*Bn1rWH(F;>7|xLwo5f;HOulWxUVWfmxw-u(iMqM5acr61mu%6WYF8f~|bm z{8;F<{-i>d8Z|)QccMR`(9(1Ftmam%_4)**Q9>~GyVosAw?f<~8_3+nW{*pS>n8O1N$KKP3y$lW26pPiI8<=})i@R-om%!-5`X{8q8?e-9ko9{`8mL+Hx<#rby zBA9Zv`VL+8zqxJ4uL8BIiM53JDC35QFLhW0NXwfzgr{udM_HVY;%G$f&@ z*sQa@eSPDrd61lie@C{KYILoNc~RzZMd&V~KZxI~A`8j{+zB5dw09i4ZQ++NvkY1o zyMW1jT?3b(NCqtcp&y-B*G{IaN}9p#8<-q}j=6>T5s;97%$&~O57zuW7j!YytUF)i%9yOz- z9>$A>2Vw?xTP&Uf14TV8kOV+|eOK3$qgS+E zPEO!2^pItqJvSx)fB@j~cNK<-xJgqz+H>&u z`-pY`mew3|Pv_nfG{vq`Oo*+zE1_hE|6 z2}R|@p$Mc~Ry}`zP?nr53i&Ep?T+U3~l&Z13VMzAz4190bwd@doS3e^vqL;~yS@a>Qjs}Fx z%Ji=tD@@^Zk{-!gNrV-H(Dx3+C*txaMU64ulCX^#N_DE)U^T3JtwYc>`vpI9bABCj ze1b#iHP*6(3Pz>dQ=n_TZms@FgGzVN!w0D+GiEwPHHCJvFe8@i-$pgR0}>?EE3n1NW2N7x$D#I?ia1vBL5WuKe`u2^3Q>)s34?D>W6R>&(vr)Z zz@`3etDpE?2vG?H8d?g)UxCQ~CnDDT5%hwZa%`#yg>b);L!dEE5>Dk2MhJLqem`tIvH5&KDF8Q8{lm~(D674|7A z`GsWIn{@p;9MIwZP#^-3_%-bDaZ$%-cX)3eVOU+Ab58GrcYmElZRaUWNcUGbHlA+W zUH-1F6V%3p11PitERbWf5>;y`ix9@O8|BlrLanF6zDJdvZ>dapJnozs57{Vm++BDe zeCjZIs!<0wcA!e|nA(>WhCCXGv=ibwjUrFx%1jzm$(O%6jT8{sLJEVdu_fh){J56f z5bQfcG=s3^7W6EsYQGx{<;6afe3M{C`Plv10~Q56DM$$u6YHMXo}Ri9L@enb?pPWq z{pTZAe59E5hL|zlb+wr%F|#A?BZ1dBTkin-&>qK1>{tI#ySmeKBt(Q)$F-r(?K29M z2)lk(BTQIJ;(u{ue&QO8Tf5PjeXm}na73kI%C-Km*V{8C<3=Z<`~G^@ZUur;sOtBOenTZl4?w{h8oJMi{`MJyDEO1Hd*bx=%Ll+n!sQmPx2T=4XZrS#6I`v~)vK2v@a0HZ($PhRQS<6aq#tVUi>tYtpuEIR%1mK49 z#`Bzk^ez6i%eO#aYS);tw)YvW=-%Z>zH_Qf>1DlhAOQh2Eu`|>3!W~%tyD+N_6uVG zPb{-k`VCer)V!?x#<{v`*}?O=k8A0{ZyNF7yl%gCt!)F!b6Dt9D$Lpax{=)J83hGj zRRvT`bxTW8`#~oqPAz+o4VBOvO6vjyKWTqyKXombUL`LpfZ2XDtTneq!ifdQFOnd3 zsG#01k?#xLGIuI8{BF*}k|sh2q=GpZhJ1%J@bS3>Suo@{40itVlh57HC>lK_mMr}r?J+jXWGzJ z$)BYz(R;Un@_N47?zcf3w)U1oY{`!cl09zDSkSb>u-oVsycFBuwdXXeGKb_SoU zgZvVox&|FUA|pF7#`cmpo;^V~BE|ZGec98eMg^v)PW}VxC&cU?Ut+`clY_*9&F~YH zqsy?c@f&MFPz>}p8e*VWbKy%;D)}5 z42E!Tw~pHB^sb5&W0lq9-v0X1>aN7NB4j;RVo*_ZjB*nwd)gV1U*O3>MR9zH*iF99 z6~N?t>NT>HFsx%|vx-Prr>4LF%emRMyd*~RS!o`#9l9jH2x*|kYR*z9mc(Yn*iHOX z_@@!7wGjrVQM|b|@7Wy(VAkbq)aoHm5oJJE2@xKapKPA+a{%4F$hy$|qB>3dsD;`B z3L4zJkt6Ifw=7*@StlM{dH{irYb5_(EZ&r!Zn;KEz)jH=k*0A%_2xx6Xj)#cGav4Xs9nO(-P!p(4Xd8uGq;*WJX&Yn`h94v`Eh@R8oGvWVBd<_gdAKIJz1k}$YJniL>8QI2(pzt1y`4tTro zBCz%0tZBh=Q3ZzJhi3{AlNY>UB1hrCv88{v<^j)OZCc8jDE|UGlTUqc;~b00NS4Hs zixhtQzBRAGr&s%I>3XHTv=6idZ7izhCw!f~Ma9~Hbvv$&6!MJz#JY)t*%U>2Z|6&= zsVa_fy4US+q*!o8d>{{TKv`i=5~)X=yDb89PI!D2_D=W%_e`(0O04R&%|8P~N|Ymg z_gpfU^z?;Y2DiMcPGQE^Kbtm|%aYvTb(IjCXOI1UjcdT1z}J0v!S6lmGvfAR4{cJH zJrQWxxKMd2z7ej^FQzbx8*>Lg&ruOL=quK4eu<8=fyb(PxEtn#oM6XRnW?D;-vJ2j?*xPNO!sl7a{Gj zHa*EbC5u%DJ1_0MTvGLUHjN6ZB0uf)Z@Vd7Q|*Kig`EaH8t}N67Q~8=$N38Lum7N@ z^YHBPciFL6J^DrIc&?J!v5H^QT-^|TMjuR>?<2}XG2*>3Dj51}YHS2zg$rNHf|WMA zOIWRx8kunt+!U<)hGF>(dy_0zt`Q=TV2^o^)1AreO!zXKIrL_q&0GF##K-a~f4=@~ z0)FLFFgyOmiYZZW8`MFQZRp75Yq9F9rA=STfA!;=E&)QP(g5aTtT-H-)YfB~mU7|t z^1OaSSax)1$+Vd4clir`K<8WQap8WWuU2c=^vn65+)E+4LpwLS zED%L!Z1HRpe3E|8${hP%XgwtyCP2V0@to)|h-;z4w##EIa#=i8q}D2#eaSTts#)qX96K69jg56?9Q?r6-+&n8YYM}_{TW@u-nI0B@$2Tuc9vUXWL+(#_r(#&N zEI1Nxx;;vdd50h{;9=poVY5@sOll zzYs*M1@kI{gN&12BM@#KMZW8E6iH~jYD?WmCeNCZA)V?>uQ};mM*U=oVOzUjr82U& zeKdK-2#Gi!d{^&Lq&RI5ur)a`clMdte-aR z&&SU7<5xMm9IQi_lGL6A>U->gHlc@|6S+8BHC{5&{GaLw!d|sGL3bC2a42(-;NQXB z_?WG$gNbw1;V8%-AMB>i9k<3Aw_{EfGd1&(;O#YfZjfZ&Ntt%LH2I z1_#a8mnjo190%scy>D((s4wiF_DA;3>2MH`EJ^zxvYNL z3#;*~TCEGg?Qrw)PGC!hP(BJ@(3-t3981*K<8!mSy&SPOc?4}NG;90aX@eXRT3osy z2!SS=ephkWOz@(u4)+ubX_3n1J{+8fu;iq4P26dy^Z&hI(0ZJ1IY|(b9YYlGrJ*d{ z_ZhAJ;N8fh|3lncN5!>lYrGu=LVy4X5Ih74F2OY084q?uJz=ez-iB!BNPezT)xoY6wbB!TepY72niaUu zG9-E0{KlepFWp@#zzi&U#l5V%9VIt>W~N$lRJ`~p$5oHL z5hnD4%fUb*_KKMB{1eL#UVbKiDdSOP-p~Qfq@4Wda>(iUQW^P8$I$Qcj+PetK7aOk z9DVYgX6i~e<=Up4`O%`+yhma#cf{IE_C1KM)S5+)LTbe;5m=%))j`|65jzTsIR@ee zj6xyjFD-J6sjxHs5!@#~n3&l+1XQM2B+VH)x>j!P=CO@eXgRO*`%}0L))y-|;6r^fV&qu`)`fMSKd(q6 zrLLAg1=yx8r0L-q1$KNiZUk{~0Ta8Fc;<815Z_=Xtc1+&XTctKm@GF@x6~csJW-cB8z)L-?BxOkk+8qI2Dh(v4yC zM&h%KH3-Cje%%Rb4A0hl;dOcX(Yf-qk~+AQJv;8Y=YC1n#CG5`;U2+lbiFP-B~ZY! zYi^bXlikdXOx(I6RYlQ^XM(iXlNnU~-7L2s%PZN7YF3(Z@APtWoG*7@^~cSGnaO;5 z5C!~su^7WP_BU3?{{pz;0bu_6tDqF}4Bnd;dv|5)ym$U`2b(P{DlVS41~%@%53sfX zO9u>h!m_Mq2j{0$`jz%m_%1*_R+7?CEG7?cEwyJ0y*f8~r0p4Ns{-@P-MKk@bxlns zZ&h?(@)6Y=NLNd zYfqYexCS?$IF2&oKKpH3maGaReRH0NyOVtT&PwbcZDV(YJaoQkAIN=uA(OX0eje5c zGrc3%TN*R*Aa7$hHgWqQ4{LkCY5kp>-f+<({ne6%l8}eNL@G7Nm>L0|R>Y?pSiszO&`1b ziUq$H;ihpVy?b*OZ=!fuOIC8Qq+C}61kzu5AY4?0YZKeu|6yA>z*a7UD->(eL}iBao*Hs^A}xUb&?k*4~e zl$cegDPv--k^JtrC3eQqvxQ=KaRxZ>clhW%Cose#ipg!dL`-uU{5M|&LEiiE-#gj7 z`K1Zh_mB8i$~Rzk=w7Dm!LE85L~iC2h$Zpq!Q-SqykZ*EKko<+|M5_R%<`@Xe`r7S>n_zFX%dsY;n_0Mj(A>H)h-tMzyFJw zPe9wWnyznnAkbgVpOwk$wGVEFUNN8G+$6k#nkUYCpD`HM{G)rI^O>dFgoo^FLuyQp;Ho0qWC%Zp&o6hta= zFH8uLPCueZk_JPZePk(paMLDl@R9P&X$Y;?C-mPT#9#lrLH`E3`h_ACcX&R3g*XX@ zo+GaiS2L=A%)k*~Jl$cFn&kkLr-rnuQ%y9N4Ph>-c#9QMeg<`v?e5bQ0w}KelNyFG_s+?%CE6!=2 z`1Q%)d&y~(PMD26mYS|K_Jvew!kQfWWiD9zbNq6ri=7t5&Y3e@JdSf8XHo)}uF$GZ zSW60hohdw3rX-cK3Q3*ueYRb#Ved2}v)=<-Vv%K?f$G!j7v+g^(_C@>qOtQvNuzZ> zM3$JKU;By2cR4R?Y|o&~mk?+zf-z|B6spj@f$&nzMTGF-MR*>Id-2%PIb8ngt|Yf?Xhsc6XR6BLPm{fh7;0v&_KN93pf!kN9fR`e$@fixNI46H zLS^2RS5L0;)z9InlOSa3)uGK*1dQym;C$_*BtSi6WHqc~`RaTGifjvB37a)A8*gYm zL+E1P)kaL}dbL-(n<98@FTI?=#aVYsys!EOJkOo4dV~bzTX*%NsSX%tLky*_vOSWlzbrA7hLK>1u>ujnALWx1Js0fSP8n z?-A1tyqqWKr7D&f0Rj1KbZ03+xK}A%50X>Ok^*wP#)uhsEOEC=H3UsbvXwTr&8Dj( zS3Q5?$h({<+Znj-7eUx{Wgg)bLCWon;bXV1~CZl${B?Ux?X3;_i)BzHrW^G~O~ zB+u%+{cwVpoOWEr+j53esAHy!jGk@a=jVe$>z%%h($m>@pHvBhyits-@k=DxM*6|& zUhA{Y%h3T2ieoxdTrqCh@gj|kD3O6BYz!t&q0>NpvUBs!X@2uVyjh~gg~=c96$N5? zWCM#TJ8Lx!MMT81lLp3_4;XQ@Eh54%C=}Qn8VBh!;7UyIv7=wT*p98}mgE((a%G<` zl0_41CIv_-RrvuL)z^k6HXWaO^w;`4TS#;qA5d&18)Iz&ndI^>aNgae5UbY|I`7)upz&uepv4~3ULJBR4r!@H=Jjmc5XHVL&9F5`wQD6WVdKY~udP0B zN=KUk9&}Y+3{lmtp#8vYr!}xzgO?D4I}%~tZ4T}TMVTu!)E#?#)jl>i-q79E#egyp z7DvzDcs1#J<`3+r*vWC%TI0wM<(I0+%Y@?DOGP8o;I>wwu{=#7m%H z2osJS%b(+J)kvOkOK~?Akr^q@4k^54$6JcGGJ!fOQf)}?f9*G?4I9YpDKE(vL6_n%9J%Z7$w8~kS!8;UUq<3?=orYmK%XP*! zr3BeWJLW({@M!TXeWEj0DP8s#LhOjS&P<}^i5X4Aw>07By2nuU*z&HQ``&TS>+$!K zGxLr8!K+KHmi!|VF1?HLD=+Vzy*T(ZoEr-?difOv=~9=aPw#OZt;J3L;Qu)t@htqKxBTkY-kyT_yGl^^$0$k0i%3%8A&qJgv>M{IT%}z7fT{X<}m!_4IO0 zYZBCwGi^St*SD|Bjj!8zlx^wU8OSPjD1!1B)IRMM?zj=sy2%i^a|YMpaJ zV?E_Zi%d(6B!`Ve(^#sAV81NcnjG+fQ=Mm+j!aleMpNacLKl>;hl=?o4u?;ho`uZ{ zyACrx6`1_^k1!*|&AHg@N=-{K`)B%ix#kh8%}aVn$-@3cL=DZ!z!9=uxK5_M=INYO z6^e-89L(Y8t^JQbCJ(pjj6>k}r;Uc~Sk{#&_4G_1QjOtesbnfk8OioJ&-A%+AMRbGxk9?DfXxHd676PAXI6nAW~hR-D_{O(IEyx30um zwu{gHC9*k+HuznGF*g=5qZ{r!K%hFt{Rvz*aHO>`qDt+)8#moB$X}CAVo|GIm`l4a z<02H1$~gq+a~>cH;YJvnj8n-@K`~S+f|wh%NHdr;b~u-@m5?FP7Nn>#YH)HWef>~_ z!)mr%1Y@+GG=F93sYiomf0mHIuzEGpDn;FoH7%%k-NIYVgBd+19e{_5Kc;a;Um2@B_A9X6I%i9{9f z3t9^5DWeYfk&7Y^ak5xasx>V*qg5LpeW*i5$hjAx#n0^SuKl!pGPCXT!iCONIhl-J zm9}KW#b=pYu9zVAz|~{5Pr~I{7h@`xBCS#1Qb+s6(35A0!p{1!MlLoitz7v?3AFLY z_+XPBDMRV^RNOHe5Z3wvDm@)r<5GS?gyGCs9#)t(O$Ib+at%wUg|t=qc0k+5~qLbh>_3n50E%)_qs%}`TB7yK1Ka(8|YA9 zB&$KCHuchFfBX)H?V|S#45Lz; zvd48)SxY|}appXp=xXN}D{U+>FO@beou~G$lC4g9K5?83sGfsW&5fOZQfkb`>ZNpp zh=1yPP2RhLJ-XUKo6rZb|L~!NNUL_`mmp=p&ykePqi_%?vJZBfv%&2HWd{y;OQc?@ zQ{`MlB=naK+cx_Y$;W`ZAMv6jc%K_N9azorc1uP~%+WokXt2FpJrE2n1+HaCq2+D? z-_yvXuV5RS7Iy-p;5Eloa0GRq>NRroE#9qC7+L6&sU{nU?N=0dv-?g(Y*FxPCrSc zULmI~t@zlqDP$r1B#*{?ynd#hl!Ag9^%FTk^O3=W@`K6vU0|(d-I5R5VIx~JNI&%R z`4Z%>n$Y1<#_0dL$SEcAlwYQUHquEw#GW6%lNA)AW@!@^&^c%>8(nDAUfEJG$&G!x z)UmCrYK%8tKe6Vug|+CT+aZzz$^>NDl65Xtmi#7P%r09i?TQRf-;_Fjgj&R&j94Z2 z3gH{4Dn;7Cj}t=dJyYb@Dw&_PJ$*WVWek_MkwBMvarT9qM&GbP-VQ@<8MS@<@n?OD zSs8`s+oj>sdZiy|j1G8e!KR8t_<-ik zgXa`;v<-sR?!vJl0uR@{fB*-{Z#hebG(Fv(ZBBKx=J}m6?@jbOqWN1U@V~YPPuxgn zU@t2C4~aTh@op`@dVgBz?}BW=Uv^r{qBLBSd@*^%XKAcrp3^Bv{lT&-FG?SNj4qv`b@SLs=4l~cQj%!d5Rw&~#2c0~E^)2}_ zht?mvsdjrrDy@-p?!i#bT*{e?#n9}pRfaT zjCcGWnyD56slJ@YM^5X`B4b2tF6UpY93r9lKauSuK@vh2>CmLpL4^+J>8w}ol_&2> zs^>wZ{jjAum!1=Sa?yo%vtgF1?)5K{T7zbxvGRFO+by&q1nR0#} z0+OV0B+pnF2wlHAlfOD9J45~$$n)~V3bUvr%$*D1_Cf?b?IEk{7%l<_!Y`l{ zsI}+iP-L*}+2FbT;wkj=aVSf>=Y9~@lqJ^XE)?&|VD;)eEIRck`x+EEe+3AMh;t#q zIKf}SXZH}pdnQ-MCs%JWH40VoH;XTEvyW4~@&uw-AA*2<@$l^49UYRyi_dEGRrf_& zNA;uK2igL?1`I#<53tLL{1mdlj3KTHJW^vMs|X#*bvUlDnvt{@G7qGwzo4rrt#=vM zOkk!)MOW#*Y3@aUlFbwN(ZD6s#kFAAsfY~-ApS1WdZZv(>>j0x0@O6q6fm%E6BCiK zv!T>&8&}!h#^Zbd5zkkhibX5F5fI{n4?rxs_I2K}U*nzTh!AgJjn9ikG9t^4ffN4Z z>!Uod;)#>-jn?BSx1U+9*B()QGqh4sAh1Q+vb{CP|hYV zn=?BunWHg2@ud(J1xg{-t)!>dwp#(lP(W(5lj4))Kjp$@#{48Hezp}=J?_B^=?cgi zP}*g5Wc+N*ySF?0DEnXaLO@ZaM5jl@9wD#p`jcVTXuwZX_ac3ok2h_^+uz~0KJWQN zZF`GTJx!-DjrJC#VvyeCgnpH;-7ZN5LVuBt4ebpGh!}c7{8(JvC5?s#j#HKgB{GDnOXu_00UfWc6@-{q zkEQd718j=xV*NHZxHcB+6W;S;LZ8>pwzO|0?h;U6qz`$^B;gu-8WfuC8jCJDs|M^9 zTzI{zKRln-t@G?QPzy6Cmr`Ej34rxdzAOy))P4uV%;&hRil}inzCDv@nL=?iet zl8K{rj31@T3N*+2b$8CdSLZ7Mv?jlK%R28z^YRZ_YZ@@3MYx(8J%2ITas9tmLU*ru7+YXtB zyB8*V{`F03=^b48Dn(IlyCR0ui`b}UIW*6b1`#UNXmN}eWUDb>q{7$rj+yCunX5By zzFl4pHugq{R;_SoU&AOC9U=RH(N&@&PofM7Rsc^k7<*p5*8JF(m5j!CDZahk0MCmq zm9|qn0zXQwVcQO%4RH1eg`aralcY6lQiI3V2FB0F-#}^#94-0Dt2|^?ue~R+p3e&OXnq-j=xF~ z3JUYY&}s4LjoYA^V%IF*VAiytHrJ1(3#Rd_vx=)M;S$8Y!3TQho2Owl6xb%NCiz9U zKraUd(AaY){OTAtXmEe%KmI((jdBC1tVww>Tkt(TYP^pQcc+Z<>(C&(M@$QL`HmBU zv>}dEK=Px-X!=(#=NM_Vha9P0988L0vd{JBM%&zq*xbtQz{cm_x$}{h>ck&g20UG2 z-|IWeXj)!dq5o6?n(t$CwW9hkR%Ijv>4;I zDbc28MDY<6#liDyf2%K`XRZuT6+=T>N*rJD1FhY?IkU8>_v22c>oz4f>`*MCBeQHg z;uE%=1o4e`IEb(?)-))#jYWR%o0g`Ya@kT5Ue!8B<+>l-6TESYV(leyG!TitJY-8J zL1$~$gD=c}{CdD=Y5Nr+sN;!vw0o4gccyQB@*2L}&gYvNON4x@f6{iLQ=HE^Ppp-^ zN5W-I6p{##!M`>VHSTu&NG0BwknNT|(rmNL-`)e9w|n5xOG(jWmpGFu?XxMU|NZQ) z6K-vlQyvpR>US2EWV_X*J$MuTG-m-4uq_dG4v(Z#AsUFq3^&xsxnf>YM_MEkau#Wq zJs{~IzErdUtC1a_cJy(%t5AR5?Gr~n2u|+w#Q)*h;lQ8M=8z{Lay`Gr=uU=k=R^jM zck`T*Xq}38q=%lM`dR9U{c1CMf>#~=bNCI4pfEha>(1=b=|_=sb`1bAFA(<}VpkYTX?_RqEvz z#zz*Te${wRA#-LYB4bfzL?l66J+KDVqnCADINvnh&`_{d%aS^lx178#mA$O;d@c0B zpi{)`UH|qMgU5#x2eb%UwZd!!Y@HPq_{{Y1=tUQazr>=(XFN#^rUNN=x3+=WEi?fSp)EztmcaXu+H{Z7e)~xbm2`hiHO8EL>3ZiNnnw5yaBlg}D22 z&ono1v3Wj$yGlk(o$ms5J2c)>Yy?M5c0pXXUeJi@gY$!dFwuTuPfujWm_Y*&Sgk4F zHI%WF+HGiXEQ-dmb4)&L7mj%yv7GX%m0$_slsiby#+Vu!Cbw6>pl!)nUtUxKW71kk z9{yqbhAUr}-YC>ZbHCw{ZNEQkPNhHAr*ububI{Pj$;QD#IfA+P3+vCT5OGf%A6Xh*$i8OUt!J2BPpRqAU;!>WK{mi;82}tptI4_P z$oA-LMdSH%Az5R@;B&Xf2m?nUfKNyrKmAetnfY^d#RNS`tPst>Es%F&6X3C&mQ^=* zg*OKTI7=0W?Put?5MLj%=(o7I+!Jpxf#D$w?0X_^{5{aj1R#7W{xHyfVJA$t+|;B6 zfp#(f^uqu@O1CX!9y#<^Q!UC>&~j>3Bk1$YZERQ)DKR7qfkXH=4};k&u&)4!(}-|R zt~jBYXWAl6(Bp7|ZQOu-_6VJUo!Hv3C9Y>~oCd<#F9MT&Y%n{nK4qY-YDkOtRGBXt z?UQ;MFRa=&HD*5F2y7H z4T9n7o|qbk?G$!A@#YwDWi|NdrW@U@Xam^6je~Z%N$p*`054b>+p(G9#t<{D!s$E~ z`*4;T3q6(L$)b7ZY;WV`i&y#kx`4ZpJ5Tm#BV@_Jhd2?Ok2fa^Y}2)Smy;zYH!rN) z&67C2+$~(f3w6oRjsM|km^qk-%v}uREq*^*Yu)!k-yva*7qX&{*vn%$bgOg<8bKN9 zNdMVy@<7qfcH4q06$=K~^3Z^|vY3ZGD_Xq8>SPjXP-}taGdG4EH?^(tr4)bYi?Q9& zrxgeBi#2YwsZyDWxj%axBvD2Aw|$@=d~vYH8I&hym|HbUImjuB@bZ_zOmdfCYI+CH zEL(~+q`G~{a*1p~hxyqz$0WJT@@y-E-gYX!Ei#86rm#6IeIa+1r$tDK=W<(l*~`cfX~v>2d`@c71W6WE4)MZnL9#1xq|kG z#Kd!854pK<7RC5=7D|H76qL+$f#K{jN*hq%oFGte!d#?{N_QLk`5Yq|$tZ`lCK^oS zvY;X|Z+#hcSM2teL5ZDZ8bs?!HTSj32^UvSYY-5kp(sje?1$VpR1wT=5D__k#fGck z_IbHSAE>hsAEilAJ4W&Br0tf^clc+WXUVE&mRE5{YZ0a9rP^6b?v??_9o3I*jnat&wfsz!9d0y{C=;@*O`7Y zmZJ??T6yAFY-gvK%$dk9lJ>Hs;YdqAIAVy2Iv2EW&1N#gfgD zpL`V+ChBgokvCcUUQn*L{bfi<2Ltk*pi+1k1@nt!x5*U|8BEGW)|6h(PD^NF+1Omy zk~;&KJR^zZiKCqsjH)t0dVHJyN60e0`C~b$av27!`}XHPeGb&KB#$PEE%^2WBD&*= z=>%lLzz7^s>NAMXsQd1>Y|B<>HK*YxE^5$#5>Gt@A;eQ)*Yz~W*5{qc1S3fXJuh~7 zSJ6=?@GQLk%Gfa+J+9|C89lJDETb8bYl$OV+BETjo!3*te|>PQ$3j3@R_j7E=#XtT|%kNRrTgEa%Veb^G!( z>e4?&n!ajGDzm&XScda3Y#Fx+8%A~m3uw8%r2J31rG-I`VzE6ua5yJv@=3>w_0kpG z&1V!i4f|wQKN1apolBXi2-f|>u-Q51;%YdSL>RQ219sk7^2=%F7#2~zkdfLcvJd)Y z9oaHha=rh(bdhtVv=T&wo;&%lyB?w``cf0=oD4f0SoAg>`BA#4D$ zVMaRIPN%+Z=wD~&eBR*Z@WC}LDq#ELx;=;U*#xr7vgiXthWp_K=nty4rQ@4 zTzptMKG{97a}`yD(;aw}N<)N~Qti2pnT){Lb-efA*zyYqumLNGr*pMAQ*%Mi5 zd^GUWsiXVroT4Lu6_{x2QA)@St()H8m)rPN{oTt!^O-^YJkyg07$LZmQNL6sp5v^! zpYB>|PN6I|d5S2Lg#@R!2O^Um$NJ}QJe*CiPgKgo?DUuZ?FTL}XCK%3IKP@z8 z2Zx|^Eg?7aGqOFxyWx9V)%GUzM#C?*Y&E8>HPG6DZLPJRibdJ4+8F_X-h>d`XOF`C zX9~axJ&&h*pUAbV-a9h%8urLtT4J}rCn6e%FSEdda-~Gc!E1$nspFj1In}5UBHs6% zBCL;jH~@!!PI76+9a#ZR*A#|OG%%YeopI9CSr~mEsr~Wh6WQHy4K|#Lt-fKW0$nv|iKnt(m>a1luVBAYdsz$s#PNIn z=EB=AeW?JCf*%j?F;W41&xN4sk8#q}JdHB$j?tifZlu!Q~(0$(&R(hBNf7 z5P+8J{ZmnDT$A?NBF1%KQZ+VqoAq~qti_Hi?W%EyIq+tCuMO_%f@=xN(pK_zJo5rW zMzQ{5)#f2bQ33?Z<|vGa4Yr$zp|E9}Rw|FYm~mX*Ro5yGB%MLt_GbQhsYo(J6x6w5 zn8)$HdsT#ZpjiUno$^&|70n9Mhi5@+QQNN))n;_MstqVm8AV3V1Q5Bf7Kk36^xIOGzA z8aeno$BIwBsGk{}s7*OC+Y%;gq@N(m^IUFltNGVpaRP%RuZh)t_}u8>zOM%_G=883 zUiyORA&R8}c}q1z8H)Z^LAYe@9sSVCR+YEXu@yrWv%{XX?1FEq_j&DX3W~->uxz|; zHQ}3EpKMP2Uv$V)q%2UfYiy*wcU%UoP$`$?SO8aJ5eUpkSu-$exQ;Ki+|~Ob^}FR{ zwws0ejmUTu?yyXuK-aY{S(=yThnWwBW!wp7*Z5G?1@ia;#}KFXe2z~3?pn1J@K<~abk&k7q(*evMPG7zjk`z#uW~z zI1t`F_|}g7kTC{}P>hA!AYGK|(Sr-BLc6d1&e`r%^nfVXvW~#Uewu72s0-zuEB%W& zsgRvQOqc#Dn{X|k|KP8h{HfC|d$PqXHEtI7%iSkH>3mpBSm-7p!+276W~WeONM^I@?ba$>=5(ON}$@tz(6 zdP#AiYqtAwZN+Di3eZB^cd?&z_-rYPVnW64^cw=3*(qS9OA08OKW6ouTl$x@hwMIT ztey^z`(}kdY!-$Dy1%psp&(M z+2KU2G)R5u3=aKB_UO@+gO}`6pu{-DCgo(){loY6aQHnjkqkT~$&>)Pv`!I8V~uBs z+8hAwZ?K)xlBFINeiQCjiHJnDx>+jW+QQi&QHPnbv{WiN9QxwptCBSwO7>MAPuiZ9i8RGA*%k0%7kKjgqy3%qux=&^=O3{DNuL7jE6^h_u z%ddW5e-m2V;Raj=&Pz2K5(DQAX@78B8|9V*e;{8>=yBIh%+L||P~Xi#{Ka^lIMvlI zLu?6wxnQJ)Rz`4wSZjTL(2=HI&224#RRq`+kik?IAu5(}ek!vwyTl>})4M1{$ zzICpg{8E`xT8x+oC2vg5e4U%D1H*K%Tq-SQU~YQbYr7t|oppT-;tKPrT9ZJ+JXe5g zD*Q_MvF170x|W;Y_OsSbebS)t5fz_LwxQd10sqOO|9@kwX1rRC5ET!itm2%~8=)RUU)2`00fC-5FqE&6ZCv=SeKg>2s%Zj9;5T?9Yx`Guhj^y*WPD z4h{&YY2MF&s(a|mFILzUXk7&?=!rC6WvH4-^9PMJVX)+frvU}>O*3LHww#uJzI~FIUfNB1^g2xV zmn>O)2*lF`%>IATGR>FQQbzQDD`ke{Wq`O9pkxqgpQ1k)YS(Z-Sh(ibg21HhCt4~Z?mB5bAyA*%jj$}Sf+DI|=u#qXJ5h)hDM(*2O6&jKE z##eSX^u{ccP(QlxFB{K}Xk|?p7kyxBq|2eXh)l_65tJ);DlOelqOCLD2QNu(uoqw4 zNuj#N#ey=2uo8mjVb{Fa0opaw8+aopPlg7ioHyn&+2Wl zH~Z+!^=w%#W5WEUhbw7+B?1L4bG)WrNahG(8a;u|Np~=OYYTs;+zhYtS>3}!_ zk!EXFd++!Q5ekAKdnU=6p}*_@{Avf%Y5-;Pn+FRUoFV;3Az|k~^OLTVr2zEpIP7Srt{Pbs{$8-4ovbRr&0$z(V6k4Jwm5sq1E#gbUpd62Hix zwR1JxrfHWbUOQ&?S_+#K_}-bIN$HcH*&C9wLit~tG@I*Oo4XVL0*j&Y-vb7H6QYd6 z3@w=#F8hn{S#=q41Tr++6Lj;QyBT2DB*|3XmzkUix>s?yY;wu>X$W6~DSCu^5>dBb za(tkc{4T7`Zn}H5|NQIs>1Dlz$amse7&VELvE#Q5yEWJtV(m9BF+3}0j3G);A@X6O zj*a^~oF^)UDnF*%Wai?d7;P@Z3fci69pZ;Fd4BYHqTsht5z8GSS2zR~l4g%3y8}|% zanB;B!e1k4Z_s>vsHQbeO~$5OAw$6cV=XCJryTcHT; zXC|UGe~Lcn*ae&p!Ns4NZSEd^HoxMR=Oh4Yxp2ZZ5VNTxPL_Q3k?*}X&~yD}OA;Ul z?xj6n{_`#wK3E9yyYqa6D#fs*IyibpZ8O;z=d6lYhPt`CBx#bz+J3HRBmbEVJZDJL z!RFM@1jR@4NR5fh05B&C>PX~lUFA6gBzou6VjQn9g#luNaN#BN?efm`N>wb^e||qbnTKo%)T`07uP>hp*FR$n@UkJXcbs zWy{O1uu#l`zJHL(n_h=n*Y!RjoRsTlua9v`FTWq5>%l-5d}qGpV|lL{xR3-4dt%Ws z#|OGa3h7=+3GOR0rCSy6dskKXDMTpX9=8-wzPe19@A~b_bJ6a z8VXd1ctuUN6s57MZQ$O)LsIk1RQv^=?EtQhY#VhZ^*bsx4I#H9^|4R)5yMt%(z1sz zvil6UqrGh39H!auKfI*QROU9{nkQ&+B4ms`>M-!cy9K%}TkwRaTWsRS1+oA${b&uZTE%IBXBT+PsaO91wkJ3sq-0A(*qc_s~1j`0V&q6;Fi;*N-|Y zr}vRrjAA{8#C?nLIiGZhDIcNU{Y1)Kql&VW_=u%t!WRU}5aaY2u?@|_?kkZfLhHal zy93J6Zy@W>yJHMOlx0clA=g%E83{f$Z~k=zw!xW?J(OrBY6b z`8`s7fe6!p7X5}e63a(vHKuF@G4M&&`yj)kR$R0s<^ZJ_d05#|`u3}PuL^`B(9%?l zqSGYTDEX!YI_ z*S^t7NKt==zh0{FrWy>#$BB?xbywved4vDr zm^8!3vg+A&M{JCI4pl0hI!N`Kd`%7Jyk{7+s^4h;{Ux+PDOU-nRs|m#$#b_<#mstG z7`2#aIn?)CiW^rGsOcac71ZR=cIvb3*~q;7dH->fC3@ypRz}U?ctV%^F;hYXs}`0# z$M=1=>sarCcNWG||dWImuFGrzrkXRp7 zI0vp`#t_q77Zw-l@cG>TPFP^ ze%KO0H~Wb3L&coRyk$9ioguXt!}p9J2q~zPH{!_?;$ucXLYar0Z<_P(=vIh$QF`pH zN-4A}UR6|cS}4?xwl8MRJIv%fw6DM8=aUU_;5k3mb)xIr`uN6L^}MkJS7iU0(c8zp zkH76&j;dp$pxwRwu_Ov#+VSzza;N+E0rJ{v`ct4CoXE;Pp>pW1E$4m7Ajnm4qH^8J z+4Dh%!RVG0#z#~h0%9wE@xkqEjtIe91IFGe0^73pLz=%C!C~7gE}2S&6`9csl8HG> zD#E9f@M^ESKV(`;+`>jGn+IIsrLPM^lbJI+Sg0K?sK`J$xKBW1Sz$zDYv$0HO1SuH z;4WXucZa02w}lmAxDPA%QXJg<*ru=p#4ckL?0p=QeT#iJO5CFEy{d?=wDOO9x@)+v zu^0W#LP&IkOUcap#eGpRn?UOY8>%W{|D#Pts0+aF(% zukY)3;K*EPkK)_K-vZgzlQ|CH-}FVW$H1Y}RTR%EXqqb$K7r zp4zSDPznFE(6(scfrPCBolY}0+O9T-&-1zPyo+*fgG?uSnerxA!7cHs{bO5`Xdt!3 zX9?<^5z*z0!8&xh-%&u`$JEe^Q8VffLL(!QHvKtVWumWVj>dG#`=E7Ol|^}E0>S5* zBmJqw4Hx>Tt+o;cCmCIj@8}B%S|j+9SJbqJd|C_#f6^L$E+_4>_k2M}B3|)4N1M~*cwRpGgCUKHCHSb=&d6G(1Ie&$|ISzIG zQq~u0O3^%f))*2pV3g)$r6$zHMada1Bf=j%)tjC722{@YXnLO&74)vuZK~dtxlAO? zwq~+2@xe5<{&q0uR}Kcqm&}w0?~h=xvz>zEVX-gwza8a&4hsq?m~+|2c=OC*{F|&h zq^9cU$BYE+p?7g_Ua>l95Oop$8gjqb*s;Q>YDE>T)ER~)x7j7_O|?JI3s_@sMHi6r@F>W_R*B8 z-_Bh_bV_|s!YsAKzLMeGq`rZ@v52kBkJ*ATO;18T*PON7Q8|ZMz#Axfw#1==7-wxo zrfbrByIzpBcCs(=<&t|WOHc92m5Bx9rj)?yB-z2!W(8UwmvD#9aAT>RF9NX2m(C@H zi<94eG-O*8TXLhFC!i2#k9?@8xU!xjU|%hh{^Jg&1x>wqxP=h3rPtV-6?EH5jahl^ z`F`1cwp^Qj{aG4s#VPlLTeoG+WAbbJj_>V=&l&pVsw#Umm5VO)=;aR)Gu_5IAdVWD zX|r9-fvDSy&-t(`=dlF7*R8IUf5(N1gL=0aD+1#nDptl36<8r&ooc+AGi+DvVmkXt zYqyh{H7T~KZ9ih<=J38N_wMxvs$1^6c1x2=zj9$Xw&yX9=T96>Ji6i?%162POZjKm z(8a0RNpc0*?FF;%5C$oDfzf-uuTwmNZ7x$n)G#aH91Hg3Hh-K;i+D)J_YKHfyl?5H z9Sb3Ft?~hf8j{hL4? z+ML|W!<^F|+&A-AVxez&`xE&#oI^}bbuq zM1rl1%6w=So$Ld>87fpU{Wt}YVoXNTZ1?l8$e%{fCrjoZ5=13x*X8cTWF<_h??k@y z#}u1EG}rOn-<&LeW}N?oI+E6#E(MKZ!pUCvjw(>TfRyt<8SKa5Hrv8to;{g5llTO^ z;R5Yj%4H>7E!*5P;SP92fKK4G&Ary^gKiX}*yGWsDI=0)Imx)-}XW1UdoqhvMi$u7- zjUxeG7k>Am(B>&l{X_2uTI($3<(WU>8=K)PFE?IrS*$3Tyb1Q#<{;QV?n%?Pg`FC& zwQbM|_^r6b0ZY<^RryFiasDmu8M+uZT#x`*<+c4siJt?VJ73D_2gR@WrK?v2*2;095i$s0cIb8;$M? zGsv4GysLvdGsbnCVBS_v+!_^VgXj#rsW5jU86LRS*Wk{Fa0SeHoZ-JHi2Gx7xxbl134WC1e2dsGw zH=K)-1o>_Q*U!7nPDpYClthp1^wfNGbJ8#9;4x^gTH9rO<&qCv1Cgpz+$h)*^<|Dw z-Z58)mfr)4izliu6K1Aowch`Fp?5MSlXs>=$AuBo`msuJo0;pYoo0#Z zWOGKNgzZ1x`G08?=y_E@gM(qKR)Amb({=u~S2R+uGHD=`6-1s-lOplUT{x-C-7U6| z%m{@JXnLT`qe{B`$FjUMlS8||0bQ^-erD9XZ$Y_v)EybzD%&CVl4^3@j(42!9Eb-Ffgc6TOTccCix znD{G!UNNNdlsp?OBy)82kTXj6+?{OM++y{km z`X7q*wU)Yf$2eB_g!0b5_++!T;)hb6h6kI19yhTB8#|4C&c8MnK2Yx`s(bGZP96!t z;M#;C1RUuO`V3ew2`v7V0|dwYd>S;VkyQtx2FJExCYf7<1BzNr5LPfPo$RO~{yXZA zTYUC+)UPK>9m&0tey0;An=Ll#F7`bmxERf=R#2i~MEm;>FRHrXa>z=uo2BsCZ_^coCd8?}Ml7QZuyYq)_zgs1`LIjj<3$Y(?0= z7PQd6#p$_**sFYp3)}_6sVDA?P-v(UjG1JydwsE zRNS1kNuXv)tmD!=(kspHizVP?$&OchHy3`oP5f}B#C_>@(yx>pMG)vz$54* z=Lu|bCSu<8;@Yd?$;$e@VcipIR4)@+^XVs4EHj;U^_1s7Jo5q}KP?RD(kCOBWAe8m z#<$X6z3yPr(CIDeT@I=gX-$BVILQ-~c2@jIN-Hel7> znmBs5ga{¥m1FQZRuvLVaN4}e8y{>=mk5mMfqL9^3)GJ;3G`F8xz3ww#VBT(Bm zkLW^hZ7wV}_ifXDU$T;+wf7km20?4siJUy2s+E_i!>kmJIv#AFkXKBuK6cIpY(;+v z%XKV@=TPA;5*hIBR^->RUw$3MM-_0Y$JA^wa$Jb)J@aEJ`0g-Vf&~<^3A2`bA*VIg zcvh!aF9bH`*^z>suD({K4yq(o5a8SBg*BybxJKip@&*BM_ zgb3@^QAwpg!`M1_AIisWenN%*aY-J=C)MM6^W-6*jJu2vbR3w8+}EY4xvwM%O*v^7gC?VBaD$Mp$0*GZl>{@7 z)0C43A}>cRP7U67`Hx@}qXy2(H7IK=b8_7n;uy)$g-MC>j!?#Y9dxdnNys2(zejxv z+E#FJQ?DW?-*Dj}Hm0iU!fexivkQ(Cz$>M(wateoOh(DyS(bsR=ncasa6apoE>H=g zAJx~ia@!H?)Hh6Ko4uHd zD^0ELppK+NyWq*tJ+Ej5h6S^9)Yp+3ZP$Jb$iV?N4RZ$_=;$AcRZCID^xcaDL`|U2 zOR<2UGAlAzwD+qqBbHoynjuwaegW9!N)G$2+zSVO*R4)=Bne~D6BD}lIR1V8w7dGT z?|_%|&H8ADV8ikBg*oK)_17C8#-Jwo2}U%cjLU!Bm3G7 z2bkDaK$7=CY5vuE85YeKWIM-Fyca9@!pon0W(eLQw1%$Hm=x2^^T~8Q4XA$QTd#d7 zT9ira;D-gc%i&~iQ6)cuGSg0#%vC-F7;)lkIS9YXgb|Nh_cpe^W%mC~Nz`(w-1LsG zqO@n=mXB@HbB@$4XVpjXsZm%z>j~g{*!qs*&o9k7u3qMaSa}X2aaM2+D^*k{^R_R7 zgb&Hdq5W~O76=o0NE=Ri+2?aFZ;Zuu&h9>5o>(Vz6a9hp>lwb7-?8?x$?vh<)}j%r zI?^=hPlf53b#AxtU)=*YD1g8G3gSWsw@@_EzY=YDXrXL9cDMDWfC$@5MUG!&Z%KdF zX$Xewli@p8Ox;@&`C%N-Z0#I-$@I?oq`nX7BQ@P<7ezlQ1~NbJQ-kntoa4j(6i7NQ z24%pIwi3;4!yWSNd^hn8zHKz^pR5F&HTCX!$(iy!(EXE|Xp=%5Cs_af4iX+hic#>Z z|D+~R(k=OTkTrvyHA_^5{`k;>ui&4=1j_oyiARR*gJ|dR|D%gvxPx#W++FhL7Ox5jO>J@KtT4F_JtqE3h9S@J!gM_zOJ=TY-KV zf#Vd~;IQ8PG5l~!^QTfnRS&pf3%&|0P zP^ID8*KeVIVc@LDahA68dO_b}@SuBhR_5Uj1p^4JAW=~g4kp%Q?d8mqP1x^is@@3T zDkmOTFD+Rw%a>E=7ha-&le?IWDHRVD{DbRTn`XzvkMfB*tn0MSLTcT5LNTKAl%pln zfox~WPdd}R2%obXTSV&3`U^viM`(hlOi!uKjM{|uZZj%RmJ8y?7spE`vOZU**cvXQ z_+YdrP8s}zcPuDZkh-VUM#6sh4_1~x$%A$#pb>Rf_ZAMMPhzW450v7^!ct!!{fmiSs!!-wzTXxt4V) zs^CHkT1GWIAa-znq>U(mz+fLeIVrz+VejzsFvdPSuIwQHw2a4_MsaE}t|t4jBamz$vfp5m5L~s2!FcvkLz;Gx6&*PBNGF(OM}vHfoLO zLm=t1`ly+8!deU`AMObw0AwpRsH*%JZAknTf4qMD&R*2pF;GOj&SoeMD0U@dN=OAT ze!b7p-0hs$4ougBjYt|!(@dOut9qrjT4Yz7ZL~7~PlbjD73q3m@>toJba}*emvrWW z-ms1GqcqCPGxP_M0cJ+eWveY?P%EE@4bc$fsSfMiGm_0R&#C`}g=m%2H@1t?<)vgE zT$eozo%VIo>T4*8a?2^Djp(b^eseA_n9EOuUg*U{@;v_<5XyUQlGW{ znUPxE`$5SWYus*LzcY2X2Rd15^}JqIPj)7y_O0RwCw`a)4KhC$EpevI_FJL^A9#1p z+GXu{4OC^LXK@&S*T_#J8?L@V)|BVCov#-vNp(S@mwVYiI-*sqkz> zi3>Rd!+jZzdq=sjp2?oMif`enO}@1H)ut-3oWHeCQ0Ys!B+8m^pCvSd%htnltwB$! zC6;Y;cRVg(1}K+h78*UAT)+k}x{aS$Alq+=YH24bOlCqacif-Hn{^~EZ6|*NI}iT$ z0;q3{=$N0?aL^daV^$;{Oizs#&Amk2Vb#vpzEWu$%0MN|&?{Tve_Y}#d1`_36Sud` zeND*NFhTF}o5~|wVT9>A;{Skj(0$>?{OGzl3p@b2jmdzVcBH!Z+ij}4p~>fZ;b|vq z|E@3e^a9nK;N0@gmNI=sqp!5)<;)A+gb@fh$vboXsV|7iU@#1dd=i)t-(C_8bR!qk3@6^Qb4Kr zV38s0eZ>}&8?HpD9e07M_9=eAYsR#X_C@zMv|I$8z)D%JO%VXw?q<%ODNM%AsXQ$< ziQ7DMG$1$gSD`i2h>lQt6cqnF<>e*$hBv!PAamqp2DsI4tkcEy>2)Pt+1mTXpeL6n zj+0re1xR#NS{ql*(im<2;ev9E3SQMEN=OM4e_qZ1lt0WhvsfwbM6QLh4hyP0aVr-H zKR$UDf$#G;ZK=oKEbVoyPSWhbat8k6UB>6EDBh91;FG!(Azd~UsoYm#isdjvgPdqU zbQVeyZTgH&oh8o$+>i~&%wgO86|Wx?X=M$*c6=rXggs^o`BbjTa^v}#W$fo4S9lT@ zf>`r0P`I=D(P=J@8O?X5=sW(Z=@;_FXUYYMnoF@8*z#cG2S+fo@DAL&1{`a zg~u*M(-*Ez7#;jzcPXtD16LSGV-TSPfI*ALFJ4+wL zw0LKlzYSsDV0-P!)2PjF8)rG^)qz6d^o9%DfiAz)RgZKRZtTG?*jATYDzRE!5n%ro z2M_>BgIq7$Jbqv85DPCi9AM7!h6RX2^6+CS=ZoskLRQ;pq>*FIuwOA(v%SJpD!0DM=k?1LK&}5peR%a8;>4ASB9sOxL-8#i zDKIJ-pH3#Cvbrx+OYGaCPK-zw^-vB~F@nelTMek@X}WBA&4j(UF*cee@ieN(TD88YBZ zZp@NNb!RL;1-xNE0+Kps>wTJQ#4ZfNtcicMp%RUc)lQhu^dyI(I`3zy@n9)|XF!74 zy_|W$SsSKXLJ99R)024M)~WfBvAv`leFv2)al+a_#$LR{b;(UIq)waghgg}A!zZ|O zZ~3Ou0d%jGKC$Ffc;FYTZYh+Q2>xDq_||@|_aS7Up4o&7z%`$JbIpjPklSmdx}o@^ zs9qBaQo0oCz!cU^7+Vt6JON!i;b>}+z16LNZ^Z4Dx*a^gb&{%*2x{}zYZ7_KA})O& zQuA*oANKUUYh}vSOR7k+bI3D+R}0JKZ-^JKBV&luQ$by;jEZ01K~^0KOeSF4Z+GdLo(Ov01SeB{)x1 zSNY)Q#)72ETG1$Rv zCT#{nRacrOGwwZz09YjVG1l|uS$P@yl7t8Ms*0dQGNE^~_tGMZ)XJ07^j^X2@g zW-pA)x*<8cYnS}_kEh#|&fL8Nsf!R9G><6YFTB9b3cVt1-`o2!Au(ebH1bFPh<9$n zJG$j`!4`QInZ8J}T6nbfvWSV4j^`DW-RS%lm!zuyUjIulcNrilwC=28!l|j=z!~8ady+>LzkKdJ>iH$OYp?Hzk}FzQZ~m;J zvxGwLcJ8TIwlEU;E^J{|A4WQ5QPyn0j3C>_BKUCHiorw?;kSC>!IP@2J0e}eU&T&~ z>w3mNm`CNgQ;XY>&?ap;f)hbYu0rC&SZ|KCC=^3IP4h_Ug0ih@gUzQPe0(VMnDNE< zSn>%izPr%z`=Yp8*c&Od$UzsyyL3sV_;dih}H8`Dq*r} zD=)f1Y@n35RA#D9ZGQ17y=K+ss~q(<W)rI*DGY=a9O40uFMclTf0ysBH4on7$RKC;>GRN3{x97~ z>P6{oh4CWYhBpV%iEjZf0W9;jcAJF)s)S#YZm5L43A6S;hxRl%Jscl`#5!x_t2Osk zXE`vnGg56xSy;1Ft{HHmZp?$wxa7E?6?JcUn4{SdPbG=VSr`BV783c>#J|1$<*Rq&?V_uJF#$o?l8fR`$tosLEta#6xN z)>hpj?Vcx;Tf!K4QhuYheBAATS8jqc*@q*9_g#k@TIH~C!c5@P2^F*8NOrC~>&ABj z(VC78RkU&GBV(*2H6ui@!X5_8qEPI32B_5q7+{8kxO$t_H>IzI{C9FlOS!1= zHAS|~C>S?XBxCAetx}om^~BT-Lx^}xcyl;z|!Vc zu3tKpc4vHD&ds-O?pycqG9rpLjQHz+Dp9PJ{0}6Gtr&MH;gL*rlP64Gs#fRBg5@4t zCuGW0#VH?K>f2li9+5kd%Er>%sdP@ItL>cIwaQG8RVg9x$24 zl?;!YH;Eh0{Y?3(gz24nt*`M+?i77Lv1z(@KEbKQ2kMP2(7p5NQPZr}$mV88UT2cr zvr>!O_&S0D`|ODFQrmi|f3YkLs@v??&AI2w=IF0UY%m3b(@Pwmm1<(njrv$0aST=U zUZda8`&Hqxp%+q&1G~;cMYpjpb+Ske@PYe^)m}Y&{Jlxak~g6jn59toCt-s6UxbLo zvR~o}*}VMWGTUl6&@Yo+s*)wNhRhz7c-1E&gU3>V2%l1ZZMu#y$?l%GTK?701Tg-| zU4}73KIyB@Qgh$KAH21H*C7y%a@b?`wZ0>Z3MFsN$ROfwHHZJ34B;K@O0GfC8iRND zdiuJiMth4Zu_ON57y1MR_tkxpP4%y-lGReZ#OQ_Np)*Et?7xcOe-eIu@|G}&HZMW- zliQ~gud6)Tz0WX%lXm!l_=2Bfg)v%+CBH?9yz&29lpu(|s!V|$Gj2vnb9>qz?*@_n zGvnE_M`PIz-qwXY1_a#Z7>haJfgD;_n`pFHF5g_6F>;qaa|D-D^ra^(fHKumlmD(y zF@&o#wX{D<|E)XIm3*7tf!F*c+R5b!VmQmUUxdmm%o>F5WL@@g-wtduyt7FZFXeM& zO(bs2*xc#}#m$f>5!!Y$p*df9K*(16;$MY_`X}@4Ys_*rYy@(EfSk^vo=`$3Xlml2 zj*3<#!+QfH1sZ3JcYlf6WMW{iaa7Rd77C7kzh+u<*RKX3c61`G#kA|@9nGEdPTrjRZ7v`{)Kq7xYtjCWux2Rd5Kjr zFQx=7m<{<*evyhKPgl21Ago>Nem|c+s^Sbp`9$2WG+>}sUjblCj1C_;^BnmJy~D}L zKs|zFSD+_S*2){{ERw|tH$DSCu;tQ?eb^|KxjDUNUY}0Ia{@Sdzi({TDr;0IJG{I+ zp{x)GZ&NX!SMHn^gpbCF>p_O__CvZHnn|ime&KH-^Q8iG1})$mXw17G^=8j|MvlPl zAeqp+)vE13D)S3l@KvWW!xrQx`KAV;U+H6)AZ+LPPYx+rBE=m$E#eMrHyVo-9*s?0 zbiym%SB{9-eky1ms=_zx7bSKxbuUlm&gI^X2WazB0|hCt;JJ>D>b)N~7|1fHb%t`W z#`NCCuS|-Yee9~(XXSOHWX11HDVR5)QD-qe=Ft^acXnV#B|?o<8jBfCC##`-cze!#;{j zQA_$?j8q#WSx^2hPMo8tIl)g46G+Gwj1#Mn{_XXB9E>Oa&?z64W<1zL>FLdtZJsBB z0B4Jv?%EW3KJD6y?UpT~&XgA)t}x55zMqB8#ee1tr-`Q(@M2mC z&6soV!zH@94@H|bc7Q*g7WxP?Ix(fB@Li*KpTy z*XwzK)Ntc@6L#2e!JBx~k;AH054p9L+@2-AQ)xK&6~2N>c&y?zn8N{Dyof6$L6>(n zQv^~J2i6T2keM^TxtzPYpxgy*1#3lE z=I0qRd$*@uL>^Jk8wtZA&zyEPQSQz=C^lSXuaBqsudkN)$6@_v+=wrJ;Xv ziv9^Cu~c(8-p|gYR~et%stwjbjc#@${GH?honj;plG6fZv)In17`s6OI;#q?7pC_# zC+_=#JzHG|_r^=#9OlApeJO#y@Y^fB-AXn^VzGs&NA20^&%gY(Y3Wg|xZ^wGZ!kuk z=&f8$!^hvkUgr|71@1xejY5s-KIlY4=wWb#!3U05fS2jkXC&ym68{agJMTN8_ii7rls9krrP{EM3i^y^utYwEVc;Q>Ts@zAfb={ao^ne#ube%N zvi2$zNS&XgqAolT@Gk!jpX#Y%%^NO#s6f}kJTDMT^S387|hGsTqLVnNle5& zoy%8;7WVW_zO7T;6W9N_2JTTM+h;?z&HCYYE<mgSgQ6=Asj`Kgk$PFB||M4tKEgA_HJ2;nRv30wp?$Y($l89({75 z!yWhhK-;6;vB9qc;P%kh8$VA9|8Vs@p)}@Lu~#|Nf?l3>^~%+a{7CKGc5gaSYS5&M zlXtV4MdVVr%$vI6n|jjWi$m&>2U`&?B0I{;4TFzh%S~^OZMEsjXErXd5LV{IY8HwU ztmoz5a5{aAcb1YiD(1#+T)EOr+mgIc{=DXTL+Dj}CRf#h5S;Kqa5E(&6)f-dCO9(AZ7#b?wagi>!^eH z26kqu>E`A7k*MF14({(T9(0L5+-E{>2MSGU((~A}cscmQN7e-v_3vUlptPZLtASRi zUZ)-_U_J%x*h&j70{Dx?kPymMGr?M1lg)MU*s59AF4nitp�MneiRad2hC>-Ze-u zI2Ok|gNEPbp zc6rqAs0GK&;_$q7O>eCjfhMV!n&)3^R|1X|Vem;Nu_d17;Gco9`HMf~5Eh3C(|CzM zE|x*cxBBA{n!>A#w{NW{pVOHG*uiteDwi*SgkT&dC)4_vtl^zKR7va-!;()PYRatN zHfu$qbts%?o60}RIpxzgRpg{x6`vm2x_>>8sL3R#m{48p?Wn)yc>krfpnp(!aU7ii zwt-(-JwF>@j{ZJ6sioux*PmR#=xwHUfsQ`iy6um~YYP-h3b?I?i>sWkKL!a>KO5rY zLr=4YK26TW^i#>ryKX<0L#)t(-irX#v8(4kA4=yI(I~&ycU#rbvBv07ES411D#|p# zAH{f?OHw|J^FaygtaYUpLhm{c9&f?gVnXwqj7LG}();j|<@?#Dv89K$xyA;#HR)}o zg;|DfxN>stIr-PhS-n`TlkT+9u#D=7`SfCIxL@Ec$30XbEi$yV2LMy+!g*s=i|6ks zCHf5A@@D4iE~>4T@t!s0U#{o{&59vfp9P2pdQ-r7gNRzboS!fz@W3{Tvgf~6o`&=?a$tFL^A-W?ypmS@!&!6d4VzJMzw8tMos`U&>WM1X$(?sORNTrV4}`)U z9qQ~H9A8&!nyAx26%tj}GCG|P&s%O@jD=Z;%T?<1@zWM}x%JWWAVt0&T{Za%nf9F;eP zu(gu!Sk}>20Y%l0DDdJA` z!&Zc|W-D=M1DlzW*&0nWM#?sybbfjMjIV`c#`hdp+RV3h_Em17fQlf51C<-sve)Pc zpH4){#tF9%g^OmYnw?X~{2x4iNfRzvr;9fI2vkebY*kodFoXmq=Y3j^9(+1prrkUe zt8mcPsx4rC_YYNH}u zy_#e#$dva!XpuRyye^zIHrn{mOEpo$oXtRkx;|rEI-d_)#_T4BH~d|FSmdTUexJX&fr<}jpGqabr94F!E88Q0%0iy-JVnT z(u)h9^>h%q^EhOee0RydcP;%!?N-9_@lul{GA`x%kC0{FaVBb<-cE#r8b+);sA053 znx6hu#1L?P_4Ay?zqMf0^G`_o~L9WC8++{myCJztpVqvztKTAKC^o z`Wh;~#nd+POEN1IAat{>q^TNxjO?#2r69Vypuc$mpq|ERGNFQ;JAv&j1PI8iS!#S( zROlo67*i#2zjj!KLjxOlp1-KV{JR!GxpjAG=TaH(Lrh??e(v?^rkKJb;lH}B}eZzmIPHxO{duGhl&39oDJC5gNb{1^I{ ziK}-Vo>RBO`4-?N;ipcwm;FCH?=Wug^~%);?E~R%y?M?{q(zHwKWOb<6Z`pHH^g0c z^WQl&EM2*Ij$TBGh{H%kc37{%9-SuMT{K+QJYM3Ont*S~iTyH+9Gc#fD)_3{2LtEU9h z5a6lv8G=ICe=}-iZh!7JYj{GKR&=(X-7#kFUKiE{JW&O!iw!X_0mq z$UF_^Ih!Ech+Zn!;o`t57E0zD*}Yvjg!%uSG8j)wljQpUF&Kv5gCS}TqSw(^rGQcg z-DNIG>@x#2HpwkLeUbA089Bm{{XbG?awBBoA2Sg-j_R~dLxKX^>Xzc-@iM?HOQZ}U z@jSCS@3f5^Ec!NLK$8UXgvGXoOtHh<2<<+V>^O`J2;i4xkAnL@#{R{yq(G*6bMj*?qah#1B3dA1KEH zKQA;xWq6uO&Ts>xd22Slq|H~^UTz~}Q18fRks+Cy@uvQrd(G zKFA9HX|w-E73x2t&FlWh_?7?L+2%8Y86HfAv*AKEZ{NfgY6q%zwiHG4_x7$; za!pl-)2D7+L92ZuuDD31Iv@9UctG!>=fmRg7LuA!9=frH@h2^gmgOhCRQKUtp zQOnjBivK#Ud`IN@U&NIaT78G)*x{3&aqsjLOGn z(pyA#p!mY+)V6jgOL;1Xec9}{btrgdyY8-^6%9YHXpGNz)x=kwkQ*u<9}|t zQn`Tqh8)(NS=Ds;;y&AWU_P1{c77sxkB7mVqUk>fAJ2CFOZd2Ung%a$bWP17%l_{f zfgFAp41%b`qm4&nTTV$x8)_Rzl?s@}ZFYhE1VnGNXxq=lDzYw?;7(nCGEdjSh2jY8 zezK?DRMj*+X%(88l8^fEm*PB*5&%4on|%9v+TEJtsB7}cfA0Pf6$c6JAL2miL^G;R z`Gu2H-I&rzs{cQ5@CS}30VfHpF?s^I9UXazSZ);dm+_-Gyd089s;LhG68Fra%LZ1tLF$mR9sfuqC~h{fO$9L5BL6~nVC{b`; zNBCj{OeX%vfBJK96I}=Xhz8G%Z3MPA&$u_Xir;xy){8j0+^mmhkTy)3qTjbGqQ{>M;`pI2LFA34b1 zb+Qw`eEGLGGonXlWaXG4eU<&?A$fhAY#0< zyLQi4f8@EU(_L#6U7NGgsPSDf&xU5hq8(@C&iFFzZjwerqf;TdPrc$9?}y$4r0_fI z^1DN)oOzZlnOnEy2>x4{on3xsET&zsnq6k7vVGl>c&o7^bF^}IeUu1g{@U7*aP7Vy zs(e8Ad>8rVICO#^a^1mieOH@PdAmM;vs>UvdN-_J?nzS9B8cWa*RbGCjz)=f4ZSRPHd$a0=KUjzFWxfy!PiS*qeXoohdlW%w(h z=P!9KPrEZ!FfQNA7<--5;CU=K*p>5ID1Dv(dNb(>|LjGWAuK0uvKYSHvHEQ4kA5jI#6M}?N;^NEd1CM`~t%9)?E zlJ_Z5?D~PHnQ>SH04CldWoK|vRFBqXdkJ2)5Fx2)rC_+`vuWlY3a`P9ON48?IhRGW z)V!{%ymnrDfR;8M84z@5!ua5di~DO?axd=P>yi*60RYExIqEyFuzR{)bgR9QM3X-U7_D*2 z)>ytpcHxYhq9Lll8O~*hmj(b)YK2da%;=A@nd)(3#Lfwnsy$w;JqpfJgTWya2X_eb z$fEc#_JF>b(@e383EshoAH!q`zAJWMxBxu-kq7J?RINz++RxAQg0@ML$0Uk|SNfRS z3tqNLEyj4_$8EHp$AQn!d9`QyXFF*v_&0sid0s&P_}no#86D8k8FN|TK^=U5?ZS37 z$~<7VU%Um5+un=fjceH23C1e$1p7V|=}ON=iLQVGizuxudwW6JojZIe9*F5J|z;pWMQstIu~#9u>l zVzB9#xhq1AeNAjdbFhn-E(Wc6z9#vm7(_=Mk;R))MPuDN@9p-kI9?gc7u$S!#5Fxy zG^r9PxrR3CkiF#xJ7X!a0f1(%y2X6x-9ohbGTtY4Oh4DnQ7?D^Vni0X!lEk0_4vpc zHxeE28sdf68%Z>7-?kjHC0bG&tt95*nz_F`#XOu;0S9|7%N3Iplk(8$q$8X%;s}%D z%yya`{&Ch6O2Y1bo8)N^uo%3m_aVr=gArm3FfL1T&O11_&h|WgS9Y|ThY~t4P&%iK z`k0S*OShwU={nB4)ch1D>=YGXiwetIEjg@(U0!w6g|aso{p?+UqnkQ;T{Dh)bC@0G z+z-pZMkWO&FrErQlN_WStd8s0gwl_+qeJ3+!(_R%0@w4xu1^lw-*@QcE1NZBz=4<8 zzDI>4hEOf0wZxqRC`@oZ)F_iTndf;>zkM21_#{DJFbaF+G{wreF=y;ZMFKx_h;CJl z(95}k-F4;kx)aqNYy*TO&sXl`aF)lQf@)~WhM-7CsV3bJYFwt;?i7y~g6p%t!-b1vdCns2UD0 z*j#IEX99>#vzM^#{2`^bJ2x_Pm%NK7QH!`86Cii* zmyHG|Eo(cwh__({JJWO4K<`MF%9=#1QR$OfUi|M(j%T?^;2zk&=C}!ueN{NX+HJ~b zjkem(r@JY(+C@m|M@;GWO;N_YINI##7OUvc(Q>X|v~0e|^(uTaccDiW^HjR{aa*-J z-^ZGj7XRV*yZ)Ol=B&w$u1jKPb#jb!SG)G~y3d?*;5ZV|UaG z5#njW@9o+GY65fxm23!K39WaR)+1P`XDBXUZOl9Y2uA~V9synoJ%%X^9vpgrmu@3v z>mfq^m+U7SN%E_D0W)lHfD{*Ze1WzGLI2Rg?z@rh%Z$|2)$_EpNc zeUY}ZSz}VIp|(1*9$aZ=Ynnt4OyskWkDQT7?Lodkkz=bJZRDwlJ;S=Wkto$zj}uVo zuY|H41V(M8Y^yA|jFDRy(NbBQLJ4>Mrg;YyRWV3n7B;~(v*nrM3kYge%bZh3&=D#l zLL5dX0bCmJ@Oa_EBHmx@B9}UT^&mPNeTVJGZ^KN46_k?OO>AXZh>`Y=M>b8#YP0E` zo`15nU*6KS>;s_X$1S*0j)Vw!Ev(k0ry6ZY4oNo0$m)sOe7)Jv9C(K5M6r$YjFN(| z0;j|_HEPsdsp-{|>W)QM)Kci)!zEGCMhlDesNy~Zy=3Y7JO--QJP}$)^#x)6rD9cT%qIcBDmyPX6PcZ$>}*W5jHhPX1U=ArAyZPlhIc)jL&T%@GF$@FYJRaZHV*wu%CA?9J{al+ zWwSZ@XY~d(pFe;eUMqW&{f}ic3H$Jx+C3pgRCpfx!=@F%#*;R(8WGtRU<&E|+DB)E zt#ji5Ofv3)+{Y(|w$jef<9!egmlgF?5Me-CCY14)hE)Z*J`0u&REBSLOj@wTc|_y| zKREbghZ9hvtF&^FbtMj_vHL%nl#GLZA)hH7Hh z0FGLX;h^p{+mHvOAeAz&$!D0eXR^fpYYkw(=ucMn}_I_i@4leypgqomUu0g zW!kyx(^;QnCVVs*-obfwos5rucu#l}5(REYa+#@=cZ!X&x>CzH+vJ@((Eg_6h#+&e zoXn^8yObqP==3V&mo`^C0EEbhGL{ zjjS6j#{0TvsMy44cq5yowGS}Td%lbgK-!T{>j;1$ z$pSR&v`1)hBg6{1kOYhiZq(`R`Z{~()OnX09Gj;kN#)%tD*ZiOE+I29HFQ=``x%D% zO_ZkDo0$r;tLs{pc2piHkaKGwSLfgd7JQ;c8Sqz@(tG^BA#u<3W@+9r%&V&4N>1-_ z=ZUOBbisjc=$1 zk4d8%W9hh9nNg3{<{HVDe%YHLI`#Sg44~bD3e{HEsFZmU;jb@ zX!alKeAtWqk}-!roi*$FwwX;hyZmDYw>wi9FN+sPYL)6QvU#SS?hEa`3W)G-_>q>s z=u9uc2Ssk{IWv;=BmKF=%%CmHn&?6F;e2%kL?BJe)D27W<-Df;{jc&Hji(xO1zqMO zo4R$dJOqChGq8Xogxt8D)GqSV9_B3E(c3IW9nbLgcuef}@;t6mpGk$bYx z{f3my8swskL^8MYS-XnY^AJA%SbF+Hc<4^qT1R%ZCVhC?B(^M5Ln-|KkD$5H3T0LE zMrIVi_&sR0>)}IB`yud@1)*h^DY|*d_YGu z**dYS9G_3|B-bv;^SoUTtgUQ-SDl%Axlwc_h@9L%Kb6jvE#!iSx>XZxH@@LTVa8XEziI^VQVZmVn(Y)#-FW7+>6?Vue5I)r0Y_*Ot2mUyLEPgP-owzn_kMPN~NASf&~VeH5@k` zE@FMI{HR0A$Db4=JIE5c4;u@+3Yc<Ce#Ju^zdc`|n3m1$UL&v6;B)67T!UpM zRES`q{`5A5J0y_pDOB+$y!R}q zENp;hG~EMhcanKKMGr+9auAw{xB(17v~Rm@wJnb4VF8URVz(U7Z7V_w;l4@T2G=Yu z`1*@f1Rl5yHh2B!{lyC*I)^rHnhZS**88_fajI4jaCHBNx3dnbszKNO0wffrr5gnW z1Zmi$h#)Q9-QArk(k0!9bc362LD=DsD9(!7C;ZIUQo(6(#hVx z=XZj9r$c{<$Cx1sYZimIoOec_y2~kf-(aI(p0TUfPyE8(^>ct&rF9A;*K@?G{ctj`T&dwL*~@0p;!aralAAEQ#!)jGD8^9p>#)0KeJ=?2E39Rr^YB z#?X6Gr%oe$%2c;IzGIS`&@G~MZu<=Y+I*!0m(ew;l*oo&e6HOd|HBKA-P4X!YlR3T zIXb&n^112pNe0x@$T4SrQIWJKOmkfBv(?A$4{f8ECun5a23xZ`e_!770y*Y4%;vp! zf%{#rG#$7Kk6H@EYtkZz}kKw;G~9 zn4U0lyIMOd=Sy_>libcqW^J~ed1Gz@wy2KkCgCd2cX0TtV&{2vJ>WUKv?Z&N#t)12 zNJGc#D0XxetZ(OU7*_hP_YJa9sSa(wrT18-m?T>W9=}9WqfC20%s&6R(R|LQ|MM%x zi8*ZHwYc9q@`p2|Kka8e?^-(VjV2pai=kyOW7pSaaQ3THu=c+I3wT0TCDaVrSl*-{ zv^&6B-r4#6bncLW)%)N}7j5W%&qqn7=fjm#SpR7GSAYlDPTrvW^?88K z)Cx0M^jF6{BlrCu(!hYpT2p@CxcC*_yk|_zAAh-xPdDvLAGr5yc0uG@H!vXA=*U^K zBW?PpzPyT!K3j-Mr|lSS*bdr#tbt|YWvQeY0!#oafEfqfLv0{`hVciR-2fE!r%rw7 z@~=+)GM(p5oz^a@tMtZ?WXxvGZBttEit6D~{054SFWv$S{g#)A0PoIm9Z~HwuW#eq zeAg$`+qMv>G4mr+5Fu8)4MzW1pJ2EUBhTCUHx zYqtf#YqyJ4t@b0an{5}LEA+GUqG54A+m#5Poznj6YC)^Z^wd5fx9^f=rITbB`h=2R z%V}uuJMY|#H(y@N7cq6wl?OXc@edyQoUT*cWb|)daDCN%f_K_De_1DZof~WH1yz&eT44qV&?Bd3X;8yuqL!zvdmfp342z+`#JUT}o z&YTL)BE8ang8d6!Hi5qSWF85BevB{gQmGHi`KtH22~(D#XZlxK)9&=L9Nzp~##hI= zBk9ab3Z3Jy*Q@j$UuCoT5Cr&|(_6Wls*o*7Wn(0rt|K_Tk-Ra4kf=OkCTA5lKY*iv zLJ}Pn6RPfkqkyv8N~*~AP8g!<7G@tvF-!O>fe%$#l%0}uUQgy9U-ZcY%jTXnYAgtQ z)X#-g%Qub@%?mE;eR%VT_-D+qTa$Yu&n55lFT}@Fv@MV2REe#pZZCEUw(%NRFu-SkX} zYS|^ew=5jp%)r9?J=Z`~iMLq(i6aW_u_R|Z}H>eo&$a{wSoamU7F$W6|q#_Z(OQ=h2cpw&cGmTzzIv!?6#Jp!BlFMjCc zfvM)TS@-HY@t&?suN>&NUQiE~31z!K<*nh#;{9Li4x5!&(%Yu7!p?j)$a^C1@PniD zwEIFF3sQAuOu?C>y^T9dSt;V3tuJWTELC8}I%=$`2T^1Hq3#g>4*q|kJ2-^D5vj>z zXSba@Yl-At_8ecpb^*7Zj`k(Lmt9XF;K#5-Zuf4Aq#yyDO}Jx2*C)SpW(*x1^1(`{ zrKa;cYYaLZFV*dv8xrQ0z*fUs6rodHYN)jOsxo%sVKnX1(kFG(-E}q5j$Z=CCd)ee zdUfxG!{+ra%hD9m!rpu2-~X%0Pzf$rVOJ&s;iV1*FVPSZ9{Ptxt~aQz)u?gb%^uDU zoP%`gR8uU5w`&%Uc*`P{c`U9cl-jcHh~vZlBw3`~WuDU>zspvDpZxM3r4sSm9?p4A zL9cHR*KlMlc|7=7|IU5aQFm|G(Z}??`e~yGfi51ID%Slwn%U8FX1tO6<&DP;eH-?b zZ{mz!=IPt@b*-Q572$WEmK?P1yGiH;vQo z+rmi zDS1YGZF`$c!`ERteA@}EB+YR%JKSr-#Aj#GczHwl?C%3G)%NYH(;r=r9@<1~-Nc8P z7%jD5@OCT(h;5G>rc{>cl6p!70|L!SR56H0XyW z6aM``9CdZM(%MMb@xS6Lc8y^;U1oW!W@<#n@Kdb94%WYuLYk?@MXl4akN~UC2rZ4X z&DUjYFV00ss)OC4lbZ5URJPu(dxoypXm?d}P|C27g}5?xBel^tca*uOAX65-cWIgs zH};FRd|A$v9`VEaYmQZ~7sJ37QZmXd03L0S3jD!X2%Gv??igtcrQi)+Y zlH2jk2RDkjx?T~(N`A6z;Y9^EI@8?mV3-jHfp*T>0@ZdDK-vZP_JZLMUX|dZd29hf zcUWH65gaT!{F$>3Ha9l1H_%R3s*T+dWvouYy&OhuWM6+S9M@a$GS;)pz#6}4c0sEgfn>p#Xo!8^ggp@8bheE({=ZyOKXj*zM4Rx zM6MFw4%IuMEO*&7LOiq`bhMLn3&j5lv}V4WtV-NYI!*3%AWZ|E1@_ZpPmK>u8dt{! zKhw1}hx)IkV%P=OTW23UR7kB%lq_fWG6=_r?ds}lXJTp<2``;a&JO1oa(3ZJMt)(ToVP7@5G?0 zYHBH#z;UPG_~tXG#?tBb@t4W$YYDN8Kctr;0eMG2tK?y+OgOH|6KwP^zY8y($L60% zs`Igh>Dr8oX`eQ{;$i+FcGQ;>k9Jy&Xud3_cxY`ii=i@|qjP?L{f_Fk^Ns2o z;8onp$;G5sr*MqtZ%ih?$n#{&e)#E|dqP4KW8I^Z%(bo;qglgz&Bn_w@33H}l3}ld zwZ=CxZ%x5kEDY%`!5vxS8h0ghf6wImUTl^eNe2XDj3vLI3`@7>tYrN!R3;_bV5rGV zK>Tts+&F4F)YIE@M!BckN*ia7z`oY4(6MCW!`j1|>SFyWNY)Qq56jH2Uocrj(m7qY zadF}CqYI)!y5BFQjg`#wwFSph7hk0fpCUW=Yn#Jfp{*_+e*CPEtwevqUbZ$b)sc$2 z;w9jnc%y9$78#4)BQV5l$Mcl{s%i$-_=`#0=&OVXnve@FVDbLrr5E@KVGpk4QA%}3 z_Ro%|vxm*5ZkXBn`nnIyKyd|zY25v}_as?|yAQv2BdDF*bR&fLWSl=-@RpYHK`EsT z%ZC+em!`E#FM5kzUe>lV&2WT+7$<=^fS>Dk>y?)0vC$+P)K)T*ZAlYZe)nKGkqH(R zUh6hq`TbBL^Ak`4afliV_>{S_$<{5#52B{<8g9VSwHAYF%Q5e~RI|Im#k9vx4cNZD zJyJ%*GI$tJXZiKqADk6W&eD!OiDd^aL(qbBSdj6`#91u*+x-ySO zt&6M?8F+cz9c;JX$~&CxoaJiTTNn?}Jgfh5d54$Nsu}H>@XUgvW`#@1{PKP9*;Tu0 z@&pS}z0~van%7*AJ|JO+=pi$rnaVStRMBWVzf(+E7qwT}0D5|gA;Berb~L2Tgtv)L zpVZU1nE;fkDx2~#Hf6t^D%0{l4=jt9^o#MF-uHSf!*VVE)TH|!ve@o(A|>tA&RdBV!*>_Sw4YDDF!yv#fi94lIP|&U+NNrkJ!Rx!L+VwG=`n|A|m@&`@(#(m?d7 zABBwbo|1~c4?(N7Si{v~VXR|IVcFNI9F$32q4CmpQZQ_QkSpS#x1H@yJstk+3Xu{V z1GPUpw+7C#^uytz5ldJn??2OZDE{iNLZYc7`FGWvmTyGjuo*5S{A!(6H?WTDEQ7t3 z^c`sAMwJ8YW;0S-_e~*f6OQoN!*7P9<>9Xbw}haaBRlhsTI3uU0?>JMs>5vcX(h67 zx~^=ZVQ2889;D2z=sy_U8(sM&^dJS4 z$R(?Hs~7=`;LeQCEdbW1CFSfPg(m>_JJh>&A!MoKl5=ZSA$mdT%*uyw#cy<$!b}8N zfY9=7zo{nN8`g~j0A9DlmhK@RfNk3CA7AB64L(o0VT@R7U<+&a4mlp0efwAb+xlvi6sWU$L~GFW&vw3@z*3o|X*YI!~?E zKp;RsG_Ut`I_fD(S>2v%Dyz8~`ZGlJ5i;+3B5b9Z(&In6-9q>Fug)uA0+|K>$PPpr z&E|%)ShCXLcI#zVG9X4dzadKejHdin8+EGlm7U_`;g7cCk~E1jhJ*tE_shg_s)P*% zgBiZYkM4iqo1iuM_PVl-nsWo^Rpa(L7nZQ;(U@ClUl=mef5cKQc+IXJ*&fF!KDA$TS2V9(TGInxBvGV5!b)35jA8vrEb(^%wP2|k^;VEGNm<}<38Lu_-DwA zVSX8D?i`~i zSLaEyv;gp^>-xNl$77XWJ zGKHI**#f%!dq1nqvj>{oa?JLQ|AZu}E3r56bs|{{Sa$u82undaqrLW}^_t`NCdQak^8iF@c%X0><&WAE$jdy$( zO8Qp&N)6R2=GBNRgFc)8aJI7V(cQPS=@pd?#yqbO_4bDGDnVk6cX!X2tPBYg3R-3w zluWD#x%HpyD{%>0YYCZm&utO5{6<=r>Ls$$5ZFa(fKAhgYvTmXg@zy2Ii*GNM&7+; zEz3;pCG`;=jBsAm68Pj?EXVIs@$)NQD3~bm9 z*rR}*m(NrAY1ON3X<;pJsQHu#lCMXAi`T-#@Dr|N(>IcyvrQY#&W>1_n7&JZj+@s}8+W%zsvubPE(t2Rvxfzm1+Vigw?fq2;#s zggUb7L{-_6)`sbQcRXg~3^xemiaTiCI`rjTBv+P>!!9LVn6*yJ=vsbLTRr-WSDU%& z_!^(;@Rj95j)JhWK%V-%bAwWdk#%s?Kn27nlj@Gm#_+P2hoqx~l?&N1}bNPtV7c z{_G!%Ls{H~2@k|FqM{YZ-tF$ap~CpuiP(}gJ@S5h512^3j_lV{>XWtBgRH9h>|dm( z8Vg^h?j(HZrzYjn85cL4?^pjBe~J;lXw3_Z(k^|)oV~lW7-V!-M4zg>*RaZR6g(OH zNTj+=W(%V_A<%v{=Q80OiWg$frZ?nG_BX)8pR(%7<7mFRI{Nk2F-8OczsP1zgtSRj zRaFD=8PR)l0iU^ejdp#FZE)XQp<8$-xA4s1&mtHqFh;PqJ}ZEnkV=xk;3RgX4map^ z0JfS`X_Ldx69TsECimRSmoFZG7pm>1MoW&9#6y9PeQ;0&DCYK{%>I}DvPSi*FVDf( z`|QWOc)7_!$<6z+b@nFQEYoSuB=WzlQdpCyW{ys6%(9oSsFA(7m#Xt)&k_-MbGB7O z9+vF@m5VI6YR==J1D-!2umT4*!N4gV*$(nsrofL~*hw|=wYgIy(|GVfw69`;6YgFn zDWPJzO#T#4JPhP4`c^)2VYJ)%PMrlQ1UZuw50SaT+|NyL%WPgzZ|lV(UQpjNQH$1O zO70_SeSW`ft!H*{-@0ZNSNa1oLKIQSbsgk+=!@*VFOj@kUM>yX&45DL-H$!kzd=x1 z|5#XJOh4FUA&(G0OBg9c|5|i;AQY=yn~zEpMCRW_R26>k@&Rx^`rP0|M1dF4t-2*N zJSm$^ob9fOa1hzoSI2Fc!UnHrK9;HICPX^UC`)x)iK^f&j}?$Zk0qH4&o-{;Vh2t9 zk2h0wFW1n1gORaXA;yu;>R96isTtW7YN4m8O=lTiwU22rh$nHyc69~U7PWemnR1cV zuFUu5URBG6=?RS!VH^%R3HNkhLoBN_2fxYry}9>E+$4Lh7_tMN!&jvt7lA5H-^b9u z9#?4;^A{1ula@+*GarDrnO|CujTeZz%IQ9-BR)5!34#~h5wYZc18vy9v5nOtt`_!r z%|v9o!re6fMILTxyGld+dH45N=UM!>90bGpw7NnWzl8t61R7F0$5_t~f)IH{-==~@ z*tNx(Lcave(xO8sf z+PPve=67e=Kqhz?`}sc-C4K@gEIcOIe_7Db_{mcKP`m_Qg0{6LnGM0SmCUR=zyC1# z%5OAgIkk;JN3D(G8@`bZ{pz)2PuC!bkLxCUHxKk^u{F6LB&zXA`a{!9J;ii=eVMoz zOoo0oxem|;py}K9_rIaMQ=xrU!=nwkH&z{wrNsyfmzYmw^j_M)rTL3O)#>mWQ+QJk zybQ`I&2qlLE04OI=T2H#HtrXtPf2-p%7?0qo>@4lrS&B543Q7csksak>l}8R`iX+p zSTipLva$5Qy<#b6T`WE3c(kW(o8Wru_EX91lxGZhq+i<%72TX@HQJ$uH8e33RF%TY zoW9&`>t1r5T(PjMgST54s8X~Hq@G*9>}bVj4;D|5-Gs6|{0YamFXJPrJ zJkGp}Z~`5#0n9vc6Sup!&v9Ne^#o=rPsbW)hGTz-QBXwA(hG#F@%8;5FTGtMmUsmv z_ia+sMpfN7UYQz&g2u5Q!-if@%PvkZwKe0Icc#PX36A8O`BN$%38Vx)iAYJ||J*2B znSVmrPP#hIMFoa5IMk;bAp?uASNVo49CC!2wCbt(hkeZ;EFc<voaKgCQAXw1jR!r}iT6{$GE*lGPAVW-p^KObEAE0W6j0)U#R%n41)TMGtSpd8_MkT^B>^$^B|H353la(HyazX*Nh1=ifO<2(FemdY6DKJn3MotF{e)9or+;Q0CU3uUYHbitpWFfu%9GXKm_ z^6;nMKF?zRtw??%t@deiTeHWDf@&sZEbI8&m>@ zHjy@;W^*C}lw4nklETp$B~`=eB%a;WQ7Hg`biqkA+j>JD+f0RrrvSKjfMtOV^ijFm z$uTwX7HQQqvZaJRuM~DR1%QS2o{Zs*Z(M(yM3H(TwOH=4*!fAbPX&hO%YAt})-}R| zSn76d-Q1=lqo_sh2k6~0J}!c>Q?!->MFUxR&64$Y+&;VM>nAqngz6lw1df9xDsCHWaz%UWT4e?9& zqh{Uv1!r$7;?X50_lqBHOPn+euoy${-srg}_jAT*pd0+IsdQV;1G@K%_S*xZc;*=s zmc?QE&AP8gm3JKjn2o=fpkSG>6QFDUDEPP0^vtnXVcI+yjT zB6k3P&~0Cj5mA%OgP89;*P!$kTYaqTpI}jxSv}3%Yr>6u6{LGWLqd?In6U%Tq`7z1 zK6g^c*>8Ci3X|JBiqv_dhEBOh;{bChBe z$P5$b`&QpL$WXmnojxGGQ$hCnY+`Oy-{KD{NzhS8$eo8l)ft!S`_N&ijUpRzP=n+ZNS~4!p7YoJU-v zl}yT(@FU6RFk{ZKFIj~Qd_>&lRfM8?s&e9=JtY*UX!0y9FsSuWuWJJMV|k^|3L2#> zNs)Fx=0IdvTuy}<#^-LnefGjG9Ul|)`HW&%r;}ZZ)+v0*t<_kX33^TX`~Fa6{;BIt zVEw-jrC87Xu>R5r-=5^_J>RuqHN``HW@76iNLP@$;pV}~o!suQlZst;_Ap!{S-Qfm z(A1fC*Gaa+Yq-j37h_a=@BTTT>@CpN3l$aX9ZJkf{27Az*!d2D__tC0+J3QN z?COw5pY5y6zszUwmebUH_jXk@y&uGjbA0bUZdCZR1k{#xo6Z6IQ;f{~zpg|HzqsnxF@~}QvS#Y zI16?eR1O&;-=0N^IL2_S!_wxw;2{nyG-jTg42Iow6LZ=l93s2zLRz7d?J72Lv*i4;r@YjMgzAOP*z>da61}iFls0SYyk6*-f{gI# zn~`U~(?iBBJ%G{&jI3AW4kF+<;^{@Zu>Tswhls=ff4;bZ?a7qgYgMVAcOQSMVp#4w z-B?cpv$I5f?w|L_-Xb8@%@n1GM_(+yNGGKZ?Bo}G`4(%yeR*lcb^VFzytc4oIVmr_ zm&=zpu7@}-+++ms)WgCK!?1N0D`qsW{6J>4;Jt!^{%a#QR+}79+!-_a2Q)aVS`Pey zx5ON4Usd!5j66ikEsrKzKJ1TL2!Ep=Fmg|A64bVGPhLG1^vIq5@>dB2YW{}=(oF5~ zaJ|Cgct0pU6e9l=40-Xca^*CwOu)e{2cAbS1V7QHEa4uSojH0Dc0u2pTif5kariP zM7}B*pixz5Thyz-w!J!?Nsy3$yqPtjAIKK9{0qo64R4-+o2)|MC?+jM@*Sd&^}q%GoLd3v>5_tx#o1!5 zEPmi&8c@Cc_$9wU%^9ASXViqRR-sdNaXhpT=DiA6Zh7VFJH-^w3w1Xl_@+7nyWOIB zHN7q`aspL(R9u9>&Nvl-6Gq{ zci$Hz-uiqOJ%mW(4H|I8y_B>r!FzKjj)}jkeJ^u~eTq+A`;_Jqi9yTxQJ|BYC1k3D z;k|ONu}+wBq;xzjy}k?FI5-iO=*H|LbkgX>tOVLas%=g#ysu63G28QZc#f7v7Dn>b zCvIq%kF-`Om>nm2_|4JNz>#P#Yt`s0{awm50<4qf&J5?=`WM4s!What#Sf1+sdvJ5 z?vPpFmY-iFPFX$F3t9?v;-*cdEA;@d=5WK@-F}M#$vJVkF#1KyMU*S>tz0s(fk~gS zkZyK9@KLJdob2GFT|;Fooc|WA4=WX;Xg?!%T&W$1cLAG_0O~qfbSrAn8!Ea9KI~z+g5Pp z^R8gKK67=Y{DSb&c)?)_pC;cY@|dzh73Nm)cBLunPE|u`G6l9qq9G$pMjA_IHm#NM zyL$6?C>a(er+) zM83CCfY|E`PwIZb9S#R^CuY6kkyk)uQhN?f2Oisz24m~u()Tr6q3Yc!eloEq!04

    M2NszJoI4`p&O7ml1YV3*}#PzF_AEhybrYHgA@8QIkgbLV;PTyhjo( z$v{T~EPsR*3i3(5Qjy2;p*6OKB4)QW0redmJyZnUnvlIR1{!6DhVHD=4uq;FTHtr*5rQhTTi*}GxZ#e^MnVs>8-_Sd%$vY!@}ueCOmAJ zx?h%iBs7!S3R=W&5IlUuA*tnJO9n|D*TMun?mpFHqaPo4Y4EH`=U!`!=|0l&?ob~K z+t3xcgD&=M@)h3dk635BDf>_ltEX$4@5A#_`wPJH3~@R39FnU#Uc7U1)?G+rH1XXi zNwV57Q~TMtg(_e2v{~~EeSFfe8HO&Utx*-%6B=Xyzt8bar5JY!V-Cq`gL{*)WXD-f z;44b|=#nO_Qz7aueujAB_t?l|*kRN7KC6(11$R1nv# zZi#|Q$o$JGIw)?{s)un@D9-F<%r%7Q&u$pX;PouekJsri5Nb}FiyXj zDrxRCAvD3+J+u{i=g#c1j?InchmJk{s(=%6n3umYQ!Edp!y7|AXxmNJoSl}uxfi|! zaoWRwuZdF5qgL+Wlmc6u>s>wYxUMv6+L>`9tt3LoVxv=Nmt&W0oESc`>S08isocL)$aibT7y>UEjlyO zS;4WIZtfOHaK)+ewI%k@d`RM(S|yx-Bs=r*gP8;^y=L7^J&zO9I~_-FzK=00=yJ8% z#tc1KF0hlq_SYj6HPYU8YD}@N2ted4e46ImL(VzN#FuDI*bCP`-m>&4YKT>n%~b*_ zHTp(HB&I867~^EXB22!XA?k;+CgeNETTNcnZF1I{`ZNo6kR~_K;9?{MF zB>~yUff(7VOw9%^e7vGZ_^}Qjm?&iYER}K4L-N0LxL;JMzA1}>w@_+q;%YqkfkoIc zJ!vCxwDr-4Hw^ zxqJJk#%NUWKA?T9w_HSUnUjLkssdS`3KdBRb@WosW-xgGZ6{dd5{%IQG%gxbDKIhY>9+kUqw=-zw4h`nXjJUua3?2K6~!EHl`eLtX_gSdb0PYy zdpO8Y6K3)_a zQ_eP1$FK-=4)?|BXwU6aEt}I48ktNl23(Jp??{QDlvt~zUeIacMJ2{{Vw(Nnh&9_G zX5H6_0q*fMr*;_!G2-MMZN665Lx?9g_7U2EThx=oIm5PL?`IlSv~E|fB}7zyLn;;% zrV)N#d^Fu~?}*k1TVrT1np28x#fPl|_Qn!b+YF_o?&ob7B`Na;VhO))EAAa-fsmKM z)otzriba(zjbAsIWL*(tWFOrFo~N+m*#y zYp(NwK{@QEJ&L={BO*F^b9}}T*PlhxCY)=Dc#-s^EB5-+M@i{r-YU~p3++q(J}JZX z9B;xj4W@E!0@NeV1yzJ8W|Qu#7lr4dS1B6$cOO$%smKRm-4kxyznq{R? zKCIaIv|(G@a_K|f8hal!XZ6K6c{pdIqc2sN(_@Fl8dqO(8n2?H;2$x+uG}ANzc=@0 zqD{P4K8LU2dwL}@H5IHPGmCzNQiatB+czOKBTz>dKpW7@ROO3%0wnPBvfMy;xj|=n zs{lhAPHhrHLDOucg6ez6sh0@8!J~A`lMgv8&_&*1!~sCwd(m1?M!A8K)ml3bXL1i| zn)dGwl-0>mKb&k)YClffm^WW|EOR3OJ#&Vf)Zb%&W=`!&7!$7(jLttDNfB=z;KQlx zLKpRLkeusr;W7S1g3^h1tM>5f=q%WX9{pKowm` z{_GcJyRhRj>)MsXYVGHcY8(WGYNw{{DjZ5mF7yv$hjkrKPHFV$z=fxQqYASP2<)4B z+s-`P+7ma)Tv{!Qn2E$^;TtD9Sp#}(Cwb3YIje^;myPpBi08gqlev?i?F5N7{+i^K zSC`C7TL&YvING+fvGv1xb8)1f%~scoz2&xtzF;_Fu!R@F)7*U@&lkLrw^}r?H)n#+ z$nY6Rojj%2oAXudZm!eL9N(|>SB}_er=7wH3`mgR9Hl@47Opz^ z(Gh@5e&UnB4^nQg5dtS4hQRc|LE3NSHYqtK+@k_TOqZW~up`E;(i-HaU@!k<>NQqp zo)vl+jvA}a$pL!udiqQmMWMBQs{a}*6#ofU#1M2e+UJZTVsiy$=AnNh2=Kh z9oKG!<(d!GsPv7Uesc`L*t|%Drur#a%mUB1*JDFYI)?kqSi7U+`rP$qo^tU8yby?& zA`5$~+PWTi@o1PBX-C#_Z}du07J;&XF7EK7s5l*UF#uv9E{Rh}d8rq*)G9l^bg4WX=}`fD+LIltscd z)ojB(FVpT0o=no!stA?WJ|AS1^knB8A$t3!ZGu<*?#eRImpbIUrld|!PU=&gr92SM) zN^J3S$1i70@b@rpRep!tTXDYTCLfcys+dQ)n(GyVq&r`l6w6;NetY&8yih}JYY{9RwTs%j6=zecLiRIoAb_9QnXTrU=Il zX9x+4(W8ks>uVlKQRyGI?Bt%uD@PNt9ztGDeUJI*^9uU{&3NXLW7T9X()|RKBZ8e_ zk+oM#-fn`(LaJ;wlV}~$tuG3yPGONQ0mhg2yS1&fEZT=9?jxiXmnJXVI5A z&RQeSb~OKe=1?gP7!9hF+E~oTg1@MiPds+$Io_RHs_7r-%4QXVP4vGwz+UZJ%IifF ziXALFDdN{Jf~G1R)GSf>Awi?@%1^nhh$hq`JG{nxZr0&h`$g;L(PdYA1F}m)VfTNVVqO_*4CO(5PdgR zBYVB@t+s0e0+r)PsR}(=(0KkTk0x)rCGQ&4bKmr*M~dU|K|bpP!5|HnY6aqD4SpHl zWeQ2%ihyXA^!2m0oatIS@?v{b8z*lN}dA!URSYaSKcr&wPp&}B!3yCbKPqcUklM&c!_d?0G)!7O-nD*1*4Nk;*d$@=}Me43jI- zV(-1yr;9TTw0^^M5oSZ3^;oSemIfI?ZOtWdn+%CJ(Z`=eIg||*72fS5iW9ISgHO(%KQE1`fG7RY3c-G zP~QARQq$70@)DJ?$rBvgvQP5mY3(L58QBx9oq4bcDZZqG>%{t{@M;}`^t()4rH<-X zvD$CLtdXm9#tHq$_5!!Fr#CmsN>u%99w{`kU{?*Xl*X8CRC(b09KKb2{qWH}<9=;E zMt%s+(Y_kX>{b}E_~T?8m=NRJZ&f;7BaeaSx3`)_f&NdrSc2qx#mn(V?8$oUbsdr~ zGwGW6J6FT!kC2Wbi|kX?tmUYoe37910^Ug3GD=0vKi5{s*LO_O!LmRKl~KcNUXrEv zSv;0&0|C1@T)t#rp)Dv+%(pLEB;$mZB<@}{S+2x)3%5NlkO3jTH&`37wdw0Icfc>xgE(DU4UavxxZVqdk6$@iWI{+~vlQHp zBUt&62v9%yV!499S9IZ|NNxW`bWquIGeeh%^O)o5VCJ72_`F{_%h%IVe!@T|O%d4O zzv=AWn(-a}e2vh!u@74G|Aul@(|%FTSNVtLkqn7nxa+yTGv8`9Wpa$eW)~V{rzdt3kW;|5Nh9u-roxSHih`{(|d3V*~TY1CJITsicL4` znY2`x_V8<#ebVpnoJX!?DKD&l9w$#NsWQOs0=mbvQ0x~ak0?g{Ral2#r^`V;B(bd% zB#C`icKd3Ixq|{SL4Dea5)esz*1CrVxXQ9GE`afxH@vZ)#eyiA{0$^~k>}P0`M&MV z1bg?S=qfeF=t~C4$DWPz9gLxit}0XOZdCP+&nhE=eT0mGq_l{zcY-+1_5cv9%0Jpm zA_OI0v5tSg^Z`!i2I^#xu~Fxb2i8^)snt~TYz|VSe_)Pp(Ryg(S8QP+_;^Tc z-|GVxtJI~%xS1nxPVHYPiCN9mvbpT4&K5RZdIqDZ(m5HwB^f;-yZ=Na>7EO#n%Vyo z$jtulLFR!_z~9L(C2V6Qt8VJcadgkvaq1f@i)BA&Mb13@keUS>V#KU$`l6qr@UJ2t8gWkmCjYeRTlJM;@9&645Qy*~}s6eW(*jyV|53#gSNnv*+?{SAQ3$7LiD zdHL@;vI~yw?2^H!htaXWU$nk7LN|_4`!V`7bBzi-{`mGzYjjN3x*b~PNXLrBnh2M1 zRP85s#SQX&ZAEIx)UWdmKS-6Nej0WU)S#qAQYPO|GOnGS6{Ueg%lL_Xo)AELAN#Oq zy1V^V1u?r-L2?8wp!tgZMyd}%O*Cgo*unXNiHwYbbGBaQxP~X0w;*`gGK0-Z_;IRe z@1p&F5so;7xw~(V9Ce*kq1b&)IAHdgP)JGi{abDni1Jf4zVK$t6t*rq>kHQphbn6^ zD(DMiSKievEdD`|g}@$0Xj<&+UQKhzO%nGKLG_c(A{Fz|T;4meB6nSJTM|yVW7Um0 zkrE|}^=F~ET;H1V$m3?2M9?0!Vj#BI5;W6kUoPer_z}f890?$=;aO~FMc6d$LaCHQ zql$cc>pEB(v1QyunWLwdr?$j~_sWzva<4eoP8^$_uhzJd*qPT2!wEL0X0R9%jduK7 z!LwWHG3vce8Zk^+epP2;U0g^b8B=XP0LlWY-Nd0kyZcqU>*UBn19cYyxp~bTFtp7G za_eVm#^c`gajXS`)n5{Lb{$Om>Bx*MBn3hYVwc4l)l0_9Zrc#vwMtAJxz$SVZwbZz zH$rgJi%RiYq}F6v-r)J?7RYD2 z>BSw*04~iPk?c=gT)KMvjI~;Ms@+-UfbOKkMagv#ua;@M4X; zb`wqjWBG@$uXo`z+FPxo4x<6U2f%PKf1K`&6~nggEE*uP z>+$-+uu3CYYcJvAkP@2SSvl^qsNH>7@ie{5xY~h}V|csj$rameyN%0Z(W6O^iucMb z(8bO2Ja4Zvm(Jc`?Tbk1$(0t zw6K{gco?D^*Qt-Mwjk(%D%1D_bRnoAhSaa+6X*ZnN@-%|evy9uQ@9YLkjog>jjT#-q zi!n&hrj6B-Qa}2Bs=q8a+J&4KzvWodM*z_hNoE6FF=~mq!ykTezgUyr!7&tmXXu+C z8mciJnf{*5RMyz?2ei##iVf18op<$f-nZzYuk7hDP^{>9&J%CcaeD>5+DzS?wPuT;^5cR;a0AQ*QwgVzepOw zeF*5n7hb;UE=@`lq)C%b=t{4lcZh)0 z5RhJzyaRsU{g->+Tlc;@i?ui_fpcc&%{=mV*$HXL(AyQ+LsLERc?(aGk{B;@Yt^E8ZR ziKLW3FP{KlXSSL$TP%DJj{eK_K@1qZe+-GFb63>1u>1-cd2Ot95qNYaGtJGo$1*p* zv%cp^R^6Fqo+Tr`bkk8+6r8&p1%9W1@OF}BcDvEnIMb=i^M* zXtrfN^L*T+I}kFp32MCi^677g7wWT zoyx8jO~l_Izn{t7?jIS(QeH#IcEfJ;0V@5{fh^~Z02tdM)oFAU4^%3l;WF) zE?xUE_ayAr&s$#e>iRs%i71T-4B(LATive#7x2)`F?4D>slF+6@8Pld=mw@j8k`Og zYO?A?-$|13+xoV<0;JHkYIQG@py7zOS7szAxkNP;cvma&*?#5fACE<9QRD#g)e~=p zgemN-a-y$78J$9=oj*_U05vN8ms|Vh@#STY7MpS>3sZ`uWv3L!_=K8a%X7ztQjnt2 z{Z&Q_@R=Ajd1vE@c+<2{|LL)9qH8cYi#kN+qI)_AalORE?{ZjoLg8Z*xpX@PqR+dn zrM+^@#Q@-6Q8%c74w;#f#R&-sefla8uswM;xPZOsNn@tWg$q+fdu;#!H6)p4DA?Er z3VQ59GC-irxDD@K)8SsL5%*|@*3x@dK%bgNueuNo0p^NKRqnX_eV8MVTR8O0yC8fZ zlJ>XHB3q}S0@6TgRz1t;)F##KMR z)#=s(>FV;?#BfmGx-WY;%H(bBuG zP7=SakKRh#e**esy7$<}^HJ}A8`;NNKGln)1~sj#S+c(}ek}Wytk^s|MmE!(Sj=ir zKr{+cxczDXkVRl#T~C?be9$ei?cfDU(C>8XmS$?utxulZM}|S9XYP%8*1Z{Rtqb8n z0`oVL)NQK@bc{1)3XF<;mI`U#_Z32#h8@z1YYQ(Cb@V>`EQZmzc{zw<{TB#yy~Oux zio^>`nVuPb!gi9AAOQ{9q#)_R|H5feW8=FV=d&yW7+^>w^%u)^$BV=3BpRsQ3)EWH zHmz*$0}995;(36)!pKaOaA~0jqfLcCzG<3~P-C;{Wm!l4g<jP?#tzBV{}{C^Ml|GzvJ>Q8mDzJhgOI8`3( z(ZviU8R-iHU!R)XJm^X`vEsq3ej(PgELXy4R*I$Xa~k_yWr2TvykH_}v_L&1W2TQn zreZh>4AEC!xM&mu&h~Uq^*Dp{a`#b^1$D%nBJb;a+GP8}|9}tW0lM|io{Zgucc0uCSE~e6G{K5=Pn8vh z?agH7tj*KmHhQ*RXC7)>y^w1cfwDTJZ&WQY1Wco4gtHJf7EK1iwPK?4lX2@+PXBlMQzOOK zzv)lvIX!;^e=4H?t&aj=W&q_@V5%hp2F1f)HN1$p!>E39z7X1U;jZYhe=8Di*ji(y zu}Nk7RED<}8hTCX4HFPK&fnH-$*v1-Ul3KY;OHbCFR)`AVwfAYaUVI|3ETH^n$A-R zY)oU^z4Mz{!a+JY-FMX{bK&akedg5e?I-KZOJY~Do!X`slyU+L$o}$6q}`Z0J4LI; z{vtsAf4U_SuKz2@PSf=q&R_H;3%7EU!Xz3kYPx~>h)6FL_3i5_5QBJ6Z$BAfo~te$ zSE3VlOGj$3?@f5WqedBi{}(6p$*ko6gAE1xH#U@)g|sT#`x5Ax!>n_M8T~M< zPJ{Zh#DkMP^*i&Heh#yn_wvX1_w)aq^<;FK+2!H0cyG{dEOekJnKaJLN7Tf97dq|K zeaGgd5rNys;$@0RMV=}tz!vGa`Jebu-NI!&%x-XI^L-J-{;~SBU9!o3cTVX9CRN6; z=|3q5OtU|s%qf9h@_=iFwrqatwPRK(#7~5|k~mo#_hyTWWiuGV3nGmqOnCBaAIQV{ zuc|`C%fD0w+TYq~*Ah~&@;$GDFTZ$NTja~Xb3xG1*ksuq*PtMSsz$iBy2NJ)Tz8xlum2m9|!Lk6!Cm-4LHNi_z1(7&yj}=78XPwRO2-v2lKZ z7k}Q!bAsnnyeefPRM*@SVHM1}IWE#4F?03pF7VIsDi)EECGgj}8Gf^I^buk0PHrdF z>H(v9SLXkf2^Alt!c}@CIwc0m^pk)iH;={=I3`MMOR;C`(&CD|x`zqosW+s#J=9oOIQKRb18KUN= z%9$VX+aw>|1Q=8CK1ZgDi|&~+*S{I4ZIzqte_QpO>vWg5{XpBaJ~6Sc@557;uAUFF ztxC-g`%r0@rq7!OR6x!0)Z_SGSnVEdr(AC2;FA%>LSQDGmy^+jV4u~^_HhL4aF@4F z-|~Z#)Mx>=#w-H~u`X437vfkuG4? zC9-_?I~tiZLSATsCH+RFp$F}rws{!}(uRAV>Y=-Nu^dpJ*|^ayC5`Un(l=1XFY?R& z87{K~pcgJV{FkX&R|RpWxWcP9-#pnCItyLLBw8NC%?M%^l=5dTlx|!nUFM4K%J2&o z5F*XxZhi^&US!BW+ey3ed$Wox0bdS|yyn-OaOYJ?D(BCrNE@{Ox018**F4NMIKSZK7H^#`~_SVPUVY%}n`{u~>r1#QA`EXDj=8RvR6_V!uF zf!?q5eP-vB6@c&sy-L&#Y){M?-Zk#{ML__eVznDv)9^vPd3{RD#90HFo`d+Rv zQF=#9zshosGHd2L&Hbm<9vw`h+rt`Mf8}%*P<1*OI!RpvZs26f7BqSzZ=_y!87$9~ znyOULj4fHkwC`CCBhzVkX52uQGSy7RRy2G9@ay3bWmjK3$2_>VZX&|l0VoV|)q^1I_lE24M8u9QBXsXxlR( zgej(uB_qj-^<)Z{@^h%c^j+_RCFn=}2Rhn3m`C25iOjtyv#ms|NszI~O_Mz~IHG?& zP-2^ExaV&)h0UIccdd`VN0&dOHj!dX07L|#Kb;LcL-Ye(_{coDlU(D6^i*9potQ;V zd3k4bpgV?l#rjt0y$Ydedc|h26{B3Zm~sWR&&4Z(-^f!gyy<8jJ6zR~xtpMv4zW#3 zCjB~bDk8gezv_~eM!16t$Bj8q-_((Rn#Scqz(0^wWQ1JCD7VveuMk#$1$;$MzUJ&*S>YJ=VaU-vY+`FS^zt0`NphT@VZSSHSR$cIfZ;= z+t%LOoh}dilg_zvC!wQ)-7kF(ZJN4QK%B30CJH)&FX-at90wiXQW9fyAVK{A#||$f z%ZkTs&Ozr==5)^os^lT8a!~}zsrJf06yFi2;He~B z%g?l0#&CuC!=t`vHH>Xo0M=Ttx9->S#jx+sp4kVsXXNYl`VNgZAWY5Xky*@w&ilMD z`**|fJ<`Jrw8mNKFfaVTS0p;u>WQ46VS&fURn?|v^Br$UHm(#3Rt1)?iTo(n)YPDaFC6zS^yb=Aj&&!%u@?#XHnp~8!0uN(D`fiiUNHQ?+BrB}tA2i=dT z-f_HQOoew|c@bNhL-;or;6cR`tLCj?cV|qUQ4cLy?Gyv67mwtwxiH%G?$alggUL~? zrj-Fpi)Z^4`^F+A7{fwRJ+W|^-m&K*F{SjNVdU3|fVPxbPrJ6vve|e+ zbV`G6Ia_O7p4ye4>ozsRCMXXWP2$a)3C}yw#q#;Gi&jUmzffw0A|Pr6v_n@LrX;`~ z;hSRZfbzDE*17-~5FhZi-Y9!L7N(V%UQu=O3agkei>gkaTVIW%Cu`MYLB4%ZhM2n~ ziVjJJ!LK-uxEio<4yiWW;pR@|8GJ)wF;7wWv9(`TT@1Ox(}pY?DNa1@!cv4jo}DF zdLi4zV!s^hr*yTdPJJpahOnM}aAq8`uuT#LS*@by$|z7JEqI{ov)4)i%fl-K^}>^& z?7}Q3*hsCTj|&5qdxtr+9p5Y$bH7|P9*(qOPnhzKE2x-X)&Q?JAKG)KymSk}Vors< z*z1j*;^IasH@~_R86ruxfh{3XWOWa{J9ZitCGf6SCKQuKtzV6AG=>*H%eA z%98(WHpxWJs>j>2|q3Qm*cgdNvcgU$<92=}YE=fu1^BU>08rh9E zZub~_RTTOCSzRn0Fkf=}Ysk3pDJ)$EOtbLR!vGuBLU4uCD#E|S*LKF(YPR>@ats3^ zWBqDyhAt3TG0R6&M9y8Oi3cdVJ9eBpX;2_LzH}9YCy*|?@shFNO(Nc*5g){?Ny)Pl zTSSp>Lj~s1bJwhg00I74p8%i02=d2qU58qg@iM_vi_7Xv%EbCkzdVa97K|reEdY3? zAL2?BR(7n-{`Rq?p zC=R`YPdVQKroJp1SsQPAP?4Qv=L92YR{gLup*+R+w9(TfGaY7iCN_aJ@(nzSwACP_ zMe86Zv(`Ehv$$f55!X(xkfXk1Pqu_mNev3PwA2twM}zoSDZbb@mF>;78i9g_nPJso z9{V>%wQv!ZGRpB4mV}z+GCVLU_lAHi6!#dxm5`LxVGSS?6rJegPxqcHxuTO6qcxlr zR%jiKD8hAcaS9OXlkXE3_&xXxdu8eYSV*Hb%T%869Wl>uQc%fdQ(A_D({jV2aBfvj zYQdz@0M zmK4&HzPa|Sfa28y!kn1K(Mw-CZNy-XyIgg>GAT|4>CBd0qA3yeS}wNBB6QL`+LPQj zK}4ILxqBbYuR^L=1Sj{3$tNS)I$wOeROMA@B)h}OT(sJnc?0jiX0!K=UsLB}(Z}Bn z9ofx5CbXa@tLgH| z98Z0j^o^iy)vb7jx$cJr@;h7zX|6( zJY^792pBln9u_h$E2AUq7<1@PIuW=nppxH8Lhj7q^Rvlj|2^b&N%hwSYVLK%I%jM% zk+f7T4sklayDE`kO1{ofR9xle!^Go!rH%64k%_n;AH&RWyy(1K(?GeFQH0RFT~?f=EeC?M{X5}^1m2-r-e#FyyVrNlMUKX zTn2IL!aw*etQtDJRO zvU{!<0;AO~37nuU0b*S5Sh0iwL<9$(xF=Jc#w0KPJ^b?$2-LE++%&YDm~+tNI|$E^KsVi+jXEhLp$agi{F{sH-s$G?TSHbjG+T2Pj z_3#(n5VAK8WwQ|zbXSQIC4W==cxiB@Wgb=jxDeN>sQWUJa9&s<>fhP1r=l8X9&{+L zB@Hi7n|IPsutG zAl5gL$9u|I>`&+4aUpCCw!G8qNM+CxwtKSVe_1cwH+eVKK>9zT*p>NpSn9X0x?pZ2 zO8X+rec$(ax6K50M_syAf zQJIn@;4k@6bD{hFTHX7DwoFHwTy76^mM@;%L-(J8%f@^EZ1j~`-?aaTA*)|VK)fXT zOJr=^{0IM%_1`W{_FDx47P_Y*=knLH(JWO0fYMwXS{#5jLVd({3gFf$@FDP1z0aMa z$0rqy-uPvnUq@LBesV_(_`n}b47j7l`h;5^^?|7?Jj-K^IXD4|23@I!Z0wsG_Kn+{ z3SB^Lxo4FVH!VbQ^MV)H#drSSxT9$P6sJ$#MVO5^-N zXeSrAZ3M^9L~$0uQmPxUi;eNJ(H`MAuRxE}+MvxG{A$qQQR4wV5DPmskGG!1PdEh~ zv^SoxG|Az|SPFLQ$99fgOyK!@c=9Fu4r(8JD5u#F=&Q1~Bh2pPhHD>%P(*Bub(j`91seW<|SSd>}d=E*Nbp5-HxgCOz1{pjSrLt8xU4ljwEh87{5MuQ7t+7G`36GGv4oC5L4#v|;g zg!<|$V_+WM2c0gXPIvK!0gOlC6oJ2K8-EuU*<|vS+om@Db`UESw|WEN2`I_m3&Iw$ z;tz1Wc!$IFv=u2JUaoW3v19o_vY6TL+=)G*%^jb2|IU&aO7q3pP$%>@uZR=)@1(%` z5MM@>|6@ESv@m=ei}8@Gz@>+(`IC)xEs6AdF;fEcd|nrex0qp-7hONWzZi%zFqNbw-A?SsIpAQ0y!en<{`Oc8j< z3~Cahb^6hY{n9!~6@Q!@)b^D3w*O770>MjWl&l|uLW?TaA)EjYg9n6UCd4l9%Ge*1di zZoEHYs~(PPMjcXd!svfuS=1U%Q-bVI7Sfen_Li%5RgStNHDvoUWfR{U0z3UWhm|X?$1~t3kbwigaTK@}TJFg# z6UjcEu@m%e;|cT_L(zB%bjYxs6J)+(^5K9Y4vs$tMm|WRHa4syFL?ztNhP|r9 z+3sqgx0A}JEJsR9g1ZJH(CChj9SOEYhtEW&coAXCzABbuJw+i2-n^?vuRrikWkBxk zPi8m58-Mv6^(H_6u&B4(Be|^8*v^`@q(XK*I_*NEmL;S`Nkfs#vdn_Y*Z;Liit7vY zbaz*_dE0e&^XDh=j#I5&%MRUyQ=!yCmHR39o!`G&X)<(D3jKd=jlgi)#{T$07LOug zheA2!cdNHEuw#+_?s>Il5uq!#JWh5e?X(B@W8Zo^#dLe@z){4#>SI}Xw7_ViLPG!| zE!`N3C+M79U>U`uazfp>VY7HIfiek(YP6AzsUfZ|Yunn0gfd=$0VibUZ5AJzo9TA6 zBNKm6S<$cDJ8vO0RrWCv*x*gQFTKNm4lvB8Yp(I~>MoKWE33=ztH z3Bu*st?z~4PViMw(TT5Vb~7@^%jCv>sYU(^ciGr*DOfAoahYZJqs;qDsF2+mRg!%AwMXR1sy1(nATNykjxQ*M*41T9|m31&SHsSrZ3Rq=UdazIm zs^}hNvUxTijV=DpqCo5nWVmA!U@D6yLlu~syT%^ISK(?FcqVArgzGjZB_688KWUFb z8OqR`_)2s8uL3WlA_r$~(^I>jx#^&{y0?5FcXGUqClUy%FWp2~9n`DmYsd^dEZ^^R zGR7|G9Z+B`rf#q`Q=g`wj5D6J?+9!#_*z@6KWEEO9u+)|A9V_}nyTVktJrA`K=N1O zbVA>zTck89!Sc%LaoEv)y#ym}y~>-{be#Mac=3g&kD43$6DLt@Y|UQ}r1apzPiB^P z+D4H(UHP^3#g&@@kG<{eJCDad&IQ3bTJ^cl=yp-@eLA|&`seEM6BmdQ~tVV3x zB+UPDkH7h|3Uz1|-HhxH`q~Fs4-(|)FDR*rt8IGY$_bZDJoorovsZnIV5whlOdb9=G z#E1048uSc{BrJvmW-9P2_fAZ^?VPHp3hOs*u;DDWCw+~Z4UGkEtK%U?I2?=_x5rI6 zz#f$tHDSnUVyf4=XvPBdkv;k?kPvF9w+`=*y19>C@C;s?7Fd9}^EU2lZNX<9Sq=Tx z!?sH_`XOE4s&Cz1~I+K99<=M${g* zN)|75bVQF6g3;-Lx2Oh8a(dX(JOiSz;$j6b>Dq{+35Nxfs@CB8-l-y^MAz_9wkIl+pIN3o?44%r%wR9mJ8( z##{rp!@y^9`r2a(MWevoX-~-<&(^Uim~S|DR7G99L$@)jZ%*(&#@{cZ(v4zFtRP^z z{`7J8n%Rlrtg%VJN}(9Rz<%=12nz#$-6M#$PX#9S`QG!4v`q3i)r_LqQ$5o_gJPvL zQ~4lS%WTKQ?$1dO`A5Y``VXd$KJe9Vzx$3OJl^6m3fl3Uvct2c`t7`>SWhIGmov6y zWizz(iwgz&988^jMZFGN`Pi^&Z|X5b+aAxZF{lwMu{11hniAL$SyitqRkj#0M!Fkj z$AovRJ|5q8v0HpAia6O%oO7gJyJQ` zeX<^;1c6A6G_D3tQD`$`vwp`_+2PxFm(WadJ{hMm*thWYZJ9V)N^hLk z@eXzbZ=n~~rDquAfxyyJ7GJZw&1Sn#llXyX!5SpGX?G_Y*vSzV=e<><~%+oG=T zm(K?u93Aod2S&;fEGlA}38A4k%^)Kw5`wxmyH0>bz2DfA&STcz2dyK2XyCas{wBxq z*sI&sOol$biyS7;6aTgWj4CjZ+SE;(5$>1GSX5)+cB*K20I4D+sari-Ka{qstQP>* zQ9_8}aMftKw`p%Q0xGbD`ZVCVF}XHSlGPscZF9P6ieG1RcBeBddNI=Y?Y%KXhvQW- zHjxi|3LC1P6ojhF>>_RmHm**3q76iv9%?#>^TBY<@pvXrBfgy3zxemGfOtbfQntOyB(Oa4$I}hCgag0k3;x0Tzn8JTn7VooAlw|7>cWMX z#g|b@)O^+uNbC{l28mSKMIhO(O(Gr> z_`0`2>*yF>Agg_HFsY@;4flPuqO(6})(w->K9Qe2k*!#-*vOOAn}j#ENUSlWSMQTa zU{_#`lf* z33!aD8&}{07psb^U{gm8PW$`llP(Qth0`vmyhtDZD~hsy3+y-Sx@S{qf~wj5E>k}r zgpng{#Qxknj$*nK^pO-_1A*`@c1@a5jPTnAtxfKiJs!5>qTEsrzg6V5QC<}IGiDNL zM>a5{hwaQ zG_pN$LF9AEI9|zVnTwP{t&8TJB;HR6K|j9_yZ-+9y|A*F>3DKPfEz+lD7&ZMonKt_ zB+Eg(ZnNEql1^9d2$fLZMNc~z|8|81d8pVfh4Wq#qE%=Riq4JAocIBd9x6+M|hs}2T&Fu7OrdHT_ z^en;Y5tSP?Y2}t%`~g@kyLe(9?($R#|4lzku~S*SBU4S;5YA!IvF3(0e)DL1LA=Em9Z}DOE|l}N=|w{W#A<@R z%;MFV^WUc%<2o8DC!E_4gxy!{7W-L>`EV72r;T1X>KD&g=)E{zo8_T9+oprSPw3@@ z@-g1RLakyy%N|1@H3>a}iHd#geeaQWke+F&pI*w^OTJk@NWzj=-Ae*lH}E}!ev$*q zBo&1NI{T;HC$x#7`#YI%ec8i~3Pm1FqXC>*k# z!&6K0@Iv!pcNJ&CNDh8z1X&*x5gHhO12?CM8Iax{--hEJEN+R<4{uMM;u=p59BAup z>i6MHDlGQwm9rdyJ}AWHeepsKhG(~Ijm&roi|cCeaDhrF`=Z>l@toI7)=D|N;33Te-5s=-DR8wt})xPx4QYX()V3&g|6&c$!or z+z2JR-@%SLOtcHy4ZA&cEN^74qR8yvhs!aoUuKuiV?ocYZ{ytXrFgNLeYjkql)(v# zt3})6AxX)$Juq76;r?`kgy&)1+O*RM%@7rl4EA+8f14_lQzmECI3*=XRYxo0*%q1i zjhN77Q|cj-P%sEYk?jj?g-WaawC%(;#rcX`Y~!z%?A>Xz6%O~i_mhgMnQOS=Nz>gP3V5hf2~oy?DXW-~ z{Wt6Qby*qnkEd&2CVy_Jx?DcNuyM8Je)z~3zU<{D#>N(Fk0mJL@8nqIZ1Cl*MGtNI z2AnnM`6e!B8A{THX9~lYf8s4d5Z zy8YK!&i|HKT8jLcnM{3%a_1b{=fT?6Woeq2doFx2l=b|X^fE%}w;s401&C8qK7Aa) z=g7_KvBxau+0k;7U4{YvF5B+)sP|Ic+QGdq!~X0ue6f6jKVzNfS8k6Vhs>lUw=6m; z(y}WAA9Z%VX$w7N7GV~Yb)_#Zi0k~27hw_OjWOk zmwewEc8E$jA6Kt!doH^dPYpe)gUu4wFCQ~NZJVt0Z8s!j&)i!20Y~lIlg07zj)!5P zoqaE#u%x80vayXWx?B9nobyjs609YB9T;FMYSqh_h^q^-7_8*YIukUQ%$z*Ed*yvUH4%8E)0qPqmNX!H zjdXBa-*!xXYHd`g_O*!+7#zz-O&JIM%qqgp#>OWp^6`!v8{2nT(P^%z9@{D77Y6l? z@5s{ie?mBFAI{EHUOOAs&zF@$AaTxQNWHtv>b(ySc@6z`vQr~kXm}tH4k?GMiM-%7 z7h@IGRyT&*#GM`X4W0^to}4^VeCXOki+VPXcZ~}&)?wZiA#d!yDrhcyR+(YYs%m{> zoccdohbMf%_Hmv8oi!dk}On1|zLGr_gcemNtCS(`|)1rEM7T=|E*xjRk&Z6>1w3V^?R8J-Suu{P7}wGhN5V zB(EkWP)-t5TGtaIvxZHRspA~TEppO%Eu{jvpHYT-=Ow}J!Fk_7g{S5i&-*mqe|GF+ zqOPsWFGQL<^H!uQUCJ6mmoh)|<)_qh2)r#z)kz)msXFq=p30?pD9SC28~T0a-T;4*?tVy$*$Dv zCPb-4_E{#k(h~27t@VtL*IBwV%Pp3lN01x3FV zkuPQr*^GL0A4~L%FSvyz@0!4FN#44Vq16E-5C@twq3B?~n+QVUrn6Fu2Ol*&Z1bBm z>G@YpwN3(mN#~!;V;!LL$Aey+kYDWuX`N`mMh-Cv! zoViy={s=$E1mWqnv9EW(}X^XHl3jk~+3UwQr#aV(yypiII%FbZf1nOcR{2Nf(QfJJW1;ZP z@U95rZm4sENgQ`7gY8y!l2U&(ppE2ZG^0?xJmGJTkyg}sT!PLkKYra&0FrA0ZTfO; zpkB`6qP|g+8zyUO|F$7kpQYa2w>I*#zrQ_4LpRTdioM8d>G0b7uV)@gmoO`J*|KGD zD!Gzhu1CZurH8twg&{h;rMtrhwaOx&z@=FRLJdxFMTqZac~mj|)HnC16p&veyuJzM zk3=U=oGM&1d!PH!lk~mLsGG)JA)&`6n=j<`;^KtbpAPlPk5=B=yYvdqry9{`=fC{= z&s(jWYA(OTeUe;#Dulq^)>>R;l&ZoU7RcZSrfifko!p7BS|c1QWb6|CpA@x{am{- z@|*_s!o!8T^a&eV=TgOLry#KFJ@-4;j@G6LUbUh>^+5QP%&8Gb-}OQ7uaH>Gx5p{n zl$1AUNZOjk??N#nE=elM;Swh8oOvlZ3OrV^S<$st8$Az`@A7l0M5075Gm1?569fdW zSO-oq2!dZf2mwpdBi-0yG3s~II@o};8bGV-HY8`3t_~T}m1E|Ya%BpVt&ks{An2Um z_E{HRNCh@fYJ^@$*;UJ&F|;#5%Gd~{?=hha^rD%CnCy+mg;7fRj+Q;c7K3gd`zjD&o!Vi%?MO17 zVBOd_SEjQPQr zNEQ^H`UgNC=_kMmDHU1j|npWE`TDZOj$p)cy>-RKAOYgdNOd6IyG>AQ(8-OcZ5!b`S*u+6g; zVaKtiw12;eRoo=b%1V*lKpisCVJ+H?X0%+{z5;b!us~l+{r-t525_wbP2BF*+MP29 zJfgq9LJJKZJv-k^> z{)I!YqXDq%&~*XqGuRmxnqM*$6YRS8m8J@17Jrj6COst_qDfzM`0zqbbh>h~u_wC= zTTxpM+g(g$`@QqdRo8mH2cH|zBX~{sFUwq~llhzwc1b13TVLaC8#ScVt{N5@5yAT* zG-;iYXs-l8$Hry~RoCN`rtbp|nleAZl`%!m`~Zk?uPs!ZBt-+0DwZ^Y&L006c3B-# z%Jb_s8@B-Dhb^mUhhlRWgUX$TPdTDu;MiqI%lpcvCJ+mghN42|_6NLRu%h}eNy<3C zkkiw(%MV+zn;*(z#^*cv0Z3=V)BBe8Yr6}d z&5d5)0a|iYB8xnmJ14ZdK&4R28ZEX88~ST4EnDH&4?k zN)O9gwFG5cw8Mg|YUxnd;9a(wq@=KAJFN2LscPHYYPP#?`<3%)Y6>t1dV%PBR7t7e zp4OtSpdC(UT0dsa4d%*w*&W{VWR!=jqC7R?eZ)1P&O0d-KCf68djO}y=CgYJE@VWA z6BAu~DCBWXAff8@Raf?f<@3A#3@lwJzl3MSVAD1udE9InJVD1N)#9QmtO`ZlPHP(Dq>Xa>;vfhHQGkZ`S6F=wa%ov`PWRMNgo zC9*2B$jAmhLyAeqfAHYO3k6>fN6v#Q1mt1WMHcfSTk8SWb$J`2^`1jo+_r{>LIM2` zXli!Q}MN2@6;uF~5(E#U&#T?~aJ7{~}vl{ALf>2Def)Cic1 z_wpQ?#%Abi2I0_Ga>5cK{g2!xTRKpuKLN$xn>8!J(-)zdRt~X^%n9Plu;`RJQR`cJV+f0TR+K9+*`D7kO6t$XrON z$WO1X_n|jeS65or$?b}TC+LWFyILfLIN!C7|MXs~Y*#y5052>n%!XrXZ$KlQ%qhzR zRz7#ET&+;$&_8Z&_65vOw_>5qSXX;{bD$8A`SShTsNUXdl$2=K8sWJC=LS`dq?y+b z8fAqIFzoZTy694aaesEyoXTpa^T!@6*NM0TZw|X#Um3HHw5TwY({ev;SBn1)wMEAE zLyo%W4Y^g-C{;&a0)>^Um(teE?*THj$C`!cij6&Q1^Tmt2RdoyLGeu{rp^Sw)=<vw&McFf zbazH&R+_?kAFVzG)9@RB$tkUJaDDjU-o2vR+4G19s$g?-ahMdloK#$VTEZUmwA3U9 z+=|*_E{D`j%Ks44Vb61`ohsS)$mU$Oqvri>y0$LORQVXFzcB;045_#lUgS0I%qPnn zslEKm&78v1{eScfWo9H~G9jbN~GI-#R0QsD9CiJl6 zf!bS3djI~puSm52*+o(KUV@}3^Z2rec8}OE~ zzbTk+|H^9Y8y?xf)Ym`|`n^k>Pfd6W%Tj>eO>j=DY8%F-7y z71iR2UDR6uK*PyS;`fpF9RK}%S?)H>Dtw}Tq_V(n#7oe%F3+lE9z~FU$w+Wr>22H4 zrfKn?$&kKDudf%fJ+LZ@uCc%}Sgvm&T2y_D1)>?Fi3jff`ePueCZJy(K_pKzkly2% zuSp0)ws`3?|2e&X&QvA-WtDY~vNH$$fuBtQSN^upUi;5_KgO%}0>>TC5MyPoQZ$LV zvP{DZMM^u8Nc9{iXx|^h?lV6xsWl>*Kp32thPZPb(6)v5$-VX6-64F`BDEn=h;nED zVxEKZHP-ZcZ3D1>z8jO@vereF7Ya(;c-jqtNW-g}3cC3063_K{(E2Bn;iufNu)3YX zt*!?O=1WM`hMmH&MXm{lhU$q$OltTS2|^}r{x3Uq`(*>3p)@+YPl?a(U#sH;O0i8k zwd|&iEOb}iO|YrJ&NsN_kTuSGkaG&xzC9J+YI87-c*{OIY_030kraPqTdVf7(0Gpr+P!kE19S z1Pmb6pacw{2%9dUsf0kN3Mx&I-i#;$0TM+lYzPoAAl-nVG-(o~8Jbc8hF%OHAc{!u zgrcHnk$Cpm`|89*i-n(G1fXe}oIiJo;qDiIpGn4IU?F*=snJFv`I`}x^0F;I}&_P+_0KM>K+UB}bn zdlNSGe}zUb4B>D@a{D|=bpTXkGjxqM*>!SZjMkm+G1h^ zU3wUraQwvkc=nxDm=y8i`UPD76nDm*291%DFt|E@a)Pbo6<(D!wy(xKUO71akQOEcGlbQHWo;u-?h$Uyp zOSJmOc|q0UT7Zd5&D}RiN#3XX+-Q_>pms0pUZ!zs^tMS7VX5!6!`&Rj1bz2&XudHxkI46#r zDuAo#f*t3BLUeR>d*tPcvFiy2>=cz?F-8#in-6NS_ATM`AeW3J$8<^t-5pB6Br1*g z@I=hOL+{Xpyp9h$@jRddvQDpewQL80+;cYYbB7<7kgwYpCB^TUwwF>RpMgRO+MrEd zdQW4^j%L6~dhm1lx(d5K=S75OSN;YT*%L(}eKwkvZZXp7kq3d=C1iffg$y=^FwTGl z-~YcujUG%wqkFB8g;$lE4XFn_u=*R}PKxJm73`NQ;>%5t6m-@ZE|_fy_DP`yDi3gW zremnS%mOO%?HEg`K~dy*Y%W*oRlr&1@uh#GH0yjFSFvxD%AW=|-Q;~@lf}2|#>bWi zcK@by@SVV+MwpR)S%dV0PvO%6r=t^^0|sM54UKspJbrmf*%lmdL7yUjGwuB|1Ae2} zk9{r23tM(yIOYsh*$HZ+T{aE&);>PW%d1~hHd7t$!GKe7%=Ws# zw-bpgpE&tkN`&MUlHcuihyb1Mj=Wv;8Z#$#1PX1Q249=-xGw+cgvX13rUAYuDJK_- zgL66q@bfo-kDCD7AR3zxchXslCteF$R^#+ko#;4T3QjEvu_X$JLUMUMjo35T1vNVw zB-?Re6_wDb5=Z}x(}v;@dCM`=>ra!MUb7=x9o0^{(=mHoG{lc13jOH3iZXtQZl}Z` z(Ji~OE&BS*+axk6JaF;8kc$-)#+!=&HOZA5tje=mPuDb9r7kX@y=H;6)JnB{XjQP5 z55mu6jCJ_*;o|+3${K>7;PowSnwA=uh}e_Q{J{?rvv=Z9kTuIF8zGZeJrY>i-CGrs z{>tH{e;+g>w+hDTE#lTc*XQ4x3*u$0=HKS}? z9`eL?5sDY5t|`BqS-}v);Jve*IsU5{KDhzbZ*@>Yk&(oGyE*zH-voi7Gg$~U7u|)D z+1qN)Ctba*@cQx#*lCmRkFpHx^6~)ukV6N#_qIkPzA(fXcULeJI>Oj`g?+~TW4)2w z&T)elWy~3NSP2^mtD}BJQK%}iflMrPO|}oi^0rIieQ*Bi?ifH#Gc6w@y}wHE-ns~u z5s?zAEfIKiG8Jr_pJJKtRwcReR@`C6{1mhc5EGs;Mik2+wc{9bfB({He^CYCb->7? z1j{<5#0K!^xe7B+G0Pii^C@a$@}2<+oepx!w!DnPKJvoD!ObGOPt-SFUYeP46Q|-N zg$4000mQm}Hp7n$JS$P)I|>5N#pD@>uXW*ogBxZK@D#nkIkz*LC-$1lU})IyZ#x-J zgT+l`Rt;Q>!EnV!*EQfdw&;IRXg%&SE@Hx0uy+EtxMNQkb-p+;G*sUMba{Id^z=vhE=i8?c9}`VP(8(s>$ghDjGPK)FB*f!iwcscHA z;9q%=iO}7e3p@D)1V$c@PByunOIbRUxzGgYDh}7@uU!iYY3v@eQ3u|Q2e$I@Szg}+ zCvIOlrprq**uo;8?e2ADZfo^^9j1P{@S~w=OQWy3{!78cb~&fboTfKXF*;McIP~0` z_u<~|S$_T#3uC863tifbQEKUJsIq3$=0l__gCR(?l_g9E&d;N;cq9K3GC8&Rg!<3} z*8&(#OIlo9F`)0Mf6L)tPm*=f}|FqQ5;Sk9x+ju%lw>PfbWBJ(PmLRaB!MDXVCe+)u z!e8?;Y>Jy-LY^2H|4g764dW$%#BA^;I$hJ=2NS-S?9v~VnDT48NWnx#i71^vTCWNM z^#A0Do<^#i_k7Shsk4dGv3q63T{63MAP$IR+Po0( zdg|Z1N6uiib&+8@ubr1Gi;`MCh;WwQt6$htBFlSi?{b|)sTHNst>}Kzab=6=`sh>< zd80sI(<=-9ndNsj(F7jHbNWV+4a?*TArJ^z`fZzeyi@dbo=X}rL-a|%D$T8@Sj(C- z+o^kKXi}j0TgeDzNh`3Te88f$_ro!*o6Fjfx`8SFiy5iao1(gucAhD|Wtg9c(E7l9 zj%?*pn`aXF60gBj`>ml7#m+8*>@DMDfsN!tl?$)fWuNqP$rL=d|Fe1I-R)T2d7qnG zM+F=!tgL;!W#)$UB=Xcv_j}6xvap-0)^X4wV|RPyXb*L+gowOHqKs2yQTIn5tZif_ zL)!A4o>6vxX^7VcD~K4z2BCy;P(O9&!_pTN6p|h-WIcH9^wg9_;LLrT90H@PdBBLq zmj4GqN4&5Wx3;T2@=meC;2E8ZtfqjY4n@i!0EspxW)Gf+&@n2!V$o`2x`worfL!r( zkUuXD+0t}nbi^I%m%Yu*q^_7q6?lT1foz%24FXLb@sxzufnxR0KnV^Y7cmVmF=5Dl z_B>RL^|o!|35iB{k$~_)lcCjehG5W<_dg5VuYOd!A*CT`98>Y#>0Ks%%*UxM;Eh;R z2qF^@WUFHWDZ$s$4bK7QcmA$T3F0K7+30HRZjIZI%!vE8@~Pk~>&wG9K+Pd>Ecz@^$@ChSe6LC}Huyc$?_82fReR_$?)z|%c zsG`$wIH~(W645GKgi=y}PQC1G`u&KOPnTUky1J4`-K6U9OIw6~U2XVoT&Ou#uRPO3 z+}G1j?M-!C&&L~A?(DQRBoedgl-+6ZdDfJfXM7HwbWY)d21*@a>fqj%p4q)SLQbc3 zbjXF^kZOZU0OSu(d7n127??_ZsHS#XhS9(U+4SX@hj##ejON(c$TC zeM`^7+HwdiqVn37g^I(2*p%C#@nmgejs*a|hU&I;Z#1=BENDWK*zUx^b}9qH!=H0? zf3+|JpRp+Sds*Gtva*)QS-}62l1HL*-$4R98Mr^ZlcBhVva>mH9M4!W^L!Y9U33D5xm@--gl%J z{G*@dIKGQZWboT=!09um$3`)o#=*~Es${0OWsY-u^?ow{AVyFJ?FpMsXorHvE3Yr! zc;nM^M8?<&wkcyT7d`7E2@ylQ5K0YuLK{Ev+gd8;gGLj@E=$psbvBK?Vn8E5scIyV z5^iFc)i7+=aoKsJ@8bk24ycaoQnTA4@U52-yI4E+-@!m3^4VtQhi7k>HY>2CkS2`iVpEoP zt1d!AGd=-1Pv05MYAOl~{vkN}ofNBrsl;IKZVLfkXpmn_T<4_LZ3Q==sD4@H{wEgj z!tb+VmX77^e`Ej4-t<%n+R-p~-(V7szIod)hr+)g>2T#`=j2L0b%VKE)V!xIIE^wt z9n)}HG|^@R4sRUZ#uXkJneFW0vbG=>&lfrGJH{*s{m%>yZ9^F@VkAXcr;3g=l<#vX&fJ>f9@v10_kY9(9MrqGtJiOs;DMPJ3qt^6wFN}@Mm<WM=AW%aX$l%+qbp(p}KMyrXGvV|3-${C|>dw@4UH;+sc_zV#c#KJw<7E56D@EOT zl8dtYY_YR5-{O~!`#s@sd55GqtQ%foQ~q@a#L^cFtAa(5Uw@}hO!YRr;e>GG_8m*z zTL?ejP81ByUSKfBT8*h;U@qk?4EfNRSM6?X z?|BsXRj9wF*KNxK?`4#j#C7f_IRX}ieVvvqvT*6G3H%TIIL&l9vh8aXCuw`_Bxp| z8W3;Ib8+!wg0w_B%1JS4%$HNaC*ZD3#o~{VjraK3Cs97cSxO@U04|1T&U>Hx1_Ap?kxbSx9ubal;CKBR0xQ#EF}<3Q0+$k*pAMAOQ|B4b|xd0AeiQNN@-a4Ry-OS5zxGqY()h`20N|!$k!XTWM`g z?QKrZSHR=!oZW=|1(L0n~0JGjDp5f1%V@xx{YG(6Nn7%*ax{iJt2f z1w1USDw}3=9Bc=TdH{SnWWb~g8{)b8F|MHH4zSnHR?r+^d zfsa>jMiokL|NR{BWCcut3fxW)NOVD>TgBM_|MNe*A(9&7VS&!uFqo|&hg2p3R9Gq!a^rS2LJ%;qx5?v06-K20EBx~_~({X*eKic z2Ej@EqY5f2>f*Y>+Vd`n^9K!QWjixxH$z8Lz}(i(#+1X!*wNI~*6E9#^C?1yC;(6c zAK$-IanCqv^VLySPrHLH(KGpzb-tIwm*D+ErrniGF z!2k36uO@EY0r=qm9A0`8i-h@~vl}g_F^K=Z>aR2Hr^E=k|8wE`4}W%p@+_5FYl;|! zqm`qj;^XG!ltY0yVVb{gwJsenj;X^hj9Q-RNb_c5yEuRdN|lxy?GuxE)V@VRVarhlC*mexF_9#@B`y&cLQ| zIo#XC#sZxAY(Q^kr5a7lmN+Mhi4bW~GhgFm$NZraz+Q=k%tfHlqEbE%_HGs$C-;|_ zKU|#OT}qmjUpiGok)+#no6Ry6-wb5&-TJl;pCSR@)lNTpxnJMWjg8iOhSg&>s#Lqvha=R!o z>&^$_cl9V)(aG5Cn7eyGwXNr!x3#`^zgEcpzWi9|;}1RAAE`%RTHOzkofs_w4BA1mclF_rfs2$q;^V;X$9pbUcGyCLF4Yurcoez#$U%^4< z;{2#{oh$2hvjnrDbXp5qm%l_s0B{|BNkvwTcbRSm1UA7gJ=zL7zS*M=2KZ>&mArF$ zV{Q`mc@FQ>(Xz?*agOkRLdHS;oS#DCy?Q$=GdYZ7V}+_U{a1LbnpFIMb3_Tv5h1TC&YE(`GlAL#PPPV*zu zlJTPxxa3W_o<7W>0G)@z!Ahs-r~uG?%Z1^x@S7V5M5KZuO(bp@tXVUx-%b;#o>x{DWvtQ`z9sM=e{U`!?45C8b0jEn%d56cQN;H zBmm09n~TS(aZb*L0JNMfvrY_B*81M4aRKX?HghxV#Be~8U6okhLTZM7aA|HsBwC09 zs^}ZW=rTRPuR8rDcy-jSB8M#Un{W3N82EnV6~yHAyFwDjF-$hcKlj*Z*#**{qm zcDOU~8d|A391Sa4iSzok?3}lQl18QEEzyT+}8v zR$Vt|Eat}ukP42jN2+Mm7#Nq=iUayzLU*39JnUL=Z?RJG83`a_071B2wU)_OWmb93lkSDN(WS(Bi~wj<;+njEp9wy zt@ABz=5_1iP-V;_r@>WB{vR1r;G|(3dSSD^GZV!29xz7%-(N8_WGjR0ocbYeUidk7 zt@^eDfWhy@8|&3`r^cJ`?42Zq2YI%_-2L1PDoJw4Rj11n~;!FFUF1eFg0eLS{vrO@pOk=m0+zklnA>VLSz5 z+yl)qn02$Sb{`47ZyQ2E#9tZ29ahi6@LCDTKCBsFLw~~P0YAm34rMCbD8{qSLLzOw z+ndL?*8uycB^4pqN>w9@w;@0X=#S}Uf4NvP*nR~?w5ANN-pAr%DlEd~!1SW9Hb8T! z-b#3*_E?GJ}~ z^7$Xu9&7DjLURI+vsCHobFL1D`n0kyf|jK;0Kj(*5=LE0BooAqtEtHTaq@{C4d~nj zJp@0BEz0hs)!?~#do^tY9d;xy-37f=7jf7`C?{WCN%_7OVCA8opg=4wviECj4bLN| zL5nG>{38jJ2_1e=932SuLVUcXQYqJ3W#~XLOEBqT%CUwF8{P2$v9-XnWX3MkwIK&jTEd@r zgwUO@VoE}3ePZ&@;Dt?qkQ)*c6UuXf4_UQi4sxV_QWAem&ZUd+sJ8|D{PiC6J=dd4 zbE5FDiAAMg;QIomf{jcnv|u>G3Q}*g)HQwi7##2QZMUd=FdbB?q|)w+o>KB%Rx**h zW9BJ@#$k6^b|)V_a6f--=)=1=?9JSKi3dU)flG$hL4on&H>uWy;@*N660yzR2U(5X zYwV{AbMrDbxCgg`!Dy@bA0taA(8MCp4Qq~ z)?7bgGX2ks9Z=a8uML_wkBBea;TJOOgFP?hC#J>Vg68}s^GAg&06<+3p*kWy5E=gD zqAYsG5M)Es+lv&Bh-_E;QbTXxMWa)jwJV9y{z;>V%b7PbjoeYF(xGRDDp}oU>A3rVNXZ)& zrLWnvlM0!Jpy{=@=W6GKF?91$a*29DvE^*VhNT~Lj%ni5hvemJmb7sq5@k^#-9u6l zG3S7Q@FPo4*0(UaHCtRLs48(rwi|Oh3|&Q1QN9yYtZo%O@HM zke-b6ZV2=&l()a*NchGyxB79g7Frp>N$#(sHLkEyetxz_IJ& zBWQTKADCb|^2zNIwk<#f7`$(ML>125{uB8fyM&oZ$lGF?U8kK+$VsJ+n z^5D_YHuxuTf@F)kBE7f{!M0}yj_-__- zlvS?@Con3ob|wmQTS*c=Q_1!r`k^ZT@sIGSlws1Viv8Lpjr!x2SIPosS22-AmED$t z-RrghKx!_6+TGpwBF%+UO24}FbP`1fzG=R8`qkNb^zUDXdjubGMG*jhJP?(z4T|5| zzHV}~oldQ1o53G=c@gOMa3TR7``_fK@SQX}ewsR0v`Ew{j>($`0aFqMB6Q1@V3}VM zZ9ZLawjRPM!kQ2jN7hJhog-1gRF6}#d6lH!hu>8|>(QHBtBt5b(;g;`??W^Of35m%#?moN? z2@pe*B=V=mRa(Q5BIHNd`Gg`FAtegy^tD5ikti=I>neiZ5Denv-xDVI1X-O8m-m1J5WgI?C);;p zadVxH>?uDcJi5U%V=$(8(0#s^6@MA`;PIpfB(3VjyU&e_cDRtnMD$Ghn%Q-usG$R$ zzfMtbx2gw^bARPU&=z;-It#ebD}TjS(1YtkJRBELCw+BQIb8{2CqU@Y6nQ4(CBK^d z(9p>{SK315M6%t#=uv+{hD7A1iXtfJ3MSqePM%$s++Fu0C36K|!Ug?)EQE~jVojpu zIGIZ|gvgn!v43@W2Nx+?NW4eXK1+k>*YjrQ92z)q$-Vp3RbaGd1^`O=aE^SheXH#ie{oPzW&yRq38ZeD4;4o@V~8PVe*2+gYEsfL45hw2t?c&v9A& z=QpgjaF(j~B=Mop-s1;>Dk%DkYaUP6$yK9ciQcrUu9-usFpNd-6eSsZL4q28`O$Hb zz-p7Nsah9u{}IRyL;=U0bs{z;F!+5Uw0x%hN=hC84d935ZNY1xShzugdoKWrdChy`^XvH0dsr_)xY2OyuFr!lu>B?* zCq{0v@#3{wmcj(rhAojEOcUl86K6{I#TX7TLj7XBv||+qpq7DOol7F}M8olhTgBz% z6fk2uNe)|y;U1srKkAr+zGPC*=~t-QI7=K?L$vO`XEH z*fhCB;=*=f_d-mP(NC8ccqUM~d1vT`z*-X|hrzK@2o8vwlvvx)G7J3$pA=qf&ib*b zeKf1bZLuGElk0W}P?xgaNaTI7+*7nKioKQM`vJC039CX!2YRkeWDr|H=9)2L(pdVl z{CY1@1Ib>V>@pX1=%L#UqWicoPc)~n=uwuq^?;ge~i@pwE3qcxANuK=Of)ccg_tbkB9IFZ$?3`<48DuGARccBvE?LajM5f#P z0djxH{1jgvAFUTnQK`^Y(W8GJb1{0@x{G{YblA&Ah^#OTr=M6UlguoK+|J`LiUFYx zT(bGqwmbKnvZom)3&{d+jB^sK&%+r2b2#8V*^Y=_61V+`*F_3!LzC4McZX|jY7p>Q za((px_wW^gNUR{<8UX$5DXC#0es57?Xh0(GJI7CqtU4L2wDJLWK#hr6c|N9N(Y${S zsdLb2(S@pO0JiYhsStm;x|4l3efo*;8KhNXtl-?PFV@M{TIPu*uqTB1RpigMcH%rB zFx^)1Bm&;-ucZr^KU5#RPet`+^;E5g-66?)tomiw=(gvfXeMSkQeE5#e%1TFhx9eU zqve1T-)RlMd79^{9Qbn4_-CARmaTZ(b zOkBdY_C>@WUlAr;6<@>q87wGUlesmWQoH%sdjie=7&pxF;Mhfws4QjH8!wcLI2XHL zgLKe{0K*CR33LjMFOLt&DFX0fXTLkw>5P_@G5E!PHryzkD&m7SH?e=iZWvnh+KXkg z>Va3;je`B!Ly6gq;2!Dxq3LM^Adu@&M4v9R|+J_Xt_iRm# zTC7Z%$$$fPPZ3&i6!UM9wa^Q86gSaL2%g=N0~B-ffx>pl-uU4KXY}24vPvj@VD~4n*K? z*>(!n+>29ox5#ljY=g58!`9!>B}W5$J)QlE2slE@`PJXja|z1q6LQWXf|ve?cH!L$ z0D&yh7X+mw^Nks!nqxn17}~FsCl?CC<(e4dzf8j$i|B&Q8P8U-lcfE)MhNzaN z|4FcX{OZN-d0(5lZ$+$Uy<4G`+X%Nd%r)=XmSR~Gy2);b`)=Z!0nWsyQ+(7` zXa{uGZ=*VdMl`G8KPkY79@op>3eyA96Tf#XlgY?1iES|~(x)5QbKD0SGT2W9s z@RBjYkLd-cZu-v=LT;3h=(?J)l9wmmp?bM5JDY$OX=*)YEq3y|l2GNWw~PJDWUA9r}%wZd`B3iOha&p_oGkx{YS+qQa1$oV}ODtyX zN&gUL9wO>(?9NQwI}V?hpnkDycT`*caPO_0``{)Jy?cFIxjyG?d5` zEVDv}lQ|h}8EG)3q`wqrN6$+g;no6_hc1G82rJ?g2>hn`? zGD_5yBJ7n8mgfVClPsPUiB!jg#q6>AJ5#0ToP(HVTgWq|Shv1?r#Ntxt$3T@Ied*Q zYIoRbEE6JNzfWE|f1nKug-vu|DfvuqJO=p7Pm^Jas;zt7O^EdkeOA@npVk-j0Jnl9 zcHNoBZ?`u6y_^tAW`mVZ~o~pUh zPXsTPLh-WA>u!k2#MVINTtCSEqMFXn&)Q#v>|9?w4v=1|(TX&#RqQEba2e9! zqBaQ49%GM`^>^E3Gf7gipp&=Uoe(k}V8HiD6O>P)?VF(9AdUM7dW+7WoT3IUCie8R zW2i^BVY5YZO8)Jpt*ZsF8~n>vLq+VC`!%xquOojOXtTuCet%nGfA8^QnZQZ>za|{% ze>L4ubDw?=aQ&G-xRKq}9thMX6dYDm%m1)bJyfqjbr&fU+@(=ku*h1UzC0xiZy|FS zfzL^Vcdwi)mK1)>F(vY#M)PA8|GsYfB3PYN^3h_%ohGr}`BQWXQefxQMCH^`N_sfn zKTkS>)_B@U{bB4@|Fy{gkx6-*f{(&Q6CG29<%MU9gw>}{_}Nqsf(vf(c8D!H7f1Jb zA(AJW

    csg}uqT2}x1h*YV%DTD2$IsQcXAmjhYbw~hS(OGmp;x!h{#Xq5+lo4z z3jSA5eG0e{A~$*ZF;H?gy|{kgv;3O&3lQ{iGX?~0{3ZXu`**hlrels!5Qo(>kIy%@ zb)os;3kEzas2va@hV}9XEgz~X*k(}Jj8(ygr&FQhT7%{XjKU>!I3+j_k6pZ+=Kk=U>f7j=9aY-z2NEaKvtEb5sV;)#!+u`U`&cGY@#?KJg* z+P;qWjYjT448~vM`SWwZJJrM_Bw%Jm$Lb(lhclZ4Ce3pZfj<2b5$L?CO_QaN=Sy35 zKScAJbc%=~MS@4X*EzP4Pn72%YSub*m7JlCb6&15 zv)V?bF|gk0V=U3mVdG#?`6Rr|fh9ER8dUDA?JqcLX9q55HWfdnx2#*$5>g{8zp>0) z*tX;rJ;}Hy{E$^5I^hV<6f=k--%$lnPv9r-5xi{5FN7xQ)`t<6N6vl;#h4y){%Dr? z6%H`B@{vH%9R9~nZfxoV54;?#zPL)~nd}koC}}I2*a+pLQQlD}2urt=eePoFpcRdB z>u}khxKSEh7=YMrg8G15B8TzR$L*vA^t!?t3pe8sGJ5o;)%^sr;_yxt<7zLsy-1Ef z1gV*QJn;y7r8a%SUJ|91`hOisNQhuX!p z@%d=~xbC*Bl{n`$C!CjAoUZ}t+CHVWr;CvXnS>@wb*JmOUZWX{@{*+Yl&z8%vTdU3 z3`7`Dk^0d(@i$DbT$SYl5|My2flOdXC$Xf-)48x?*39yxTn6PiR|s23Ni6QY40mxm zvK{7_k`^=)Q5IG8RmmW9%7-hRIzbG=2ZUhniy`O%q;l*=$D?(O+N5qC2`!X08&syz zk~HV|B)rLWthJUV$0@x}YT%Y$YpTl_ZDt~=a~$Asuhs*OIHskr{{(4fxKjGr)GT*Z zjxer8icV^)XZ1mzYi?DmQLY#lZ)w(0{Ngk}v%u1T6syH?v?N4or{iTq+|uao;^F`L z(b?-kb0Q@@RS1-7{H!1{zhpt+*>N77YOF?NbY2cGG z1+;G(MF1ci5^i)4H?=ZD$&c~U#lfO)Ym+!Q6T%c)fND^y$WDl{X*HtqV=tRS!|Ria zDMmj|qa%6E7FFM|L+y;J{xb?I=PDhw=3pO6bgpB&I?!_(P8j4 zB-DxMn(0DEwpSA<7QOu%navEa0PiNLgQNZ@&){Or%llWeM+N%bbRWrTsQl@j52Mju_K3X<*`K5D*LetZ1v-5+oB))e(swPXu$HM~5D3Ip% zr*>|;d2&*m*Rh^YCCxemD*T;wwb%@2n(Vgm(v_IE{y_%M>L-VsowaGim2<-&axTo8`Zr^hux6| zi$R5R(Aa3k#nSz6R?hCbs4<=df}gmd+j&LZHz|j=8VqypgSCtGmQw`#MnC#{0q)En z&4fZ8Rb>B4j1fOEh(Cav#@e06U7BYi9EYOtvMrfpgYQEARjp(H*wq$fjc-1Dt=%?I zjp^x7m^d=lL<9Xd=vYcJSQU3|Q{0n@aAN4>8IxCzN*x(56o>p9hcsq}H3vohr}hWe zmOj^(<{26ND|7?N(Ejfc%wJU1t?iJT#F+^8bc%j*8w@^8Grhkmm_9&KkpXm|jaCe5 zXJClU%BsnkxPFM&4<~`40a(>}NDKq{!yTKQ_5PhAsil?vfNp%)QHVVDSpCJ_=dD@j zC2~KM&c}(KDzWM6^6JW*II>TjYLn_=PV^H&=qyOO0JeUpeZeQ3J0()hcy1E19FUy2 zZC!E)HZ*K6Hut^aN?W;;Rw0Q%S;|sWq0y8Kp4+^UBueWp-D1l8rmuBjou!suas*m% z0h{bx)Y3}SaQbZJJIP<9|J5&n>E8~|NKIE1U|Agx=q>u1b5yPfK;=`;ZA*9CRFJJB)~79N zNnlgN9G8qMXtjNuT$$Yd3l{Rh&Y-W?2OwMP!q6#{n6jf-OUykYnZGa>;uvW$I7L)wxzd<>* zqW>KbW8id~)C{hs<={zHt@jZ7{{@d3>;MpFfgW+i`U-%bnOK;cTQ_-)*=NLIV{;UH z=w#9fU+LQt;u}+Qj18r-)=Q>MQ?J!a0$r`UhRhxlNM4w_ynrziW$X4~R zeI;vz2>aQWwG_O1w?7~&eTj&0K{wD8lV*42PmWq{GZey^i$Hf|ps@MOH=`SE=MC+r zQKvS)or_kB$s=;y0zM!0vr!ea{T=K}*g7#tvWWXUD;UG>3as6Q%>l3neK;5r;ODl2 z(TXr#^5H8AlQh@~u7{P?M)Wj6q!xym=z{~}wYi(K`$ZWkj9Nqm!2&IkJ^W-F3H|wp zRZlDSrGx+voknfsLCoIZR>zI>omU4|UdtWqaZ$LDSa6BJO!+6u>3T;MF8E4My8Rn0 z6kqg#;*qLQtTpyFpkv(}Be&cT{~??sd?zzemlDYTokV=8c&G;`lE`2PNAV{93#2M_ z&rEAB9z@D|eDI8)#|Fmg`}kbK8mkt_E9lyEf20w9_+Vmt!hKqLvaI&)IV9`SwCY+g z@Ll?bME*j;s-I8H{}6Kh*6c0N@{*_cbKa`ApHM#5^q9}QnGvB*&ODf^5SGVnSdyD<8uUti%wbTR2X595 zuI38~>?7b;iQDNylV)<8oMSh0nKF)0K?P6vT}q}cNtT0DJJuc-F_|BeMdilv&J5R6 z0SP2ZNVM^M%BoKYS=E6fZfiRdM@J+$+ctWoGjh9{4xDHvTGI{=4{FUBI>0sC=6!#_@sC zyPQ!|Z7Xvhw%947XdMdkOjjo6d_XUMEZ+4^?ORE%&n-f(4ckc;^b|IjVil$p9!DtV ziz{PGUu7$`HhG5x1#R?zzRi>UDlh+Q9`^8hy3pf=DFAR*a|rw4G%Ih_6C}{_mK)sX zuX0a-%nOe@+<&5Kuk)uvcF6$|P;V*feKE1?QhQXVy8pT}*hBhbYKbGSdW`7BXmY(xClEjrjv_s5eCJZC2v(oClP9WcrpH z5WZ{whgYCYgJX_Ai5$T9C8b3Ed%fP;P?G+ATUoLoLoxQYBhzyi&k>Uy<_os)Z4_BA zZLcME>FM4AWlDAnwt+qB%M>r2d{!j z1z$lWc&?8h-4#rgE#PrwJQSAty=dc=&J4Gevhc-9*UIqWe?R9%^t~|sYIiReN zr)8Rs$cD|+yH)M}$F9wPu1UmJGrY`qkK1g2_o1;TNg^ux88udci2u$U5s2 zo0Bu?{M@^;GH{tF9lwGt@1psUQg8u5+~@6Q+EXi##=SVdko{VZihh`7^4;T}E{=nl zVVJ0dB%M}L4%e%JMY=>I5>VWLRE!i)BF}@w;5pq?5+RG$p{y&RSnhIM1$^tOJvfWQ z?4?vMqeQ#obdJoE6N!8T7Jx_8&^vN4bWvmSCYOLzq6(a(uS&$xeqOv0E~HcxTi5jK zli^OIx8qIaevVg6x~wV)ON0JXSwBcE zQ`b?zu`_RB;s-?_1NoCypC8TfTDb;UmY-&9^WweNl)&q^RP%ZWE zKHbsCh${~(GyB=Yg3h1{0goBeI1!T9ic>}sB*?}VS##}fyJLL2fe-KbKJsck!=E7*-l23k$};(Q zMl&y)G7htJ^Chv5-_+pslFlDBlTA-4ON1^ko6!9=vi@e6l_EP2A_HeTYP??GR~q{> z8-D$)`lFtvAz@?TIX^=x?@@wc@=*LB9@fTWggLl9w#@LXJ9Iu^?e6XkIQLo|W0^@= z)^*V?RMi6m7IETPQD@ovVm9H;jOcrM(ludUm9&<$zWq!?+b~n zu>~cy*yvbc-LWeHl9_p})!*y?i8F-qU+}^9bvjLcsT7LxMW34+CS^qh95~*+-Ii?H z*Rq96TUTq=G;&=id2_^kG2J_&s->)zxoBHjqjx)+`VUt$i#n_dinLGUmQQZ|UR5iXUy&o)7H%BNlj6*$;90IB)e0aCfl(8$rb& z`8#|6^-%xEcUMpYtpeXOws5YJ(YxB&{NrmZMx`@E^M4XSn++!8pt7xfSQ=XbV-P=c z+JKi=Fw?Op*VOV_m5T6t*V)Bym;vXb4X%5p8gL)L$LY^S_tn8X7g2WYb#(fKizmF| zKe$+i@DYA%B5$VKsqWKP;iRgzyh<&HF{Eu2FWZqg8DVZr)e8+T*}7IxM}z4ntY4|A z{y70VX(Owd{WxxJ2D>%Evaj4;r1H8VxUABUc@~)!sCR+=sm>XmOiWi+Z7; zwJhG_MHxfF^&DKMbDve~jQgW0wmr9}vzsnyTsyK#E}=aSvgVL2#ubPCgA@;uinzDg zIICh9#Lu*r(npc!!ESZF9#T2x}%{%&Z`hAdu#+`RMht_eIK%-Ef%}V>g@aKE7GC!7#|C;x=PbQM%Ob z^LUeK*1x6iu&Hna7UAE08oUk@0dIu5=`;&&lrfcO_lek!+}$?fI}V=#S%N zVYixjTASOhcCYt|cLwBQd-Thnb5f@OJ_Or>cslS$3^!h2gZe@l7K_cVn z!?VM|cH(&v#Rpyba-GU%|HKJ}3iMyDlYN0h_zx3q=K=uviD?jfUecupOmRdX+x`IO zsAPE>)JpHJh{%oW{gkPwMG4yvQh^2GvTv2i`a>^s+(glz9B?W75)eA+4(}C)nE~SJ zklSoDsn+ns!XowTH4tqcX&)80aeb z%E!OKVRSBxMtuu++<}#2^YgV!KU+~VEPkeNG*xrUI%>0BMn~|HzI5^G|JZ(fILz1E z9|RNUv^32e;q4Ekp7jFpC|0cE;#zi~@@7X%c{cx$DgJ1Z*h6r*)1m>F+-(Hq}o3pZ~W) z1=1|%Nu!XH8!<*f`ONa4=YRcuIysrptQy5~=q6I#UF#kVch!Sc3$$JA`=;f5Cf*9t zbIqliabFbrGI>~f+Onh06;ev**0Cnjt$i;%=Fy&4gK3InY1&L*Bhv-=)zr1;71ANBmdhj%n(Z2Xo(jymC8wq3MXv5}U!GZp z#S7(m1LLm0`1x1IPpT`rv`%BjUsYA%uSO~0G3*YKH=$RqTKl?oPwNITv^`5 zXBHT1QBQIyT*mN9oePLtf?UZ@Wrgho?#qM~HBX8jz%s@%DH`21WgES5`$A_;TCkn+ zK4i`|6%Ln7lTLc2v2(~}T9(xz0gX>Fqa_oJp{mcye_MO*3eWbDz*bo!sbZ{#u$IB6p{^lSd^H19% z>m=Pp#>2D1Vc9aQ(Kn-_-T2z9S~sJ$A!3nJ4^$3wY``Fs>b&jWlf7ng^X=a)#G5*u zeB}{w*wN^?bQeH{()iqM&*lFTreKSl#-bmSJ4nwO3)yfYDph~IAf2+_w-u9m1izZH zDmh(R+fqI$67{Cd6YF^kr9@WtZ-arGe!V&M{Ku)>J{fvo$-#JmN0uMZn&}w8&kNFX zRnd5fN0yBC+mhFrFA_WG{00NX{LpUyQegKUyH)qc0q0>7Ygt{j|7P{}^j|R!C@aWj zy?=XZaDZ0<+N4Off5MK(nRD&cRDj%LOi^u)Hq3AJX?IE|pX=)&0{~ILB24HA9x!)D z75mFJv+M3h?v5D*faVTNpPH7dTU@Lc#qIHKYnhF*@CsL_}PQK@_<2rZ z_Y9X96!wxg33dw}%PUI5wMu6)9e?@a^u9XkKbGNw@Y2N)d6f7D3y&q|tX7UHT?udI zVmS)kYS+f%p(THv0B!2=zr})?AP3SPZCQUgkqe^5z5g;I6~FLiB^{JYr)U-yOq6m^ z33(Wr})rua)>~<@rSp2^|{U5mV3JzPMg;QSK{CRL7+L3*5sC-YD1{ zR?sGL(_!?Rwlv&bnE(^pbu=!IZJzgAO;;#i(5yIoryKL!7#CW)?wavR>MN*fiBf)~ z7zzXHrEtDJE_5m|mt1nkNU4B!LMzEA!SBz~!f&s1b51Jyz{DlR?iZVEV6OM%+c>h8 z*yZH?1Q&*VDBbun*oI8NSI3}u+|;E9^S z|9Ld}<833ke-zOer&YtF)9XJnO`|4>yOul<1G`j*wuiE7j*afJ`{)J2$M+0N#{{3~ zlSM*$jP|k>4_WTV*ESF9QeD;Hsl#M*bhH&MRgOtJ5^;OSr;hX|t~XO!?)prckJCQ; zwpQyldPg+GtY0zzfJ7a37;m2+bX_0rFJuC4S*R~6k9uO=mnebIYGNZBGb_W-<@qJL zIqJ7*bZxIZJCMs8YitAEfL-HG_%l2Q>=Qci9S-A zJ(ih8;(*W=ggLV!@ez)YV^bu@FGd@oV=u_MY_0zg@Ln^b=7@&{Sg+t@;d+Cj;9n#* z;i_?Ne}wBnUy-2!}FVUkt>IpntD<;L*9$B-^Ah9d2|6&Mu}TwJd)#NJaV6*+iq{ivUCF^-_g;3-p054 zGh|GaKQKi%Jgr`0|GMOSqxP^$h~Yu}Lrg(iowkzVcl&vB@M`CjaRc9U-B5!HF=IR9 ziz&VTChd&6dbe<&BjwN6=LrNL8;ICS&HB4G2>7&~JKT`~#7S!JF9OweY?UT9h#xfj zV(5)0BzY1Yy&b!4h?vH0i0G*7MAuR#?tV>_yZ56!&*G4&faj!f;eNdqs!o~CBtjuF z)D1ab5o4>89cXhDPX`pG z1e-sQ60m>5z_@Ace39&+7%XXYN=_wcj$a|rs5-v+d3_+nz2BetdTRvl$OA>0ioAxG zb3HT;$6*_W`M_d+ue0l`^0)Fsr(Cu6bai@P^8BR$i8gg~clc-3roUmPYja9n4Lty^D5Ry6>kg7FGW6-IJuLa1tjF5*B_!Lz<0GC8 zvbbFbkyh3?2Mi)r$rFFu_UJyW?6;3|d*M-n)HS<`mKoY$DqXI*#pMAo$7?PK6a z@94VNKr=SVJXk+82ktxY0FiA)V*BQA z#MQO5eo98~1g8;_4RI5Hy6m}W6LPD6`~R@^mSI(OTO05KM3k165R?u{=~TKKBsWMm z0@9_@-6;*yAhAIjrMtVN8-z`5*lfP7KF@pJ^PKa3-=FWgxGvV8wbxp6&N0Ur_kE8s z>4gpm8?5Fm-&Rk=OFyWxPj4vhBRxD3wf8u>s0#}Z`*+4YeoFY7B@2PLnS63Z5Mpv}WY?vjx%HS` zx5?s#u+ry-Wp`aELRCy*@>ryGRyOa@!a2iiA{)MEj`dtM9Oiyf%WIz77~6Yc^`>rS zdj3tF2O!q{E6B+$v*RA=yY)5F0q#YVH+&;#phhgfN=n9e1zoxiLkiSBFJN0I))zN6 z4h2r({D+vqP3cPD3^WEB3qC7@i<*_9saR*r(d6VrU+=DW6r_c9h#QFFM+Wo&@2-1hZw zcpcBS8IcO=fp^1PI40KL04Yl91{;9`U%f*t$YXXQuTIc-vDZ&iYecR*1`Z<7zjcX252FrP}5@^<)gv3&MR4hoLl=?~8_-VP`y zpLr3b&4C^7O|7sI)EoQ|>McGQ+>WZ#E+N_c>F(ICBVG#rAVBo`xP4?;e}(iB-Fs7= zpmAj718Kso@2-QWnC$`5<`s;*2}`A~+ZA|Qc{RKoknE>iml~<2lF-Y+=KW2Cm73(0 zuH9aV`zAk%#_Q2=OKV5^JOfk?lS={R7ASLep>w|ACGDQ-11CTTAs+;Q+6Rm#p5p$)49f ziEo+ZoJOC_zSjHe*q%7mAQ8+1vSolT&Om+F(@iOoRZ{>1LE zoE*wUtgNh0-h36mLA0LL5wcV=I#Cnc(#%yIQc;VTEZdWK@s-w(2K9?sHHbeaQ)PTzW7GMODfmCV2rms2xR6G; z>^~~oeC~0#FHcQMy{81y91&9+ILS_aJ)xlx=1Jbb;bc379rp3nEb5u~_zlW?q+_`U zCME~R+iW+5Q}2lysNB*;I}UK&XG+E3nqj-#n8!VK=*o3R)xzLcZ9p0*z0n2;r;Ds ziP5kIQ{g=W`T9~rNxI926Q`b#=O9bit3`~36Z`6pOksdN0w&7J_f#76Vw00B|*iRLRTkW){RHNMRlY07xRU3sYG>D7bkw0tZr9fY`Hf-fCP8GZ!9R{zNJ6 z`SboU$GRAfBZuPB2hg5UYo>>|BxDDfZKru9b%OinEGpR?ej#9;so3R@8NPk_4=r2; zo|CJf2Yj(@t}Nyf1HW_lYDZ{-(j!D4ggUC5ZcxYM*|^j*|D5pTUWb*DttI>C8j=_N zRquzRzL5a_CF4%n#?e8z$lkLv6J!zU0F`y#knvO%qWaY(yO2KS20hVIWIUW}>f*EK z$2v95sqPa1muzPbyAx@03ng-zX=mZqfJ zh=jRDb-te}X{x3>5+`gQ`x`v`Bw2i_JhxWIF@e#OHBex}%(!e1gljOtTV02gF43ZU zw+5%cxO&4~%mXW1p9>Nv(jfa31u)i!YRPy!f3E4U=f|rIr!=;D1JlDMao%s6DY#OIU$Ozrl00=0CX{#Er_V_Xv@Y- zw%NYU3lVCe?zUQH$gl$?pZIEy^i{I!NSwUc-KhntzomCk$rfl)qzQ`-w18L=qHO#; z3Q2~+Abkg^H&6vluw~0B`AOIHM~$Z0AGKGm_x#HR109a#I1t^=R-JZFqP6)Pj6K>2 z_Z=Fp>BYi>d3e`h;e|W5A!0Uz1^3K+j3XTkvxx_X(S?Fl5t$wr7M0#G3V%)Z$5{zp@CE z=xLQkQ)m6nR0gOaQwG%1?t&H1DAV#2^KNaX-LDaLNcONY-w#>lBL2grdKJuhO3yO$ zO^P5jLgc*LInuH z%CNn}AOQS1xa%jK%5OP<;rZ&U{e4RcB>;DLai@Y7g&ql@dUVk0QId#zhMf{U<(zRe zjgO35-ZG@>JiJaUX5%*XvHsRb0x&we5q=Jf5$xGP^(V1?H#+0un1aB4-YpBpotcCtNN8-xjXEIAG(&g4WMfzP=UP%|UMf1aK-uwCEwpbqX!m3FA zW7FIX;ExwiY(yWS5f;iKv*$vuTGS51wu)O_wg>A5Xxj{{QFc+{m1^Rn6>`D53y0FiJm+GAfe%tZ9H!xDCH@b`7q%j zo$o+a7#m8v#7QOVFz4wwwO_1#a;Iw>oa1JC=1P%x+ts^kR8n$kn>JjEjrkyp|62C_ zO|b;rrj~N(5x=k80SNU{x$m%=Z>m!DbY}b@wr=$H)tj{XjT5asJ}Pjt>0K;UOY`Jg zaql)I`6IS9zhkkB6UVt6`R}Do3DP12{LZ?6Y%jJ@LbUJPO_>4{h zU1_^e!JV({L3H2+ughf|X*&b>e8`SFdyOeEXE7e;R5mf;2X)a~w5_2SDW+J7n$HIPCFa*IbJ8L^wvf!aqAd8Jo?{r@a z&FSq1eyMlJFXwc_72w2uv7nolMMg;$F7>LX@w;=fAfEVbp53|BXD$XnTMwfUXT%^f zdraju@B{y3Y%B!O_`#@(47AV2)QhH-^UwQnE}Bn?2b7mTaKVwsm)s4()43+w3_l2!F!B3Pz`JJfUL)TF|9N3 z#%JXet~rmX>iuN7kpqHE7FGD89}{hHjDWEkeAj)91yDqe?GVSIt*@$1ZUv8C3?_x0 znKq(IZz2>eKtoMpR?PiZP21k`vKk|m2nzfWj$3O>oQ{lw~f zYp|&}5`k;QmcalY0wHVyU>8({O>b_5>ltlWUrZ%&5Ub(G$0ge4y?MyQ zl)){UCuGFw-(mTSLGwEp2iptK*Z6` z@8}7?AM`kv%QGWW5~a7I0$((9^_eJ(`M!8;`9EziMVE+!nHO!y7jG7XUdE&Aa;pmQ zdLsGjv|go%DmvRqLn%j?^`pnWQKbfI3@PzJGKHj@wl4S6>zjmdwVE%m_U`-@UY3{> zfAa7M>V#~?CmD#vTHQD`ez1?H7wqO=JXtKaX-7)w5!zD(j@6}sU ziRgO%Xq0CI@)dXJC!VOghqP0aG}C%f&sAnGkp0td#B+MytGxFRsWmt~jin3q7LPIa~D39G(L6 zaTm7Gqe-n3XxuN9XL}M~n5`=rC4^&(iqz}Mmt+RX48SmUkY)x{i}?>TJ(Km^YQduS zffwV)76xGb(ZY&S(nClY4Em;CiYaSq6{_c*`--(-p^)+kn}-)ScdsS7Q-n&}rv$%i z4(E;BD?+}a1z`zEbx_~$umZiZF{!^5GzYBsi{Or~^CC|OvADDlu%1u-C# z7K<_nnqJXhx;d>O%L&+s6E%N@o-%&+ow_eoa-{52qoLCz4wbUQa)KvJbr~GUe^&KO zyXDP^bMGTi|8v`wSI_rxnC-Qp3hU~{?T))AY@6yaJS2hA+CK}@7igze`i(bD`PXa> z_-KY?`z)E7b|nWeqMTc-Y|2 z@o(fKe8Y(&qzrsn`fA)wPaS1#gTmBR5}W zavtQSJ5Mp+3?!#+m#B}an*G$BKXu=OTu+Y4r$S^?bZkL zY#T%JDSafacuKvcuj9U*hj*QE7+2D1GATPO@!XysO~SehqP7F2Hvuc?`CF%>xKG70 zBUuzgUow$QUvbtnU;@Afh^;c-XRYKk>C;iQ&m6#vzVc z7!w23M%GbZBr#}Qv8MYuGt^8W-+=!nollMDc08}R;oWNu5y)X>--tKsY zlC`Tckv6u!J9VscCG~K=$B<`jD{J;6npe)hm>`E%j``9^I^D%Rn-@hAL#&%Gf%cE- zG3u+!ti1@06z^CGzIaE$salq&!({vz5J6@}p}S}-yoow9Isq)eDjR!*6L@~7c~F?U z>2aOjzaiiXOIbivc-4$LxzP8z9?Vvig%sEE@(&7xNPh4MZs|Y$PT0kRpjEem4dl%$_`}2ZIr<+ z#fH-UQyL{#V~xe=^Uz z*zKrYnmmuw#N>6qsG~o^y@QZjAPJGMuI--;3lkr7d#0o#$~U6N4q7gG>zQNev(k%) z&`1Xp^=Sv9qfP+t-i0~JoNOL`GV6ExJbXW6mz9neOL=Idy=yjULf1UrLN3G05_UjFoE!HGa>;2q0sb~cUta322L=SzU`(q zC!Y**QG-`l_*k2pl~Cg6mAx?uFj!#RioWWg+$09Dv9BJS8FzbDPdgU3`_V7UvoiYj zP7OGn^D9gBeT|gw?W$hA1F_0p@9GJDSQGA0^x3p|E~YTq#mBpnQf zN!pT-dSfUGy_RyW=WoW63e8hWH-kUK{j#lRtuV^`>1Y>G#vHG# zv|=Vye@q&}90)C(16nreI_R1>=L3eV;}81bET(X?E?1D>AWDF*bs(J>_-1#PxeE`` z_p{V)X>@jcnHmhHO-1|P!3gHs5}Fhc@n-QMr^P<6`-G#0AR*LQnisDIH&GN*TOlUb>DT9HdvK!$!P8|^E;)crHp&;3sJ zmcQuMX1G<+@)tTSnH?_uJVHRm3*#ecpLc?7e{^d#-DXArpmGywP2gQo$Gol(F4m?bJzl442k_9Nr@7=%ysU+G~>SuZJZam_l761RD9fDLhC9lZlzj%DQX z%u{H!pfdoJ2ZwuEev1pbiPXOz=^{^%&STf#;NO2HKI0eFdSfh<;ar8fdj-9$6jf7) z$KD;F9V-i|sb9y6K65(NttvbT_QQwXLMye15;>Yk8$_G^JOX9QQqN1Y`wxeun|jJf zuDjY=gm><4`LDh6xIK8>pSJ`d%IkkUZYj-Mz1QU(&-4nhA%vN>3JJ7m%ge!Rkol#| zsoeQ%`hKEQIwbVE1-`TBu1*sAfRMDh?tgAwRPU7mn<>93+UzD^*90%=R9d`8j)aPr z4V6TlJvlf-`QOkUL%_ddY=cTI=vUXQ?s`(AdmrO!-!GNe)7_Q5p&4PnI1YuHPqGN_dC zM!;_@{}t?0C9}gWjY6do%R>1K%eVFfJ z!F81<;m^d>S~sAv>nBD=r)x;X+A`wMh5a$j;&UM7c+eSD_G*Mkyidxxrv?$ zV4rWKOaAC^nAwymPQCiNKvnrZiiZ+7(72MGbIU&W@X6h&q=`8F!Ywi?V5Bhg9IS8S zhY75~^UCvXaO(NUYcF5lgz^>fDXIzhWh`lC?N~WPs{Mi~YpP=F-0nM1GQZ3@DTiN_Br*xz6G6O1=wR+wFDg^~npEu3v2$yBHkg|v24+m?q;?bRwGhI54uV+sR zz)aG=SBT}56Sh%^T*qlF*?KbR((5dGFX?dHi;KuwO=Q5)+$48}OQ@dw1hRpEbpL1p zBB5U1TU$^@aTD=nIJ7}x3?9GAQ~Kn4hqHVXL{<|OBjy-Sp#qazQ_Ch&se>urTTQ%s zwu|!gk%O083^G9ThGQ27{wp~qQ{}IDe-9E_mcOV`DFI_2wPauceuiG{SV{m)KNA?< zlUOq&FMXWrp&R`xRlU}oVuC2&6Cgu=L;2uQ8}AxgV#Ayn86pLqX(a!>D9d>elG(aU`+RN*94B}M3N|A zZ>w?e(CisQ77df)g{VaNL<#VZ;9LJ`J|r3k>RvPPeW*TuER979?9vk0{|H2Yg%y!b zltlk0gbpwj3G5zGOVxLHBDz)Qh(Rl1Qh9jt|iqI;K;5!e|H_SOi*o@X|aDLa9#@)Nerh0a`Tg-YN* z;-vfASr4+8{AN>kgk~xAR5K-PtXxtwsZ>N#^G)FK^dH_*3E1Q<6(IvZOvInQDc{cZ zkrqUYr?U_*k1EwT$>Vt9AARQPS%ae%-|IJ~2niT20EGVMgCk(HA*E(mE zUD&ipzXo>r8o64b#RjHy)#Tx>W!3eyS2K(j^-^z@5Q&3sQ#s*_=5a6BnrEOhpvv6y z^73Bo)J^(puMI!NGy-Zbc*#SDwPKT1@ziVd*6j>dADBMw-Z`DbY=A6V`I0TE!T)TQ zIqzbQh1qcrg&)x*^0rh47L^`q@et@A&fU3Ay>=M!j54(6@cZL8Mg@AkP|f*=*PNz{ z{7Qdj1g!y|eURieh_e%!d<9pNS zV>4jB@`$!gqqfd;x^vh1i9yUdasrRSp|rIBvmc{8 z**0nr5F4UW`V{zkosQlz-4Ss99~={I-WH0(Yo@h_K@;t>qecoA9F_?tC>azVIRJ#y zCD)?B5c8Kp^YldK=+{_Cx0PCQwY1?<2eM;ZJC;`)22c>GJ#EiU8FrH@3J?==7Rizz z-=nIji~ZtY4EL2kB5m>f_*Tu%!6_xQviKc4lCDinN3O}oa>Zp5ps3_<*i#sTz;clC zjh)uJ{7a)kP~+l`ZLJeiq;>6nV%{p0Ch|sakLeZsq$Eua`Cj+^rlwnGs7%)*JDVt> ze{xtz_BJArNg}r;crstXc2%q#-hE%(#( zv0K4{UR{Vb8M&?4BZ(-_69FI_+_d*?!I%9Rz)-l5&0QV5kB5m88T1_)$O?&$lDe0TtQ?9L zl2i9=RG1_~a6kW~z3N*UT^=GB!L3wh@)cXFP2?iOzs+22uzay~e3F_jTfqmg^K2YO z4@ZUsSl;zHrfcG?8i#sYyO{W79#C+-nqA->*GerFDok17bwd$|lzh{!2|OqbqYjJ0 zyf;*rw6)#c)14bTT2bVLaDK3J`=|nVx##d-aHalLrF2M ztsFpnMlQIH&vuG>sRQ}viG)k`g!9CeI9RGdrVCU|qx>?F8Jm%v^2ux%8Lzd}XijO!nL>VuUu8CZAzm|zX$aj!Z zV`}bv?j(MGr)UCcBeX-Q;ZHRUi!?hQ z4dI8Jw38_bqln?3?EKu#;nV9Q}ja^$M=~>PFW!{ zt*bmiO}Z_UzO$NhlcSB6&<0sU;kop#_mj9@f z>B9=%<94dIos$RC?hcu0w3@A2Co=;}NjQIe3bZgLhhM_LuD&|whhiRa=qWg?&G4ws z`%h+r?4Q_-wk6V|qd!bas3JmiT6gotV_=2}?%A+#`tnaa$noh_(EsE?=#T&3UDYoK zW*K_66*uU)?I_x`v`<;j_Ub`Sq!PmM(8aEyS%7Z*KEsvuoct1-@5+QU#o8lQz0{@Q z(rWlB@YMmZoZ9>zMB*}IX=VNHkYCczDDpZ~u5d-*k#atw%XRroP3YGc!}#8P8wfQ_ z-i(Hi6$S7=t8jR*a;wbs*}!Grbjy_VuAV!F z^yaQ+t)fw(0ZXriGN;W=vLz|28HzrL=hvNg3Jnr%r@=$nM_<8 z6gHAN7LlO`hUKsf%Zslnjm~=ooMtmkIOGBbuQ0#Y&|(bqi3pE8@w|L5ek|hH3*vkQ zk;p(uQamo-uP39o{YYmOcvp7@Bekn-Mjxtip+BBwb>bE;5o#;g_d6_q>HSOWLb*an zjr`fOt*N|E%ch(=?9fXA++m1_4#E44(!U}?ilZ7vQ#tn^?<0Cct2Llf^{Vslq)%aM zwkOKU<*LCmQ**EVK<=xZ!aP61(+}ykwAS&G3}%KjD>9bxoXx)qG%lkd|FKVa zi}^HQR7(3tI7|KT(T(qEdOCuFX1rS(2~#$RLDbr(RNq=|LG4ma)h?z&PCt5Y8wp-2 zwV9_cx#l4Hc2BzPsihBaYJ17+Dfhih^@V$Jz06(@>=MHEQU=o=Xne00h>eo z-TV;jOn?5OQhjA&K#7|xcsNvIY6opC+@yJ#yXlxi0^{zkJykC*VF+`A3<~kRY8@S0G6N8V znXlUEG4s4>{(Ln($0Qy|LqfceI_jEK(}=z51A<=MWp};@Jox!-xdQ$L_|N>CD3!QI z=25zLA770)5`llr;I^M<_DvWjmE8+;ulfQ}k1Pu4^;r#}mDE!|ix4a&l!U<}AM3`F z7bpNbZ6SJ>o`pQ?N(gV0TQV$T0^?)znN|U89g5!`dh%>GG4pPSy5^7r?ssrs?j;Eu zbTfG48eP|?#%X9!{(6Hh5EDRDf9O5k1it{pthxr}r~00wKh8I$-iE;aS?89YCAGd5 zhB#W?2X@>~Dqr>6>?&w--;Gxc3by(Dzzjsds-SscdDg_+fh=b%zRuG@4NVA}eb&K6)3BM}o8lyV)WeJB2>r0vrg z-|O89wz`eJZ;r21T5KOD{=2q@j%|tVg-Gn<2C4-xa~IHVdcr2EVyL%yfoF%%p%(oM zdrM<>PnxzaCD}ng)!IiVl6vs8c8q}2U-h%^j*{<5%{XO%8KRwn0AgAZ3(e;;{7huz ztj=(&$-)dsUAkjmG9GA#K=fl-GnhQi#|PPjxJ2cMC_TYfc=>L}2}q`y9(H@jtO+mf zG&mk+FUtDC)~y_qGX?Y(^^^A85y|;utvZ+(e4U#A_)Sbd#P$SH4Cwbx98zj}vU`#* z(ct1$lSOY~+9w;!D66)~TzHrHv$}frb}5$)5KFD2NS!ag*LUu$=j-NbQ8IMJe3@}NQ5`K)ik*-kub*Hh8I;3IPKp_?3&$&ryKH5x zozVd`FPqQu;)6N+P5{Fj3(_pv=dI=%jn3u^n{gmEkpiVY`}}Il!t~p+vss%XU&m){xS<|1CP zSjmh*GUYiYAk7S_@OLszxmDyBo%uQ7XN;rxpweDPF_XH(EK5Pbm%i&sORYP7tZagO zy&D{oK67`t*xFy8+qZ?5Scwy3r>xkl-`^~va`xrzxJHh*7kPyNtFWsq4`RFGNxKJ` zs#ZsYa0qE5wf2vky*>-#z>LQJ=9>KhV&>T>H-!GB&Ud{u*_DW*j~h#w$*=eVF>ae4 z{Sf4~Tpw|}s@>u9GkUS6xB^7>XvY_bY2-TM>uj+Veyr@{+$z@a91KlQbz>WKFl6&R zKUk!+nG5t|JMuB!`$pI)A4R}R$&_{vhyi^CRHQELsVOR%w}#)uC-*A*N-wSSf7*Ng z(^b7#NV(jO99o=It^N!Y&jf0iglL8>Yll}`jLUkoHJyEv^{<{=+_!*U>q|Dpg)MKX z?WA0vi_8fIJ4G5+a~m>U|G<#3Px5)B6+nm78u#)oe5?G$h~{MJpm&Y^)?*(}=c9OZLNsr^eI~UX#T%Bd^cANpvL=z!_RYU*U0*qm5-~|<*XQ}-dW*Q z>FPS*HJf2&THT!+Z(}Ir86jtyKyUUFXBts?k6(4# z^+@Ng-s{HqTALG&wOQnhD!f{x1TgBJ3L7}U`T0K9#5+pf~{raY7k*!cI*VhVX3f2$MfIGuiaNo)#+#)p7^serlz%?aCz)r><0J9FB_iT z)WLK)!5;%3JprcPPir=I$(9j}=AQ@P8mf zjUa>37p8tL3)irSp)V!+bm2+{1sErZf)=3Ck8aEHNMcTEpBK}#kO9*(ui{n~ZIV7A zB&vs?#De;v0R(H<&om{ zYtiu>bem)+`rDIei#zuaD-ZD5LA*Le-6Tb0p1ySxK|Vq>PjH^8rk@s&&v{$EJ%Lm4 z=B(*i9yLdzJ+vm0>D}V2CC#NU;viWu7XiEj42d;l)bEdNTzD(mR77Mo(x97@0_98= zG<)ub?i$!JNgzu4ZPvcjFqaAtO?S9RamunD$K5`kY-(m2k2xhvQpp_Fd&qzik(pmJ z4cCqpBhYIBnnlfFb^-wC!Loyrwpm*Wk5DG;RBg-_?#jmdw)pj|u$eC6u$A9LKT?Ik zv-C6d=s4&Vf+E*`Ck{KX=x3vBedGsmT}4%aza<5J6Z9;4#a5TLM;2@{n-75(j3%>C z435(?@y$8E@TBb!>MFRy+mC{D<{ZMA@-@8n=A|SQr>O+ z(w5T?kbsC~p4+@_u09%?cy@eEIBZ)o*(pK3`~89!ejDfQpqsg^Gw%trnf3@%^rboE zk)G)Ieoc(4!F&d6CTceWM3^De`3bYY_Hg2+HMMmabiLxs7Ol&%I+tbv5c59&nM-S@ zC|wpR!}x=W;DijyWg%=?(d)=!;Md((lgJ|sHX8^sLEswShCO@29 z+iP)GWqxgp8Usy0M4czboJbJfIc6k+$8m94Z7Qef%wanI<3n6*i2Lqwro|bwysq6W ze!OK3&B>PV-o023!J$%E{A$(ue^A~S!}uG;7Fk3J1)NVG5}0bUB{lmn7aritt82WED+tlB=B7@Pt07XEwXu?sEmw>QraNo3DrH_~AAUE&HOCyMOF((&@? z0w)ha@wp>o>KyKV;ppL4t%Y0GV^IkUaEa2Tg*1ymxB=nWeeKf+W`9r(=AY>QgNnb- zBaZ)r-v^8y1|wePHykk<^#1?rGS&p>aP*AtDk8d5u&7QsZvf%@vZ+75Y+9Jdru2gN z`x@+7@Z~jl?tWI?9ilrlIPo|B^V{}hCrFs;Eh|gYrlsI%QvR`MiVNMEsWRGn-i9Xa zXYSu8=I7tBRlUnC zTNeqtTK+NtB7Yzf`|RxA8;ufu2RFeXg)j8kRcVuANS2*5>qEXszi)p^lRJtOG5=;F zV}DoK|H9R@<{QV9QZoPe;fWL@pqfrZfQF{O<*-FRdY%7g?UM*K;Jc2le&poR zV}jGk4!mp)(@*jFVh@nopZ82snQS1jj}&9@?63kHqaHv%S~_czv@G2 z!26gt1OR29)e7o&JC@nu%YGQI6Zg7l@xNEIXZAuOiz;iRx5piVjZC4^q!h%*cE^!F zi2guQmnGjT+9j@q%XJLI^KzCA$y-=niKDF36$Yj^0k0DD$JnTbwle+$C%c;`G z-;2%>q9gNOw}~$sbfG&WUm|+Vh_p~ms`%{j{=CyMUK?_U#<4?5C5?o7F-I+U>MwIB zK>BSC8rDZM%tE`f$7HFD=37twJ55yV3dZYOACIt6Nu}7AWVEpt$Tvif{Tz&$UX@%-;3w zvX+DGA?KfEv~#33OTg)(ErWTMIdN2K;8`PLQMFIq;=||p*I;lqBiGoUxz;O61O8Fh z*jc?d2c~~kF)p^zpILlGhNkpX{P(haU`N8||L4yC^OBjQy~xG0yw_%h7S|`^W@z1E zviPNgTblJFT2bhahsriKGYtV6%^#L}|E&F|wW=Fr?K^w6Wo-_J%4a4htzYNt z-%Jaz{=pk}o@gaN)Ya_f;z_JS*$Dm%??OqEF=fna4FGttt+A3%H4>9hu)JObAmm@I znaf7r_U!+{Lf&HR;)BPwzU;jQkY5OlPT+^A0>JQrOA4Y{<-IE-2W>z1BjMO_55z|H z>*+_n|G(=1N?V&d{Myp6bTY)vXbs_lo|yag6Tzop(a$u4W}O+Nno zgplHiz0lMuES)=a<>j5U3<(=brvD4$Md|fG4N}Xj4nR#2+}`#uVtycY5%zGPT5hb8 z)&sqSaB>K*pq(B=5bG4t3h9p)K+etVA3S=fXrpbx-(PWr_cuR|F`^Q6<1;y~p8OCX8<+P8bh~Fbj?omN>pn zedO>pSE>h(s$JS!c=-nmAF1{RM-V>)?ZyNeZ(4GwcrJj9*u1);yWO+%S}X9sb*`mf zZPNvwUzrg2@03MeG&Goc+cHR$o$J>gTW%XEych|Z&MP(a`O8cM8ApKoVm5vqu?W<& z%i%g8C2fh+VP8&)ir3^X_v((J{kQ#IrZ%%xM_gn+i0e;Zo_RGn<;qNkUSWNP3@m7x zv(c7gN%V4-d;aG$YQK#wLVgM|k^M{QNoDPB7$R6~ZD_GyztBw87ahlP z7x3lga~T@l8TEF#h}Q?RPu=LX-ho^e&V$OcuFGIKc(`k)(WAg4^9&<`80shK`&IEIo!(Lc7QUREq@if_UmL12TQN=_blF0E_I$i z&zH|K-lx?L_!xbgQtFuY{^me-RH{H6Q+siVH4JnJfL_HovTjGC|bRJ!dbZKiYWSn~vF^r~&cFvdW z$sB)EYIDg%Ak?*vVvM-ot4~@#4+E$`V(YM~gUTZS@O5e5AKJoU^2z8hPZ4~+im$;x zy}xU6pvaSFFIWpsWdufLR*a9oP-V1DUiXbvys~^=A(j3zk!1Jy=%*xK_`X<+u@~p~ zWfJk?@AX+%pjAT@N#)<^{}X*?nd%nQxC8pNh2)f_9$N+52xJ7M zHyQE7+4Ww)cgUC{4G(A1t@1NZR0UH1dpmo}j>iik(=*F!Sk78*;Rea%mJR}slCTr+ zQL!Lp17fce(@gjuNFgXK8?Yv(3#v!yJ8tItz#!W47mZGQ%)<9oIp0!--;6T!+!qkM zZ_-e=o>rS26pRPvQ7@wB(=wjbju)Dt-3Y6(|ENr87^@8Jve^ zUQ9EfB2*Uwe-#+oWejv*)YS)}0#u)-mda?U{sqz|XT1vBVr%ftpVv&;F)B=VurEG1 zmjtMIX1OdaO}&I#!8XYo7m+v7bx}v6i))Uf%O;2PYuRfrWQ&EI%Xv?Hk;RyXreg}1 z@CgADJA?RvZ}qP7nP27W>Q(S=C9H3dBR~%?&Ro$@RnpT9Oj?$ZjKNTq#?eNa0y$&= zch}+F1W}<3+`n-)}m>^C9XxTN@K38`L3a3@mEz6WgW@NAa2KQt$`{xVpB@~NS(Oe_1pHHMz>fMa9R$Z89fTuyP?g9CEV}o_ z*8W+M7RO1DOeN)*E1}^>+_SE-X=^8!6l9Bv9F*X7o7sv_P!xdjq|Oa(TZ!GIG+l>b zUK!EvHK_S^?0_ihA`7!#=N$J55ze!@?gO9a=PWTP4j<5IW#K%X z!=MM`Ud7ExT20RQPL7X{o2{cod7effTIJ)xE9}3_k=k7Ks7=>XCO}7arS2v7A?PpUA3&}9ypl4^nag-?2nkxRny6d zNYLs2T{&3Y*3AT5_9r4MyLA^tinvB>`-@?t232Pe-X$dI-0cjM;p+p5~1OaIzrMqJY5s;D= z=@6v5Q&PI5W9S~bVVK{5Ue|p;*L^?hS?mAe&s&DIhBJ?S?9bk3=fRU0TX2R-r;6Fx z(8Np#eflC{17rh(t+vbEO6hI5s031A|J3^w5aiz>MuX<{{JTyWSdYGSv1Ye^^;C7r zOsmMAntM}3-)zRBa`iq0U2tLw0buefG{lhiVvSyWk?YNrtewnt_aHw5n@eZT#(3)uRn4A!GmEl-ZC zh$BmI*KnW@pa3DCXRk{g6LqQh~-+DVZfcg*#%%z^aHI1_51TazZlY zO+iimog`zA)H0s&J4Du;B~AYw0d;wJddg$Q31n38pNzi803Q0z88rG!S_HSLkKaNv zv#$CmU+O3y^VIRo)hl}rdIr9s;U8-`=A$}#w<~s|eQWvdosq3LO5Q4K!B1=1TRUQ! z2@?7B6&1(w*`;C?4f?&#`hYw7O=vVIY~HHnv%tn-5{mVR2K!w8!mh~)`L~>Qu%6=j zTV}H?|BZQ;7yh5+1UnN3(Ls%c?WRUo?C6(ElXU+S+16FlwO(YN+8KSti=^1OH|!x* zf~VZ-j3#J}-qy`8ITVM3Lz9(d=~}}4n{>u~sN2eW7w8gcJ@rrcz#I&nFLD-LAKu?9 zB0WxJnxK?6@Os3cnW=)_XN(|hl!)DCZi^XHh_&FAo;q5JFLQjc$`>V!NwgQVj2x-` zZAs{CCZFrjmgu@kzObe*rg|VzVhfP24?j>snv(6oN);Aos4BZ-Xvs>b$XZ!yY8YC@ z9VH6j3UI&wJa6M=a}2wxINaO2xSTV$IwpnbRL$ewx#y#ZJe#CdIW-Ax_@)9HiPa2c zDsg}QEFN9@*o;HdbN<a`(b$oO6H( zx}pZ*_eYuC@H|}^hwQqZvX1WVh$5f2fYiqZb7sKR$3Z8+Oq;5`HMxaDHs2Qs((9O|9vepD=Ty18F@{cBDb_Ee_NTKI6MimndTY>pomwyLXC0A_xqF@ugMBG<%!-+lIIO1OI$@Q zy55J%9-MUq*lMqueKV#3zN_Z?t`YK`W6w%+6dfZ0@3Y;4t6v~`a$fWm7}CXaRQ7ff ztSs?C`SG89nAH?S1J-BFj@Gt&+nlo4t0)zIFx(&2zyq2Uu|sQKk_uo*A8|gbu~A(E zZq~WNOtj3;K)D0-dl87T3Q&wzp-BCG!evE8lA8w0qvBal-9{eLv;6RGLjlM%Y)^GS ziNsi}EhsYPWTpbE36^h8SA}trQENey7LF4lRrna}0_v$^$7X)Vth@y_?AjG!%AcRp z76H-7v~1I?5+jZXkvT%DWrujRquE03;QaMfvw-KbCUX~ZcSgwj-4LXJ1j>Uq#yy!SQ=9mizAMAm z)75fc@z9e;H-azjgM(1XD7rpgKALb<_Ssdpra-+B);P8!#0|C^_9pY zi6S0m07H64l#K-O5&Af3herT@0x?#ADNDi0kDcJNNpY5;O%#A2`Ybm|tSMf>Y}O?@ zD{>UQD66x>T0le<_BG5=NEJ3L9mF5%|00Z@k`uq-bN%b#N8XN2S%#1MRhLkTPrrU; zHAH-g<#Wrw|fa1+vBr96tMhDge!NtnOiNkl|=WlY)bL$yZ z^@mnx4rFK}LnV1^891h$52%8hGDaxSpR@ClER|&HmtLh-HqItY^h~Qn#Idmun)xu2?08MG_oL?>eLk+ z`<%#08v}Y*kf9gKp(wU@{KBM<%w_>S67iDM=qa+5prz^f#u?w&eTF}F@!Vw#V%gma z_ZR_St9Zh|)~rKkd0a9d?0=SU4wbHx^s)D+>n|C-!{|?c z!V+TiwYh(;P7C>jY?|+);K~iz+lKdtmnkzLDIX!j>^6Clv|!EQphg_I-5I(Oz~yGL zVfrc-p{ap>k%2EzY67UxP*{mgC>O7nlX3Al^fuEfsE3cuh{i{mpTmMi)sUQvYsjFRYQwGXN7|JeTh=vuJeUth~ zT&92`&lcifOUZ=fSbfU_nyb}foSvZr^RBVgzUCZ&o-6v=5lHi#-cIjkfuxxtAZdyi zVdtN$IJ@ci37~cVuVw(j%XE@0jUojfj7UjJm{q=-No$1@lg=drxG=GW$Ry6 zdIlKuuY5k!QSPJB6=>lJkOO8%f_(`U)LZx%VnwRFEAIO!TuxPLrAT6RbCs8#8KJX4 zh_;T76QWVhcYz0#O^|%Kas}z;{EoE`{LY4lJqfnE3v*$iou#?}uk*w0$=O$hOd}QN zF@etD_9(|DGKHs6UHL5boq>b;iA*J35vht&Ydl!ZT|FYUc2^`9p?Z;r?5 zj5)BCun@|a#Kjp)X7XU0bk`j(Xg0U0_+aJBgeApg)gG!6MPpbXLQilAU@Qcz368SH zTg-Z6uKB5)pOJNjpzp-dz)rJg8?MP{_g&0m;iGazVeXZmnz= zHd)0DL5zS9rJ}NRbX8B3Zn)3wZ}8pR1-UW*q--&gDj5FMH>IWMe`&rwbZI~I&4)%XnY8X_trR7K>nJ^v(ApWn!Y<@)gwJl{2csf*w9 zUDMVA>60+YnY;uw{stL@sHyWXkf9Pj4V!34OYKGZ=Ef6OAhOqND&gRc`n;er?0rg9 zZ0je#r5dNzV2XmM6Vu3z3+xv*=zgEX9{KFZb7(@ho8*Ucse zF*RXcRlm=R?glNkq|qUsg{^bX9z0oU{TY$xCtE9W0-ZN`aE)Tn0S*a*dCpKTx!CR4 zD1L7v>JuS|8*kAOuCae}f1J69sRvJ+ZyNSYjn&>V13i&Ah|M`lGhmK#fDIKT7FGoS6qnA^?3sn;&*i=nw|M5Gfu;jKz~8nr>5hF5R15eUbCn3Nt>< zI4a0N&fJ2DFgvOt#N?^W`31L^w)k78j?J<%e0VwI@u{u5$5K}{hkb=o86NP4Rpp0$ z5J>&Gugufj3TnVRjpV+4Fo)ePH{C^Cs@D7XY=Zra_{ydi`0q|*<&glls{1ej5O)bx z&q-a3md+LmFeraaVjI-zl&ajP25Lq9)|7W_at?b(6y5B>UiNx?*S%yUr;qez?B~L= zw8SMWB1OLkvH?g_Im>p(3o79c0(?uw0PmTq7as^>^u!jC0Gxtq_aZ8JEdP58J`7Jo z$|(=~cyrwksnL-Tp@&7Kphb!%#)sHMM0Y{AiXRvE5>%^W3pF~Kf2Ms_1EhWMW1;sl zTI;a>yZDSZ{6EB}G_NZ|ey=^W&vEqLTZdxG4U!RxI!exC|bjTb|!T2TDJL=9QwDeskNjR5akxv3Tm)L)iuo>*!na|G5dCak$yE-VmuRmU=Ql1b&<; zjO+e~%FR5W{UelXIbS{L2|knu8EhY&H1*hih*OI;6G_L8 zjFDS0Tf}y2NldmTlZLK!rt++JT+#{EAdkKG4nHjtxH=i@;kpbPApxlBPo%qst~GPj z?2TNHe_|6fXi#6*$~wxSzavStJ`J4JSE48oGhGR;GY{(u9i08da%qqkcUn6eHa5{) z{s@?ujcg|WQC+SZy63x1XQsVZUE98V9X|DjGdpSqlLVPyYoC0&Xd|4<(Cqw;DwU4Q zrXXM&E^5`|cGcNGo>?fT4rw`ajaO`{i9rJpt10$A#nxsqYwRI^&SP;2K6$_xgFGa6 zSYDuFA+-I8S6OKUrFI_*aO7wScUXU8^~@oeS?vRd~JLRD#+pSnRS$Iz$vnB&P$Y^L^8a+})7aRgb0@*GjT)iK(AmCOvH@xrV- zYbg=xL2)^1R?BS7r%vCq;Ols9b5|Y#7!e5{vc42<^Xo$As|JMQ$&L zZmR!7GDqbb@yY3#>}vM|?je@<5wFe*BZ=`R=rxrS2L)3EMOvMN$TK9(xV~ra#u2#k z;{?bWOxzkinI^Bh?aZCg*f;LPzfJjNad3{5t-qc&4@wvfP#s{k>h1^iQ5}iP@puQ)y$*IP z7|#|%?V=C!q8ZC$S;OX+6bP2Xx@aS6pU8{N;oHcx*X^8@)u6o;Cd6n@Fi7+FT z-A^G}oQde;wC-q-JRUo!R$a2@(bXG*U>+m=EvMHb+UsTYqv)TdMfRH$dq>52(#d|2 zlz~a~?VXX30T0sYqNWOl@+W2@icOs9Ym#NhSJmirKnUNtU+iHq*L^)p ziITf(4aKmU6rfb7%dhqyN+Df3a!)M*-A`4mfWEb}N6e{1{P^fe+wHi10Q$A-UG{%G zk=MXwO`o6ds}#|adddIe(bcLOY|warAw5$|B^!A0rp0IBI6PLjFx-&u zT6bSjDoUdl&06fM5j&ll(N*CGas;8iokNJ4S=1>Glgc+^6o_m{rgCqVH2We>8+~wo zWkX|Q1`1dDcv1Cg;ACMe5A&ng02##&dTiDl)+;@Paf8pL*>2%g9l1GZ>@5DiNQHy= zO%gJIuzEOxT4ngBEIqy{TAd&qhB`jVXn}QQd#=>k&6C(E6l0I8J0UbQ@5GxJi(Qv; zQYYBkieetB_{9`ZCcjU8gY(CBM#o26J-SiR`V zUv0M&vh3FA7%+t{tgtS)dUDqfWfH9p5ia3;fGv9N-Ya1>-}i2{xW}i&Ah##c;uXZ# zo%6mCEm0Yhtp-h;**k5OuO|b|sJF$=q3g#VIZgR*ayn|KIb@gff7R#IaOF+TKkD2D z4DPHnP~Xuyx0Btj425ZaL(aLY3u>D%YSJYUAPY00RTDW%r%V75*Y}ovm~qz2Te`)L zLS^)lZQB~|uN^W?zYLQnRew7fg86N|;z|FVo6`l~jqracbsaB88~d`^164E=l;298 z_8&@}$>T2O?O%$o%Yy#DD)p8_g#TJ@z<;l8<8t;a&g(dd6MS3;TZ$I7-97(>wlU5* z0pB=xCU>qC6*Tok-o+L*|z$pMdjl`p<8^{E|SE*c~@zB(%lF5NEKZ5X~cie|KKw2_oUf0G(~EYBJM=p|cP zbQ`W*GvGER z*7{FXk0HlhYi%j@$DyK)BQ>sTS0>4qlXFQs;Y_0`l`|(bYa)xnr&j^}+>oZj)>iet zfh734ks^ErdNRI~2tTqHg?A*;P)T$D6m8s#fL~p?pVcKj@4W2XCT#~(a558h@b|l7)dg38&&<;}Jq}tA z>vdGX{8v3C;7yqn-fRAN*kO^EW8857_}l5|>Q>a|Eqa^exfF+J&aJ2TJ+qL3FzCe- z$h|~dt0T;Jlkp?`JEj%(5?8HYw;gn_6T(Gpxa8CIJM~=tfEWOzbx)#?q#2?Yyf!EF zn+lq9msQrD&{J#h8VIkWl`Ro`XzqMXjUYVsvYqFS_wCV{a0O1&6k46(N4QD)Lj9;2 z>fPfC3<+*GazOUsNPDa+SYWBPcT~Dh1W+t^gEqjhGynWHz~H~4q6;i`m&pcz2F=jx z#H9GQzo*x7zNX|GcUj^jIlE<=o2$X|2^2jNTQ4FE5m{4it`03Euu@KbC2*Du75r9ZHMwAGP&`M4|c3;xB^AN2tB=m@anKpTJ1uxtV5lzS9J8VjZ|@XRUjR;Yxo+3)POO84 zR$V{0*1sHy)7*gXI?v0H&LJB8>6#31dhAf*oHV@yiNbwAkZWBeubuC-{7C;L!pRb* zVN>tVK!nUJ6LI4tyYQAdbF;$FDwlduYV@<>m2>D{Y_1c5Z|H~O8@<Q3PveZP(nLtzZl1GP-m$Pplyqu-7L~ z(yrY_PAO00jyM~U0KLnCHLneNYuG5??O8UgurqU!aoBVgk1c9G2fZ4CrocD{?mGK7 z?Ut>9ud=O$v-)1PRq$&L>^Mjm%>5mDWPxb%70hU3U$&DeI+1a|&*rVS9bz87m# zG6GeSE7sNc)YcxlP{5F9$I2+&IXN#W3IHwvFv=rb!aMq+dW?; zLEu#xf(_O)F#qsGKkK<9Mex)C=8ng1e-->L0Jtu+fQM^l(E;yv!B{h0v(aNlv= z+2Qn9FKC*Bh(0Hy5Cw5hY@J+WH-J_N1_GXEB}oDgoOB(!dTFHBnG!{nH#gtd><#`x z0{AZ}k3Md7xg;|b&VR+e*|`F+e$~d8NG@@DzYwiIPZD@MrM{x5lokH9FP(_s`Q^m* zi*rpUOImR*8N&6E={IV49YvoAN(tb&s^PpCIf3PSC*T5;ZL1r13`p6X)d%#J-a)fP5#Xh9ILL1hAn}9gnR1-!^v3BZ`e3CrfJ6 ziFd|74EQvPj*L9TQ=o(HyK%vAMGW$n7J zCuZ}4?gk(D8VLpP2LEMNN3-Q;7Vh~WDPoB=Tih!<&qC5u5gBq9abF-6wb|kI-Z!y^ zdQkxL=L$ln3L@@1eY-Q)t28s`U6=j37eyTP15T$PFjsBRzKtgU-0|AsOD>z9=WR}a z)We`)aGXXJ*!KW@h7ccm==z}3{_9yjS(hbF$i)dCA`=5&!shh2m_bAKYpQ9u6rJ^4 zy53rFM$9373O}6sdPf(&1p{&YEw>p@yT15~IY>QhKFG*PgW4I(@Zb*g*t~vQm^%`c zh_|HPmtiEtYs9{^R(`T>?Xz~=wLBJ)mPjjCvfY?$wv^%M#sd^rEqfj5z|= z%D+`9I^^t?#jr^$Yl^bSs_TBbYo5SDdti85mIpKc^mpeu51~F9ZVYC8T$ue!uYH5k zqaCZIx`s#kSBNFC|J689$jbM}{BL-Z|qkj;7|$zR|v-1y<}lOi3L^Sr5{ zqA8C=YS$|?NGSMNe~q*8_`+1e|Mp(ace9cuU(>Ej!=Ft(oqN%bWkFBSCmKKQW)tkC zM(=5veflDdmz-X*5ckQ(g+Vvf7yp&@;Y3remek^mx$$>&7mG+~k#egv(iYTa5ApAE zeBv%Lt-|7~6g*@#e}^~Ck)J&^TwFi<%?6UNx(f=km)eYtQV@_V9|(4%joCdyA~R*6 z)_P^}6t5#u9perOTIF;>#fc2`IyEn*rg8{H{?m)tx~_t;whM#ugB)aDJcN7 zl!liad)c#2q86i%MWc%l-1x>(`7{QU7kwVN58Gbx)))Xw#3t^1%0UHLNU2oOD1hz9 zF<&Lt0_w)xm1PEq{K-Cjv{Ow*dA3XECfg;3zLlG~Vg3C`X zc?z!)JWnvtz$8`sK9jtfcb zX5&x;HuAbQUF`@;x=g!kZR?`Vg-!OJXc>Os1%oZ_*q9*}!kC}?$rn)doNbYuy6vyj z)-M4BZ2doMTtz6QK|E1O&GUB(OmQsE9oqOr0GW{D!wVsIT`B62xc2(Dr*R0C>qUx4 z1ZF)msr5J10ZD};QfYrX!-DIDe^>^}{{1_^2Py`E4=WbPtlm>4h1Q|=E^383i<7#lF=A^6@&!wK4D*d&q8}~iy>MRkO{0{r3&VWuxVSYQT-pii5Vssk? ze~465ov?2)#+;$&Fg8m3-OG8G!ld&>3G<0{sXuF^?41@eO0*qH@DlDLl(ZA*0SLr;@t()-%{|kt?>}-9Kyzn-jk*BZm z{E^y6N=X;jmpQ9t@r5o7gbW+Y1TvdsL!_s=jH8A-joU^Yh53c9kMLttmTISiwmqwK zg+wIjn;aDlA9KdSDlX}~DnCn?UjSmL42@>s53}orv80ILwPRv~s{76#1KCr>eUv5t z4g-63N%H)G5Y=|SY`(SYtAPBckvWFhc+C@@L$3y94K=?V*OL+CwgzAK_nCEmyH}~< z`CbkY7bLCGpN5086Z2|GC^Y*TwQ>2U{LOL(ZMw`b8565MewEAB)78-wdVid`#(sOe zPIB@32>2po=j4Jf)pMGiVA`wtbe9*&N#tKpk{$5Iiq=Q%^6&t$-;Ob!m@{kcc6fo# z+?Eqa!+@LH_HO7bJ7yw5h|DG02U0`Q*QekfYNCOSc2Q3u31QC)F9+hN=T9-G`?Gu4 zu~Jv1lh4wNxoxqBady3B**Y%N7JUj{=uFcLJj+g$+93lz5G2a{h*9K=r-I$bb9$K- z^J_u1b0F9Vj251!DO-6$#Mh80Z@1b7njOz|Ex<~wzrSBabdLK6ap=snlHiF#YZBdl zT%l39Uel5QL~Uwrj2(O%nl13kE}zvA5U!mk~DWyMbM)RTlWwoop@PuXxUev&0 zK!@)5iAzAJ_hM9gm}-x${i@Z%{F3Rw;Zmo7r{=AWSLl->B;%r~u6uB%PkU|bRX4oz zdR{9n@bEjye?SsFGEd4(99TAneMRSMX*q~iD8rH8?;W1PuSA@5*e*fOsjJmZj&L{eJ30pXaZYL* zt{ut@X3PeQ{lo&02g=&+Y1t#)B-^tglij;(08HMIRT~ZHXvi(c76fGTMepUtKNW=kn+>%!NG{PM*h+?Ua&5V?BU3XR9-3UsMfAeW;Nm$BPl$QO= zV~g}8kRWwGmForqe<<2}^td9|vNJLP1(?t5sV}SyKY!Vvv@QL~e%od$5qI4w0M5?F zlBuyXb6SHqqfV-{t*2ok5jAQYoSb!%C_nzvixRzU9IRIFe&O6Ysfg_Hzf_>#B*q_` zmVf(a#4!z>7Veou&s?B(&El`TjBmjb72oSTbc}4XoZd$xViv}vwWSUk9Jw{(roqB7 zmb#hY$iY$hv-u{XlkGWe5PuOXVL#Lj&Y!o{rY1AOCL zWAUH&S;rRWsdwdVB@Hq0xhm{9!0YGE zyt^72rc4={Q_qN$lkkW-?6=QQ@R>`=CuF>A^9+;=v$a8Kh85PHb5F2+)+1ld&n@+v z)+J6Vg_-z}9Z*ZENsp#V?E7*R6S-@W;#CcaeXuV{)g2D7X)FD?PxLqLR--t)GmwhU$YG+Ovyw7Uy8E36ssbGUaZFMm!tgtP6O2$gk(c& zCVA~6P0gdME6J2L+}X1`A}yud;dxL`iL&S-nnR;a1v={AqI~WoIpK_1eNX3!S&3h; z!wqj?S1RVSIeKSH%|c^AqZTXVJ1Pnx9-#NWefGJW4eoCDsEiOTYOkt*nxcEFVIZ%S z*$)OhHHbjJIdag00C63pM`+@2E7d}>wh&E2vpK7m7cl_u$-?DB$0Wq;f7c~k)3cPz z0>mm7Lp{o@Co8$}@w!63<{f^TzC-M7wxZQos0<|36RNJ(oUGPvVzCLn4RZ+I2zA4| zVLDL5)~4b)t;!|tKK>6?cC-%w7pz}LhJ>|xy|<-?ujYt_lVmH4?P6}9|SA~XO<%Dg*IB&P(zl<4Fp!E`;_o0(c}V* zGPlAZVEG~FID?ZRsAtzt|41VW**moj)~f#)U;T+)&WiwKphPOo=1bPF8F$LB+Wkjz z40)Y3(v~aAXtbpDI9+WO2=3IEj|6kXMFV>JA4VPG$)#TwN@$k{Q;TUIFU%V0tT`|@ zT=ets+Nj@RyIC>h!I+njfI;)=9J3U}#k4azk^cG7hU$`HpV|sf31KkrTC6TsgoRLU z5UcHEW7O{3aw{I)rA~XLOM|8I`{+G~ouAsTG*-QO#UIg`PZ~BpM+)qt3VWgF*HWPy2Ob?*%8AOCCm+JRF?=d<<;VF`(&&*Qn%EB)(ppBu|&_t^UU za&bkuD?C{8lo0P)r`Tws0Kk!%t4q~~TPUCAVzqw;|!i zk$BSEy>wJAC#&~}hgoLl8(9|SHX9O|wrHv9wBQ{^v>mce<1SeUB_(U`Kg_HbQhe?b z*^%h_XLs|xB$IGe5$WSVpTXji0>HTT{6M+d&d zXXG?kcHo9e%pqE0#+DWWk)#sRd|OSq7kdAJ)5<61qMcnbq<}{b(^Ixy=uE@i$DgB+ zQYDH;>eaVOaD5Qtd7};dVP|A@)2w%C&}I9O7S3Qr(=RSxW8MSp;<3t8JSR&~#za5U zPzOoqb@HkRy&w+K$Tx2gL8E&`Z3}Pw*v>E8W7fzRvgTl`Q7i~%+fpFMvwU+hbGk`% z%X5C(xNVU-INg0iFv_2Ou`S9HuK4NZDLSC{qDa@akDyGO-CRkLjp=|2(0j3BCbsCU zB>u8Z%mP<-cfSfC#Mlkf%_)6$s*(|LQIOZK7jRK8N`1xe)eaERQQLwDm0TY zi|~X(_&rNLx4bbJFK>5wW$dIs-qE1jzUtsRwnX4z_N)zGeW`2aMbxF z=n}~Sg9y5|!9@GdgrG1oakbRHH(PNh|0s;F?(4DnbqrlEv8U^cdT@3gBJkHF`Lq?3 zg(QY5K&ksM#uBlPan(&9DtF1#cq0g#h}8I>xVvkL1>ZmqnI;7~F4z_iE>p=G#xj8i zk8I(^Z*gA4hG?-2k!w!}+?oV^9!gss8Bb&KshyYlt4}Ufp$^hpu8-;71W3JJGp<+~ z#v&>u&EqeyX9>Q3@*jmCZrmv>n2(=?6x1hsSTd}Vd8Mh%zABHiZu5PnF(GSt>`ior z1Wb4mh-$uK(C`Ev_!8CM1$)g~=uhJZjqAoK!cbEG7-%kPHyEV6=ezXxX zH$y)UmfR+Zn%b+agLIQRk5dAGFB|zcL;JVko18_7Gi=y4N9<&^ny-z(7RLuVRntTF6XHqGfI3Y=etNRFo%SB=(%|nSyB>&i9#4j< zSrNOGtOnGtxEh1cT6U_3+cR-#iMFtP(#^@_h#&1Yj5a)US}e<+(w$2TG!Hrg#qL_O z`lUS5so!W5=TM@q?Z2l#d$?UL?$iQ9qG>e(G(dZ14xh-<&U0x_L1@db(E+- z8R0|k#43Yy7cn8;$0pj2i>0%*rGGu#mpqh{8I^6TEmR4^JkC+gEsc2jjD6bU5UOvt zh+IL`CSWNP6~78Q@4!Kl#v>&cHn2;~rufrKn~BGj2<)!5_Tut-Hd?1bw&69zHkDq!Sewl>7_GbTvpYklC z(zi!%P*g@Jz^Et4d}cHD>V^|RNEKU_br7Z<(Pus-Jv}{E366r|01^{gcEr!(OFPc+~7e%Uks$-XLMVLBOa@0=KW zS4XgQi+EZ4e@39AZpzh; zmqgPguH(bfAoAH^31{xVatOB>lR+nevTyf^7KLoV0l~+1qeG1Db$o=80(vGUecE@m z^qW4*?%>R%gt)U|VdT`AGg=*Y{QXG2%6o8LGDOWHfoyA>kv8MOrab zzJ5H@+CaKiOb{7!=6(`K>k;%|hV&v(sfZz1_#QC1(mEY!T*V#|KO#PE}q*0ubxL{Vm$qpbe#0MPA8mi%A& zh;>r|JIp_A)-i-x|1AXkuONNLV?r`{hbg9dXBbl3MsU;7%9aQPKgxbwqlY#*yxTQl z#1v$lK{PFJH<4Il`pRy`^GZHBWWH`+>6J$>`tP7)9lHG!^`m`&IQ7Zd-Cey& zNA?=?&O>U%-v3k=@O7SYE+#L|MI7Txfn8zh$;U2y%b8!kGSr2Q_VwdpVV0f$?Jhw1 z$4!Jd%qX$Ck&yu8ERCPhJ76Lv+mBDA@U9#)Zfa22=_1q$-A&x@(C-ZvK-bg9+Xoz2 zH;$=hYdvp>ai2eB1FxU|2REK8+V3uRJIfeJwoyc6?{uD495mpxQU|g<6LFN=LgDIP zG3?E6A5j6G1+indAHNtu9LtnpoRQtUh*z-x>C6V4+J7G#rizT({uOT9Wi=%KpHMRJ zpMe{VSdnFRt|!(_HPa1N?ZBxJ|L&!c)Zmkn-etD*{{<;7v4AL+{Vp8{xWAcq{;9s^ zVdhd$fe6049{YMtO#VqH^}Rn{#0%u-{K-5Oepl`EPQg-^EbY-ewOM{#*xrBT=u{aQxuU;DGn^{njG#Kj0wGok#cUw%6LXX(Wakir zGQ%wEMlk^0@Rph<4Q7>9#}3e$g(0eGI(*~ZfO@Z2K%jLamifG-ob952G0iIAIX zgUpQz?9XvWyN^COmhi;p{mA7@i~$vWDO;4A$T?5B!p6(I4suZ~wh@@8;1S#IzL%{t z3qeB0eZ5{8p1>;mevP(4V>0@=&O1@7--IgNrx)yF6*?)%1P{YqATWZAf}BYQf88*& zwkTmuJ-f@_iz`jiY2kb=rRqAm(d*K$X{W}s>>4rQds){9J)-qzB?BItL^Lo-(nghB zoiE@bRnK;BzH&`S%H^@*yqZyX=i!2L;}!TzoXA2+RZTefBxAKel+SCUtm&jAyo<*D z^;7sFUe#CYkf};IshUVWOlqUf`Ynyu&y7p%U?+D(7jY*jV9aSv#cHHqSvf6lpctx zY*DgLS5xQ%72UdUucph@OVQ(V)|4{^D!<*vtIe~)nWp3EV47=xXP9=uBp6=gWgKA* zhQ)wru78>6%$y%yot@}5G~fq%E{uyXx_iAN&h4~n9R3B_f+fud(-1@Oz^4b!?z;|N zyyXs3#Jy^pB%Lq+?9Muu{lzw{ljh^p2>EE5IdbutJX;a)d(x|?zYluTd(Iwz>XX8@ zev+y?VQI413YBMlnjk^OnpRYZZUCAo$Jv*;-2Yf{a!Wr!TzG`_5uXE4%r-+0oND}; zF@B%;>p}5?e>rw(*(*Q)DuSXt%Ku;ey>(2)qf^dvo>Pynp1RL0g&*EjS_zX62>Tn@ zDLo>LUOyQ%OiGq;_ucJaNrCCBfyaPXH4jr(Sy}ER>fYUH4JtQKo z)wU=8AeLKV{*CFf$pCTD4#Ymj#6jdc?~t~~k56f10SM3Vaf0>zY$w_0eOUsu4rb7J z=+~)PkgAGAm==}caHm}2ztY@$uP}RW+9OC-y-p6w06#pBfs#jsU=GJ|(MF&Ul-t#j zINba0fn=+6$vZ0WYi?(#RC3VzMUAxSjIReN$ek6U9XvZRNi?*`Heo_>SvfX2=C0}P zuGV)tF^EyBid2zbpWlAxn;se+;X~h-dp}R=V;$h16WhWhDk(uh3+GoqXp1sUl24Op zl1;ow1hgOMs$5~FP{XEi7)m$cb%vMd_PZpB7kBGqDm}SQcSU`kHpjxtmV;?}LsQs@ zvnQNJt7IB~ni!MCpTTuhn=PWjIgE!>c*3Ppitkv{s0+%#ELYHP6D{MJ=a)6JYeQ5O395HL}wYv||`22$K=2#!gCSW5bt_Ceh(v(*QAUCS4!R zYlom+NHNM{(?F8r`JSlH2pd86#L1b$n$G#-fafp!QXtF9q<|hUaI0;vYKjDebs|nia_nyKyDqXFj*@L&%(IE;F!?|h$6e?e(I#tN&M6^?2@n&*z;U?C?s3R4G+C!rvFBv7 zSM2_wOc2V*IJl*jfOvNgc)AVkL!)EyYd0Rd362e`tN2J$xF-^NuhM1ileH#~ee6y} zJZf6`3GkVCr4Y_39e>}vtUYxW846{@0-g%F{N%2zwO;HUhs4OnF~qlB6*gNuMA3~w zGSmy0IU2{}O}?0g$6TM0xI%TAtui-;vXyC+`A_S5!$jje&)|l}{F9_jUtQtnzP6vN z5DNVdG~Gj?vgH(BKV zSM9HPxlj~&t1frqHxF=t=JG@q;wB-Tlk0XD`?@M!Hy07lGNtR2tHRCmF2afAWYO5q zlOEZ7d?0V7SMss2?YpI=TS2_vO=!k~DDFK0Udgk7$=@W)p#afp{UTcQbD5u?N%MN? zcli-U!r0$!Jm)E^iZKDa&3Amkpdb8rupz>`OO#;`gIUq0`DZfNae5 zHqXlBz5b$lm^M$lMF7$#T^i2#NFB~QxC@t21mf$5^10rx&3f6P6~q{Mb1m%`({7Id zu^H!TOZ|Z~)r3%72j`=9pQTYc@3GDIvPVwkFYPKmHsl03Rij9GufGQea7rNoWCk+k z!Vq5K1};ohbF1Z6?E>w|CbQQHodCq#9be1xlAhRm&#@qkank`kwPT0N-(4#i1MLBe zK~yVJ5~AI$7~P=#Im6v9ZS~w^eMXL9J zX?Hnbie0ogx8oQ(^C)ELo>NSmSBET!DfST4Wa^y1z97N^xGpc>9GPdHSU-u5_~ylT zs=I%?=m_C!HELe}uf4YptE%tTg(t0&(p}OiEsaVjARU5qOLwOr-6-9NNH<8AbW1K$ zx;q!KIFm=8z2A55_nfo;`L1(a-}U~x)?`iQ_|1{`J;o^g)+8!?6^G;`m-S2q>l-D_ zN8@k_`+*f=)ZO=dNHgyEpBUXX8fdoGl=~9QOE4H@;* zLKpgO7j}?_jWr-Cz#WULMpw^ z%_^MewkHIlUas$YEAt+)U@Adn&RiyNtI2fQu3X`Are2nz?0{&))m$8t-jCqgex6u> z60OvtYOk_eOZdbTJ)kTvhh7X0z#e7r?K1mS7clrf@s30BgiiS3B_ozoHAJgWwNhFU zYl5arC-sHuODP$Hvg*OwU)la+&E7UN4K`9aPj1_0y38NMUP=25_jo$YEeb2mTK>9u z@{uhd@~RP1Da=hA39tLmmItov$N4mjlOU5A2*(NAKiXc5$ zK}%^&`|6=;W6E{z?rwwhcbAfzsJ^W?mDi`sxgG>?w*Y0aonu$Q1mf`sOhPtLe2$R}pO^HKDXsR<@o)YlQoAj5&wDX+2H$SkOS^{nf; z=KFGthVMo-sbqH?ey2IScp*YL2J|vQJ>qB`02M@P6o7#-gKM5~q0Qu#v?Mbp1*;c- zYCA<=S}wmJV-R}3CI!Jpi;B;bQu^NEqsea6#qpibZQhM=AkI7zn-D!}^l3#IuzNTJLIqXHLlf=t# zyUVM)i@IZT=+5Z-b&&*b7iy*3c~dIVyQVyIT368_0=T)4b&V(NW0CT4pe#0*RWizi zCLG+cnkA*zxh6Jx_77Oa8MkPbb@dE~<`=VsgOm42m^OaJdGftt}*zF06x_i{PPx=LX$daD6$^)S}kx^%m4_=U<{y;@gKeXKSA4BF*Wyipo}Uiro) z@5XRVN+d@9rKZiRh4OF;Ja%~)(v=M1=XxbZxvu5jLPx`1P=ut}i@gz;MRBtWV2ECv zYrH4)%~2IA?6o&3#n~e|4>Hjf%nq|~CuBTe)cm#H2t_14Bi4dKp|-6EHOFI&)9*nO z9-757VNyO2bD21eJc9>0Ka*05wiRs%&1_wNx>AWK5?MJtC4M`q|BNX(KzRf9TtyST zHkJYV(P{1UmiOlH-0>SCIDmTAPSo@8T1)UmwNqGk@CJ6EoFgh%n66;C7;ka+jBRXS zk)iaez;eACmR@{;S%`NL~Hi?ANRrKfhV2A7k)~ftzz<8@;86RKB9B zUd7QKs0{6vq_Y2f)#rK8lD+&Oeo2q$vSVanmiPT<$ zPH+x$?20`kBb6zMxuo>o(0rzIktJa#El$Wgo!z4It<39@oIfQq5^45cufdzg61~Ve zj++CKvhj7PecsFFbN-iA|BBWxo&xA=BpYaa+K?wmec}z!IRA^mg~n5L)YF7~t9@{YAcsv|wpOUp z%~j${M`SkAa5nR`@W}nbdSNhwb0mwlny+_K#8Ec1s!6KCN_l03+57m_rF(-UwTf}o zLGjeFYUfNEUjLCQcfNLhIEb>cSKySMHF+(N79#yg+Fj^ND3r)GD;e$ELm zXu=l>Bw(iM`mG-YNSQF^Uf_o__2{Flk4eHLi}m)#ACWVZ@oUI zM-GhdzPB!-7T3aRHES%pHyGge_2t>y^iSLi9RLMP4(W4M*|uO47}~=TqyNB zzCvugH0FxHEgkaI#-i7Ty{F3*Ixj>nOslzS8GQ%x#OY?@Lp*T<3)<$OVz zsuhzfE&Chn`H-pkK1`m23pSC`dq$AYktw$DafqRA*HRWC+@~Hab7FMfUKxc$^o*aywzHkppd@$>ymg zeKxY-{j1dvfz@PiLOzqwqEbt{=}eR9&Z4FHzR=U3H~rmiC7Uj7V(X@mzImt#`g6LD zdzANP0yDh~(hNgd@_{bKpmijYzPX{$@e*ySfw0YLZYV!(&JH)mS>ju4`GE3#SiC#( z+Eag`NmX%?IorFs8u5S1_lXeBV>idEW~`Ud(h7`Mc46JW5@GR zr+hfN+l_id{r5*Ag|{y>ItLb2wOYoRu)~%O?t25WjG22EWpSEedS_3Za!O*58t}!9 z%cci3@3)PhU7TO#e9AB9eTO!~ImX{G+}@O3UL1MA*B6U$llT#>ANU)yvb42WpnLc|7vRV29B(WTQP-)K zXN+JqnBEHyUF~12IuO$~7HT^*4~~cwuXb{;=H%VoCk>I7SFdP6_J~W`7@rfLy1)!# z+XBE$TH_Q__$2^QwF_A*-4=E!F~&`5tI1^8F<;VLPGdH}iXp=zJUKc?(0A>WZx;p+ zEWL5|Aj7;LCrCnJ>3p59((*6TW)3xhv|W{wC|0U##arMDKT-y!{0xi7m=Z&aFZx@?kDuJjm2aCzRm({bT-fON zy|9}iVMy72j;3hl*~Yt)N4+UNerL3$)Kfd3SJ_8(PQSk%bm`rD9b?1gAKXP?|+hb;jfZKwY z6yG^BhxHR8(4LaUN=ywYHAd@x5tAhsHQ9DuAs7>5*c>b(MA?+^gvS~XJB>#sv|IoO z^!oA%vLao7Msp2(Gau173z~4#%7ia5Vwt$i>4y#IeKUnw|}eDJA=Lk@;g^zS{jI6v5dpY#@M-hkvKn zR#d#PNyN*m%!VbOL!*!m+4CjB^Crfph!WlGjY1nQ3uMa2wjaFdfuvM)6JrAOX zJ-WEA?$!ZOh|z_n*?**2*a^iJ;mGMS)2V?_-)0 zE)X)B6>=_#u!2G3S6Uim7!=Cx4*;8&wyj3*t=w!m@xucA=e4tF3;Vwfr|c0%1m?7= z!_)9o&rD}ByKG2tt`d>jlxi}C6P~+uoY*Z(^Polt&~`~Z@DOl^#|5=30{$=}@JF-- zO9S`MZ>3Wf=2QSMdibSYTB3DM@26yYPSYH|z9*154QkY|Tc@P(%b2-W;(=E$_e{tF zxMn*b()5d zwM^YlyY)BF1mUaIHfGg@QEpd3^vk%%jE-^|KY=SP$Z|Irss4Fw8%HH?N&+mYG@6*^bmR*+eo%h#0kEWn>p4r* zKH9^UiHK6T+)ZOp0E1JrXDpLyJ3QOb^qD0*o8k{JX~Uvg%=;b6k5Je7i8hH1SUpIn zg96#yG=Y9Qf(<}lBT*J8y2bgbW(?K%iGAXge^6?f%ATxSV&>X2!RrX!C_Gbn#^Bt< z#(76On*c6G8@y=TV-Ei7F{^$Uev0$c$(S)%2e;552hm5I3y55!B!3I95g1kS_RhuV^KqFUG8z=IJJ8cO32mn{N|3 zLNFGEzzzF03yN{hlZ@5DJTX|O9G{KnYaH0ah}`G>T7)QL>B5q#S(lwMm&iF+fdURq z_TwZP$FtDx2*Pu+vK>MoIasPIGNKE@n>L?mq>hd@6}1q6PF)1W=v}R~_Bgo6-Hu1Nr>ngb)9DNe zJCl>bmgF|_cHuIYFVBQsU^A`d;b*h$WJ@}XSQRV@;*+xrB>eesnFkR;jR**S&{?$v z9~{xqk~8@0Xs5CK~rwRbtZ z4W(j+Z9XK2k)5DJrK4TO4bC7Najtc1pO_=iIR>0yc3~5{JFeoaq4$H#sko-`;vbL{hHEuMf6fNGW#o2 zt1}XNfcae*6846$*sXM;6)smr$cyER_m6?d11mwaAHOzh3okz^+>ho>0=xq zAhTN-_iL=1bX1D?tv+mY1#i8H=D5yN(xJX<%kgdsBQ}Fvl-04 zM3*&O+Kz;#Ta4GffQlE`wQYtd-wm_H_8r}`tA3OtSBv>Cq8R{)W{TtA+_sg71;W2( zLG*EE+$%O5%%0&Eb8hwo`)p}@zVYv9$8fCr7z>EGR#r;91UEL63(78$gWJNu$DCfZ z6*J8feSC<) z+}zN=#MaqIi0BwCvQ`}qIHY@N(8CjdOCE9M-t;vddM#^^lb-czpCBd+rqG~)l2yLT zM~@U!XapB8gp`v%(T%K~r9~z7SI7bcH#h%Wc?LvMimgMen|%l zIbz6bIz(IhJ}k47Ok%Errtj)MA##UX{*Aqr=dDv+vV?INkCrDs$6aPM6@l+x4yhR` zD4QwW-%Z!v&(F@elri#_xa!2^hKu;#LE?@4I{Y%K)4kDN_?JJ%Wuf@(k5NE>+|O8b zjK$F5P-kQgx>*)L%yXPMk!=;B6|7(e-pI%NQN-c^%bm$$BoUKv*8LepsXU+Nq5o#4 zsex9rL62f&42In0EkmlaCnnB~%x~Xwajm2)7#GLWf(WOFhp-=%9^UMnw1R%_Iw-rp z4_w|cLtG6>_Urm^$NP&cY)r;xd03}$awkmb*A6L0Sh_f}hd|&9aZ@LOZHTRp>BcKu z-~ZzGmRrZ)rjS%4zIDQ*mla0>jaErBz)TkOFB~1a*EfKQoBS994e^lI``*G(1*r97 zBcb_rxwppK*1EC#G(NpIvgtL(YLsD5k%J1I$3tAc|E3BC#M|@|Xh7kZ%F;E(zgtbJKt+6d?(y>Nhd<x(RYY@E2k*V(mAE^Y9P;9Pf2PYg)#a zymQI?yBa^!!_(UjxFZgDVIDr#c6?LPl6IGY| zZmk!SF*trdxLGv=ul3yb?^cEH69i6E_}IqTqvgbNz$PQ*6Y-sr3-1|dT-M^1x8T*H zafE1D&*EXr-Ft2t?@qPS%e6(}YxXD2D>yR;H^Y7EQBtv{i$XB-f~&~r9h+2z^YeItzfFK?N=Tnsq5r5@8*|xz+JL#fpSTPi zrapaU_cq|CJTe32{>jh!^+000mxK#?)hPt{@4*Pw>&vXh1rf{qZ-aLg-s6Ia_63Jt z2R&i$s;0Pn!H6?y-(c}_>!jtD68+Tj@J;>6Y?MzS`1!n#x?ARko30SL=X_kvbxURw z*@DNJc%$q^!g*JkrjF~_-B4b%2np?3l+VV_*V0MieI6B?(5NVhI8e9WWn50ODesY~ z)~fEbC|MLtJg|wB;CtQmx_gMh8Pa07a5j?RbJA}cxlmG?{*c^jjg*n0kK z19PwWg13|8rHI7Y*Rq2_=%d|0_T7TTIt{dz<5?WncYmsoQ@Nh}tkIzp`xfGQNF6c5h@rNtY`Tg*kQpe3b$Ccrw4$~I=nJ>6Ew+;<%Km7IGi2E^r z^WR!swcx44{`bn&;+Ow74gcTONB=Le)&J9Uh~E@|WD z_n+728i6&jiE2lsOPY52mUz3}m_JzDA5&h+6c%I0H2z9Heg}UFAx{iw$&RA3KF3h=$xFwmbFwmQ=OwHv!a; zH#yRI{XDaLYnE0SC!`A##K=}4e+`!lOkeKHwDY^>WZ%$3JN>cj0vEf_0# z&Qqu)u(BnBDKk$tYGkQ|h^WqhT~Yq@OU(;R?Y0b`fRSVc%en7%e_=|uJ1y=vRQ?OL zExkqsTtvL`feS){mF8oqASFH+it1OExKVOG$6SpQ+>rKP9h6rSxm{!89ey-( z)G=VUXuSBzT=!s7rlmwKUDm|##Zg0?dYP1CQ-tZ#+Fjpu1NYD+Lbp0Kd(-1#>ue?$ z-rHZOON;53r+wM@b@T5Kj_Yi;#_q^f()$76lZ_0Ff{)4P=3uQ}t3E>5bYrj@w0HVz%E z^h`P2M5l4}KFX$>Xs-HArUI8j$fh&%dkhwyarboc-9hQ^IG0bLp3Sz93>67ocOLh> zNwNS1Z?~aVnr_6RTVE&Iwtpz!A+?RyfIqG7!Hvit}PkCmXJ zt|hrUPK;q(g*j)NGpmt-Ib8jPp*=*C{h4@-=I3AGD7EcRX@qKMZ;vUC%-3ZYIAs<0 zWHg?^3T=3n`@0vJ-W7Y6$c~F*r``E{Zr1elx^$nvc)saiMU)Wz_UL+b#v(2E6WkDX$lm%DLspE2Sh+Dk?`F0MiJz3|5NFl^-@sQ@tjSawUO*T16?YT zYY2IGdNpAJ?ivHoJv z3zZK6Kpbk5&MurR-0Wf4cf7h=GDxKO$xEQb^G%(2qE&-wdd+~9h{oix=5~4D_}9>Z zB){XD9D9YYE?zD*Spvm~zKoqCC%IDceN6i)dp9Ky?lg~@;F6T+YeZicex{Z8YB)8D zaZ{V)+i?%rpGF>r#XSI?s{TA+5f(wZXHTI5(XjQ(_Ba7(%t84rs#1(OyR^xMiz#^% zGlGHS!(%Rk^||3h3KXh?%U2N_ZH$^)EuZoaV9Lj%gU7nhk|ofF;itPj})=v#MQ6js(w zhXWGhl|L>gboVc`5ZYK@I77p_**-CBrF=fFbs*Sm{mq1HA>*oq$}QPA1G&+uEY}bc zBjYI?D}`Z^A{;&@BOp3e1;zil=(p0bSl8vva{6AUVAZjp$8~Mn*y;2z5wOS(r zGUIYZF`0(Ha!v5Gcg%9J!)DRhmA{`kFD;Qdtg3V_HsvyK4$C@49FD}-4L&_P1-k;S z6g4gglaTYzU)-kEn%zt}!a{4bD+j7P4JC-beqxvZiDLbxLk04<<(Q>)a5i>vCfxuR z0D3R_qZ-o$Z>#lXj>`oJbPd#-`5~uk1DCHM=X;FcW=UzE^W=(O##l(}>I*PslA}qwj5SN4(_tOAhS-HcK8PB4Z=K`m)$Sd z>Ia$C0>n5?WL7a+#rihp*<|}-*pvb@i%T+KM|aosPtD<4bnRzV;2?>0Ns+mUnYAz_ zAlO|1`BG=r|CjkJMHUcHYT?AKm?sGEI0rG#tsILp0E$E@q!^nZh5-BrjKSV3O!R-w zhOEaDH6pxy(`f%0PD-*VP-MwZSAZX=p1*T|%UF zF^UqAZ$7fT+Ps*asu{dF-5Q7@H}!WJ6lxIUIW+9m&Rjm$YIBtTeDm$2YzP4G?Wa2n z$HEp+?E&9PT9g*`?D0X7S=-l{Q8M1#;!ROs(&WogM3Hl?&nYr8yECXMHqy-f*2L}I z0vn;PHik(d=UZ`$zVUg}Be-G`eap$Pte&bSM6kH3qUdwbF~9=ZidR9Pf2HaY~~H?{I!%u_6wh)hG{o->XY+_$C2B^;ev8s^H6 z0Hp>C(?0)!HV1ovLEkiGUq;(KJJWM^cm1N@+ir`^N!pp?vkb3@14XYZOMHlqWjCb7q$D z(O`%c_j%}5<$OM`4$)0ZfHUH?lG$$}sK4nu;y9lo39#&5ynEtt=y{xmn&cW+htbLW1_i5WIuRSFpT!9w<>5>)g za5__&(5p4}30-iA)(0^pD#lf9KEfvQ55jL-C>^z9{}n}0YVR+KpvQ9!YGR}jLUf^o zGJfKA2^^wV8qLUv5b5=;w%f424zkv*Zm^rM>=y8P#G!*8-Ya1jfFg)HOaiIF$iB z@{G=vx)0tVp?>l9o2jtQClv&k_ys!l%0jBgGu@Qdv0kJ~h=jU5L=igbUGbfgC zI>>kIdextPRf5z(96P&ZboZT(Y1eir5P)EMJkw8GBtZOvO+w%HvG?oMC&Jdp1U}hl z#-@j#41PglecWk~N{!V_o1(W5LZ=AY^EId%T?-}u)V1s$eQiCjVW5{|h|tA9w@UDF z)H&J@8i;W~Rr2{iQ5&66lpAV0`g2eVZIPm7V0Y97u6!3V5QxiX+XF2q#pkgUlZ&lR zqy)K;)u|j~B?6ZD`Zsd}edNt|FCHbOFpatN^(I+y6B#THEes^7T`n^4EMNkFOsDSn zVl3-&mrG)T17CK}P#Tku+q>fu&b5A!g$@p;{LKZBSfFG2Y+BZXS{@%7XL>W`4r{)E zOG17n*Sna)l7x^+jl~a$y@sZ4$sJ+vzEtAWjDda|f#kD%6#XP9K@#s2G`M_& zdck*3@RSj!c5mXGZb@~>c#u_S(<{?s#woDARcu|W((#sZijGA{lj^V9+s?83hkpj* zvKm%9dc~%&EFiO3{M@+BZ{L-XN&)su5BuAV;KiyxL>BY6e;fZSZO4f(UvlU<#1HAF z|6G#Sv;3q*5dZcaZ$o1xX7=|VL%9rW7D28Y`9h_tsRoQ$xO(TY$VY}y4dDB3d!xik z<*MsC?rws;gS0vos!uAUhBXIGXVi^`v461AY)eYOl=trIX%AN@80d6eo21s~`c#Ip z?Myv7?wwPJf$P~TVXzqpR_65W^mxv!#r~zpl|S#k_E@B%AHvDVVv0d9w}5Yar3KNa z@D*x$Z@4Yv@*pxL(ceaQ8uE#@vtOnhiFJ&N05dMZCaKPO%1>DOU z*vEfKvnWx~ht`|^jbGjUGM9RN_@C*NH~PKOy=F`v90p5&2$e`OI*~OuLh5{S%}2UB zP-guO%dvUJySLC7`PH%LNs?F>mKCYa{y3jDnDmpYw7E+_#2YPZXS{+pok<(-he0ew zHwX;&>U0m=bP$2iU?x1JChJ4AtBxZYq;?YXYSc&+I=Xg0@{2Ne`9f8XqfLT$R_{4? z!1AbyfJ2O z2#S1d@I5UcCRv-Z&GhJF%m5A`epyA`F0o9OLtIPJ>zZwcRHofW!9jHk&xOVy3je^UZbReN& zz|XE{Ak_DsC+z%VVU?#Pbz}HRH&RWlg!RRL=XsKCC9}BY*_*hmd1}8>>S{1WtGg~& zt`=i7pse~<}(2JvM`$P zs;<(R&vB?<272TR!GV!aV4CRTC-RH+R2O${v#38}2=A)XTcDp+l#ZWk=qZV$1s+E@ z1g4bi#=skA&)@X6U7IA7zh|D-{hQC)vTG`C`m4Qd(|GSe){g&usX8n<5o~@L{PJ;H zoZ92p}sGl{Tg9(v?ZP_gJZxv}6111cBcFtdNc8-h`@LnO9dH ziskfK6GT}-m~&!fSR{Gy5A&}owHv|M8K!ro$SNk`-c1MTRp!LPds*@`K?GUh>%sMY zkwgldvE;)Fhn2o3?4MF`;jCqa!Q{;=MGSXojElx`#j@jTY*%+~`e^LJ?dbrY<4GG_ zmya6k#kt(k?qJ^xT5Ees$Y(~G>w%It3gwJkJSDyjO3Jru^5{KbLpYoQ{R43goY@bu zA5=bGLj`ZZG{Q!NGI>ttZG6?-ZNdskx%^zg)%{rt*&ez|La}wk@>Ms_IrwgxzjALZ z7UnPO^VE1N(QP&Tv@Yw|=I+_uQ2V~~Qg6dAK9nSaq2Rl5{tHcd2l3R~e6CyDoN;f3 zBR71$dHXcu^$=|$EI4s!SgA2f{AsUW1#kYEQQY*Xh=+Q#&$%bQre8>FmsGwMR%zZhlqv~u%bNkT5s#E86B7a+wX z>%5_~6obGQX*L~GRY{jc;dj~?01*~m8;)^vq9;bCc$(u}fn$rE8(D1gO4u0Yd@P*r z#ft&coT3()H?d9m;+h#{lNcvm{89qTQ*gnz?7AZOK zdJXG^I+Hw{!yZ;nhX!PEy$Lo9iB0yJFTPPY@n$E_c)lr6UHGI9iuCo4sS(b3!mJ3z z^5MltD@sG#@8(iz`>jqcD2w)ABD!eYaaM1`F77}O(r`paRn*VEt+);*H98>4a7lQXPpUo84_xIkLGA+ zOrQcF8Ma+LFPo=&670w&pmIdlmNM0IRLhf)0UN|zG0%!;MQ1>Z(>ioO*W#d#4E%`8 z9rCAT_9i60hbc<)6bPo)u^F=$EPbTC%51JXy#beEo>jLxRTAe zZ&6UH zQnV}!pA|+vWuZZgSA7)1^D4c}GCkqs53)6>vcUB;Vfj8kH%n_$jpf<}{WgzktKg@1 z;~&QIPeAhfqg07yNA#M1z_D{%3D2u|Rgk^nCaP5tAN-JXclT861A#tPd8$LxK zbE)lRdN~c?+gDZEs|CVIF36{oS4{Cw%Aa2>neD;l<#O`Q?QN6Dd;rNKWh!HaWP@I= zgM`2FqfU(iU;R_}2j@kVS4h}?BI?h!s~(bdOcY<~C(tV$?r0X~aE#85;)AWFD##wn zID57zv2ep>`3*5Hg0ok#V%(F?@Ah`sbit;xN8PD|RUK>Z9IgKqXE6I^8hNq*g>hJF z4~MQe1$pZqkT7eRRk0PTNrz}xH;AtlC}Roc&^uXxuZ;e?iOPoZPln_R(&L(vBY!73 z@kQ(Z&~XKb8916{H;uM}oqWG`c9y2IEN*sz-)A(L2g3iO2diBuld~(`AWHuiP1G`5 zyKn)%zEKJL*MnJu%Tn ziN4#~-lnmr=Eb(r6OW&Kx4D?G^T(@_pwY5^I4o&E|Zzo8h0k=9nTxLYwnntaMo?)4o^DL_T? zdcmDlw^OzD1l|{m+}7j8iE>_6n_}3k(2}`Mg8J(3x6)CV;ccsUkid0`8Z~(9&mSmR zHo$#e05PVk074jX&XfK17}UiFZs(%wb(j7q>|$1f&wNeHx?%HB*hVPpEu={=4M+kL#H?(_CY{de#}Ry`cailw+a^y z*N**nqXG(Ly1((}!VKKsYBN<#i%K|TRy$SEkNN85OESpRaF7rg0#$x3FH<2423O1O zw-B+6!s6ncvmdJ7s5FN5&%z~eH9MK6+5efJvw2mc0+(Y|R@VHySHP$0xZlOU`SFN=&nl#?ry(p)S9r0gK;b;FF1*iAF^;yTW2Z)DNzO( zulNsIw@m&QBKOov6h6}c2{bJf9t9|4x zS;VicX$m>`r}e@mYWm|_=WvJXlfpN8rn7J1eGk9VnFMU`*>Wyo^_Q>ygY`3A^TG3P7?}~Ik%4@k=)-LztUjh)O<0Ha;*<=Vg z7zGKwf_7Bmmg8Kwy|>hy;}pwp2=>+T;y(1K;@f$=X-1ovFlor?+;XhhEmp;0BVgqa z;Lft(ehL7dUc#g#k?_Fil=_v2Bz2v#7+5}fAY}Hdgu(A4$VT?Hvb3_>oi*gKpsR0S z!!^zGx?O-il#;sg*RncelKZ_7IcqrNgQ*?^9)rwVUrQ_M2d!$@zH@T?%ua%V3<_`M zG+MEZm9WC3U8tI~CQ3xsw=m0y+o z;Uf{puAtr&2f+O}Dx8cYqEfXk1n$2k;H6*sJJ)`*Oh!y2{y!iLRnjv&GBht5i~#%Q z>O*nB?zfSLHtM>R&%w0K;+*&?l>jjfSgPlAofeKo%`dQUNuk#o^jbo#4cBT65%nm4 zSIX|eE<8HUze|TP-6yRtgywE#-?~N|0+01ZJ8tmD^Vmn(uMC@E&0;slh#NUm|CO`~ zfU#;m`6-R8Qy?pgFc~rVaUeF*73~Cu#QNWlJ@}7gqQy6Ke-R35QXj3_hqo;ikg>Wx zxyD=B*4!N#bCZbTe~0&D(^l<#gXHCJE2qE_?-^rkl*ukuz~-TgsyOj>(DG?n_BPV5 zJDUSJ6UQKG1wF zD{Em8O!<|EM*<6HEHr}Azyf>JRILg(Q1p$SUO#5D{92RCK@tfx#bKX+WdagJGUYSu zP89cXjgQ>Qy5-OvTvX}J6*Sa(GjdZWLohrLxdmHj8XV?xfdzL*4`g^<%f8^xxGG|3zd#0nLn$gf0PsbF14rc= z-P}ruwk}yOG(zJRON)wbGBOasE6XFFB5*a7xq8~K!qJEICx}9KSJvvvzq>=@hDCIe zuY3E~@d-8}MHs+cXU|@=4x~4`9t{kPqNMK6)z>t0ks0n5>CR3k!3K=k)1LXfe-GR2 z0QrQZ#7|NCH%x16V3t8Q<{rA1-VV-Zr%@O^;4LpThJ8>>j<4$Y$me0dQcQIRnw#D2 zjO|QhyB%$=7Y3lYb@LIJQ#IY?A9GO)^K^&|!=)PdT3`!UmGnbR*Fz+wvUG9fEtPIw zEBk!%24?OD{qv~IrX~lLP1A;Spb?AQKpD8{{dhY+X!_C4^XzBe+m`dC{WijG{Ke+0 zHrQUj#jN1~yVv3Q&7hAqbbFLA4qADj4eMSF8}24Fl$A@>u6H?`nLt4?Bo%DFf#srJ ztBZJXf<52!5J5Y0y9%cbzKjJ>%COY*h5$>>>%IY4&cW5%Kzm0DNdt;uI0uIFq>cBj zYdA;O4MaK0TKjIh*J-S2Zzts<1O4dm{Hhp5{=n6C=OhhU8MX-HzCD1gKoWe~c6dM; z>&`hKmu(%up_zTIi#@_2T(S+Jq8{@L~F*jzqpKkBe#qK|_UbPI@E zk+EUjw>t2=9t-&h4Z6q}+5o^~+lL;slFnV*UleoYMb6hbJnbTk+Aq=ea@@apcW~=a z;p5|B=yl#6rv#a8bT-^dlc7kX7~48M@@byCx?26|aj?JO;by!9OW5IJrtswEA_5Pp zq3(RE5`8c-L!{2f!|K50_5ge(ML&aw^z3`3oyS&tih~Pp#=f|7S%Z_Il?5oZ)l7=6d(sgkpC#>M3c^; zw3KaduHo7Ob@eaxI?xmamEZhCEal5q@M9u) z@TNUjpeqy$yjMm}L^O~c^AC#n?@RwJfqzTj-xBz@1pY07e@o!s68L{00o!!RS^$7w pd@cT5<=?@{za{YhO9{YG#*@{0GQ-lUz^EK}Eg>gf@VGlSJ)-s?*>5t zFiPMYfc)KsK_GA%D1s4&!oVn0U@@_<>_RvK1t%AUlS{&BkZ=|R+<_nNg@b40AQIgW zS2PjHL_}c;l3E=}$AzR5MKb#!a{`bzN>Q|`XgUH~QHqSwft<~VoHvGC6iERCC}^1| zI29?lLn+xVQW|?uT}-5=K~Zx@(+Jzq2#0|T>>x85R6){`A!$VnX%+S8^$-k5D1%G_ zgMKWdunMD!2O}0n&?Dcr0K+=OHd83My4 zz++9~u?^yJf%8gf3ZP*EsR%(SVZi{BU?@UJ$3-ZtK$sdKtOdCs#CkzHUEolY&{nqz!umk5 z$#5J8oknQ32B} zvTzH^@U(&mTg`~Xw1`X~;#N%*hAK*pB|0iDMwB)tz#+~_D_)x;zN{+2h$rDnM54Ri z6*1~7icD83>WHPK$(Q}oROr*MM4;EW^=8iD7U(v zl$%S+%g>8d%gag050cIg(aJ9^EsPK?EFcxt))&WUlw<-ouGron8s12fx{(oh^F~o= z*{!m4=d%2STXhY$bMd!}ua=VnD)MYA3yms^Osjl>s_Lrh(!iSXE48t ziW?dm8yhN{?ld$tH9gD)nn^%!5x{d!VT`vh*TfOYy7vEtC&!+sbCRumu6bDqIqkQP68jlH-I*7U z{B??Fae|YU$pdB6332aDUoRxG;n3WdcM>ei@#I9w1q3a+5J0`PWFV5$+hB&V><+sx zptK5dAd;V{a1=qm>w~kTi1&h^Ew0JnkT{W40EOh-SjZ9`dA(mi&{kXuG#_4hYR)gl zOQ3IKtVD1r;*wB+(V{kyDzT8beFLbx^O_+bXl#^K?V61DZI;_bT3Nt0Sy6dB8~hNT zL|wauVrJSuh>yG(O-N*5WAMd&XY5(74qLjpY-`bn+O@UyXwhUS;VkvhEO7E@N+qXK zBHg9$KF8p!70hI->%dn89Ds}vUZ7x9&~ndG)H)>w+KK-iIj|XmkO!9zur@7^>JI=ChI)A=q!O z_5wW+Y;ren=qd=$*{?lyqC8*-G4|1591?pKKtTk&b9g{U_g}l(Y=UBk6O?N4i|K>Z zeQT90fQ-u+vv+NkmNiG57?>h_S49)*^J;&BRdL#|^$8+?WS#PxtgscUFMXeRA)U$y z`_%A#Brz$J-zRKd6gJexVs~3IoZaG^C;{D|v~z}q*2Zfy{h9N1VK7L&1WQnVdd@NG z6}oKLiIu9^v7Xgtd9XGSMw8q1qMgxre9ztAGvbLnh1MvYcj{j1szclp&O3l)jN@+j z-6!EQqBXn7H`~6QXIrWBIhQT({=BDnQ2tZ4cMjF%s$;3zRb_uB0B;uGx>tSDwV%OP z7`JYJWwAZkg2L$2W`ojuiB&4W{)lhzn0eA8xFbOf=!Aw;BbW%ytUo9%J`X=Yi=Scv z$b#?!4UgXx>H!{fWYxN25juqM3kRqxMT7Z&RO(sJIa5i!S1VYjSU4Pm4SD$-P zyCflY52X>9Dl&EHq^49tBE0*#?*M+3ZBtSZU|(kVg9YfmRk;9YW{dN-Qxsj{5b|}k z8RQso3yPrxMp}|>JWf%(gmF4V9Kavoo&{asB}WXovGk+0#po&l@)RsD@uf`UE-Vw! zO(NSyr95b^Lzh)Oo_gla43#`o^~FMs=R%O2x5g>1h?XkJ?^Ol2D*z!4%pokx}rs5K7TP8An$ zjU|ykl%WJP7FvdK%rN6W_ov81iY52!3QQN=*}mzjm|v6zZs&N~qlrc~14}V*-Flh_ zH=hKLP>f7`gwC(I zEJXa{V{mJ#)%>%H4?k_1yuvL{3H~A0vqm`7yPdbPxB9;LIfq2yGaVo4Ll@&nG`^ZKOfhH`{rnWn*@<9k}>Y}C~ zKdNOmtHiW_%f}3Vp4N}7ad>_5wti=i8&@t$a`GxE#Pxa%XLmL{!OTeB9`lK)(jd|` zrv*T9fIO`v%WFh>FA#!tG^fvf;qm~tMK0H6iK)&3H+#b zLG}(0|Gd@glq}Q^)n$=?IP7i(kC^!2&QiYOuyw0nCJ967FTlYM7gI(rni-_p|1wKlt_;VVM4v zJ8TAbZ$1CLbOSy0>mtx+|4d5a+xaZ{FqYu^ndr77^7p%km1!j1{}v}T2d6Bi1ZrXU)vrKTl<0M(&CG7xs} zftZWk$>Wb1ZBp2jr?Hrr)o|ynrh}m$Kh}nFGnsDRkce)vZo$c<1d*SvZ;3(aXi{ES ztfD2O$o3&2n~z~niMC7uv_KJInU$L&C{(%(6B|=)^K^+QG2{{+sxad7Nw0@YP6QZN zPUhahAiKIAh}#nAe=5C6my5qR@bE2p$`4i28|prBuOL$6OFoGlS%}yJaPJ&)7#M-0kP0 zsXsx{Sd^|wETBuxny=osTe0k&Kz$S{PrM~=6LNbXbWUdX1N%iRJ-2TYHx?W}a>3r(Sz_ z@Iv=l*h_legPzx{5c&lm3tWJFyIc<8+6AB@l1Zq;hlKdt_r~sBHIKJ@h_(V~C?fwr z<65jLS>_RTDH}%>wve(31rAhc2ABI1F_YYCXWm_JoKQc%FI-pa(j%hScX?+@Mb0KQauy9jTZtB0!-m>FBc&N*pJ8*lqK?HnaO}z2GVRq zb!viBNS)vVYg$+#LvpsEsYdIecBV-bx@msq>U70U5DZYT2n(!aS65&X(FF}i$nY1+ zvI;m?&!p+_bi=MRJJXbKW;2u-qY!~{co`XYmWoIcmi9?;9%jc-Ov|54#_0<+zo%WUGJf^3@O7%A;T}POETaf|D4HZ#lw`lqdygZL zVI7ng^dc^U${Phi8->`TKi;gEtX6F6xZ>c^os@BJ>DVtPDn^IuKiJM++n@ zBV$uMgzP{W@;vz?sOV-jlX;DNHjwZU;Z#$SyB9%|g<+Njd9l*200%dzCS+8}wGsv= zrASQ%N9-Y3nyPYYq^z6Rxrp$}A#8hrd3_`g>3 z*;Svsg!a|IhH$msF13P!5gg3*p-t@h=eD5ro1}1j9Xow>f)Xi&79ega8ixqyAE_Zf zASy z_N)2xs41)oR1U$#MTsS?#`0KL;@bgA7l0FtL*f{Pp;nfrFeVZ&rV@ufgD^J(s=WU4 z$$agPeAS@*iYpN3#WE2P*Te%Z`Qlixw;@D;oWyH!cJEjOWUK{ZnVKIrLQi4$-4Xsr z-OZG5`42ud=O$hCM?$V*LM}CnTO`>$E>2+Ia{P^^^>1YQv(d7h9aAL zK!T63NEyiU7L<4l{ydkef+t;7xSAry&Vm@dQpVGQIBPvFqTj5md5de~daJfzCaF`_ z$`PmSh+~wuC#}`hz^mHGFGafoTGAA)W(G_b>Y1F&+O6Z-8LHZiDa6S`TMrqsDXQ9O zc{<5)IS8IM+=n*S$6y>qJBw%=8qyVS&pwmVmUQqDx zSG#0FyD50gFWKpIviEU@rdBrfj@+edj_aH0?VH`|lZ0q-zwKMP3N9-5ug3MSm-TP< z_HS>2zfSvi&wuvsC=cx054?^WI4m1L(+=$29XR6=LRE&~VuQi}<%(#+u09<_A4t_l_Mo|y95PJz%bFn`q#mNWD+w~+;;+Kc zY*do}1cnd}BZBcGTU*c}lKs&J@R2agvNysgHVSVc>v5r#+Xhh>8kO16pIF=N{81+a zdFs%xd^~8@Of_@~#uqRs{A-NBG2YG&6oybAkSNXCnpq@i1Y5>OM#g11h8Y)!X=qU+ zyW$=J9j?bLa9|h)8Ty484^x?p5KEBQrIrT)Cjd>c2X7CdKE{wM=0Pi)DL(*I1<}B# z^AMn}lKL2HYY{+o)J$^_GJ(XBZM#sn5TNu;Q#r>|g+(;>&C+j4^ko2=`xX_^r4OA1 ztc6e)28{RMrqXk!syn8x{hB(_B+ECP_T~UWLa5e=<0D^ZTH>j>-_A4#j8AP(+yTjt zDrYQtW@-%q?q=$G7n-mI$~Q!>G7LrM5Y-|OMjb*EzyPdB&KMt2o3PA|is9F8J=xlR zLKZr6r*DQnb-HwR8gQA%f4cnmYhv+3DwXLRibd74yJ#4Wp;p;$R8qT6+Jw&;3<2 z&*rr!*%F+~((l%YoT#8{gnGyz>$3eDM+PYZ8Jrd5BsYc z_+?-MHAHh4&-^cO_S#{=I_;i(@-$y&Cb+-EZEht{2M&lgbvEFm=H!{oE4l!sJQ zmafkZe^VayuSUmhPmBA_zS~|f0+!yXJtv@HXH;5P&!F3&wIisZ@+@3+CedPsn?M66 z!gc^)erLk1Z+rDO^eqOwzOXHAM$^^t{P#N-j+0$5h!Q^Z?2RL%oC71$cxRPUdj0l` z7e>z@yL-^R=K_h(gw$UCa(sE-&kQAQC#&xLT6h74Y-x*uNHwq)+=3=;_5I!_aJ`bQ zf(+ayBR8c=16imDu_i@lmEKb|qBQ%r)LR)UQS6z(l#TaHH*WCw^)=qrHyz9jmr zou*(7P&YJtEdKfkcuMtWM?>Y9)%6{R!#j?|cWw{fZJ)e52|vl*JGsIBUZ>nSI`PCm z9Y%if4IkI>_p}`VgP6d)fb*dHT`qm&(u7|>LbaTY#Qo=(<3C zEgA@yyzB7(v$#EI|NOHv&09s<&*sjbb>+?$`pLE=VNfF4XwZvmm%6O^$DaGs@Ei{8kJw;SAI=9e}NAFnxpw`650Kc|ljuuup00yWe6#s|>FOSn_zcSXbZc(5d-xxo z-SCr z3BUg!IBZT`MuJTN3apE$?RXAzg{tL2HBo1TjrqadZ)cuuc|z8&4J}$X7o*vkg^9N9 z8w-GOLx-rXoIm$sznttno^+1+x&G_-?{kzS7J}k$ z#+F=cHO9hUN;l)$zr38pkqOl{YfwmxNorEb?>B4GsKYO5(dzKGXwjQ!UBWY3d$i!0 zol`GqvwGLIXtM{8UDDx<-fz+2z5%Ye^f)u6eW?$v;n(HdZ{SZ8cVYKPGLW`gOn6MEx8t zL%^W~+6bC7c$srkJhNw;sSbCVjG3NLU7MMK#JG&Pk^HMRa}#xhtc9tLK)Z#x30~IH z(%Q4#(%Lyq*2>nquHDK$cwE-nG5S@zweuB(oQ-RSK!=U{b-bLdXNhNrt#?J5oSkn& zU5B0j!*MzLz|L14_Q8V)d56$Rfli0;dAz)1%l*1KQqdz%N3h$Y zz(iZov&h=3+q1+uUD4~NcYU{4S@49S_wDG{-QE>fkV-yP8G=1NHP^M3eCtZQdVCuy z(v|$~G}QN;``vvwq2zzR^L3B^!$G8SK+B|HZ$Rt3wsK(mnpbaN=ks*spzeeE-k{$1 z6UxE;UtjkI51u1cLWWU7eIcVXIx3;#%-(&WliV39VbeklePNF!CRM_p$RG5D&#R+U zBNlap`XiQ2bW|f(tiAgq*PJs{qc*%7`lGgjCsm_&q7V9`pIuA_GO zRf+e&<%5b0wb(Ze4Fj=nA5N;pz3V&}hkSe6*N^aSu!PK#kCXwn&>8YT}wz`lmKjumu*`>+#Wg$Zy z?gpkQXz?;FX6k6U(G_)R3Cb;InWVZg)G6RatQNDa$K06OyYLsI7Oy(P-C4#Jw55s{ zbG)_O*;cx=YEiW6*g#jidc5*TXifIJxui! z^HemjTU{#d9P_*w*=^wTWvOHk?j@O~Xz0eYd}C6}ORA{b&`WOl=6tG`Or4^UpVe~d z+WDB5Tzj`sP}FkSbGWy{xT0}b@$#($EpMfjZsVwq<=gL5y;WW*n#8Uymwz4eR{Pv- zlK5r0;vDXSMJN%HnVwdn@PFs=dkE=rPpfFse6$3VOtY+?W?E^~ z!TXsN^;p!)t=ut5^RuW^vTU+iX|f*ovuf|Ld=RyA*BRk&Gp=OysCea`H{RcFrN^qH zW95Eun!m#XW#?e7<+9dzc#|g%$NWyp~(*o=*#ks8e=4vRdm|8xM+V?{z$h zTI+p|2#y(7cKT4f)^~spj$P?>`qHu1|2{1^{*|)xkJYt-uj9dqpL?DEd|4YjM}!a& zDlSmw^&ymYNHSxe3sQc4m?k|WRY1j++}wW9nQVmq-uI@l~rV(x<09n3?rqfc6=3x#)@T^3Q$VYF{_zuOK5T5vo2K%$o}t+7Z=^{XW|An~T@eBWeXyef6z3mr5og z>Sg1QJwHdr3?bA)UM4ed@1k^K zMi>V|(&e}JXfk5P1k^&at+!t=PsU8h4us}LZ@=V5U7o_Lh2@uQ?+fW%p0OMVEAHHW zC6RG?)>AFKbZz^!{N&}i$bs*HzpaePwUho zo2++^tS4hv+Xo^aMDM(HM#ZgetE|F*@u$J_}8+7m*=9N zeXKww9OBhumr9;}dO(5xw|j7hbHgG3&_HN7fP%ySM-G@mKmZNU{cVHozp`aG8Hk-~ z-W^H*k8GLN4f{XR^8fnT{kyNMz<08L=HT$JoEDk?%E1pMG5_Co_1``EAG&(~Zs7mT zDMJ7d;DYP^y_x3#>i+=>T!as`@abIpzlQ>Pt@TgJ6g*=;-Dqu?|35(i#~F*4bGb$} z`nUDln^x}HwEFGLSRO53cO1>;H0Zdu(dx6@Bx^t%T5b<{Idj{f^TAGUOn>5&$DI$K z4-#P%TpV9SfssslQK#9imY0*zzoTQr?nkd4-;nn2@QrCbn6K2l%4O6o{bsq*{I1iJ z*rqqD&F+uOje0xA*E+)AK6}#J>9swOLcwj^7h|_OQ6TC(*Vk>bKUZT=VcZ|9b-3E< z|9mb%;mgVH=+$#>?jOqEKOQdMb)FyS|M~Uw<>LyI!GYgDzkO^F!@Sgnr2qQf@SF%| z^1n^wgj+U~r$YW! zvcMNJX-xOmL1@)f!8MUUP7Cdf`wChw^flS|i5E;3VhFJ1!c)>Ul=g)>Z8AHzIsvU; z)JN@4zYJUaG(`0Z5oqXW0f{!K@*!PEGs#G1Ih`I^H6PMd0+KUhx2Ka9VX|M zQ|Yx_E07lU*t-;QQL#ZkOil^lRnb=>Fzv#3!74gLC0h2`*oRlR@??>tG}Pax(i-y) z5hsYb#rca2wzfIUi$;n)KQNWr*ruuu{yWRGtS3KpSOvv+mGp>~?CJtpBz8XlCk1^A zwjyXTFEw+dB98T+v+a*61llSO@m1VlzuAN4;V~vd#y}0T5Zx3H`_5U1bhz*J97#lz zEzwq|GT3!z78i@TY4YYcgt`us8GIXJAYnh~F!P9XN%B+EGz;)OV&YjCEB;nB`4G*D z&C1Om3U>uU9KwyHtSEPfRE?=YtgOATmKg5)CzT=cw+>xo7)39b?m%IPyRn!6%acj* z1Fca61nm$$r*;srvwGq6tpXDf=EUaQ3ty%d4VAPgfsS<#3I?RS2FJ^~`G z=f@v3R9M`Do^Q1M3VS9)(Uv9F8RFiK#ZhQ|dR%BzL%lZA#rb7jhU@mHC7AA>k(y)0 za`B9@rm9ybnP-3Go4`6?M(P8$KKadX8c1deg^=tu_Av?$C=Xuoyo)sY z`3?h@-!Aqd?$C5tj!k)8e*=yU3(EBx|a8p*bqg~+clJFc10}q)| z<_ILfEfb&WFz;nE3SmidKq*XclAGbjcO)~EDXZz?QZu>!G-K|WPtlUSNYYF;{@VC7%MSmcV+6fwb}$!lO_3>u6|pqWQR6%`{| z%k=_DOK`uMiH=D30Xq;4wb0WNAeu%-&6hhJR2c>NgkOfI zkDtiX9BSbCD)M1M-J8Wac4qubpZKJZwQg&(XxX*OFoya3z3sX~9SO5vv-y z*{<^4SwsIjemlg{^MTI3pJG|+GRcxLl6-B=U4+Xtq9DaX_>nTx^b9q^3(`FCne z?_u42{F*$8rm+a))Rm@EtwVxVYCNE*dYka^Dj3=JZkS&!Gi#&d#Z|AE(J8HaIpNak z1`D`y_gJwJ%vlB0EDBKKVkJEckvdl(Ji_m;G}ca|ueXQm(e{(BLMwBXW%S7>h$j^9 zVrgsFy|hUtvPCx^xOfXJ%1re}Bg8{9f)&8~gG0`Vj{q0e^%6C0A*fBPhX zo0#&62dWdF1feV#DhDQAS!0#8hWeXJWIT8gxQ1r3$L=I-LH6Nu9O%~ZW2L3M<&o#8 zp|pdYvqz`G6BN zX*7QMNMmS(-I(ZJD-5eAN)s3z!c!{ycG$0+E>K~dPHFe)0$lc*{`MAp`Aefqdx~cB zY}gvGz;wzAT$^zE(rWVU$X19*(J^q`Gnt-!{2=s_>}f_koaaiHJg82jk}(5}Ln!85{$?D$FjsW%DVQ$^x@Le0fJieIgh*1n zlN4kg$<*}^DKJ`~VTe~JQ&bH@tx!a-lj+VS zt$O?++2=+&gh;~)7scE=)HgDl@}-UF9Ar&cKXX zpfEkVE7K8Tlycq(`gX7g0pznol=pW7uCvfmN7EJ9WR-Mf(U_Xxr!s48vMuv6YR&{2 zZ5WzvWYvGmZf3dqNa1RmⅅWt6ev)_Hhc>}H{Q zyV(|y3^+u95yH37NXJVeJ2$LikX==G(T>k8F;MN;7B zL&pR!kerNmn+5owkoikNjC})y>X8t%!0cfTV+)r25jwen)_zIg?hR2m0k$cb>Oq@@ z7{0K4-X$p4O?SQ7-_43nbsAL20{0q0+#Ncdo3we!pk8+&(3yM(S!)bAFmXCc^F-4@1-gq&>RX8)O z*m}dxK@W_$K&yAb%JMttltr7m0eXEWcyxQ5#7fHrt`sVlI3p_)wOKcP= zu{ww?0_b{$N=3Tr4e+u}Av&~_Bvr~rdl5NY3WbzW;L7NevfX5_x4bE}u`Q);DH{A< z@^jt$wjTW>U+W5Mx(-Ef(zlGc8=UtALrIDb!#923l;yRE_bT2ZW!|O_z12OPH*!u! zxA#W8qVv|x?{phXqFz{IBRQa|PW^8PLk;8kcqax1Tp|@oQlwOX*h|(Q3_%{{Hh1N& z8s)xlm{*FN->BTB5mo(BnL96IL2{+B8hN!8g*eU1c6YC<%L>hm!)%O8pwK@%2xGmdelU- zDYq_Hp*9;_*i=Sqs%YwEr&1bVJPjk{4I+g#%61L-f=1ktcFt(ET#9u4g!JFcA~03H zsL9kDR!dDT%qUWI$4_Rj={}2}!H^P=bX2v-R(d0nlUjBHPPw$0#oHP`Bx6{t#jR<|-X8_@W zIJp3xbz^_MR1bcn{`(^qB4Z@%{|Fu;^~GFD^gDR%lWyL*j9yWM9@~nR2?O37F5K3p znYOQqKG0FHFeq=+b}9rb+S+6(^z!$$Ll{twI%a9vI@D&B|-LQAfCUDbEoJ_kT zUavS+FDkYDwud3CSs&rrMN!wKB-#=Y!9x=54vq#3MfDxmoRU`}HRE)P%35;_ykVzp z^2&`pirrr9os~~J{+X?!K5IJ}s2Oc(+y9gwj0@9$+sh@>K}Oq0Z*6#oq5IxG?29BC zLW0~r#rv0qh>U3{KkY3w({Frh(oa%jKG*JSq3A&j_n1EJzgni3D=I(AuK!pTsE9Ky zBX$sF2sgJnR4F=p*mX{``w=+u+0lV8cA(9_w~IZ!3~aNr@7J~;eAL^9uIj)0R+n&B zA@i|LhxVYZsIG?sSa`LMu5Zx!YWLtuS6N|un)1M_3qzGM7semAqmu?o?0fJABas#s z4}T7AWLq%{40FT@Af*8wii+Y&^&*1N8r=8=18>RrBOMN7y<6z4A*d8U7K5R+ZMSqB zr*UrA;#i4G<};s{oRIe<7$7XEWi7n|tjWjCMcYl>3@1vgt%A4x?8Yr?vqTu{dO`!t z&uOjPSIjIyW%^5wPt*3q z>+R{|%B|0OT@ZhppL zdf??_8MCK6j+1md-P+flq_WfJ>)kY#8sqDY-YgDOlM57ki*Xl+RTW8+*WdVS|7yCg zFe1HOIQaf(J55_oxg%X$Ju{z#JH`Db1SYu9C#*GH1t|rcWi_3iP8EO0-la-{@JHS7 zAa-8(4!G?_8i~ zZX9yp{U?cC7GSmg7F*Po<<5pcm}$TGVoASF2&b=!tP4VL{egi{_ivZPe#e`E+Ij2i zWk&Yd_j$I&cQ()Kc76-^kS*>^D+3)*^jAPNc<6RVn!Rbkj%vYneKAEe2DqRLE(Wfc zF|G&qZ^18Z$-&~rkT$?leBF~Qa?%ETlaElQj_c}{v~Il7&UF+mh5Umr@N}0Eet?h1t4Nr+A_dTU+6hQBG{;u^SciuC23##Do{dw_WEU3)YpU(CItsH(RbEi$ITf0Vq^)y&`9i3 zy1Gz4KPTBSDtO(Cr-|N$e#TlRQQs)&@MJONp~dLnwL6Cy}*}Fk*y$ zCz;qmnEF~LdNCs2B22{YvqVW&<0*x}Zs=vt`lhdIChLYQ=LxWdDq5hRHEX*eI+ zas7_{;7@m6gItMd`>+3^4+~7UXu{!O@W=GfmiOPe!hvH_A^Yuj2%d<%L1DG?idTPB z!Bm>x-4c;o>fhI&tC)N9pt!2^k~>5+ zdVo|Kl9x2><%dzvvVx{B#?q*`sWBk|D@4lrBm8z-U^<`$6{-#sXxSZ3rPHfp6Fx(9 zXTb=1?xZ~il{89D4SwPLT0M&q=%LM*i@dDvvV3z9N|o6ifFQ5cFV-`!x!ad&xOT0p z1*-!E;2gYu40p`3{!Vv(zI=%ndN~r!A}mRI;MfOmp1H>M4=8{T!(}Vf(Un7`=mdJ8 zbxXi#Cd#M9B6Vml^Tt)(R2N@U$jmJXZ!VxVUtqQP6pYgU51nv+NCg+QdXaHmp@%AF zS>{yGk(TyF{n!(Z7CKlKyUD-21D)9Ip2%aE$8yKJzQsr3V_q@sH^Z9IiLYlb8+`G= zrq~};pM|2r;=g}v_V;U)C{@|UJ*hSB`31N z?q;QXo}39}Mxsw?b$PN1Gqe3>r@bgx6z@&zi?v(i>k0LLkTO6Oxl_w?)M)5czxV4k zsz&-L3URi`-8$4bL6S%#yXeh%72$?aP#JpIN^`EB1NKX(t4gcLVDYf4GK;@-pPo)) zGVXo28UBbCp(sIDHk8M-trz#xbdUzVqWGLyKVs5m^NEgGI)fLm&ORq4jE}IitwH4Zj&4sYp$2~edmQdU;Haq2jx)Q+$}De+b-!8~hRm<)C(gf1!YKVt0KhF86z(5-;5@t*c*s zOm&%N@l7JrF6+IdSeJ5J$vS&v&l_Ti72&)DsHQgbE>Dy|nvWs&BqT#f$g(9GzZggV0R?VesrdTouJ`$`@Be@TRDmv90(32Cy6u@OsIhG_>@cNQ z+X&@lTdoga*C1k8JBcy^0~h)S6lgxb0^QY?liKT}kULHC{pdn&sW43E1*VDqg#tCa z@~hJe>9i2LpFv%k=liE=Du1DX;y2CN?}Wcl;KlQt8(Jr4qgf^qy!8K9C~(P(koq?* z|L;&>;(O9rR=|IU0({5YEaNKw4GNqDU;iciZzv%4l85KtP(VUR&*)!J;DU~E?!TbG z46Hc+zo0-*{=cAr#%BJ%pn&L){Qrakv!|>D{|N=2WGNJsu3h{G6d*J35Bet*;M_0@ z{SPQ`z&3sJpHM*Mh0@+Xp}>d#o;gAQZD0__{~r<3-?gT~A;ka1wWefbbgBQ0kiw6) zr~fNLvZ$I(!WW1*&a~ZGZXo8C-5CczRyXzd)mQL($DU z=PJ9hM`0r!=MyFh?s9Ze-pDGQt4?8{WZTQi5>&ieH@oY}PE)W6rJ5D*S!xFvJClC4DVHqin(qs5-7cMYm0=f>;G{6zT=huZ8OOni2!C@gK*U4LE(Zd^ux z!^&+ar;uQCi8YD`q2Q#6Xf*x1;EfSTWm^*Pz!0HsNIgyZqo^uxcCW(SWDrMNuE9#( z=@9)uVBb+w)U3D~$1TNTk&cL%D!WE!)#$1LH+$-yQYT<$C-6J+vwO}A-UkE|e_29P z1gyTkh!hI4sLm9wP8HCSmCLNrJ-A>FKy24*_O;$~MtB(olT9upM7wGY*^4rg&`#=6 z9t~woiYzzF;_4Y1b!g(rUfxDAisV&`1nO~BGrpRBQD`=y{ifE0N(rbD4*enQQcjJz zpjD%mK51Pic;S{GmVE66?oQY_*&ST&T+|)ib~%Ql`t;-oE=f2LG6cv|f&j;wLqQHB z@;^h8R2-5pQo?^a7MZPhuwOv#R0cekF*B&s*H_UCy=QYZ8w;2Ea2cp-Z;LSN0 zb{NfH#BXFn>&MUA>Ou2IV00eMX=KAZCqAO#AS)>BktZwUM#h&XJ2}OjC>B$>##VY; z_ev3iGC+2r=bWl@`%0lU8y{t?BX+llQqA@m^6WnQi|n^nAD`fh9Y60FsdIkDfsM3X z+$LOwcX1Ik;HL%r+u7Fn-@dp>hOEqMYj3nh*Hc%lKP4zfNGv(E?RPz&**NK5Zt8y8 zV{{g^eKQXu!?&mxBt6%c>r3L2H+-#}Lt1y#s9L2V{@#}0z8J%sYI1Oyx6;Jx`v$VE z|I6d8vI>PCX(cp@f2vDqr89H3%KR-*S>>TmBAW|1znw~{%^CT|!DV*)8LAOYwt-#+ zHRX+BF|tc!_oJvc%73UaE5Rp%0&b?TeIWlhI1T)rq$R?AIW*-<5$`d)GF8V&5IZ?8 z5%Q6byYO6lANO?QBprS9?9~s=);ElIGR$Wze}BYv4<|= zI4M75IvEPWPlSh1Um%$RPgBsfhvP#t!)a=BFRv|kB|%gm)Usr}#((J?FY|ZMZ1+qO z)rAt3yaZz*nibY^4DpLzo;K9bq7i0b@CErQIj}d|KR8xVrkhJ%Cb2RyjnzY4F z&ujaW4l!$mLaOhkHT%Dl^g?-t)uSW(T|S8L5DXb|b2qp>kG#r1#}5HkqfPyhI4Pq; zq5GNW1(!{i(nAgx=?c~-Q3KNDOSN9v!urzMV`=q9&Ax`_e&DU6LLRl>kLAyj30I8M z;Uty=*aJz{Y{AwF`}`+*o+1l5xou`>GM~T@t>o)V_bL!W!ni=UoZins^ zkrt#=N*L0iyHUD3rKKH0qy_0xKpF!S5fPjZpIFb@-@DgdYybAU_q*rc`<}UutFJk) z<2X+HiE4!$WyKNpQ#Fb086}+R=?aWtOF?-LH_^;_v3bO3E4@UL^mq}GHYp?UmUB?9 zR}Kn}R|C|C2RMk)NgOWZe2E>_*B;)aAy^$?d>}BSjHOo~MpMM#j9ip6J9-H!l*1y@hPdcQdtrDUoPC%$Fam<>5uU<7*Q0&xZ2p3}ZV z&wH%B?xZs8p+J+qJ+u(R2O^RT?-yWqtRQV}1Ejq2f&T7rh9L!1V&}&j#FgQ0*TM~Y zB#!DLQ{vfTzgkVx+u&6=Wz)1gi}vWXQ&;kdchotsc}qPi1xM?-HOD6t4ZsFF8^cpb z^sOhj6QF{qK__LEoQ7f~2JnTvjn*(j^{eg-EJ+>98A#iVps`;Ci&o^{z9^NYLWtfz z3oG7W-+IsBuWp-fp2ks$C4IprWI^Qh$ZU0HsNL_CPHfWy{q%|JrX6y8+F#0Eyr*WJ zaT+OLKe1`Qu%Xyc9l!$a$BT+oPUI2y=yF=|25mucF(mO} z?mqD|?d7r3)55g1TqPmeC2rZ~>aoCGrkarq_=$SHhbDt2N7r)vy`tqaYRG!(KPULI@@2+Z>o7}x` z!~^EjV#A-C)K;+^_$BzGp7l(*KRQ>ZcqF+K_~U3hIB-#wLuew(TLlO0cgr9j@JIU< z+q@c>O(OQ{PwK*y2o>BJwEoCg^pq&^+PeQ@h56T94~uu#ukWmk1&?~~vfjiE#MqGkO!LLX6K$KM6_Hf<|Z)}j4$3Ha- z#2aQ;OS2<_82R@Qg(cil<(}%UClTBd)<8VdA7LYGK}z<}dNa~}Q5{+O@IpW?=q!o^ z;}b=>1NZq%L+XJ0>C|>q)V?AW_P~cR4axWz0Y#GuLDP(kqi+hsv$Zdx5)7^_)#4b&1favdUPNx)oPLqsYqK&TU;4NS@Y; zN=>lBP7qwjfg@a^LgU2+6IxEKnirGWkU?)?ETmYhzz9o_eT;kL{lozukb`|gyWZD5 z98zZ2Z)XYQT+G`cu@!e8tW(po7$*w8w&hggu~2fR%(DLG8T%M!^HTiUrjZg4X6cqS zIE{oWz6F`Q@h6}aXTi4Ntkj&CX1%^djc9;jLbRh&vKs`k?51d6R~VD3x*97aG27;ZPs>s&B`1QQ z78J5t?m?;%RQ*{=iF%H48O&o6>=Rr%o#Vv zckIxk`dD*3;jd_NnzKFFg64Jyppatdd{%JuxW5N4b+7 z>%0gjyBKs(BK$6i?qO(EW+?19mms?kN?V5cUJ`zsC*RDFYC~JjtzJmb zSFAG6s&$YzvtRy6qD(+tRP;P76=YvSbib&*5F@PCOykkjpym^ms6Z-6kV7}p6E)!O+ zWAL{J(?Y{-=Jh5aVmBMy z1Ox+>?l+i+)qm{>_*R8wUikEzr{t7}qZMXAOcWni%kH*^T=TSEzGPgp8@NTPi?(8~ z0dA@&a%d)@RG%KnB0KgpNiP;w)MW0}7^l*7h~30@`qUlbrx&L{UsF#p*4WdbM>EzS zZIW^`2S%$%eNR78osQvpmW@GZsK|CpwP&CeoiT5hSgc*pA$GGMUDGjby#=@A2jv#| zIHd<>U*zk4~(39pf-&%=@q17%SiV$2Kac>wMtAZ1z%V1JL_>kQ*mi-o_&e?AxB zn8w8L;gEHy=D8jRy}S}|5S}kzrmb&sZKg}_nFm@q4W*}McX9})VC{X>&vCHAL&999 z&P?<5(Ck}R54@3`_M-3O%5yL;$rN2beI+ZEbidm+`XUa!TGKxrbAN(_W~ZzBrxcp0 z2u+PfOQy{K%Dj9I_K%7`S8d%@cG zLT%%PwhLJzRkY4(x2NZe)RY$(RuW74A>-+x>vDj@>itlhmu}r8w$r5l;0OR=q)gsR z$+*4*EOzvyOcJEq7!n@1u28FyAIx)@F=bduZX{xy6zfRzblEmFkR;)v;o0KI7Sm|P zd-5j)qy@h;rK4qaxZuC zpnLND>Ld{pP<{ku$z|t{f)ff5f@0YdzH(%W#7n_ipXwrP zdU;fb(Y*z%nX?yjILCOSb5lE-b9O=V4teu+E^`EeULw9;>`|WBa<9~J z9twowSx>-q>gKNw3Bi{Onjt{0Bit@J(g_xAft>QEwuI>sf)(ah2^LdjmeS3aL{jkm z5;E5CBHeH^1nM&5)v|of)QB1vo&+sd<}Fuikz8?DP{-Ack~Nq+)fLMYXztoUJnF~> zd}6ERn#&b5!|G!Opkj&=`?w36qsB}273C!L{Yt;g+N}ASegaT?;%1G4Kl-Nd>M4{^7s&r$Gf&C^Y+C2?R)xh7N)nSJ#R18-j2}2 zf&Uiv^-aL&9~5=U-ze(;vOgoi{_i2i{~KV9Sg$D8dh;ryR# z&tJU=|C{c?=GUO&OfKjP!^S05jMm*iMB*?|h7ap71F;lr_gmUY5GdnqJk4-UU6f=X z6lPW`E})PC=9U7Zz9*L{=Kz{zT{lyU5a4EPhl3?|#%d`=7QB;#jVyE&Mc0Qq{F0u=K7qjxwiTCSIl zp<)fbj!Ul*&2P&mwRw+i_!gy%^2=o`Q{bA?*=O6s5nlisc z9Gvj00Q0|Tco>5D*54amk3}i*It5WX^=m7YG&YKSgDg+0v8Wpy@=)BHjDG?3zxw#E z6(u7NmC$EZNaesGLjEcu|Ob3FSJLp^AjWX`jC-|LKN$$7N zYxpN9+K+)ANZD*-*Yxo<9!()jvr^bP8g&N>(+pqqM8rh!n!gFmoMG3_mV6|*HLP!~ z7e$vK|NEl;^J7l!H*leU2JR-n=6rCPN7k0a46wX|(Tn@+7LQ!1#^LbNF*Zd&c9}x0 zZz5JJ63|YE%sEXB=Gffl7v{Q;lJI(SwMQeX6A_@Kt2?G6R~=kz?PQW=fFT zy6x6~aoN?ostEPpR;{+bRpi(04EA>}v&v*fxo{Ybptm@DQ!WOdi?T%=uvSRGp&@VI z(_;a`2zN*rW2Q^x2)-~sApmxlTWt&h#&#dKw;csg>CX^2_8KABcoj>4nwecA2GmPI zdzLUfS}u5#nc98Abivs)Q&Ma1CaO~kdX4Bz_ePCk@rP7ETwr$#fPOxJ3+N&oLI9sm zyLUFez+qmKt9Z=Y@nYp9gIlSNqVts%;4tYrZ4P7u<1oJ})J*mWq^ zIBhd3L(1zM8r#gFm`I*-Z7wsN>)&kIA-|RPN2~rnSJ?JxfX!{^{$oZ|BT(!pMt}tS zrfh};>Oe&oeIYE^LC`O2ebJWpNV9~+n3oOZ(M;~FT$JKR)AWrgbRzm&;&-?etKq+2 z^<#c3`j0y1uWvZ=Cuc?Z6IlR!xJChu2zdgjG7!pe#n%sk79T(ljvVhKkedF!V^V%A z+wsquIRycTFVwR&Uu`TXfju|)@FqVn1iZx~yikU+gn|~jx4YZ6%dXZBN_|#%xj89- zvy&eFi-eN9B+h3$JYA|>LnLMqK@I=cocqJMoAnzk=|6$h{_6lij*AS3rEaN4vgzf! zv|G z5-LE-i9i7;?nyjLdIvOatCXMu80Mi}P#puuwL4hqYbDG zTl%0iRT@FmKo)6V@SFtYe+_!$|9a>h|LYO<{jW#(pC8hH_>GaP%KpU}{)fNufAIkb za067qivLCmesvr~3?EtIBMHSin5P=Bs#@FnzI&&9n*f$&;_S0tmf}=KTB>-^l&#^AH>YWizU$?_U10Ra*V5wF$X!yE^Fq z?RbhEXkho6YztEdb=F5rM@ZHE^MUs0wB9gI8<1L?VhmAi| zT919$$7Ot5#dOhbfA*E}E!&S~y#_L3APn9d-BdrYSR-Wfk^UTj7Iph+7}_)Wep<(h z@o{4(vMcTCw6v-*YA^gFVZgi4aLBs-bs zSuy3=_u591frIsu3sUI8ktPqcq*nX}?Tp#5z$@ixiF)iy$~5 zB)L8-1Q4we97W#5+4>TWAbgK0Spp7Im`AU$$X7?oE+k$k8<&X$`$h^lK_RX^lwC9u_nnn>iA zBymF{EN}FFeUtO4Hxrxp2n~H?YY3`)e;`i*iw*Yi3QhQ?N=ySpFiF&e5Lr}mIzRr_3%<`& z8O^~`-!44I3Y>YTs$6w5@7Scq7J`E9X_m!6@Y)QRs5HFR;pU;KA z%BoEgfn6%6W4>=V+59R_tlm%IGc$Q;6;_X1^83aC?b8qKyTMga5NYO#=nqptX!_zT zJm2PMm)oI06l#VH{CQRm1#*ZWxo8{|3Xc^guyG$vna3}dky1K z;1niTxzElz-`8aqo==~Dcz$Dw%>@yMKQEvBdLxPXd?gy_^+@WXM^l+`qCnYqQNJ8z z)+-sIY^rkjo&sT=_(d=k7)>)F8gh=52Z1edqHM#+UX&QMa4*t&!QYvIi{wSdQxf=U z8zSBynG`UvDU|7III-h-5x$jCt}^dC`oT<)l0!p$z!@{_BMIo%xK-l!ZuK~`ll!1g zICWzojd3GL3i(P0C0^!X+-Jy05%(tHnJkYu2Ll#)?AgS8ay0&!L+lOBlb48W>tqs zBrt>ddu2Iv-*=_0nRSC{PYPjLmGV@hQ<0JWNBavC-*WGaPgt_4W!Df z#iZUaTqv~_c?t7lmL^_R0|~*|41>q4#8V1X*tA(-L^1WPR#ml*%{vVyTOf8mn8`q! zAwlaL8&)9}lf@{BVx{~IV<75=QWIHy8x+q_K$q+%8YXfA1wRpYVm?AFgdmuz7>u3n zUh5)xN;}xZ1ah&~C^k+dM-YCY9RsoJ;pbDA60VHmv!D+aK=E^&P$NDfn$YiiaIXqI8U@e#9*dAW~ktuNL|q;&F#^LJf8to z>e4PIH8+o<>eUb$6mgIMpPU0DQ6M}VxR=Lwt$#WR39kU+&Qxv*rWl5kuV44Le0j^_ zHgM~tAKBZgST=ySeE5$!i z5_m`$Z;6EwLqpo!ZwXxJ5zkN!LXH%q7mFhqtW&Wr;T}wT8oU^ACMEdIQM^<pxCHPPqci*j4=gp>gtz9oN0;VBErbaBw)9p;rsU`GkqsVTunU+FX?&j4yzt ze9=ez<$#q{HYZxqG6EWIJ4kVGA2NieNc@Evtz+=t-X; zuLn|>`+L~_Q$3<55bB#)s^oIS@hCj#EaTx0KNK$>rN}le0Oup`n|TD|tN8xj-e}?b zq~9BY5Ykq7BH{z4R~9BsbZ~eLKR1bc$=#!DECv!GF2Q|PmC$V>c2D(jkvw_94dB( z5Z8t$h2;WluqbxMBVw%U;aHfda9aOF{FM8oJ6N~c5e{$Qh4^`U%xrS(cBp&TZ&Oj3 zQo{KA<)TPeyK-{3woO4%4>(T=DD^<)VH#PQfF_{b#{WgI)=8C@2Zar7sw#*kSbv6@e-#6oo%3 zzl*aQrt77JyHlOsut4*(-DXJ7*QEGMDR!Z2PlKRVOS$kjEtO+ zHxP24aT&Madq5)wvr9@g@BJP>$hPoV^C@Vi~ z-im8DC63SyVazln4~ctG8P`2biMUGzg(sv|-orVK$MJ-}vxWae*!juDV<@;WZ1Ha^ zJ?8e}FB=}Y$l2y7q0HgLjpdP>j%dY}E*d6PxWoolxjIx9^{SB)5+ zwE{~%+08KeP8?zk#!O{k^<`4-WoEF#@4|_dRPKIN1q21cK0CkzJ2EdL;8h*joRy*N z4S0FbcyQK3qc+>Gdq}Jh0BQrDTla!B;?|%kkz@*#8!A^ctFT?vW_TF2$OJ|D&_CeKm6l<8&jx^bgD;oEvQ|d7IPTI^O_{cN|s8Rl#+uorJp_0D);zAorJAc zmVa{Z?s*v$UM@^c)T0X!qwpJXle;C79k6n-Qt%15;Md`C%SZy+6i~UP)D(-%Y_qx+ z@VJvI&$TFf4YOaaaomuEb0F!UCMNZ9 z3U{H&c6nJg6|B1OAxDhLo%{-Jc%M2jz^zVTpr(mr^vI%;@U^_k*F-i?+^&}FPD|{a z9HwkoCN5@sN7)j-OMEN<2{*1M@nzhP&6$0Ob^UQ7NUGt%=cmt=@9CE{u=G)W*(Sa~ zGO{6_a-4Z$bjz>~iJ@`zT-Xg25`dU5yj3YjnEI(LcLOb*;M+0})|yaOcWXP#q^=R$ zUbT1v%rRtf>K6%sQVudBY@%t0hTjJVAEa`qZ%4Gy10Zl}lyovpuq9@3OE;!(S|(V~c5B(F%yk z%n&ZmKhy8U>h8mLF1W4Mn9~iR04e}d@CKxrS~t_COaGlI5p_YmV@yUw&vH9YG)AJF zy-5GHqQ+xIjjG*yZ*sbx;}n#Qb>lCpy%vAY)7Vv)(?i&$UJ_#no_~J8LB>9>!`Aow z(m5Xb{`m?uDfdG(`1EfM8ytApBq^NiOg&;wbL9ZcbiNt5!<;#D`mZKv=u(ZFr#AuVZ>vR0l-HnlhU1ow_1 zt3o1RIIJuAg^k%uiiZ~abJXvZI$5{)j8-Y2x>&txaK2=2pTJ?oRkuJ4-htWNtVfz~o^=B6_~Fv`x|*E9@9@-uS1E<`S;R<#h3~V7 z3j(E6tUCP_I3dt4X;k8c>SY&W*9n4OYs4iIjJ(|BeyG4YEDZ!%OAhzL+1>G~bnW;V ziSA;LFXrl9aa6X&xSKax6Gog$;CSDc+-F~C}e1MKkN(E;iG zH0iM!=?qO4@X=^v3$#lbF1}7cl=qrwyQ6T7us99~)RZUG#oxsw6X|*YBHjw*Av0P< zGcTC%b%a$ZnXtOm1PSV1s|M-bra)h`;C5d9?#@Vp$7_MyS;L??GmlBS4bZ?8ULKnA z-Z+6Fde+E%-mZsKn~zjihSMf!-tBVUongU!g4o-9!JBUZnYUnRwcu0ti^p@LZ{Z=~ zVz~JtJJX`C>tgKXm_+MsIwmR;LLOOLlIRKIxS*wIm%HrPOGkomzt+3hdP`ReqcwpU zMLayYM+p0-*%#L3(#vHXX}h-6Ys-za=~HyiJw{&k5ssu#*|!7^~<~& zSl#xL-7l$Vr+@p-b)7O%Pke>ao>f`NTha0LFO!M9v1Z8R0#)!P#di0Uv&Pd|vR;FJ z=*@8ornj6H?+73EO%!QOd22Bg_0h+}h27rCC+dpOQ*4v#_;jk7QEVx^*;4$dQzHG2 z;^US9zxMz1Md3}L@PAYA?hoUDzsLC}cLTp({rla3)gQ#(Z=gH84*W?nVS0>LqPA=L zC!DG*Dv_)T`7W^a5;cJQdl~ssqwPq7fXcE#Rx>+_dl{U$7o%?(X0rSGaWq#7r$&?} zn{tHu?+Frri2M&9Nc@KLPl-7Hyj*J_nQo!OmJaDsJx26$fa%_Mg^+~FhJOEOsn~Es zZ9I$Z*<-jnj9x7kAPN*#aoJK%P%V<*qMlTTT_Mg73{}A2&=G$sMc9o^)*}r^SzZ|Un z=G&^--mo$7n{Vr{VEsRRTi=X#{L{C!v*jPYt-lhy{=>KR!%F+#eOo`hMgPsWwf*?r z@ZWt~zwA!^&9}Al>*3-r-`1Y4Z=e3=+p5#s{qOs>9=?6`{5b{#U~{hj@@-uYfw5Pv zhZ2bHt%t#tIXA+|4IMWA9;~PL+1rR>jO5&mW_{wY8N*p#xf#pbwznB4FvR&TUU=T& zU4r;d<-0`b)4g{|2yCvcWJOBHtrS)Es;yK_(fwZuUb(i@4GbN(9~;?KZ9g&d+278v zjO5zMw0YvVljTrewUh1Aw!f3(KE$<~>pkzdn}^(~+RYC<-QO)hVRP>lhEqE26-BdG z?-j?39_*DQD|7GvJy@SvOPQQ5ea6P+9uK>7c5zy!xQJw(a1creO%feOTKv z?{rw#zEgcz-+g*;_!N!J^S)t_()oSkFni7Wrg723_svtvJRe$S4V^!&8Oar)5A~C0i0jKdMcNr zJ{U*sQ9ps$`=bH43h(hCIg2p*1+{(cF+ky)`+A7c`u*`RTLit}2xpXy;4s&{*qgwO zr@UXrg`Ek$ybxQc{W2;2?Y+XLix`2PQMv2tJ$w5T zy>}Un!u_ucbOCijC=qG)3nmA874QX;-*DeC;yk`fW|QONMI_x{e8bHVvFy zep?TJR_9x)eZw3%0p;vHdzW-Q5jm~jye_^aD&;D%llzWOqV&eCsqf=JwCwr5=cAvT zLkT5ro`>}>Em{u}N8NsW@SS{g{cp(bu2V_={2rH~!+HK5Q}?s*!^?v6A0eX;FbgLOk1#)P z?GkfhfUPXdPjWPv@&PJPun?>jiI(L%3ZZqj1T(41;eLz>rD(Ct7l6qTx+RCz3bJ#wPP;@jv#@2>=}gteA98jJn3 zrw!3swfCvU7KbEH8|DL zLcMo|#@d0&x6Z|hdY@-wYaji;b*&RVMZVN{^Evxlw@2$TgL9jOvgn}Ko>IL31Fq)N z*U7z>aZm3NWvg5^MLf4Ud1?aiuD8P5(O%M=p=2&oSf5g!6H&5;vk;8n-d;?@>lKKk ztF>yRZy%^_5r}@owMoL!JjkBU9(#Lrf};5>mp|A;+M4%W(ChXg-R}a4R=FDtUor<| z?RFEwy2%;HXbKf%1@+@=w?f%FMwF}s)8h!%xyL?L8%_%TXsF%h4@erbAo_BG=G_rw zNgB7)_WD{|y(9Xx15@pm*i5&zRR~dQu~Az zw{B1QThc^gqBkcE-@f_+)$1pdClsK%eeG`bJLcQkgzCNccFn&mPxSt5%?{&p5hCkaye})-)KmN3*12P8vQ4n1gwM88 zKW9F(x2?Xp?t_I_*9!WlX#2~{{p8dit8Kz!oeK=>NliIx2f<>Ua@-G)0WEJ%cQ|{$ z)J+FPE!}T!u6mvol>B|(d)*wnotQZBh?mDKjo<X5hQ_X>U8y>s6Ey^F5;OWuu^UD=GW(jKgn z!U5a8HSW&owNP(2uAqI42z`4#(N~bhrK!Qw^ROkl`dVk1l)D_A3m&~2SuxJ%QhIM*BXS}-Dk-}UhcOFn->hfa%=EpkmbIjRGou8j`Fc*6|&(9ZL{XFf$TplGozu48moUdShp1peh^V=)T z&mULk0BRIi90fH(VIxtnOcY)_ieM2%bcTXchmeYgkQ;?iB15P%LulJW=odq-orN$` zhcb(YvKoc5BSSeeL%G{Sc^57b!D+!>);j;W&8GrGyEC!ku1* zo$iLwGKC9Wkn7MHWV>f8UKT zt&9+1iWH>wKevyhD2jA>8F?!@^7C#aIVkF(N|XX;)Y)BEr8AqO-6$|8s1t026K2np=8*{|=?Tk5+7pWjaf1o#mD+E`6Q>OlcZ}5fG81E26W>!S zemqN*CQtf|R5&q867)_wTa-UdV zTy0{Blr7E_%8mqztQ6(JWO~0_*S@FRZA)R6NMbckJw>K+W+icVq+Tqh@_$bfph*Ku zqzM}*i(>rJU@<9@YB~~2X+$Gwh>=uziS!%B>B?Mbs#)nG9qF1eY1-e@rTpUc)zS@& zAFKF1HmXWD>3FQQ^w>eSmogJa(vxYjlqIs#anqmpWl3gb zWviv-E@kn5&nk>bEtbe;HqI^|NvX`rrtHYB#Z9UIo{dM7)1;Q%Vx036nbRJV)Y*~q zc`>JVBoR%MyCa@Eh?_X%mpkehb-O8da^K(lbMEVX4^zRsd1DU~&%9+W#9T+-8@0$g zpYt{`Tp4!-^LNxDw*B%CMwASj@;{E`s(sG?w3PpOKYzox;B+bXEUQ4Tso={}!OxKb zZPG%FL?M*BP%^U+ud|R~xsd3*5Kdb}Dp^EsQbg%rM4eqk+gU`vTy*Wch>^CKS+bbb zq?p~mm@~VWyR(>gxtRaFSb(-fP_jhWq(s!eL_E7hva>{bxkUE71VLLWFIlQ+QmX7< zs+wJ@-dU=-T&jIus!Lm@@9(|p=ljYi;*JS&eE5-!^}V~JWyaY^)9hF)$;gVWN9K|d znc?NOosrhdk*?YP^@HW=iyB5I6)lPtZX@_U)fLE!vPazIq7G$KOQ_lfkJyTGAGOLj z%n&Nd37%vEM`l+NQCC5&svtM3qMo2SjpEwHgY&ZE3b=!d@TyB_gUi&bEAWD=VykP; zgX%`BpB@A?;?*=S2eqo#JR1$_h^^`B4C)!Jd0rjVhgUn09rQxI_GN6)NNnwxf6&Be z?JK9CX}r1_lb|{Ex&`&1rP#U^$)L5-y0_dxIO^g|F@^ef>bXzS4r24Im+JAq)gQ&? z*-1PN$*w>3f2#NR>A92Zg-PYt^QW^k4Pbna&n69F{tYl5rT6DgcRTZmYw}3~^2wa@ zDWvkLc=Bm}JzoaD!H)J;e^WzBbMpyp3&B_=YgCIVC9mS4in>&T=3)Jpt5l&(`~!^Jva=f;$D(v9+pdRHfs3A% zFh8^7Y4etf@(GAQ{_wEEuZjxb4wiZ*%hSH@_bd$GFD9TW&6GQ?tHOn=D&j|*3ti;n zu4e@+&oX|r+iSEY(zUC!cRcK>a$9~{E!bI#-=2`unGz7$YARSX_N*A(RmoG)=-l=E zM`U$Cr#oHi@d06H-0Rhh6pTJ&Rqfb6u`pn5CY8 zomLN@p3N@D+_CP}fZmQ3hn=zB{?p#0G3TosuWg3UPw}0va=cczJ-^U!`uXE|J|!B= z>vU*}e!|fSi+=#^Mwj`Zi2~gS>H9w7_mLC0kp=dx(Dl;t_EE0(%|7X4jCZA%?q6f? zXLsqjp43$A+vmyC1A}`p2{fN?#A|b_>S@bl>H9 z8BWlWq$#__Lmwmk;2vjdirL_@Y4?7d#8}Npr{2glf^LAvIQG*4h_O*B#%NF+MyP_;M(I1Wh1O zusV7r!=2Y0tM-^!t{tmyoLJ$ddsF*McRMdVxivnv6F6CXrMt}?zS7-#UrZhm41Jb< zrDgW&G=AVL_myDxtBdjeE8Q(S{S=t6A8I~D8#o2i>bpvuO0;^FRuX-sy9G;6lTSRS z44OX8nWiOtPQNz2`(v7Mt>;R2J3|*?@m`aCt&cNkSgQRs)#_^%Gb;g%`Amw!3l^&x zNmu1tjWeJzjLCS+s`t!luFYy+&i-9=YhXTi6|6VPn;Q}>Gh3Up zxSX?On7=PGZ*vu_|C{L6Y2x+iqi@&nUJ8anXblZ8k zCGVx8>nbvSrQUU5v~IcQ!_r~riXUC4%G}}^i1n+g#zgrmXbl z<;3dXhn#MiCA^xf50^_Fm)XZ3*1mk0`YNL>mfQdRQtOAz)OMW~rf!awW&moT1qoi) zOJBzePI>xz{mtMy{7njv^u~z8I;DjsY5oR#%m#h%2Jz309-|HB;Br=r&BB%q&iu#2 zU7LNSoBSVjIIg`L7k(%FCQ&r_-R|CoWN*2|n|CFH?-17#Z^>@CL*FU8Ayo6XKv0^t zgkjBK&7|Y4&m`ML^KboV=?rDjpr7S+joW#V+g{b%midnNKW^9R?byB9W+$R^a&xqQ zv-9+LM~r5-E^o*CTAC04o2ryu|H&QSUfSSm*{I3g%A0#U#2vc>e0$Lrsj;%-H6(E0 zUwVMw$H@LqIqP4HkyRa|h_Im!2lNhPyOaMWw~_4`LMI~^H)Nel*V3`?hHZ7~V6}+# z-7qtpU~_RpW@0hh!fUJR+IS~5%#O(s2txL1HJAfU`nKDon8kW$z7X6 z(T4uMYcyScD@PyKqQT_QhGju?I4*;#i{0?LrDG%^Yy8gmuNWCWekGSD4FbgI1cL^< z+s0;-f|)c!2048v!MCX|_vIT#3O1ec6h1}w5k5(sd+JQT!LGLS9?}tjvFGd+9iXxw ztQE~fN2QD;_9>J)i!s}Td?VYTs-@!g|Ee_dEF}>8;z)_w0-8L7=PDAZ8}LbQXDXOh z4tCvdE$B|Z5*|um3X24m4&WT#AxGhn88P-D=*-qP!B_d%;n=K{=>u3~>ke{v@B{5Y zFoOhPIcz!ZZ~zCJVQDIcr+?>Hj7;LS>+Qa|+1sqV%(`SP6opvVB;-PH0Ig|d6k%{W zYBdumtlA-WaT^?2xO?5aPp=5FmSqFwu?yk7LNO2i_`|461 z+naL=pF&*GIz~eA2<2+Rca<=WRuc}Yr_o}hRbXj~DpjC_?^mW@#mM+{O)^7VK*R!I zfIJ?dAJYzY)C;GLxtL~i>l{JD+;z!|=s>r}1^7XTGb>ZJP7orr1K6`-hsJM}9$66V zlDlhPB~QGf3cKK=0Q-0*xViPkx-u<0@7@rBY~Xa{bE58rLTW(Ra*)ctv`FzTeE=^! zjI%~s0qn57HAEvZqS-uw<&u0i>=HM<8KEvzM>Q2msD+r-{PYkN;=$_R`HBaEZlN~G z6Y!!lIOEZURY6^QDwG(-k{+;V`e?c})Bs=WWVu4}(~WsT z_8#vuDDqWu6VzOn7kCDCFUF$OZJ;?PF!fGY_Dog!Hop*%7jJ6{LuncHzD7b-%Zcl=CLZ*sFs{wAi+gowJhy2| z$+3bj#{)Pa-TFDrihxNqE{5KNzuCK$fE6PC1UtA1mRjP+f%@s7kvrUc`>V7AD@lZy zc&;t>hIiQL>o)lPrqKc{tqKWSsIL0vR(=yNg8P}+_@~mEst86lH-BG z?|1DL=HM9gi?%a?Sl!^c*HhPtoif2))P^xU1d5ti?Jmsi!#8e)AIBTzhB`KKCWkqO zNI*wWS+E((8)a^-cUFqQDx4i1-m;g=&*)eIlYI9V`+K~Yobl*1tT=4`zTc7Slt8QT?7ThD80@^S1-REr=YY}TI< zIq!9ErFs{Ae!hTCjHb-Xyy4q;w^2?w%nk2oafKI5N*uOnFb@;(!QBG6FONZHQO1-m zB*0_c8Jg?(ZXQB8jQ+@CquJM}8)!=}5AlA76SYoUQEuRcROt0JM(p!q_ldgsuov+B78E``)ihJwXf?_HcA|PlnS>A6BIOi$;l$Kdflin& zoMzmz3w&g9Ix?IJ5Ajwx-(Lgi>1%`@uIFUb9Mkm=S({G!5}kly2pm-%e?XOpCiPbL zdcll+5Yd5$7%G>~$UU#uV^VniGaWyI3}SkcaO8hWW|Qe|-0WEYXTgD5;HQWIaPE0h z%3?0Z_ZYrFSNf&Ed2!F@`Hx;DA|Q2lWy3FO^vz0Yc*4`&P1QG^@5q>UJ9lV6WK%#4 zdINo$(zb3d8C&f{8MDE_SgE`63H~y;(Fcgvv2ui-Q(?%Pv?_-#iiD|)FsPjCrK%W&29-O-AB!Rj5`rZVqMH)JmqBsQM-aq_!j|*wOT!jG z1N(EHH_IvMjO?(K-~gr9RU!lqame|@Fq}KsA7>~vsDwccT7*YBa^m4bEbx*>8W394 z*dttP(ZfV91Yb46>GmzsCl(+^AZ;4rT1agB@@jjupZwv}z(JmI1-PaK_5oEHYn7h#(HD7CJThG{n0)JF##C_;Y_BMKyq0VialJYawj?yiWR zNxBIKj7k7jf1k+61SaD8pg8`1itBy0N3bzh$T3!j=Mk4rh<}es9|g}R?$D8oglFZj z+#ccGicqD=&@F$)UJ(%;2jQnh1e`W1H~Z5Mh@5kD_uqf7VB*MO5cpM(yC%%TGL+OkDEy}SgR`WP4=Hxdp5oFd2Wj0A|{eC~A z$pFYdWBVNu_~br-c`QIEEJ$1gAW>i{lo4VDOw|Dp9=U?N0IKEO5j)<@JE)%U`21;s zlxS3oDazq@lta&bj1QQy!`S{kJE0zRO>=UcKR{b2K)~a=v=a^BlMCK9Gh9Oww{r@= zgP|+EZg(Ac`ni?A&$t=X(>ixEnd6dRSe0i0;xilS&Jp491Q$@vWGI4CKP4n&P}ao) zXW@@-FkzfgArH~A_$NMQ9pKc)ti~R3Q}oU61&YdnsoC76)=Xgid0xU{UUIMoZY_m$V9OrblyP(WG0|3dS2L#K%}^#gVZJKmjFcszGRa=#0B&Ad z$BQR*SApOJ_VSB1&YV^U_k`%UtN_B~B~#(+S`oM_OE6vu!B(o83oFbM2n-qkxN80C z$~U`Ja@BWaT}!VUs(q?avR>F=e}S;+AU31>D(?ZL4Zw^FCcskjfQ|3#LuiuO(M4GJ zF^IMfkY|4+8P4&(!9@YgDLn;xFrLSU!dA1PCS0&|m;w+EAWCL8I)1aqhoUY6f@tc7 zD#1sP8hhBI-R3&vPtL8=x))*bj|f2VXfKgEL8CC!q0CUm1*?B>@<)|4r{k!oDo<#g z@1yDZNN<9=7L=Yd4__XN?I-H?5b7^pv=|x|FBKmlz(@!C@+^<79(Me0FN1Qvy!0ow zk>#htn1Qfb-aDpXRVysDq($;fc`3BGkx1l;Eubt${LbJW4Q~a_gS_`9!SAVx-|G+y z5_!MdrivpjeoxN!kqDarV{62oG|~^Df{EYL@i)RjO(7PHgAt8kuSF30CQWm72@6eQ zYSap4=7rQ$r3NUuCRB_fjMoeN)(DEwZ{`BE7_+s!7$QG7#zC+x48<+w_sC^>Zsrx_ zBsO{V7N0&03DUqI;07Df^0a~7k?=8A~^<$b2h z?__w>DhME(%L_?_$q)(TZ228rTBy7tVw#jLlK=S6x^B0UrS}L5?Aa)Dp3hQ|d^uWU%SlF6z7>b$X`&u$|I%OsaYL$q?e$fYsTB?hT6QnAHP z|Esa8qZdLU#8ANVB9an+vNt${SWz-y16{)agkH!eXjF_aiKGos2#`wSxh6Q>C%UwN z+ef77`6R(8z`xx>9%j(tz@`5=x+?PY>fD)C8;GasV_GcyaHC#MwJyIAZ$}c?o*&6kOMQpsTZWO zh&Zbrp+GX}`)@)-{u>xOYb`$$U^Qiuh)SxNdDB16LNf7aY1+GPs&bhKwKx^6JyX~= zMrJi%5ju~-A}&zG$+@VqI^tMo#*I>FAgYJ3gX}e+=4pd`#0}>Q0WOcAksMzT-V4n& zG}3M0wAPVu5`fN&jHr`n_y{>kLUNyNY4RU(I&tcW?81AzplegjLaR2=zOV2@4-Ppd zQN}o06rhx?P!d;*Hp~N0#RpjR$e=jL5&(SK?=cKn==ul#iAE(QFNhhCHTi$v*|&k$ z{ z=nn>UIW{pkK&HhqE!Q?;xCeNaksoTKRGzMDw6DWf*7bxoy86gwmPtIHlFZ6&BC%WX z|2Aw#Ho6y=|F$g*%cD%J6?Q{`&7u))K@vTD7~ny=<<$;5Cjm$gw&3+0HadWj$A+Nx zj%V4n_xJ6*)@^T=0mm2Xn%7%4i5ns>)~pLDGd$KnU)Snbel|R%kPd?!6`?A}i0$hU zegC$Jt2SW&2Ma!aPnI?Vh-w*p{JOq7HgVauD6zOpzw#5c_!EBpQ%h*C+hgzG*WL@; z{p`GbvBC$o*L#nmA!lE=$l7-=RTcp>tao`C$_8(<5m{zY+7sF#6xq*vvG+xL>Uu=` z@}L3sZ2x)cemd!4mG!|SDIlrvlj80%l_$A3nnm$gXW6IUG&)7y}Tf16*Xlt(PYfcTobK zDBGJD`U&7G{8~}>RL}y2y?d(ic1PO#m-Odf>K_hU+Yk%F5HJod_SuPLnKaL9bt!{5 z7f{w^{cVWfPWgWF@5Pq%@d+2|m&@pHK=_i*Kwfed+(wWFXDwO`1R$c^+!Hj_zGLjF{J{!{b$6b$;?p!-+X%f|m`bFKpc)xYXX z`ij68|LgbMtr_{-PIeVcx)Z8!_FuRx9amiC*!SJ#vq{f?v!3T$cSpKdH$Oh>Zzj72 z!jdpIh^E@WpO4`d{Zh5S{I~0Ljn(JO!2f}1;fJY~iGnNK z=0AAo5>92vLJ1 zv*?Ufu8fS-01OMWy^9Gdc-dYtP)3Ss^1cdj#onuJjD&w8sw<|D$hU~Oce^01j-pVY zJGp}PIT4zJJjd0haGMl}O3vGEq^y*PpQo%yi|qQv&HKT{CmIiz;>Hg|uNqDAsM;$= z_Nl%DZUOn=4PHQ{@|c@OSYl(+Fp)I7j7GXjS>pcvA%2@U%_}{QY5FV3uX1y)NWEL) zj8>JpBciL1d61iPM6b-fPpB&>+Xs2g(j1Vxvr+IEkypy@3^dhlwkNhs%}zn$p_nXy>5aeQeZSwwMkK~VCnzGW`c7ax(6hT3=?r3GYW2YUDjL$FuDv)=jH{Vnfo||Mb5*tknMm25X^Fv2E|5O_eOW%5FB>u;S z*>EqVG{-Lnu=!d0*_sFGQD=OsG}p|Z-U-lDklx4*5RNu!eTOx3Yh?gSTF_;B}Nn-lMlF6uHi&pyaN+kUE8}>eMvr+}DpDmdv}3z10MzJFbUu$3~GB zZQ%?iZMULx*|M&f22DJ2jwauZm~$G5yTH{vTQw?Pw8P<@1^y2V{+@I_pZ506@!?(P zBabPF4LZch>!G_;*T{s91XFGHO11N!~OpmcGM=&5skud<@5ldw8?O zy7hw&Iy3m3l{jQIJq1x}~I_d{-hR;4M8g-hx80tmz_2*=ZV-<1*9 z-+EsZWdHzF&Wy|)AZS=B&(l**s07bFg*d$^(ew;r>2)n!j>t_0g$M*5$X>=$zc_HL zl=w>^?;WHIXi)>K$t+56n@ImRVJ^afQKs&zgJIyJBC$>`5Hc*$ESmdm@cwIc%*|M> zojT{aikn?QLy<~1kv(yk6CUv9!rb#iHk@++(Ca!as18qF2K813pEnnOauG%RY>TCn8MZi|W)?x387St$uw6osMgbsTPlW9O z*5RKm+A$uFd)oA$8BAm|`A$sw^pD;OyA5lDg|kwX ziV@*@M8-&>hVRc~%S3x(^t26 z`koVjC|fExdjy*gax&H*f)=17+;e>S3(e*L#(aW!&eu;N)GD|LCP|82y1rJfQO)m_I%4;KaemwrAGhh{_AfH#g-_D+(Y<_23yw4yD!xx^gigPh-_h)B(i z`VZfaZ|b7TH3L#EZQpT-m59?NhZ%;{F*nF|FjZ`Tp16U#KUv-3izEk_1xjZb>FZTd zSROox3Cx6DCPfO0j z0j?_q6yP3$zsF*g9vDI3rv7_f4L@M;cJ^5^!ySZOD zk-R?wB4a%Or1x94EE^>vi?7LKTH@VOGoG~%P9prhj;ODoLz1ez6b*UI`_xYG>G#$` z4`-GRE>mANmJSrTJ}_>$1D|vGguBc7MAlyWB7zH5LH?Ed<|ePBNb814@=7Iwj6b9w zOR2hz<&~b|NK&XeZeS+_k7A-V?BPl{WPW91Hu5k0c!n(qUt<9&MNidF7zUHv4!6gA^Oe` zmsS_IXsU2$96=*T#5hcx7?74@N({i`>x(`W!es{Tb$ah~vO?47kvPM;6iIJlR@Czx zz69p=cwUB1{_ZY;kVK$^kPMMR8%cAvop7_;8-{n~ACc=NKkv^*;zgl}VhO^=b!|6h zSsxUeVD}ffNhOFkw^Rb+%#Q4PW#Yn-Qa;_=a+LCqBc(utcE!anYLVUQi=@mZSNmdC7 zM3EkY;(fcP)Wx#{DC93|Z4!vc`=OtNRm(7{rU^OJ#Ry?9f?Vg`SCy(IXo~D6RiM8& zcU!fPu6HRs&pJEBy*t*i74g8ECfl?xYc|bmF~R++w>-NqDp`#Pt5(pjwl~}7wW!84 zPOf1K_Z1MQLwqT6?gi-7S|0Tk>Gk{S5&E>o2EOUbg5&VkTHy~YUqJ1WRp{hOP-(Zv z`+2KD?j6_-pj)q+l7?5cBsETLSt87iD7z7%m4k38L*#}K6)?rA#Uct%2e9vGU)Bw@ zq9W~*5rxCC=~`--V8K^Ll$H6h^1Oq+z+iDC-O6aUud`iAh zr}99A8(cGCu*+NPl`{ZK)9NWx8hV3p>D0)n)T+D~E}5m_{igNlL@tL;`=uVXIJG|u z{9U6>Cvn|p)5nHC%S3MyZY zpFe1p_jI*jpqViZ#MD+b*1ZBQtYh}*k5=`1ZX*)zB9LzchdsVYH?;M@aJ>c*#zq&t zE|(Z;MH1<2!|d2BfCU4Yovz5TUdDVB*;aMD=b(71+SHSil{&^VoyVIOFc zaVh2t`FM5?z0oK73yacb+sStnjEr1*IXn||zm248j0vK~2t$p54nrb|Y2t#(L@#~1 zrTmf0{8d}HP@>Vp$76Qy$H-yddM~Sh%89jBPMWE2pOoLbYfqBhrztlYak!bqm z3E$JlCM$DOQ#V#^$E1NE9H;?|Ke3GYrG@^t@-nRd>{$K+pGY&uxXJUI71n^E?nsS+ovm^V{!VSoS}04Z8& zI9w>L?P1Gb4D(0xN9!%Hrp~`f<u(W9Erk~-BGgH zdW#JwE$68mL!pR#tEQgEa~C)Uc#$QmG(Q*u+}}t!g0uLs1SHzM824+t60aJL;sIu=x)@B2LHF7 zxGIXJk__vPwzAG8&TYre4KQx7pjvx*USYSUkJ=(f&|+5J{K&-`tXG_chOMV8P2Mk= za$jos^QGY5JeIG_q-5dhH)js&0WkZ$E7odfejZG7_oLq84;79jiDH6Y%S0RVF87$h z0v)+`%L@{vYN4?Q?v^8hWk_zTH|jQDLu0F4SAH+|<3K>XgzmkLmzvoXt|#1H)$zmg zvdq`qEIN_OHXwQ&RBjouSjYFqysk#EBz~f;-KFYbom~zEPnk?*YF7iFVJ7b z*KQXM=TB4ofalKqQ4q%y$FY{(5MN8dm%hxK0oK2BrjQ+ApQDJ2Y>CS#K{R&7J#XQ! z*tLuAs+19n79Qc{)EtlM0QsN+CrmUL9kE;9RNC;mELAlj1cAMSC|TvL(Il3>=Iio# zeItIjr9=F(h3JE(qrL3c`-O|YNqA@rnT2%3me@A7$2hp#tAEwsBMx?`OXUytspXyK zJr4$}DpbWZtZ9Wi<;kqoSFdHvuYsGKmLDUGrUeaVVJUS~O5YuUfUpoSE;RL(E6rN) zu48oN0{{cb^L41r=Ckp)iwR$i5_U}Ya!NE6GVk4Zzvy5=z@Tirsk~O@JN@e8JN|Mp zr!-9`yb3qYZDci^`{An=XJMx;5hnuv=(T6PTM|xAgqZ{3G@o^aHr=ha?Avcez2Gr< zQ7i2M4`XpM`nmnEmba~b`^op!3Ul!somuK(7u=bg&7Z9pN3?|SFn7Ie0!$aZb_f0L zLt6x@Ix}pXoz7tG9z;oai0OUqh`h?3{yN55ygAbvkrbj-uvqEs2cbI1J>hVV+i95y z!q>O6dEe67zFnU{AkiWV$`Gw+ofW>fXSvQrc8`AB#dIFT+q&Q$*L@@t+fiP)EzI-W zPl`ZMow9G_ZKHJyLEhU|rx@C`x?b+RF@H9x@Y{g!zLB}m=ymy!+33x)<+~ z;(p@a8o&NIOfqM^x39TZyZcj?&f`GwC(YbX5{-k+Ld5ompEHH;4_x4jy`rF|n#J7Q zk4|n&)}5A35YTc*bn?ErHP73^M^jGl*66x*)x#*M!!Q~h*klY+=s-lqV?l=Zz}mzG z6}vui*zmz~ul7*%mj_Wi;^JWcx5B~TNHgp1?a8Nm8~z8-2e-3MA5r@s{!QJQJgc4# z@gOD}I=6sBOjb~2UdSX*n{dx^50pt~1Q_!wA#Aq`A__hds6P9|^0$5v4Dgsj;WnU` zvypm+GDixp>kFIi%E^lJ-cDL8v~>@E z_mTQZbQ|wW2JMB7tM-#3a7d%;Z*+REpza)0URASCS%qlDiJ zo4huOmq?*Ig>k%Br4&oV+;OCgy$^{$q4}AW>=$Vz!EV9Bxf8%GdYDueEHomG~Ze*vwjb{!`9>DEpDx(1pBiTmnmv(f4K9X4{ z-#+oRZ$go=;rAN*Df; zUKV|%6E2e*AQO=GF~jpR<56?g8c}&-Y{d{ql5BILjda%E=6p=l1D>#?N9m4RXF0c| zB8boZe*`Al!>g0n4aXu$ya*dNr0U*z0^7rwWCEJgpfvTSk^4S?@SoR1GJlq2e4Wnp zf-bYyB%fKpo8SEfUbg&j4vzMfs^$PffBt=z*7Bu~B)9L6{b#Y4x-HQ><$!Ql?Pzeq zT446ApW3ED#eJ6}TT*2+d8uiC#<%`VNJ>+@VE@MYCkY%vJKMrqM0f`cP&P&4U*kfu zIe?&dk|PiPHO)Br>kW3Pz3hAylJ!s~h~{a=y{COM@O96tlABUc?<(il)i(HF(Rt`H zp$NDirj3rTF=+;6UFIEz=G_hcmDG~$g>RFJE`1$RettcR-}{&9Rf~B#Nf={z1P9>X zgb+B0F96K`kNABVUP3{G>1%j`9H6t`PFW%LVU;%?r|EzyMZl>=mAMkJ1YuCMAi0jW z-RjBKJn}&CL zX>!TI95^-oV47Tj!&mT_T@^g60l80e4DXyM0sH)(ZX*WNx$yVm1Xsw3ajIgE2Byb2 zoGD-Rk^Kgiw+WWD)oSwmMEr20qBj)sm<+U3%jpgnv}gG%Gx3}8T%-HiQ>H+ljp)S8 z0**WOPWMc#RF1?Rj#xC@0vHx$XcLoUqw}I^?;e;c{dNvqWP4?1lU9-P7L=`8lPdxG znlB$QZT0ugmtQ+~!v{=OF$#sDk#RorDZSra0Ne^viIC}6tmSsqEn@HX#j#~=4v{S; zKV7QjZoyGg%CG24jf-(dj`6x-`F3bMDTp;%$}W~gUq4ZL&W(KKDaTUw+6(><7wkTF zceC|eU%$`Pi#8+QCU;2HkG6!o&rc)O`4j5E>IwAE%ss?2yQ}4Ui;VcQdO-CaGW!FS z^wxQntLNN#b1o`dkNtyA%Pi4x>LrPz`Duwf2fWgTpvvL3l>D}GDFcwfY(##hI6eKk zr=e(UjvAu^tyL+j)u6p`Y3VuV$J|lyHz!R!wyCR270HnKOih zdDgnpoSKo#tG07@sSrj)%;-}lb+1}2pf{HPX;;clLGo*gb(iOpFN`)aq-^sxa|3;T zJ8O3c%?YNkq{MRpTXAt~DM%~xH}?Pj{Jq@)@-)NQco1DpK{Cd$!idZZ{qH7FOo(s~ z;XS#D9_Zg-O=cwGC`Ooe=C6J)41W(peB?ESk;hY-$axHj$6kpJp^|7ihRwbAMEV&% zX*dpF|H=O~iuoi^KO{;icr;-)h4dP*fhA8(8a%)M*aJ4YcsfLPdnI8&m*0wIhGQSu z(Z_bns<9PoZ|VP8|B1Zh5~q@YWRKmq$lt~RKNm&j(XV&zbcD?8-=6S%8!EMyC~JKV z!KIA#P-SKxOKs-J(sW1$r+45e`%z%Y9$w$yk2fprYyYU_H8n^nwHZY@=_Hq*p245o6Iy;++e5{9W?tq_U5;{=qeGR%qGl2gkZ zOe4)c+E0K4s*Sj4buS14v&r>lwWF+#5*P-2_3FZHVl+Pb3Qfql z@+ivE!=Msgi3OX=uh@1{se=bqURv?u?na%B1iXL}eO&s=)d1a4qzFUg;BFV$SXO=xF0J<5!-tkDVVZ)&(0z_wAYJu470c|p;sWQdgq|1oO z`ywBwvgCovO9a2tAQ8=bC;Z4O8$m~7{()~cBll~I-~5F1J3!X%flhO~!Ys5xl*q$#5~>Ghs5SXQ&0=y!xC5bb#3T?}-rFwCg;9s$W< zJpm<_F$^3)Vi1y=c4$B!XZxfh>#6SqL1Z5D;2;N` zRrZT3ke|X)^6O&>eyC!$h9;O~Nhd72-&msFG&QgNl6+Gps|ZLAmprlU^;O>kPNgus zlbtV!GiI2!5=S4u`?Pf6-$US9wI+lIbeqLj$ddISIxHPARx~%z(2fL>8wl*(IvY*` zovjVHF@S&oMEL&zM1?+Pu~)K@6LHVVadAfwKU@D2T+GBt(+Lg-(o5VswIV2O5vqU> zQNl$8>#~a|p7Q}E%BN%v0rxhh5ztF5?`si+Fr-h z)mPircTPtWorKHS;$1Ywlt*}-(o<62tn)s~CU3X=6~S?}nX|n@5MJ;1Ja2LJR+hxG z8tap=&ejcOzvhuABfd!wNgM>pE<5T}f7J%>r`&#(GlSMS3Llbl)FEP^psuJdCa6y0?7I|ZFj`i`hmN3^bQjzX?8!6fj_GF-# zh=K%RQn1uEsUjyNcohk~md8vUE>c}tx#VpEbmD>ykq(X9``T(X|+{5OkQ7bjq zS0SAS6+M2d{_`QC`M+{=r2K*J+fTCt9{!ENDr9lB#2m{mkQOb_C{QW)5q~IpNkKP1 z8XvQhptc$i%j{3|3=JTxYczzJPd_eqOf($VtX-NE`Pu^;gEJ!!#*-`>p9WT*|5Bz>zpwf<5p1&b@#3Jpf zf1C=^Au!-exejW0^tn~x@nt&C4(ruU;f3@|f)lDUvmci=dB@biuf&%xVGlq^)|YJ$ zw)ZoP6KvE}3eU%S&On)0;}5JB9~@-NV&&6mIH?Gut=FkKHy?i&evh|Cw6YL9eR=Ex zqLi^EcQvQZHKQ$hK=r4{Ot^@7KZ@kqhPnR78ZR1aX-oF~`wgj*h=}!=>&IHnkA_Y( zo_QHyxJM-O0~XH8IJiPgQ-pjG`Ma2HSbj`ACqm{8Gsx>jjc~Ul3Y&n!0X$e1fjDh- z!a+_eAAtfPI03;TLN%&mtK7BArk`HjV%!HO0k_QBd#m+lxrY zQcKk&^OmfFep_iDec_8i;+E|o+pGM&B_aYZMClniCmDz|>CG;_=apN7FjyMnE=C2j z&KLtwatAwrW7)=nfX=QrdJu-(ZcgN06&9*V--IwuNXGX>CBrxayQ#!gW1Ew$) z!4^_Z8@f-+<;~Y=?^udgZ_p7LD0QxD50+^v;2pJkHXIskP0mUOl+!IFxF4>r+p*`^ zD07vnI~de*4S;OjxHnDNQ}0$evGJkwsXKThkpLRFI~nbLpbkmz5>Dvi9D@KhIE4V{ z9Z=LeDeCGAj4T!QsBGFepi{74Nfgil*1MRmMx(alcqVie7D0YbyMt=SmO>++gx^~B zg7F?r3uo#E2XzOPJal%0$>b&oG?&T-K_#be1ZwsO({LS@Io6N`+cJ9#Q7{!%7|n8& zjB$lPF?vrB%!zz}hVjT#D0890EHibbt~-$!40}4IQhx{gvITNIDTcjV<2PHv;P&5a z$9o#iZ4#WPW*cf$&e*daslw}z}x6Buv z(90j2=9u$lay!#D5|mI4NB6=~R$VT8Vr&YlUm6TPny-G%%bO-ts3$>5Eh zLAfSRu4;8oX4xx(N2C?oaUZtTOsn159bXpm=7moT--O|{J8G2=F9_lUrBU3t>C;OQXFWzBMOd4i2s^Hup*{B!L9? zMun5TJ!cnVZo++L1FmF%g^q5}fZLe-wGB9I*ns}k z5+iU)k-%4W_rQL)WQCe@b$7G}Vv5uSV=HLkFE}*6ZNupvJp$GEUUt`(X1s7}_(OTM zzpD|amR4+yCCaHSh1bcAqI223_Ds-$xk~pAxp{ z-MX(<#(hjtTM;N!K3x9Y+EY95_Kwp_!F}%IAZ3Eq;=f(Dm0Ay5Twe@>IylrJSWDPF zREJ2UK0$Vu6VP+7JCfUAYeGYy+6!uG31(D|BG!ttWCCR66#3-b23j*j2GG10)wPfI*LUeB^)l~7Kdv@(ApFQEBY}`F^25W5lHxvc z;)YXi?Hl=lfSU&|rtKm+-6;C~_5e2nyb%DJl%LmMAQFY+%7&rcFEpOeY1RpMw=P39 zEz&}Dh(C$Pd|Lb&vNvdq>HIZPE%BF?qmiCet>@+M)Oi94yKW<{$_G-9Do48t&AV?d zOE=V!<(b&*suCVV%v?r>;l}PdJDOEbY0%C19>Z%8alnKr9&2_xCrX~j_**2&u*68s zV~ZI&YcVV&&gDW}VUB@n6k+vL^J=GUWFIyuX#wV3r+S$VW-1TZuN5 zkMoKPqw61Qhnd-#Nczx8TKzcl<`38#R+LRw1JtFWETmNKk`j9-u0;0Cm1zsPkj#Z7 zw)|lh|CbiyoAkSU$Fy~{N8oGRLSn*eR9WMHqdA_o+{W!|H=0CCKe^j0F2y9!M7>$VJCQGibi6x-B;9pqA|Ubhix)$% zB;T*ezwVDzN_TSxjyr4RvEKBiNrd#5hBYMluXnQ25+Q=p6Q-Bl{g+GWeK|i<&99m! z627KC(S|i&mZSwni;@54)MaviMfTfl%~@v6E6BE4gPra+0#|A5^=Bnp23q{5Y{r6p zoBt*A><|i5DTb_i9##D|XlXI%&1jHRcxCOHOx+f?NLz#o)>)%qT zV$$S2Z)Ovpo@qBnCHLmuCs8v2HDfbX{9wy3(&#LDjbYdK(~l(jLpNrgUgF!l*|L06 zGF^(Ny<`;DznM(T(mvgpan6`_AxU~{o8|AFwahOM62D#>&FT)g-hHAHl@&3q48t0M zW|dbD2|ph~fFm`PW7f~#Z{PhTiSK5`C_Rgu)MtK7Vl~cO;O^cv|9&YmBtp(Z1s*A{ zbhj;?iZXa9?(2YJ*fnw;Vj9*5QUoP>)1}j#wDTmrHz%9Z z9_qfl8%97alkTivs+Piiza`zp@Re?{YKBaP>r^@GVwJ;1FP;tnhx>t~Iu2uJXpS%* zJ}@6QvzWI;OMaF000^XcB1bjkIi(h3*%l5%En8Sd|A@im2m87`xWVx$DPI+{08C4O zmwfW5dqk)t!>*(HtT51>^w5dNfYvU?_m7dIABn};&)FJxi>bD}C!YWDRM9Hr2Y9db zrQ9+h1zsEfuLYI}K>k4&IyUhNdlz|Hu)!G|_&=AAPH>t&U?TJbY zZj)t~c53iv2y^R#P#H#s@8s(El|S%eaY_u1>IRyETpjo8wQ4kQo(IQ2fd@@I9e^dV z)SWrUP8S+8$DGHR^UmkP#tZJv`_l`aog`1HSnIIb(5J&9eR&RpuFILeB`aSF_8H7J zne3b}Uz4qTN=g`f8&;B{o!;OzoJfLZR|(q_Mzo(S-6Dc_kX|*caB@5YZF+L+aum+y z#pS%#x>uW99?9q%!wZr~fE;Ao#Xlml$@FL8?}&HQIg4V?^S-0@5F9WPxRn?6!eFs9 zMaO)nJjY|+r5r59Wf-kf6~P8#A@6ZqGmlHq7bWYqH4 zSLs7bU+}g9h{`G3r3ZQ6*Di#2Ttkxi@u+Q*)!CfO+a>>v55`tai-Mn*&VPiFSzoNg z-u`~EmZEEYxsl`f{c@`qpJe@Kr|RwZKR@e7t^e*fAAbLP*h%&vB$|%y(=FzQtNOfz zO*!*?XG=FL=gXb9&(0**r3t@t$KLFUzds3A$nko}O9;T&{OvK&I~&lCQf2})(;bAW zV_};(v=XOtXVhF$1oP=KVZjN3<0Kcc5O`Tf7~m~}3v=(@i#sR-e<@A3C4*sZo-+^y z!#wJ_%$pSwUpS5#d>n}uUnNh;?jDAE3lt#$rdsbr2cxb3ACJKY0Pb&S5XvjjcTefr z)v{pFYc6a(D3mxda?92h9f!V%ttPZtvexOmHY>L8rh~1SoK1dk~au(Q^#k4i(8$Cc!7? zq#~q9`QLb_ySO%Im^mYY_$J=57Tw99ZG~{E(h-74y^u#hN5N70!y-%2|KvZL`Lyys z=tl!bI18Yr4+Yo@gie`Eo;)x@n^L{xtS7Un;cy&vwfgsqAD7p3gEA4t>F>cLb0l-6(PLA>&c!E zG^(Pz9@=&Hfc^0G3<7mXSL)#X=;ZTHQqpN!i=2#7@0~uA?09;DnD90rS?z2fAW}R* zn$IR`7-LhOQRDSH+p2ufgh=SVHdKX2iR z-^nC|l00p;8`%tH++79J_B?qnAMPFJDf-5)-qCz4b^2ZP{dGd=1yd#nxm&-DP2A7B? zcR81~3N=4Hkn{)~&HFyT#;o+gDlOR1@yGA8^Uoj_uQ0OwWtikeCwZY))Pg5K^5o(R zn*uIMH-D8HZ{00&;1%zgzXm;je)n2GAq0XZz&j82DYfrYB)D(fa+OvVEj+Ghz2YI; zR=XX}eq*lyagt>B51Wv%c4kG|S0KcHl7{pFT) z(S1yx+Eqn`CQqslV>MQQ$q7e7<1c<|i$A-pkmb6%69{nH`CJ$1vHp>;#m68!o-5QT zaEPM_73^L<`yt0f(aL&_cYli~C^}ysVP&X=vWx(PfC5kl0_lP1$IczXVShlhe~M9E z#jF(e+(gT)2M4Uzwi|znS+7^tuEO@v;b6QV3L=pV*x_ipR#;b8?g1TOtPU*;gqA3b z=DL{mWQ1D}ODP@>D5Ck@*kKr3`gIUPJ7+>~Wr9s5P=O=A?jSQ>dxS1NrC=kciH1@o zk=HJ(xM5D{6v>PufNWAuhYm7@(GzqR0o5p(Df(M3n*4g1s5c4nPLJdRN`VM{jK-4C zR8U|bfI6BCRCGlLCZ)%c&g_vTA8gaDJVMt~aO!i>jgyPnA@3^^RgDpS=H6>eCT>0? z{^CVBa0H^WB|;a&PjTeS5bz{GQ9sIAgd;~6Qw#&_jYaT_BQhObGPQBCS9L^hy@Z{L zGrAyk;;TS1meg7oUzWm$XL&)+bi}|h!VsEtMz^}sL=M!6Fn!ct?KnQ?K4KNel3a(u zy|C*7uOQxGG@57vbjf;L3~WrFc}{;_9Zl&}1kkXte5e4tK!o&Q+O9BCO$^B&Y&2<+ zsmviGQ3|&un!*VUkYX9D5w~z1WSDTM&Rc*FOI%w7Wx@SLhe5SYi1L-lOqZtwiUBtq zmmrAK&4&DHnRHE?gX);uM2Dc)lgPCmK?lMqr*dkivPDuTL{f0VQ~sjrZ_%#Y`la`8 zp7h@xZ$4sxN1}_1wUpzoD5qKjr|tyG@aAgjgbTC`5-5hd0Th}T;`A5LmgPse9ULE3 ziHDa(8kfoNMiT_6`d_{OkGZ!Di*sArgr9Dpq0z?O9TI}OG!irfcaq@llHfEBjk~+M zyGsZb!6hVt;1IzRAi%KSbKZP=u50$3{mRTYzn|`|yQ|i!wW^+~x_Q|_z&#uuvIj^< z8%zLZN=iXTQO?7g|9Rb|aD7693e>>MjLRp|%tw7lg@r)D0;5s&AbpFhu!^?;{K+wU(*aF~5 z$_Vg0n6=YnODANaE8wEPdnN6Ld9x-~t5q1gS|CIngW8=dg*32X@O1bTT2wpq0#U|HQpz4>|S_4%QRmY9+Opy zyeq$gvl@$jGSEdwg9#TDIi$U5v_EW&^f^@snd7GgAhz^`etffT?yudFfrW4U^kCsV zGjR%5iG^YbvP~8X6MTX}JTsHD{bo)H6-ERCx21?c7uQD4tdCM zcusV3^x%`j7Q$H~27s6W^Hj2U1}8mPt)EZgmX8HhTjYoK5seP>%ACk(%cHkMHkiZ= zrzWa=3|i!7GD-z9-2+VX19)|7nA=33L{sQRwI3@Gp$W}DVrKd{g#y~$;CXhcmp;S` zRabC-%Ncp5pLn*uvaM)IC#X6*<>L;yH3QQ+1_K%M1}Xrl?z;UHmv~tV#PywFu?LIc zh+FUoOUx!?nZ=_qmRW}a0uutyO*e;%2N$O^Oot~Nvd-d!K~jq`lB5u6OEVE2G>J+= z$xC96lVlA-!C7oixQZvd^6j(MqNlAzRv!hPQqQT1J-{z{qpi`3dQV#dJq0i$2CUB1 zRXlOYi9ESUp1MvxizNg?>7GmlQJxP{g~If`)7b2sL9{0N$vQu9xoslOZA4C}{D~C9 zJWOsunyDwW$8|u%sbZ?O;BX-+<{HQ)=xfOw-5{~tD^He7CKj4fL!O6P!du#2maL!x zsAwvxV2Y$p7+_KGti28T>$CQ4?gmWnG1ubMqeTKt@fj9TxBKK^3=(O>_G8A5S!IK1 zHuK|$S(=zwKSX4;j^tBuCN*8j^&mY~QnDJ7_3xO(U%ZC@VEn+xxYowCscTL@HZKRXE>V^%)j zVu6&I;6kQ&!&w#%#YpLyq|R{e3t&rwF`sKN0w;K5Fu($#M!R%<&(oCb2)8(yn7D<_ z*IH!!8y>mo(Hf&Su^P^;K7b#Rf_eWZLSq>6bXx7xVHnm?uo@3ODH#L!Cm!Ud1eO=R zfpCzs*Zt-MXU}xh(*jI$I;_AK4=g<&)Sl{uoZ=zdBiy|r6wIwo!ziC_Sg|%q8fyCt zcCb=?vu_jH80(0c{&~An=CDMj!ZYV56CeBD>-AE!qxguU?(3Nn7DinnRu9&AMy6A< zA3QZp_H->LsLS&UKN%Z{*xGQywIdw0%M;KA6MKUb=+77TJL39B9mG4?wB7e1A~8zd zKC?elG(@PRT~cogVSO!jsejwmK+4t7?b>j)(m;~!X7B$rt1*0Za-_}CxRxKk11F<%=sn%!NMV41> zp3!ag@2g&zwQEtd^BJ}KUsbuAbup4#6c9;A5c81_}CciHoUg<3E>a4sfE6i?0 zN6>b3cXwWO4PIr}iuL%5cDKIo?#=F*ze;)K+Z(;ogXP;jebxP;yAQ{Z^^4K=npodX zcHhZWzbR!u$k^b=RsEK4AG-LUL~}2wX8@Ebq{;GReD}N$??^qs?%HTf7UbV*rRW5Tx%^pf5EnQ!SQ;*RbtW8f6=>l(f@ieNMb3>e<`YWDfW6PQDQmO ze>t;v`8M}@xlm%I)PJS2ccu1vrBUL2tN;7X-uJ!N@9+4(Uiz<&_pZLaUY(ZsFz^3i zx%b0|>ksP^AGiEJ?)85Bdj0W8;?tS`r;FZC*Vmswl51!IYtX(moSQX#$#vp@b@IM- z>YH_X$R z`}S*Z_8TP+S_2L``wn_<4hAK^ybSm<-uLD8&6jD(uk!(4m;1hcxcRy+`E4uU+g{(d zuQ%Urk0igJ1$@8g`+j}%9VBI+BlKg78`#FsiE4m=|7Kcc=pqL(^m4m@V>KfZr^ z%p-Lo5co&azr^jyA2R>~PnG&lRc}uC-X#ddRdGec5jhmhPo0GRUM^ZN*0&hWJ z#6S=#7KL~uci~-mD5a<$22asQ6cMK~6@sUDG?q%t=NATV$yg$jN|tz3Q}N5xdq!P; zw@|*asZ4(Rm7XZR@;ABSzL&qC{1xvCV;b4 z?n?(u!J4H;3mqScXu;Z*R)_ap{#ZhF#?h7sE4|T+8>_v3SC`jV!VPPKq4wJnF~W_r zLot+M0oWpUS=tn0j=mU?=8qFOV!k)nqAk1AqypKJu^BD<^EF1@0XV)K`^zokqkXYr z?cYB1JXyTK5x4!mJ`znS71v{bxHYA~5{N5tSBpAFE7%|R#Qf~*YPaugooM&Z?X}5l zsd&lW_eWoXssr()`hH!AynmmsD%F2;{p*Ud7th4%21n5(2qH;p5{#rCGYP?!WV_7@ zCU~r98b&M=X&O#pIA$6_6A+mn&Jd?h7s-+rX@-nW95ahPsl+gk;hoquixn&qGLIAe zCcGXidDB51FN3SZ*(SWVkU zWrEtSZ=$fezMC%m`k^vvTFNC=zLv~9J+N(E;XvLxwkJ4Y zapixE^R?;Nexu~n6_7Vsm$l!AW!-)31a*s8E4L|v zzDIn1yq~a5UW;x-y1#Y$hTUQ=iRbV9P996%W9Avn>y~HAQvQ~+nv-ar^V*MNJ&kmo zb6pmml1_Lo;RUKb*EP$3ZN6+Lgna(qoIZ4G#qG!5^AD43S-EOSfm9V^hyi^}Zy$LTv|=ApOV%KU|o zeGXu`xL5vlZ=c$KX^U6z{f7SGjqmfWTQ2qQL&@lV--c;vjgMLw-}*UDbbs_arI)Pl zJYC3^_di>74m5CJu?(d9@mcC(<;PmOaKNQk>a&@P-DV+@YzmJoWcgdQ(yOpe1XF~*^Ukrq0xsO*-M zQd7eGAZq-Pom+Cwml9Sf=!81bEv4jMDZ5(Kgm#r%YK=oF=QHS}{)AgvOHJv0=cq~J zeYfZ4ve*tut~ekl{` zhrV$^y64owt?cUn^}@jdFT-@bePuP^0Nx6pS8OpgN8 z`xVl7$akR}9);MB6|%IL(~-&^MMSj~4<8_>W9&SNslHYyNMX(-AU$qNnC@39sUc@l zsys^XIaaDX!<@~S@F?T2tyFbJ&gSfUl#73@R13tME5P)ukh@={5s#cJ;qa_{{+EM@ZqFDzx~~kr1q=1e zQrBbm+keC;66!@Ue&Bns^YKUCgTCX}G1@VI9LrXc%{tJE9O>KGc7yonCS&^48ESD3^?bUH1qX zJ@XmSOE${$aWO`~Xv61&aD>RhQJlehbI)tCF=>{?geZLrdRp=jCBfq)g(VACsZK2o zn#B}vO-s&a2CN3>3u&FJmJa@%lBRtN8LpaEeEG{PwrLAl%d1vaz02ILPZx6Z^sLQ4 z7_@qGE#zITSeslgHv~y86!6@&@YDLVML8`Lk$&v#m z=W`8FPrkTOzvmqfm}|=X;2dT-l#>PKJ;jr%&cY z;pcb07IQjD-w@y7{3P;L?b}Iyy!funt?+bo-%&B+^=IX0mm}G?-%cZBCHArMMdwkR z&Z@tQ9T=7iEp~tWRv*&4YDVkZKIwFBS1j?>0ey|;J?;z89?v(Ah@aF4aX*GPdA|Gg zW6@mUdd1Z99ER?AQDepb?4IU1ib21iq{O?J8s|AqQRsB#jQ0-3lRU|3U*8bJ^T{w5 zIxVs0+Ecr`1{c$uH9ooCKf$?LnhZE^sqg*j9DlWX5b&etd++x^ykBcrfj>tc^c}^= z|JvjXyqI$CJITkp-c<>_oU89UtB=3_Vjp<5`n~T*Kio|EEP12kq&uf!K{idy_&%f zFlg>!7`MxW?3jkU3l9;|rx8SM3zglahG&R!MTUN&_u^f8C|}_%ZR4d{ zL92%0tg#eK8)R>26LuUFc1c3=L^w>v3;wJFZY>vPUJ+zD=I9U^PW{uwwSviAF=AB1 z^hF1ycSS_!V1&OHWgtdm1AS!3F6DEZNUh+=s7T7NvB>qt$auxbM7F4iUDMRvh?K}E zjFPDACF%6tsALRep-mK}JTi7EsysukvI3dA9$70)UXO7bok$5~ExaeH2I2fJbKx8uIpI3HDn&&tQ2{dD;8Gj6dgUdPMn8YAKs zBLOKCk3uedXp?|G9uM8~!Wd6r(z_dPC;F8w5%R*8nB5ycDv_tdp0YCZVmFaQ#Fm~t zDPBH_%G;im+?cL1DP1=4{y6?VMzSeG0-sXyhE=lh&m@sdAA#{?{+(p0PNRG5DYBgo z51}c-Q7N=gCs1dYkax&q^3?mYsw(3tX!zl5k@2cZx2dZZsSkgq;BQ&9@Phi=0OwX;yNuwoC>jC%A;B;8BIz{x8;ul(VaO*p3Qqk!db z4<(ymCA*j?i|~tZA98oM&J0A9&GU7_kZJafex}`C zW(2#SJ$i0aWwxvF`E4Qj7f`XQ@%*xl{M%&_5Jy3(>}>(siZD8|Ags6mYefiWzu-eu9{z*` zOu6t-q>$83f~2bOOJ*VUiZ~T!kuGBqBc=eeU6FD~5xX)!=R}d@M$rQ#9}h<{uWYfv z1g{XXn5DQ_Y$BGwExS}Hd(|K>**gbyqj;!T;5~V^x>89~SXNI`NpEwBG%`oQE=MBD zY0{QU%O~Gqz2vSM*Qz6Lt&;nxkC={KnVwy)fnk}_#RHqFd=t^KoY7J<{SxD-(i?{I z#^N%UeZw+nn0;4i@LlBUBN~`h?m$syVOQcfQ6AY<_#88_zOy{PGAqsQ#Uiu(lx9yv8`TP zst(O!>a41+tW15el2d_MU1i5TiWD!rtm+rd-)FBLTB+$Xta-Ozd~c}sgEGUXuHugz zbppzDTbD&U$U63_x&zUoulse>nDs|Kg(u4O_;&R_x(Y6;>d_|ZuP^g&F&i$}8&D4N z*6kX8A{(IH^;nY)H+S1oGr)tMzZ!T!{cTO)hz|K zf+`0sYFMoroUK|atvdFtdeN;0)wiuildVq<#6MuPnR2$7tF&3#w^>KG*;cpNPqsN8 zv^it7yK=U>Q)VnbY=8T+_=T9EcXd0HAkyE+#Sg1P%``TIGPBI4!{f3&in1kgvLmRw zBmR9$B4?+bMn|fORZ4WHZ*^z(L3`Xm=fn4%g(@Aa6nP2A%4Uun>=#|_U73%r9Cfs6 zS1wC3@7g|nn#b&F6>PhPS1O$+s+UFM`c$ei_i`HFcMn~4FYd!9?Bho4Q|j%z$FBIB zRcczRyULN|3*9|k?|YODdTS4AROjg%{sQAs%bLmyY^w0)v=^{1X~QgyL^)g% zD-A!dxF})@$nIGd?zMjSJjf`{O=~w45I)476U;d^q`)@xz`>g9-f*0*yFks5P|WcC znqe{Q;kSFk5=+C<4#PZ;M(Bh`6k<4-Yex8nMpS!v__1H69gk?`Fz7hEWDS04fX!eu z^^)fE%V$&cruRl|GDj>O95iD__tQfAJGt#+*rj$ytubF=uk^V+Z}f~Qq!JGyFM1V# zGNkCx6zCunWIRG3JLY6Q_DFav$}i9F*Koj>F^dsk)7iiBuM94ATM0cZ_fXMy*hEI6mfz$wz}8pZW9a z16S@5)h-eOn0Afj$6gu~wxaF(B%mQE-lM9mA9TU$+fA032@S6$BBLn-QywkzZZ{xn z3{G^w_YNk~(N~|>`tmxx@ALltMuN&=wbS##M~MtEws3FcGeZBTNtf&On55lLmRA`G zT$!=GZo%@Yg&;|PQA%;NXhgV4)&yPwJua=C^n0-YJgKLBL$n)2a5&W)_11i^O&k}K zK+NEXf*@Sp4wGO)O;4&PeB^gcVcR2`?*Vp1Q;hgKCewuQV+%&8xJjDI95;^tcC66&Tp}aYqH%HzF}jGxq{x>@834SPv$3I{-ic8h!|JrO z9>KqoffGk0;-UtHpsBwm>7uV>N`{C)OH;HBMHWQTZN~~DOsL^+L8TSLZ*Z{Zy_xe+ zL+@H=A&v}__gCeH(cELgwziWN7bSv=!*)A5QC8O;DXSp+#_UlY*5m0J;#u5a8NDQacw1+_!I$h51AF9oj3GQ z`gG+0+J|y)^>CQPF$sy_f{Vk+Xd}dr0p|RiL?^uvY8O1tj45DPR*AOcLH~`Q zAucKIM%9OB@Q{1Q6NHvMuCLHp9n_sD{P@N!O|ev;%YY8GWXK!H8r1S%+1!2(k_e^I zZmsJyg{yf-ewhIpas3_Np2295Sf*Z|s@@Jm)QVfgtJ9KCe_UEhx`4oo*7`LbyZxRq4L1FscOqEyg; zXuNvDD-yzfxzJ->_^)W$;?A8G6D?9X;6ZnaSf=H zY?O^fIv!MWL^mmdfx#>cpzkKwd}Wit>;RSjTSXAt3;-L5xAz)OTr|-V&@D}X>}xo_ z5Ras^52u!LQs)8FeF9BsP|C|3Cnl9J;ov7Jg*fnf_XI1Vtg|VI%BUricGCpBF`G~* znqi1|#I(XiPNL>upBQ^;$wXNcXMO)T9y0zCz-f|1MFf-ACdg9b!xewdtNC{O8B6$t zjqDFTh;y%DEcJfT9uL2MnR8(BL$^`r_>du(F}E8S+G$-8DS6Lk zJdn-`Szh(fP{lzqg-3)+sz}W+@i{)I0Nt1gdtyGvRN=-ZlRniQhe(yYkl5=nf?+bv zoyyndja0Tuj50`VvQ4z_!jZ6nBc#sHayu@53CAk6_&lBYeNG+Rf?@HmD60O{fId#r(o5We#=x+9=Dk%*W10(%5FJd0HVrW6LNf3w zQW^3nQCnLv1Vk~Ek(?Z#cez&AiAMW$hbc6sNu;6pGCSdMTIpA-uEkpXLOPUuUc?}jc!FZ(Z7=E z8w?%`F?g-fd@tSxJk@6jwL@Tm^aGz6ik{u9wC0Q=z60{v+Tn2hp({#w*n?l)K&T*q zdMJ(GPq~irDB~43WHQ>HYJ>iEY3$**qxg*`JntM?nq$}}P>);;-gE)^g5oH}Hp6G5 z97YPiLjyNc%qI5i! zuE;T_x#F5ltv$f;(iDBCy(6~c?by8b%9wKIj^?_v*p@F6mH5X1HB5>9~p6{hO$ZBW2_Xlc!bB@OD`)2KaMq&+3dm>~U3cE%ZJ< zZ_2*@Vx!i*viRn_t^4|`OMLh0&f_0l@2|gkz3u+^wn}RTFED0jObT`t|82flq*2F zz=(#wv&pCOncFSCLUL8xKBbf~cZ;ICY@?^lx1X`tw+ydt6%RQz3&R`C@V-@!*1b*6 z`>ZhH`Y}p9*qEvSL|h^Lt1#jAoSrD4O8wLE7gs#LO<7txnwLM&r)Sd#ir8#D*&lM+ zO-D=4S5SOlQxKbByt@V!ax*=2V=C8FbR%Ha(qxWEV!nFCj6xUar^$D7Hw$+M7VgHH zjt``RS(lIbTAG6N&HS_65V-_F1q>V(8XPnk2;6n9B?3-{3YZ0eoo>oG+kyxb1jH5H zJyY*Z&fI&e85kpT|L~ZDUzigzeg6{y*Fh53cQ>wSrm)r_-i{*9p3j^E4EK73hz`-Y z&xZIjnjd7LaD!#Js~>9US@Pj-@Da%Jkc9A1@BvgCJZ60S4?}o4icLAu_{@d*Vu!e$ zz3_2}gv<#=15kv)Dfm{m<`Kgq;Zqoo#me>y1S1mYtOq68g}hXySVEl?kt&LuuUP15inIWU(MK2;Fkl>KH+WV)n`(xB9m z^7#3PJcLIBr9q+YwpBKWUomV1HdvzAi>g$4N|DAC#kVX^I;?;c#Gg-jB;yVH9twLX zf-glLCFHHsW%?*@J*9sH21ZLI@&>QEpj!*TlS9d^+3}aGowkXR+gcv~BzmG@3p?Uh z%Rfu?Qc{QPkuRpGzMoTN3@0{S(v*3ruIL#@Fi-R|!zM6AgYwLABjrxg=hjomN$% zGwR#k6TWcMJn8&fs0sKSw!a7dl4%TWGr69Bf>&n2BUtd=9Xw}}ozW<{Mx4JfU-((a zomo(>pFFX!)$Dj4rYLWEZeogRXI_mk7iF;^QZAN;Sje@dLk3OB&P?e^GQKZbsF7H9 z%2?_?w>wy{d`4pRWj37TOu;(MYP`V;W7^(@#TqxoA=L`zyI?IL43v!8{@k@KXv@UK z2V%m>O}o&Ntn3e298X3|vjuHX6YQ5dVY-+0svHg@Ru0<;hvc@>DH0bD%=wj)BXbF! z+H>6PTXo09G{>OX(u~p4^z%||9;Yqcq8%%{{qQVo9+xo8aX?K_S;r?D3Uy-zK)3A;V*=}2hQ+U_0R(D8I zKZ5RlY*>HCDf~05{<5q7*Gm1(Wj#RI02XUNHEMtyzycM#f)w14q(p+9u*e7k9F^xW z>9pwC_$#)g$YuT@5K#{LJ!(!Oylfb4HbLGZ{>y0?+dB*OZS0?@6h(t>Wz*SoiA_1T zgp|!k^z7M9MWoE=8qU51D#EDDIfp*|gM{SORFv7(Z=@3+i4ieQDnIrmnB%~I{R$=w z$Hhzx_>NDDJ{|DGw2{)a6{}JAqe1{4IKcMGZ@S&yvH@N46jN9jU@+n3gtXgD(uo!3 z68)r?7rxI{!~oe~Cm>_FEvE$SGQu_4&^p*LezK?@Ghr(RN6K_qPq#-t53-QK4*;_T z%dlmL1*ISYAt&w8n+U25wrRIeBG=#?v(BcW;1V-RTCxz`yGeKiJTq`ILmQa!68}@h z=6J@?sn7S`HL<~}V7!st0%P|-$^dH&TB4gWr|4spAnx`!kr@dh}Zem83nF5BPUYB z_l&~zn?)|f60FFgiRk-vp)w8|f-Z#oQ58`G`q43C_qSZIrs*)T;XK0_B;g^#ugKc0 zK_W@AB55H5)@;#z7^1|pQSBMgl_)XU`Z;&R^pD{<79zkaI`njeDofJv+GO`Onbd3H zL=2|b4FipZj>_cqDV=O<|q#u4qOuT{jBkAQ- ztfSOv{#N>dyoFHJl@>)rXIx4XwS%q1TkP~-sA-2xY8Y^}^OE#S)VKcPY3!+>JUVP` z4IB$406PIMH28G_XC#NKiA({s zd(TmvNU5dJd#TVqn5B!%ltHKWqjhT7r}0d4#`d(%T|BL&b4PC>dTym-B}$L8?PhS+ zXBsnexJrbk$a6=PvMCIel+G~HH)3vn>A!{&t$63>dlr52PF}AxWUo!BCpLmL7JOMY zA-H_9&YZt#SUicBGFnoZU03}`z4YpN})7!v9==N zOLH=xxz5j6#_9m%ov}7ZmL&+b)A?scBVWlIT?NoE&G((j?7e(_6(-zTL(O z*Dl=6>3OMpS?MH8<+H9b^Q!j($h{6$a&9X*H9z z<#WOgFRdI9`(?PGs&G+9ungKHVzn(Hq#0QXO&r4ws$^Dwle6;vNVVcb!nwq*3iVZ0 z<)u?pS4FHL@U#J&>N6IdIZW&jdlA4fj;MkMRa3vJ!C!Y7TBxostQkPM>SPn%Yj+)5 zaUGkm@29|Lh{NYipO}c)5NQ8QN(!IxssAwHF8ONXO0Xly zR$X#S;SHW{z?SagEyKR8dqG?JZ?;Tmw&~Wl^tiUI0=7w|w+-U99X@X36mI`o+jf)O zK>>C=0(NYicD(v_OzL+0Zg#Z3?I0v~NjY~z19nmP>~|ykc5kY7V{UdY_IDE`_l`OC zQUdn2?DjJH_WaBDa&Gp#cJ>M+_uX0dO9J+tNdH!X?SGSb+XeJMf`2dbCL4?@fuvIU zXXfo5)NO4do!fjON2z)HWg42}{_1#h`P+P`D_D4}05MubWi+5wK~yoD0(z6HwHQ4x zT1HCkVsz%g1b~noxhlG^b`g-18Z)_ST}ItdXLHC;e3qExHmse6UVCmteAiR3{=B{3 zebYV^+-iw6GbM7c9X?s5uN-~6AQ=>cBh6#)B}xHClsI>#?n(%VNaKVAi+4!yhdO7I z)oVRyPXi|y9$Xg*de9J#JHV~RcogS~!xGpzgoT14(ID(_Bi=QL{}dB-4gV#F=k5%; z-)%eBM-i_ObdR20^XKq*HW17&ihgMgox^oSYtHzr$~w9($^xME&#{6Ld`>Yzunx2+ zgb4qnIvo~9gTW&BaAhjqQ<4#I!|oDi&GFhh@H8;noPEE+9VK#SQ`QWD znVaz$2_c6^i7zXH<8>s#>?Jzr9d}u_s6p4)0^|CoFmR#Q29ANehAnd%PVOMsf>vA* zz%wHY4IzbiL(4Kas+@0rSc3E7)*v7o!TYbo-GD{mw?;kd7@Pg z^(Nz8D$wmcAX+u{8F&a0v5+-T4-auq`pm2p-+f{ z9RPRvHU$UB{dH^$$^>)Wy6*k$V97=zeE8!AmQ5KZK2(=T!QBtTm@Iq)F6zxN3Cgc6 z5PF6;IOtSOl^vT|(Iu@*c4Ba{-Bmc8TK-g|$Z={UezcZ}>;@tRBVYB@`vk z#!Pp?=m0VRE&Q^fLSQHDs2+Bg4^yZ?KWxT~L+SWh^~#O(R__O)KgYv?PeIOxKR$%} zYmG^Nf=U088so9ePf&?Vmw|NfSUq=Y$sHv~HLViC%Q0dG@Dy{T(S|dfpTc))lW#;p zjp;PJGT)&Eg9Jz?fDMf7T4k%d00I^yg|LAapE{0Fgh9c4r(T=MjQk!l=$lQ^y&$$X z9UHjPjsl=?6GA{L;ezfl2t&>$0f;zcz!SyBE0DbUrpBZmMxMc|HtAyzXAkE=D!oII z(A0|jNX7sMQ@99U3M*=H!=$&o%AC%!38d=Qad4lSV?mDYI1HrILLw7F0rN=81h9Ch zUm^Ba1Jg93`4C3z$Inp`)&au|SrQKA2yy_G6IYlVOfuTHrV}b>AOG-GD$(DMF)l8zL}_x2ZT95yTBf;5=^#p~L=E3n{>5cm$ zP=!AWziM_-Wk5Mf06|Q8@a7olv*9`P_!)yC_XrrGP-p2W5#rVSVM)gnfG&4r0#LDm zo6ZOi!%hg-KC?|J?VXCn&nly$P!YsA86cFV1deJtIE`n) zld!nK)Enw1@7SEXc{o)Qk{TWWi2w#i$>81meKRe_`+G`>DFYsDArH|rLZrC{!!bJt zXmkrJRb4qGhz1UUXBfFCBxwl2lmZ#a`+&Oa3kWj?4-Og|Tr+*8yb{t{CDptC71s?6 zfeoP8DO*v!N04fjFnYrV^?*mPI>!_sz?W%2K~@xzlGS33HO&rkLZ%;(kD#A+%t;R& zY8sE9(mP#Ai;bNjyNPS#Km`>he(LdUu!1;3b_A|dVVt|%FgB=2Mqnxt2R8GMG}NPU zrLf4f7F**nTdi)xv0MH%lDw@Du}hEltKUe9F{b0Fg)?v-v%S6J8VDCC;)&c~dC~dW zGxClD3i6XlJ`K$alwW4_Ax*@DSCMTv{YLr8K~j4+`g)zWH=rt{o(@8B0rAh81Y^=O zMfzuxfy<3TxACRH{x?$&*zy6SS8!rJIgl!Qz%i6R4m7X_zELI~qJz7qu#+h$2 zj&i06Kpn_}x>6+g*1(ug^t~@#j}u6PksL^iiO0HQ@%B`S_f#wWJ!O@Y(s}#ZCC*(&@{YW2;^GaNH(%b5sU69yi??^pH3jIZyE2rQ?;;XvZ~Bad$g)H#{8|?@`og?1yiIyM&)tyuUb2ZYVR3{poQG38-j^64wk3m>q8#*#GvB(kHnLRaDxsMb|Uh+=Z z$I*(WAEIwHG-HzV*lBP~2oV@)2|!{ghxY`V=3#hj2{;p|;ZVNsGd)3>#8k*vxpl8- zI@0SgkS_(@_0N1LvU1(lQ+tHpjnO0 z4j79{U-L{RsHTKqHIj11!|c67ykDim zc3$~zrNcg36Pp08&JDPKQ2D*{9s1$Rfaa_lftZL0m~nS2vlbF=5_g~V=`tZAgHg_! zi$Gm{E7m0-)50N)!Oky)8OMZ}y$}yNgn2L|>2y%f!}Qx_fPVx!O{@+d>2LvS;;cct z3w7LDGum$jB%Hz`ABICv=tAz83d3FT>0NLy3o!RuCE2ZDL_#Qi5QTH|@CR)$>P2zH z2Dm>AV%i=g_ESR7OC-IV(-gk+d}7YYB{89hG2R5M_xKtR9WSR)n{jfIz0&)tqDcE-+>elPbV@ z;Nn8Ctb~;bL-T%&BOB*WkN02=+tdX66P*d3ZTvY;VpL)ZNmSxPl&EWces@bu;3C@7`Uw)Zl4~u8%z% z4O&Ax1|%P5!feL1ylwSml<*wj0E-J0WTwke2}57|w;=0|Pw*X0`bz;(L?Y|E(D>F^ z%*KDR0{WJSqYvP2=%(Y}wNP#e-gwk9*CkV9$vXk%(6E%*_>NiSj(phphp8Ex znN2IoTNj*nCf3-~&J1X-<1EBemPBX`&|hbQAIVL>jhk+D=Dl^nU0!=WUh)jR3x>9a zHVLrzB`9&73%bB@@`#`OI18^|#+Y=$9pOf?(*QIFv@izqj2a54?`DNkJV7%oL;)Zd z>R|K)JYt(Xf;1R6M==fsaAa!`Xu$7`ZmA+qD4S+Z4YHc;EOCh_@tOuwiH&8>pQq_s z;;jd;tW%gQu=bg$s!za-!Ws3el$EN?9Vu+1wmDe~tz6T<{!9dJnB_kE)*hqz)`D@% z2~giZNDHjB4J#tEDv(_jF}EufahDYd6qQM$l__>sU=vI=c&R>q1jaNlo1l?OQ5Ay3 zNG+?(!%x6WL|X&OidL$kw^24+%5WPi(<@-{htP=qsxAtf3iZM}hS`MzTt)`$jAOegYb|3TCFoP*hr>G_H`?9)%d6UBPe18a=6qPtAW7Jyj` zd$PJ&?~0MaVSp20*g!&Q-5d z5ZuMSB)|ofO0l8f&s8V*5LAHv0u>DtKmqRkH%k4>pZYVLyCRtXOK|>>3i~%DI0NW+ zr8f!rgCP(ofn22eIy@Ymnj~~g?K6NRW;Sc-z?Wuo{+Kk+-q45?1fzJ&bt)zz5}(^E z7g#_w3et^^Lss6xtt@T0?&Pr4R92A6V$=LH`SI4F3ej1LW8*-j!A=;={>2gfJ{zNda?&a~LFmF&KXkVgJ$P z>HE)`AE?1tD&e;2r~^SFO!OoeCg|3JkCn*X?o}pM4ae%x|1FbC{|06C7oeQ!LcncGpCIijmATmd?Za@t68r7TF+-&3Ss00{CQ* zc2(wN+g1e}tnPV}vO8z06L$Y^De5m5)qniKU1IZ}yMp46zxVIU5EX7xI2?gODU)-j zVff#$-G5xN#&600PwdA(!}*gUjK>=86ao9c?jHV9pMS>rFWlH4AN|K>clwK^tM>2v z$(1nQXs5`Pz9CUtgbVa}s#_6%faM7o58G>Iniv#x@y#O?Iw0LgNnQQ{wg#nAiR7}p zFSc_qsu9lRiALvgVmquVNZRIC=~66@1cCl5>&4(VXx)DW`UvPnDV=NVo<5@Ce8gvB zT!OJSfY3q3coe$i29FB6_vE8r#w^R@EYU#T%`r`LjR?wE8ElNd0nYR{2ED();9PK5 zVaOswbc)AhfpMY^gjE z#&;U&@LX{Nr>;zQ>$myx26o!}E*mphYUF>*3A+Bq@}GBtM1OLE+mC?%r4#hnO{tQP z`g=~$`!^%E>|K-t*@!xxNC;kR!{4c;o z9u13Xop181D3okS!d3S1>F2A>CBVX6ATRSNW<&;`z2-0V?`4m&1YN-&mbEG#M^dq~ zJgm~N)r$PDIhM@d5M}-a#10L{hjB#E2D9@TrWP9ax`WR!2;fO66LsoT%f|lK)LQu)^#4Pbw!b_* z8-JrU`WK7fe_p4iyC3d`h?+|P|6He!GWi`xa}1I{N2H6nU!o$LS>@u05^3f$QrJfj z!2jn`I)BUi`<|omx92bm`VV`KyQchV`FdQQKYNb9)W+a%bpQV!F@Fhi{5Qzpe_^r? z9)Q_?|2&tlEuH~j+`ym`e!f#QE;I_jU?r2xa{nBUic}2;9;cwp+r1mfwlL$mBMC={ zxPMHNSoW%lgM;EjO|cIh@2cJ(C*ZGmfrn6cLDlHmU#V5;*-EmgQf-dN9!G zzVCydfuTVMl72~}dCI29s1VL1o9BSBR;&aNU6c|nH(HLzax1K8XEw7SI99+JyHT1Z zA%;6MsgX*+jto+jnm3h9i$Npw&wF(Fx8(m5I}ZGx3zPoReE;zW>v#X}AAvgAADaF5 zki_`zE!o{ooKYDS_NVL9-tQ0p=c9-}e&ZkaWQ)Hr0Dv4SbTbO+&wNCsbgGm&d}pfr`q+@1<^!Jtw?0=Rzs3J#m{v5JK z4BQOM3oO*hq0}(gLVzz7OodP+2BpE&%H}+|u{r>lCGH{5H$wC*Z%R(EXG)dv6F4=`;uf=GxoKHh@`S_Nw%!1 z89P~qglr+%$reI2_Fc9lq>*f)C?S;Jbl>N6?&tS?dd_{G=Q-zlzW#li&-;3R*6aOx zU9Z}dBNGphJy8w!iqn}ZAD_F+c`$PE|{pX2!)du}uR7hu@R`44S;8F(g=d^-7pGEr_3|Bza0VsdvK&AT33Kyq>fU?Gs(9&g2DFI~h_U}H{+GU1=x z7wN;h9oMrV+dUifEv^B|t!`R6XSfKL8_;q|H2KM0sCF@8X8m9g5(noRqK|xFaezk@ z!EY=M1R6#@ZmsYc*=;m@3|?ik6TM;a3%j|Pg$<%Y$sg>Oa6DpEnmt%O)?GSDZY zefTsq5I8{MJlF0ZkB}@0Di^NhVggwZw8+%~Gsw;XBDgl%V-@KpfElu+cvc5ivTUz= zG=%vN585xU^*=svlze6X3PO?Zj{gjV`s=>*U!TeTSpUECRf`^JeZmMy zeBGDcS5kdsd3vJ4;i0^C-@W zKL>_a|BUMYrAP3W*Zr3RkIC!&D?j{q_AIS`_}9O~!TfT%f5pHb%rd$yM21sPo32$7<doUcsOVW{J#is? zI=5?KmSwV&I9QDXOo2V)csl=Nn8LVgN}d)VcTeYz(u=%-KOz*r40YsZM8A4{CITP; zuF>0H=*HWLH?6+|$hHe;;h_qTgUE#h%vZk-^$Mbs-k?n-q$XM(d%xAk7nojvRx!Dp z&gaEbf9WNN^xvbqrDLqZQredBKTGL;xrkp5y!!co|BV%gQX$bjRiw5^GWI}b#qItK zEB?3RaQv(}f$ngdMgK-BlaI43`v?B%2jVdc2>$;N5AnZH&_8BPLg8+)%bcC*slMNx zh|)9|NH_W27iCM2asD@T2JU`gPvm9BCdXlUgrmA)UF`fltllvU~>7F6t>J{-tX7=cso z%*N7*R?zZeA^S6gbGLFF7tu*jb4_Se^a9*MT7g=tE0iLS9|7UW3VkCyJ%9rENn6|a zn^<9Cq4Otg#xmgt>GlbVy?T>GsU@xUDAnxaH3P~W1H+ko&67H$3|9)zK5yYy7MT#896^R<%=Z2Y%V4LlkOg)@SC^=~d~MtVNESeSY@p6g z2h@4|-TU~ci7D0x?Xl3(YAcF=dA*YCpZz@k^0E5mz)|3D2md=?h9Cd>Ul%pd6NN3H ztfqCvhJ2l|Y{yQ_H2x0f0lO~MTxo#UVufG~0}&58ZRzn|RQ3&b_HpjD<~*NiT2M5R zVfc4EE!9JiP>sgNAccko#FHE?{#*k0%k?S$4Emp*B4d6|_0QNz{@0+>FW0aCGpIjL zgZ+U(|Ho;t|BOI4`5A=WFVbszfZdr|>}*SVQoeQ^jk-6K@ZbVfJTGX?MK>JiyLDn& z=q`N3?y+w-oW)b@(=0dJ7Y`tSN{&zmXi@MeZBsqaDx%BtEB~7Er#=q9JX_~}2Kx85 z*Z;Ay;UC{#+y0#CUqsux{+#gt;*H?-Gl+kFc~9Wax&DnQ)?eO<|M&o&yxu>#i~7e8 z4gz#QC&6<6Awi;ORnqL)_)(5^gw6qrCR0LSF*|aCB+v%YnF?=xo5c59V$6@WA+|?D zz&|YDEc%m`=rtOXYf<;L?kszT6N`&F?_rLz7F&9*U~_a3A3DCGPp4&?#P=1r){^?m zxhZ;Y`%AxKs-nKn18Dcyg#}u2@T8m3NDno5G5oG$ZJ~hdF0Trq`=5jyQfsa42-|l; z=o&3eBZV+L7olgb)3Vp%=lsrE`z@hpQcsOWaC;z+2!`eA2P@32%+UVpGwEkNI?(Jw z?#t3F^x~5BTm&A^Bgm1kVTuA0MWsfZd2fKJ0xsQvF|yyKli6apK(A|a$L0n%Lsg6f zEYCj!bwR(|1Qavl5XLLSEK9)yPO6G_8sYAk#%c#z4&P!`$68|)Zm{S3qWpyC6Djg0 z=3Gzcutdqi^PJ+)Qbd7a&=waqR%OkX4fx$m4*USPbmOb4+G9qn5 z7`825xw=4^D7Kh&`?R>?6)@c36=3?qbguTf(UQyLIKWpfl9Xl>s|@5t@|TKYoU4eV zly?xsFm~`9;T0gEWimom9uigxvnvgNBB{rR)MaRBI2MLczV4;NdFgs$yM(NKOHZ4o6K7@Ct|zTfvd(Qo z=AD^qh96us!Hp(3dJ`^B9Yf0N3=o)Y_*op}k|??MN0)Y(+_5w9gz9oWlV|X{yhCWo^<15!29U+p!QWiYf%3 zH^m_q&W|P|ZtYfI1!+@{%N_{zA?KNu6kGL@rdMZopl#2FHy#SX4M*BOG(;bKkUC*DNo)u;=bqVDw<}f_bhpB*U*t0BC5f!PA z60WYr-vDDZc(@)Qd57(d;>J2}?`}e&@8%^TE`UGWv;*X>!N$@`RF~I%JZNs{Z#QGT zaKsK!42V7H(Wnm}tqPxHNTk$Lg0N_u>Ct3GCEe*55TYXJYi)lx3l&4tm!qGY^6*A+ zSf**G_!Cs0su>g<5=yKB2FqX zoo`Bdxg9G@xXSVwW=KW09$$%yWj>RZp>MONA#jRO600;;?i79Z zR_%QWkK{yE8#FIeOq^RWC@)os6aeNZ&@PB2z-Hu&RO3r|Io`tJQMm}{R}BtdNDIWp z8@N!>V?mKTp^s;&xEONT3=^0kqm*3Xg>d`^*PwQG9S_uGhJ$Uy&)l6z;ghq};y*w_ z_E^RqK!zcYXu(jG(J-WexFNm$#~ z*0~j*a4minBm5H8>FAEV%R2c?&ZAgbdDh0+%`%1^b41&8)GlGOBb8AQc;BdbQ32m+ zan~2HY3$~<#wLcpHbK$#bR&>e^zwa4S0E+x__5&I^anfqX6o>piq~vuElIKNG(Qz!$8fcxQ-BAEE9n+(qJwHc?;aH|31m2Xq~i`p=ffK^-nYM)=L>am zJv@;)ouYN%9m#UE2m^>SxC)1MgE>XipOn43Onpq=;w%bN9_RQPjjZ3Gt#$HPb$HGE z>JX-T>RvKsRU0eb#q~M23!4FY*uDOCp8SloR`ve#;MZqEyaIi)BH$Gd=p5Qk3*iVm z282%^0#Kp|9NW8U#eGIW+I99Z(Bej)y_O)PaQEt6FkgJdl~7NxQWhEsIs)PXb9p7n z1_?-629D!z`zYu|hB>?k(Ypc$6z>>9WIRvX+JxSbZN{W(kivke_;R z%)g;~QJcPH31DkP8zm)#>vzoVDm=tyQ=4ONHNC+OLI+Y)LlyOggdRY7`sxjL%Ogx@XIp{5U~ej%%?Ko^YE z^qlAVA!u&#AUr)(->__LSyaA>do|8c_7p zX^y$1V_TPKEq)>@(3v$c-l6xn4pD3h!7vRjp0HXI{l2x)4HaF=Y)(dhn za$#0<0a8?9Hx*lNP#nE+6MJLU_XbZpZuR92eKu^+S+HO(6y27C=Kd@aoH{1CGh zmnf3G7+)txbFiW1kry(v2KHe>F*mF*c zpJ4-L#fecTZ`eCO8Jy6t-?@ey7qF6dVyB&pK!aKHUm%ygoV4t)e)SP%aQ0knfWX3H zq9<`8R4q1C&E18|lU-18Bua9W@odlO7{6#2cJ5-b<=JF(!l9C1B700S*^k{ahWAWN zx>8IUoGUXuhI*?+-B70n$Qe1~+TvtF`PkLM*xoPfX+|X{^Fnj!{QvA4O{6s+fWP1EmWBkly zyiy)R4m^R#mjKyh;jU&mKF5A6DIw7%;oOMXxe>uT@I<1Cu=-pA0+Ybw#VA(IczQSb z6FbYYk|2?R0JSANwM1+j2YAr*H>25d;7LTjB&ZknSke(pXi}m}(nrfc6FecwGPcY% zQMomV7Lz2j$&q*TNI5)NJ;`KrE?N5VtrtW@a2RO&5XJCmE=HA#OMOM{Fr6=T+dz{_ z(0`I)%Z2&at7!dmJbrp1Cs!C)HLgmn2-<9_$?@Ml`}NifB;_cP5X#1rXdwbci$%#X zNqPyL^FBVJE1CF7$Tn2xtEZ(z6`kWbQRlm&S@Nl_pFpcN)Y~Y^K>}SpT+B;ZETBa4 z^t=Ux1fA-p%O7Tp6cekLmq&%K@~8S}r1~d|VB3{UeKMU&Bt?#9 zJfMo|PL_0eoVMaB()=~6z?pmKRp!X6YzQ&Sjw-9I7wJ`VPiju2w3nG<0l2G-%i&gIYarV3cy<1#@Q*wASW6IhNaMF?EBGDnWo zYK@;!>ppWbO;geD7?7h6$ZXKX)|tz@DMfzXLlAnB8o?eQkccE)jA>6AJf-5JxEVX2m&m7@s%P8q+I^K{`2EkVP zl$IEDlq^p%yzr#7CDAHza9j-!@#zq~QJ}4@1PBNrOPJk_L3;LrzI~8kJ@IA~-J^X4qDETpNHH0y@KVmr;RgJtl#5 zy7bM0#dAqV&Ia-x3dwSDa#)1(ob_de4htd->HZ>f#}(Qz>s=ndR9*M*TdD2xi&~Zb zI@ChlrIcDnU!BCWf$FO|o?A9j!MZZ%%O0lGNEn7p_ybfl^=Gvnc~{paFqj?$J+hUr zGTpDG>Z2ampuJ9mcwJxbBUsNvQLFW}noqUndt0?XP2H``+$)*d-m0cf5`m&+HOWN} zYt0@zuQGg$1(tH5%7JG3op((iU5wB^)1d8oHsXwmc9qa-p`r>hUlpmnU`t0+teY_chN_;;8tX5G);Ha&kPtPs5hH6_L>kKklPBNf)CewMbc=f5;!Ws07 z+-0Exod~nK=^{w(We5K%3ec0$%t{2`RWRl$Sn??w{#l{wv&X6}@0wG1w29FE=vf-` z(O8!5%$EM(WQ!L~oNIy-EjrTITGx*Qv}#YDb3PfPX)l&&S6CymZ&pH36wgorw2L+~ zBaA!>$lHF%5(fs(#Y^C%OAo^#xypd9q$R@Xp`uOm?bv2x>rQh?zhYdW(c~p_+S?K5 zf79Nhc@UsZ1Wg9xWxy4g$~qmE>i?U{Sr*O+a>c&rJdAioKf(Bk9r&~X9zw#p4mS{a zpdRV#m%eI;%&O|{W_Cd5dH`V?sFTf|G#eVfPHGxkFrRI-vQ)=Vuxi* z(KUbQ<1wJt8!zVMbiu(`g*wQfb*F4vZ>5Th>P<2?(jf?ZsMJJ$U}xBGmIqK6~{ zQm-EwiDNOGL7s>M)@>lA`EH+P9rjYyKcJ_boHxK8C?YjSwJ*yjzYmyM2(zHM>;(E;)sF;47-wt7FK z?fBx_n8E8I%9&A_C*_oLFMuo}lG_h_Y=osEQaofr8Zjn?r*?^dsj7~&e|`0wF@So_ zNN)V78{!8xnLM98WVqZ89fr|ya3rz?mZSw{QihX6!rSCR7;S@aY^m~9v^yjkj4au; zD=1Usm5;_NE3R4y=~c0RVCrB{r542x{{ZCOhwJ|L;#pobgzRihtBT5+R=uEQn7V&q z3bp`k*r1(2`TJV+N%4UXZ0Huz8J=dfCng!IxKnoz4FLpEc!Wrq2mfIs;HFyA91=8( zm;ld*V0Opia|6h>0|oQ5>D)I~Urz&0JQ4l@nS_8_F9U85)=(M+PD@RfSpWm;GsCa7 zwiW}*2Je0NR_{mo=I)?>OMDPXYWCf0BqLp*-`jo&-weqV=zL=FdXRDMwJ`7OoHS4D zZswcdZW6hb<#qRYx}14-o*OC&^Lp3A4KNP6R1uuE5o4|H{+1D#yL^Tu+MCNV$fAQ45YeImjF9?hY#;3XQNe}loeaX~kM$IyN;Cq3M0R{*;4&SWSe2oyPZbU`vS zipg>G;cictY7RMw(L=EzPnXGU{rWNycS$iK0QCTow!#Jm z>@OGS)n4{i!UrU`<`YN8)st@n$F0R(!SS{mQtw~sI znO%MQeHEOyT1~gsadEBd>2lkN)$XTj1G8%hqCnvWbexS~%%MAmje;eu9gx;$X4hwP zn4(FEY?$>NY2-P8?#e~v)c5tZ*$*F1Ay4EMX`fn;J@vyR;Y;m@k54}y46PO8!fuUZ zJPf4M3(Y$c8!!=*U6)^YvzXi}a|4;UYR|+L*i^)lQ8Am5n=@5}|3B~pEYtu;io1e8V zZH-0)UFtwUdH40W{_g7+PhEQmHbK<)AT_UUS>F57n@%HlY4l|M%Okwwn%qO+O%My` zr?$#3ZqK$mcOjEFmhmgEC%JWbcw#eU-z;I@SlYsyQ4AK(w{g6?HS9nUz~t|}a4tt1 zEw%`rgSqz0z_?K5foBW*mSGvLfOc9R%r6RltlMKE# zTku1k9;3@GY~JY0*ZIe~n{z+s`!}YIY<~v%KdUwc{*h`^*PoOA8}Zx!i?10k^7{U? z`V9nJ2U!59KM-P=Fj{JMU*eS)tx+^&_l{j`cg58;Efy4d#3n3|i-yc!6$^AE2^sq_ z;}ged*(;b!xuR`nFM>^Tx-wjG0U}l4h3TO(XwQ9r1Ec2|Qf$aV? z@pq$zr&$)K`8_*T63#XFvFyGO8wY_z&z-R_pTt1E=CEtYuSbGTlO~;}nNSJMcs_R? ztpztyVQU0EJ-aH7Ty3mODeFi3stWxViKZ>&h8iVLk3?1LQ%;D&ifQy5k6kBBu{K*h zw;tUG9dMf9&TRMyx*BKBTCkc2x)DV6<2`g~#kf*Qg~%qisrh#Dk_u*#HMo zX9DnM=5_{CL-B0}T}oz0x}*A-UHemJX7;BP90qrDZ=Rt;x6AG9GHQicsZ3a>@o(5C zD9;KqT>xt9Zr??hd@(zh?YF2*)@#89i2`k;lBL}6Q_Mug;1nW`sRzmLl1I={AyVba zwi`(}^@y$%CKYZMqYuKx-HNkP4VH`VXOxy^lijS|mx(_@8;F(00iY}ggx0=4vKKI%OCur6SM^ACOKAK>Sy;2|M(SIdp_Qv!X^dB_K zzr2}2Kr9Fj`SX3dH2>xve4t18=^k7-_@h3J>>e!AoeBy0t#8)|xjs$&LZ7_waH#Qp zgKUFGr7y}XYd>74Mwh*OXwx3cZCJl%Q0X*QdZE9*V!Gk{y|!$_hRWB?0Wb3h`x_p< zd4_}ByCcz9HP;@^wEw!Sv3kCnAfV_d`M74GKmFLPg7U|;OV4wU`p_9S)vb*A>E6FM z*z{<%wDeMcj&ZZ!;AFke>g*t=z=v647|ok+!Ht{qJ+~z;4YfS}yfl2@@ZPB>O*WiGhNH)cYO)HxM8QWuj0uRqERYwxaW}jm8L2)js9}1_T;o zEuKE*WlcTt6j5y-aG%M2HkMo+hJ+knVqE$MpLXD?=rJ59iV!yM&sp*n3Hz+|!w;D~ zkO34YddT6d`7s+>R!*w9MD#_ASi`eCRnF>SAnM^-lML92UZ$O-(RECAn zgICzKeZw5UuGPj5%ibmAa^DDGd~BkTKMZ+)%Aum}$P-m_t~OdU4%Njz4pxKxwXs+s zi1@onGL|}5Qh;7wpFL$hV;$8@#oLg@gkW3$z57|{8rkF6=hO-EE`bV_*K-?zB0JIy zD(`36*OpM-Wz#5SXCS=mfz2_TI=LyTh6dd_7&|R|M%s*c^8EMD^feT_Bd1T+A#I0G z`Uj{!c-{z8_Z`u_^o>5~`=qnNlp(co-3V-Mlzzy2Ud@C})1JHXh2`bL5c%;sy$ZjR z&i7w#PwMP5&$%V~tVP`S9Y4cXRX<0Ikyc)ScAyQF4m+4O0q>%setaV{ zW57u#l_!ByH|-P3mkTma;Fi7_&7NLpbl~?_b4s`P^5PsXRAIQ}ur_F>Qi8jA+Tmn) ztS}V=GE}vlr=zMJNsl@7|#1TQD(hMoFaK;?oPgcaY#DqE~FIe}_!F>unH0xvMCc0~DONO^I-f zW)Kv&6*?7g51D}E``UaOG}`cVTN{hm%)IpN1EuCSbsWPPoEF3377*s)9%eHjH_T2XMa& zgG85xIM`H*iB?m^Rf(Stp*9N(FxR+vQ^i4vO6HY6VTbq8aqP!my(4&DgQ)RQ?Ib(IXca53|gpbqnk7L0SQ z=WR|iJcT_!innMPQg_bUx<%NpacpnLRhcxo>l4dn7CY_Jk+HN@h z<(+1}ff>`ex7zR#FwdlbbQrVvq4nu6ZlD|$0ItUhwklp@{!U!_a%VYAO{xv^PH~Bo zusj(2p_Vt!--&(GEX*f$*h+D7LX#qB_$wZL;kETkL(cGFj}NH3C)J$gb-e~v{Wc)4 zwCA)nF*PN^cv@7RFxYw$zZj@D_RaF)En~-Spwb-MkCYx*#kqCN#L@E%!}jklhI%`ZLG4>TImc zDfU{}9wq>$L2??N9|n>+-z{OHtd0gIyu}+VyGH0WN%%}}h;UxW6wnS@l%2hL=lt>h z1aUyTX@&rE2%dgjxZ}soAEqtXMt`)}t$-M_Z2k}TC26c$UCT}S9C4(3Dm`K z<6Owi#@0%D)8fwdukE)_>Ip&BUFrA>HWQa>^Hy72M>CbTlAh6%o|x@4FNJic26(^W zsM#xemI$r<0LH%fQ6JE{fqHVy>Dsy7ixN-11n};SI5|RHxt1?}HQhd1wc{QRMRWT{ zj|saO;^?`8e-s5AlB)vf5j-#dQWcOmhG|Hky!)X!Nfij>?b)9i?5VEjvAJ;A{`e?~ zzCz3K7|G~mq~#$2y70)5)mdgw!F$LXwOW8=3h=UCl7yQ=l|BPU(tTpVr!Rspole71 zpzSHrkt{to8C;MS+hL8CJ#+V zHj=_9utrTaVdnn6ruhbkHWcf8LPfPV`L(gOX||RxZ*oTg+bF3Qgj2NDA#3=XFnlEa zoSg!e%HYBEyDR~*$?z+F=N9JzsaoCU?ObC}E_2@U)ogB0U{Mi%fso-S_b-9hm!otA zELIOkU88rS<}{*&+9EyR(Vj{`;vK&fm*9%Cz{9iPhkh>C951Ioi0McRJe`Jis4-(^ zlfD8MXfH&D4%5gcyZ>;&#VWsuB7b!07<>R98<}od9u6DoH;PB`D1=81RlFcTa6m>^ zA%svs6hMdJzu9H(@kR0KTLJoOXaGitq4v58v7uhsFhyX1c!-&*uz{i}aCzJARy+8b zz4D=K&^8#G8EUZYWg~=B?C(h6+y*{Q(r-38RgMb@H4+5vcsBy%sRQL#Fm5ca44y*9 z6li0?P=jxcwm0u5vUL-XF$BhQ5mW)EHqFCTMSSE%PV=u25GAK%my&r{>7E=`B{dGq zUt&|lih3W{P3Bt>QaT50D=9MRUgPmI{J4;Kpagt}o36VM92gyKMT7(ylRl;UJSO^4 zZi0M@kWei7yBGInCw@*TVPh2fP#0Vm86&Gf_MwjAd_D-yhc z*s&3Z5@<5eygM2KM~=ZSchDEG0)DbrUJkPUvH z=3*!}jxM5U(e}uxfE%yj0%lmmA^}0!h>Rp6mU8JAiHIXwKrN9T)sXPc_c)^l;4wyj zBHCA#gWh-8?zl+uyu8W-&EqZa=r?5{2&GejwYiA*VRPOT%X!{HLHRGcasxHrK?9-1i#?RJku5l}cMOo*2uOPG=>Lh* zFu!=G)*b_Ow{=vGHW0Rn5TP+R8PEpimiKvoyCkholR`@?iBhAg6q6BziqAlX?@>nf zxfocKt$USue~HP{0|!-|IJ$A7DKNGn()Veva{_BAEUB#74S8{#&MTkp;;Wl`OZct_ zG@xAU^pw{XC&l97PzK5y!sISf}U zML38uX6sj5jWmjYA7d^{OucK=myo<&R+E0~(VgZx-Vq+#B_=3ZN5zmihm)g-Q|4|z zcX=i|-*i(IXLF4NQ-Er7eQ5XG>cqD`=QHcbK}A(1gJ7v6;ZS zrm5RGpNvj3rG<)pLSE1u0bUcuR+xdgl9nrnOX5j#spnOnECxRn#>i+hfQe`_`p-Xm zzL^>$#py-6K&~vwe8$PAQ?SZpR7JZ+q&@DFW=e&Wn4R}c|GSI!ZZmo6FjhPZnM*+Yj^eZB)0Wu#n^{Qfd zDIVf^?`zjX2~A;I)I`h-`S>pUSK}hEhO6@{gIH-^*G^x{gIAx zr2FVI8k12`^|8^z0ZC9lQu1{jHAsqEWK90s*q!U@6S_Zqz>lAi;hC2s*R;$F(=-qf zocGnH=eK@D zRI1IFMX#q@f{SGNf8$t52!&79988Goz@yAz_=-O5F+d<_581LlJrU9@aK1+H3}x3> zc$>b>`LtCbk@H0_LS8R&HwOh@X?XNkuW;0}ko^XP$?Q_do9UxXlwROmBJIF1b*Im> zbC=)jULbw_cKxtrBKZo5)`R<>M136vi2q(h*5AVU*AZDiPQvee)xXln9CVSgp}{t?fbwR0q(S+^uoj@c3&s}45c1f!}t&Kcwwg2(h-t zaM|XDk8jAhEbpn7U*fVuPnvf=lG<+_@}7R$^7ZrbSlOlLPoM1XY|QuHJN@kGxBcDE zt8bn^dvA_s_kN`) zH&Xn4aY3rv`;y|q()Xq1_3z)8RdpSTua?(MxUE(+E|#uVwrsy&eMp2!tW|ZeUS6y2 z5iVP+8BkbVs~y&nSg#vDdwKoQq*K{?{fyu0dIKp^;zQ%R)XN_pFBg`5Xj-dZ{m{J8 zCGoLkYvS_9C%cPfADFz1>tdGwhp$VyQPO+M1!*38E5)jf0q@HjKJ2YlbxZHB)xGrCUvFHh-2c$B z^I`uZky7Shql4}0!Df6#!ojBj#g7M{hjnGXZH-%8{q|+j`Qf+i8UK&pc1Te&-*?}o zog{o(EFyQyU2FLGeSf1{=I~(a<<-M)yGsubzaQ*;B;SFwxd5de+89B?0mWc2eGd_) zfCT7#DL`Cs9JR_uDEmMzOp#pYXD-s~6t~)pwH(N2 zZRqI~8j=k|9&{i%if_sIdExEU=7Gh$E`_Gen9uC}@8V zHzU!k&S9uNBoS~%1^h7qVcII6k_d4Hf+G6823DVv6Pfvi6?^q1tg3G3(moK?)$cRS z_>@v?^g!IAx9@Dzr#n?~4m!vr8W*ckoNEGzqt1)jYwN48>K&BcjR+=k5Qpq zTJM09%ICYoafR|l`h#v(pED*03Kbf92R(v5XOd`(l)CkYyfZ#$EgKc7yzCwFYxT}NSK+%bv-oxiN_CDVO5XERpgW=F4Te)!KVhy&w;YgLOJY;;amWaVf ztkqUN`(Uw-V&6zY(AIqvqC`*EU^F>nt3cGa#K59&G_`5#foyzA#?)4!(qPFc z|Gu%Dy{#fNq7)ltFrI(pOR=7DscBl@c%jOd5^Q{_S&_kuQmZeemV>2d8~R>U27M{B zL6li`8%)$>d?|M^F0*>sH&Ng8rNT45%zDY-Wz*D`%7DSLi#vTUpYDBmh(nayP#R9Q z9oepmHZHeg>!0jW*{&wUmph0UzUs5uu1Oy(cT((sH59Z>-Wpf9=o(IqW^C6L8&|kl z^iNGRZ9l4tuW)xZoSr(I+OBULtnl#fpPt>@Ca0g3o>7J~Z;$LW_83=sr}fV)s_Z-- zj<57BGJO5sYNu&(u+p!g|MiEUon{i^VL-Rx?5B*KmSy9IK`;Afx0`mJY{WmjzGV1j zZ))f1?%=~4JN<9I@9jJT=*TSsjYwe5T_XHcRVdp438uQ+icF{q7crWnKEK<>K2#N{ zI50;SyxWeVtB%$+ddrx(+aY?YI@V&~Eo<{`r))xXoU_sVk?GworJ?Es|ABd){oQUf zT@4}1=p8@j*B-r7HOXlM?}Syq_F@xiQi_Zg#Ls{2vmB~PZ5UXP4*uG2Lsy&LZL}zt z`E|hMRBgt~fklPpuRprF)n+XjEvZa@9SRt#{X1RV66y*>j8_cK?~SGp)fFlZuABy!h0kQj5X&XPft4R3$trcQ#(Nn%6#nuRlAkUz5kj=eb%dd{URuY{(m2EU*M6wsuH-{|tcu4AY``fDV*Em9N1L8g7-F zZM~ALm`wzf&~1a5xJY^&tiLfzCbY`;;mr#~uye!o8#+>>Dq+PpD)=(e@s^XPfwyhnXHs-;^@dt$KG?fFf9%Jhh)WZmn_}QqQaBJur{u(GLEIm z9i_+ZEyAoRZ(LA1%TKT9n9DOmDqLrO1Z_VdPZB=$D#Ce&8JFoV)Beu4}Dv}#I@7*55l=kC-)vT=<>2*xcAkV)j1(lo_l-W+HU z$_);^;prHg!3gFv!gq-J4}s6He_PS0>b>{YEnD+rbSok?`NhM_Tyw(uuht-sgAbiW zpDMJoId_yRq!Xi(dJ|eT3oa}2JK%Si7TL<##Dl(Q_ckP5haGHavtNV~#;C6xkHiLl zG+7+JaRdYB4SXG9o}*2TlO3jDfTsYItLDr&Y9>omE1J`q^cKvZq=1A8vZl0CFp*p^ z<}}yIWhG|u@)#IKJRG2ealaalk!V}|k*p|>UOChHV*HGtBtMp^jwP3ZM;U{s1dK;? zaEKczxS4byw`7so-J_$O<3zl?b2fYS9jzE(hU!kv^&`+_Z9HAUG$kAByQS;b?)qbI zFdt!d#e8LH8q4<{y*qZ!tc8+v?wmulCUY8hhKuIyiw>pX)Cd(q8PnrK6vjfy^mzUQ z=BQPSBYFS!ob@*Y>MT3PXx!_KN1DxadkQeL^NIFM^C6~KsPh1~~d`9k~mdg&v+jOuw7vyPK za0N>u!$ix}G{G(l@47gYdyQ%bDclJbVc)1yYS9MAD%gf25yt3oqmS2ccrQU0@8=Q_ zVja6xwyY1Q*{%c@UZnDR6Ado7uEY9$$km3~F#ISa}njAo-LH`t(WMC&5-`TpKp0 z!=J|mnwRaDD=+2jA8#I_Y_!!iS8vQazbOQ*lA12hC+Bog0x7|h=WmWY3N@oK-kK^> z`6x4i5Pssj3k`TqsDWOO1RVp8UPK84%73w^-xx7BQJ1h z-8AzeQn>j5bX;f<%_IXri_52yrvkW(c>ydIU#WNb_{Upsoak)u6r|;26sSC^lgIF- z;n*9{$8TJpYPTPmk`)Fx$C$sP0mj`t8vxE`c38JT$(TZfE#{ko)+n;TC|aPcI@Ho( zgOVTH$w(0l2)f(HfG0`R6hu4rCvY7}#$13p49$<5m%m1WL<4$wsu{|#%BlzO%)I`d zS$j6H?6SHm8hmQV6ULp@Npn*ohwXY56u=B30%q=V0%ARDF;{|P9SX?#0)-;BmGV^j z9JgY-{^`(UP->l(*h!8)K(ZBaEs_!(|3=;xJcO%iZyuqE~iEkE#9CwU`Gcn5I4Rnrun$<3>YY+ zRz}l|rQuoYWhj}8`{+ag;F1{1QX-mo0X&Rn(k23INH~^dnC~-fzmCB->OE-9i?HP_ zU5XwYni&NGITY%1D^0*um5`1Vuwo6NQZ&r6;IVgZr6&auJMx&w`6F4$Hce$LjG7+C zNI;Qx&C9u&lxA%TjeH0rtzlAxedF6(bs+r9TewfZk$Se zyS~Q`FkjH%2sjI{VOt59dCv)NyG!_i##Y|hs_{L6cPtRplNTjN49l|Kd>c)N_Z&zA zE$3(DWOfe+s9Vuk*DpA`*W%>gN&wPG92+~$4GJvIrMMKJ5ycf_rApmYY%-Ywii@6$ zi<={)UY4;|L1e60fvuNk;ZWRg3TqEYqc+1NO&CBdUxru!gi zMXkk8yp&?XoK_dryhc4Ey2b-CF*OWbC1s|SZF07C*`FWsr`rO<(Uf|pg8)4`j^vXq zqaOZ^JV2b1=jrbsSs_6IV(O3+^dpK+g z3@oYBKO1Ygf$HWxvFY5na*=ZX>u} zkEcKmhdm1Wd?mC8ZP;7c*V-s^D3ZVO$TLMs<++&tqgTx*q?}Y(6=A|QfVLfu0}Tn} z^HhUem>6N%yTh_qrw%X1d7wPMo<$-WFL-!DAq;p5Sv)ogFO|k}$xjZ1!oe`!t+!#a zL}2BG_t8RDPD>{WZ6v_zLl#SkC_(nFg;AQrK8&#%vdM$0j1e2BF-qZG0?7N-93Y~? zIj?ZzNiQoCJdIw2+nJM$sTV;g;PwdQO78#1(|Pz){l{-hzx%%bhx2$p&gXSq*YnAIQN>< z6u71!Evw?_8aWggr{5ZDV-g)mZ%S-R%WF*A{fX$oaSSYyPtra5b~l}>b}Zd`3>7KVRcVUyBkYg1?zPsE3;lcfu15|wPc_OYiypO72 z1^r@s&mz=jBDRB>KZ=VRx`;h2CE~(qJVZg>OhJ$CsKe48wm6FYUgHj$v^l7T{m|yQ zdkQ4@L2NL2S zZ_~&w(kSz(mnex9(qIq8(_zQy7;7Lb3&zNg;>m|C1sVM~PDQGw3GUq2foF&idNsq; zVb)?OE{_E36^L~yo0uh$!aUulQ=@+BEVYZ+&@#i#pIKWm_WCt!Eb9hexHyT>+14Jh zO!-9Qh;-RV2<$Vz|4~LGO{S=Zw!B)lYMU{wHHxsMefuo6{7t%3o3E4{%0c$cA@iaG3%VH*u(mo|7W>Pm& z0nVcCUMp+4V#DvC6$tkOth>M&Ex9fIQda2e-wPC#57WZkq}5Gl8BP?ShACmC&;sS& z*m4_6AmS5IShNeT4N8h6U1kp~xL8hSRw0uJMEry~ROCKc4WdXu(@Uc`u#%1d3wv6H zU$4AlDFm7pEivF5vbUX&tM zdG7A{oa=Wvr%n0cI=H<8(xp;)2Up3%6U0LQ%)gd7gJ6xa+>H#>sA8rrkC0FEqXh7a za)y3<8GFh^C$Oiz26!8KL;QV`ti5lObjNSPJ3Q?HvDA5trUxGv#G%`sxDO!k`0oMDd5Yq%Zezl)Xy;xQ8 zU8RNXyYi%|m{#E{mIs>lDzq}TX`ibqpk*y2;`bc^bxG1!rh0Vz&**L!zP5bunx^cv zA*nEl|EnFEMAEX?l$f_9D#1zhT7C5Li+?IJbx+U_3IKsrTb)hl& zOY5W-t#YMJ2`x>9DN3;w6|V~8_X9VWLlGuz+@N{JwqkOS>3Io&9_J zbP{Sxp=0hr$9!SO;`@%JFCAb1cB~MXJJ%FCH*7nvL*$*p&b{}Y`(HW_|8^cRe>hS2 zaBBPE?7@d~K}tRVIV%{kqDw`1j{>zL>Kk8?sB{rsTEfFo_;9I-uDhO5acyb zo-^=ZY2Z)|ffpLIVgda8$Udj_^?V+B8cxnWGE@@Y_h!Gp21T-mAG!q^%FqYc8_A#H zC;}#tyLh)k^nV%b6cBJ-JoTahW>G_id*nvv!%adO{o$iS?V|{jq1>V&YL~(ImxBOy zoW^Us9y!ung!-{G2F48EQw$xu9GwzEJ;#x6r%}`Zw1tx+AH2p*SI90(N9YwlBCkW_ zWhU84a>=Fl|8L&UD1t&rqJYPxWaYsWFYyS;(lh`EUB?2%e#rZ1RJ<6O3=Sv($mIy! z(_G%uJ^KHV1G0+99-sjK6>?@uu!$myd3lPRb(+(D8XP$-Fg6XQB--)2etuw!?Kd=* zO{_b{_m4iQ2&3dWKJ~Rz;2WX8u+Yj$((iWUcEF5@;^c!>u&M#7vJ?Tq%$j@8f{s8g ztVE}Nkm^#>+$O|z7#;X;T3qQ9SY_Tp>2rwvtYr!+weIuF!6`Pf>ATBw{*ANMD0qWX9pWx2CSpf}2^%Hkdya2R(amc$oaDi~(;s_eU z*^BW1(pE<&*8$FKACDpf82l(my8oNf&ybPb!Yt4HL(Zkl-dFhYnjq}iTKA>h0O&kW z{$>n^9FwVIU2Tg|>h>t<%OxD^&_k&qc4G=C9_0{SQ>)Q7YdNB5j z@4s)CX{+F=1vw$qi4v;vdXQYaF5kI+vkX*Qj36-~i$yVz8I$yikz`^iR{nJZEB}eO zuUiJDF`z$K)WziV@DPPQ+ni#@xY<77TS@uD0HyJ8L#J~Cwz^>~ve`30@p*;J_aWK5 z;ucb6JL%u12wjQ33ay5I%sVDdc)iNkV-BRa#V_{ zo+Nc{LJa)dA+6bj{jVHc41WBYBJ(pKp>O(jY-4Y7`l4e=dTEbw^*d_mJN)XqzQ}%` z&;H@h{U?qGd4&g(CAS@~_V30)&c?PWI`=L#mH;&D z?=_gc8aKE&Y=%8Lc${&NMgF74;c$i=kWv0lb@Q0Um(m|iqSXcXa#Qw{Su#^7v|YH?60qN%m)Vo}NK4 z>q@?do+=~_4#zoVzk2qyJv6p-RJmr+-y1#~^r92uu9EKA1-SR!S1ZFaC| zHB)Zp&KO3sE&T#%@2`FNX4{4(N)cC3Ci821mPQJjMuGXR=`(|3}^&A*B{Iz(O4zPb8^6v`9Vs(V;i6vv# zEV4Rw`Y55c@5!|CrGyGugyyV#D{efLCG0%cZhh)LU1ji8v)JaB=Ukorhn&#=@#+yq zX;w%cJo8$7u1*&QxJ zPBzD$YCU!M7j|~A{NXYC?W^!VzYe|)7OD8Q-Kg*2i`N_lo#v>q(0GH(<48^UP z&+utFtHumyz5;lGBJd{v^*-;IhfYj-bH*%%Jg<^Yu0~z@)~yjiT%zu!G3OlPCFEz7 z4R?$&uN1R=wb8i5+C4tx)&j|M8~+p9XVjg;{MMN+$i4Ykc)a*?b#ErRS}(^FN0v6X zu#HUIZzdTYu0H;5zzEOZR2KNj{I`kF zoOs0H_eg$pfeDTSwE<&M;<09IPJ3S3?SU6Bt8 zplH13o6;JC`jc)(Jnh_+J2f_7ln52dzxuJrN*7*`YCE0~M+!!@ZV`$i#=HO2nvKfw zJ~fy6gJU(@Pp`-iiU)At>pwW~A$=MxcqgWp#fCWS+{xx|(ky-vt5bNlL4&Hmy#kchQyAW;yj`1-=}_?E?w#^Q&&dW|P?qaP zBu_#tdFeLZbjEQzt^kvJ$uex|lYcbRFmA(TF69B&@@?07_M{UI?=B9$ZTk15=kc6> zV15Aqh5%)Jal(Ti-EReB4J~Ii-x1eg4r97FB|;BX)oco(8*b&7%tL_E@hx8CJYrx z)8Rfy`MipfEZiAo2`Yo@xbv%fHAaVxBvB?iW{OZx_^q6}pkvwzS=vsxTW`{~(gsz|#^OlvYA`=+9aF`%2JnJzW zFXiKpN$?s39d>66xT|r><1F$z%ib66_)~|suj+%lQmL{)bVT!78E)1iwp$sP(rdt! zQU366+D~}{H2N>j?{nWoLS;~`xAO}sAM3jB=Km&Kr(3gPO)s>mff=qI2m@ENh+-pr ztq2VYrp<&Lts@>xp+H<_@VM}3xR~95DqB;c=IbGm6pj|35z)@UhN*^~;4l5GuSQ9#z2|ozu1+B?ZTZmOK?uQUbJtLmV z`uM7nw2Ak1X{;##(6}?Ra)O|d8GH{Os-wOaZwk&=Iy5ui^Cu&1%9!ErIcFC#s~LSwDG*M=j(rKOVH+#qCRigg@M!-Int z+SsO&3r3bSdqvjzOQ1=C8Y zlID|QCC;gkJJA?nRp2JM#1~=n*%D&0$BwRR6EcU~aVpU{y6{OG`ZaM)j-dgbv3Dqb z8ybKS=4rxA1sHinW15QVWa^t>YiFKnQr0iTr=gjLVBk&jY<;l**<{JuaqI^eo?_7? zhQ_bghb2Xi~mU_kJv_x1@r&^qpu9^s9t z_Ba}VHlQv+F#0`Jel^6KlE+_PKe>~}NV=Dq=b4kMqBGMr*TbArNE;K?LZnKM2&6>_ z2-z3M+*Wb<=ZJPqBH&-NU^6KhZF%*JYSZap|BWo3&?JNG>4sAMCW1$ACAId@6j%f47mlwuCCjB4(jv{m8(Etbx>%lVg6?~P``+8%5Qw1!ScNL) znHifjQ7U|d{}_uZU&;V@sD0=RX2Byvvbf^G_W3kqEac&Dl}$3hyt;51SA-y|pRaz> z@P65SG^CyMZ3-hCpd{Wt@BI7ES0Z^TUXx7rQIo_G6%ET4GND!V&~uB=<)a5V{?%=o!NwLmWZ*rGSIkKPCd(AGLW@j6Xzqb-&UItYKz zSWSSiZvmZhL6)d7L6?*45A6n>*|fuI!Wa3e+N=LHO!U=HD+x95b5Qsef7b6}Iv-qZhkQ@3DWk5G6D&_zsvNT-jXJKOmmv>?2v=!nud?eSn9k{|(1kxUk| zXza*y#|NM=!hOApGinfj9@%8XnG?m=>Xa`>YDEkURVejuM{1#_ovKT{S}}dvOXReQ zsGSfpy{ta{nLdM!KEu;KBWS-dO}`0Ozo}HenR>sudH*dJja2}}zmdQM#8_1J+qCqb zq-lhQqR5XyNr8Q{+ERHTR7w~1xMUK_LFjui%}T7MRdPOU8A8mDsKEW>^J>jye9Lg>qZTsWTKpdWSH?g`W>O@l$P z9F-|LHOOBJ^6paE1p16?spJRsy2rbV%hD z{nN&wc2ta08lq%0AxmEi`#|`)IdyeWf)fAmM_{-thWe#f{EH2eXER#4l?jlv$4x9F z8qDMkiq+ZBoB0e`s-cLgQ;`C!Udf71nd-1xx-PZia3Nfmduq6jZzz){RU#o#UL(xybTpISDCP~F;)l%KCx^A}WLSmz`s$3P|ThVr|cnE%DF zN=dTxnG_9M7d~7o9%^IG1hI6~O!lpUOBz{y2IDmS9^=HLJcNqO;jnwx*9`+>FxXv88BfKBCw)ii}+{cil>cX?|?#1x_f4G{>6v`Bhj(qWa%Bj43M)UWK=e8AXjx(>Qn3=ha^ZBM3ewoYFSrEle5=U47U1lWGbEL&H zDSjpl%SGcCMQe_5kreYg_a>cQPg24@eY~gvs;Af6x#(s;y;pzjZ7@S|i)JzX)^gk2 zTavk_Cjt-eS*|Y3&UVk5x~2|=;X%z{!iin{Pkr>i)u)kzPycz85*8F-i&kJ1;~!9d z>S%(7XQftRrA}?-D^m`h01m!#3#%TC%3fJd)504BM*76iaL?Mv)LJWw>jqW1?2)`u z210+jGM2AGl%(K>s!hpGIThZfa4>dJfF-!P%xbudb$Efxrkp2?qja|1%|8C+EL;pA z0*63KSDSx)si^PA6fH#tqXpxPzp!U4zRcj#ZLXB7tx&LmJAwi8u!Ih!>JJeMp(&gx zVs?G83VFs$%_r}EXK;>0Ad2i;`|mAuRkfD6S}XM%cFfwm`eofj1_^5gnKM)y?AVql z*@~Q$OAePU{wpoDuXz62K7VDIpfy`D=t{@l_*eywNA5sHz+c9d%4mAZ3~e|~{@6wA z#h&O0e(F!Gp;|7_cIfJ;>|W;DaqZp&GoYrOPLgL7HBjOe3X z3eKj-JASy>Q1R8u7wHPEh=fCLyK&)4B#-?|ZQNKyLXGF@ua!YO2uPAP((8JvoA=D~ zgvYNoX>?J6^@@khFh(5*V#GrgR}f2$0xxYE>r~5=raL-4YVI^TNj!wY+4U*f>9z?o@0SC;$z(VdKE)=)J1eisl!U8JfxA2$dU%@-_ZC zT~fI#fu4XDOTZ?tA?ee1ByV(GofXDzl`Q=tfq5OJN?Rxg&I=Izs#i3|th zBQlJ;uZvD~6ZD}_*;+&xUx?=MH_$saY)m7NXz?%V5dApARe^@H0{2p`yAP8bJ@EG$-%?2K zs(%p`<9i$=OQfnzJuu$T;_!~Bu%X>8o_@XYBhUV1Fh({?6c9Tww-K4Rsv2=U7==CS zJ^SAH{SS$sJ|szq^TUH*%7??_ZR~rZGY|JSgAbv%MRQN@(gy$d zo3TA}Ry!B&LrO97+ZGP7Tt!j%Aya*EQNC3^D9i3>F!p(JM?d-k5~Z__Xs z;Io3laiFL3F~(-{N6IgnN?LC!DoLun;^&l%)Rr!+K8ce(d4ZQ4xuMsVlA?VV2Ii9L zB(E3Q)+5}!t9=sJ!T*%W;LGM^=SiILPui@<^nP(-VsXA!bc`Iuei|Dh zWSoD*ioF)Id|{a+oz8Fy<9w}2Et!J7;Yyuw3zBp~_dPc)D8^oz?}wAemS@{o08{Kv zpQC-m*>#bh%vQg|zoD#Uq?DcEHox_y9_uRAo@#E(qKJRl&%uS)n{VAbjXei> zhkydo0u8q1<3(7w9-roF2Z5!6EgmIkrLN`T0yTR%wIqL8eEwm18}9VEIu7tLS7ckKlAd`FY>3wjX*qQ0KfPjgOqrVki_k(R3?g#t`N~u0`)6zFjy=O0aJWF!MPkJ6< z-+hnZLU8|f_W13u-)UA?AX8FAzTl7}_a}cz@piT!=RTYZx~)-}yr4lTc>w#4OUB>A z_rB+tT**(l6^XlsQSt>L@*$aTvwbhJ@3!TxlT@W7JR9LmRcK4W$>siSE5gR!=8H_d zo8`KFmd`62P5L|dTWE?iyf&4?Y%+$-kGN%1w(*rOurrEHBceME%hYZizZC$8{dqAW z|7Te~(Cy4P>>_Vn=8-MD?bTo4>AP?458?u4YdL|4?|&ar@TzDgRvu4FMq*9BFJhSN%@bEl{f zMMAa06uTPwwAH`p!eyl>vHeMmH**y8Pp;3MNXo$%YF$`Rlzy=)cc}#b*h`zY0S9}( z1m_zaNUfs!{B*e$VJ=*{K`>ozz-0_P=~M%cY(^f?9mBh)%fSJ^<~m3LZEk{IqVOsu zDPB|Z?$F#Mr#toY0g7M1$_~+rfmU5%ka!S&LW0|8D?S@7`|flX4BEH*nUnI#Vxh%* z{UJ+ez-C-ZPBG^VXE!}ddyON>JL9&^ya3aZJbg;4LR?`S{mnxwwO{U`OPJ@@xXfqi z4WK;Dx&mp?Sdminoc-S$y+3zvMh#i6VUZ33fX*yrlk} zOOq=GoZ{OoK}IwyeZmt~)MMxio%1PI&Z)*xrA}xQIfy+@)+vF_#3V&-!HaVBA?I@5 z`V+x7=Nthy_wtNAU%bvSj)YGiL-;eF3Kb~{1f5A?h6clUbsVG_0}r#7atF1 z_kkMUVGRbV8667k)_(I8E_i5c-wO^qt+YcYYL}->6lJFH9rDYWfvQK>(~CN)WKBV) z^U*~)QjClnzGe~$`C81%^!63(_QTE=74@ILIt#snujig74B6*j@atyE$BKoy<<`Zu z&DJ2;(zwFOSmzz8ZD^Uf{p!2-mP=qHq|5=8vU;^zAs-V2ANHj07N@TY3^Vu$`E=o{qG)dFlM`&tK6lkgqi!=RRKlgi2r8AX zYt+VnUwn}1y^ibX)t{p4Va$7>rV$Bh;iGBmS)|_(4wg1MWBT~kJs;S_(&-3;=xXwi zk)S=>XU+p;*8qmszNKBo*)I5l$$cf6WiB-#nSQ5FF~2NAzAuR{qF?OZ=nDUQAUf^a z5FxvsqTuiwf={39r^(4Xmfgx%p!@&KF|bTOf8eisTh-3>f3yl)n`PCC9+1D=F?e-& zeo*kC=>1{GUp5PpC97k~AK;Oz(4C52L&fM13WV?<{huNf4=VI1l+G6Je&}$9&39>Y zCkUy6pDz`P9{pd2nfkBR-ED;rC6>Dl->&`=PQHJ*Nq9%vWpG0xQtoflM8wEpu@gntl4@vJdc z%-72}-57VH9YY>i-OGB?_*nT8bKTnQ!%#KFYbtV3WmflbOEe`IhI7zV@b&YXHYHlL zbI`r2?iUW@ept2oQJ{7E-6m{>W!qRwR9}_~8l%d#U<@NAz#;|r`;q=MBP<;!fKrg7 zKh`*DNHargl&slFxk3lCNu^!SGJX5OKBpx^Iy}~cI~_L_#cBWtX`(YSZ!cVv8+OyR zYL7z`yj<3ecj@IN1k&=_E3_P}bqP$v89bFQ*QZIuVA_|fB$BVV0QH(|8xpUyj7k@) z80)vr(;%T*b8dR0bE42ZN@L)slPXpPF3!g16ve06RoO@li~@nijZwIGowtD^(~6#a zstSxSsI*^7@s|2?%x(tlgJF%Q_Wbypv1j8UPoN}YOT5bc<>N+SC!E6@!)kBKN5RO` z$V;gEmRN0e5>ZeY$=JqC-h~`p^YU=f(`q{b8~U^-P&frA76BeI`XD7G$anBrpDb~p zfX(o^Gs6951)^7mk5j-pZ$=1oU4FgclZ?aQ(bFLkpFQe#uT-Bjguwt?=b`C`{nCPu zDmQU&qoyqji0jDv1x4Dz=1y9(%TizLTYwdGJ4t>;`vP&U=l*3t*DBLz?T<%58rWD! zjcpPO2as5VjII+Jkd2iaPfs-;b)m+)JKq2>d4O>b)871mTuc+~jWCG(Jw80-u)D^2 zX%+HaELLe_GC2rUhG}kv$&`1);s!0Go2)VlJ1;1=3m08CI10!r_wLq@rglVV0s$n+ z=h5sd9umyIKMf>w>2Qlc0jdzwTrES1?kh1J9SM%K8zT4|!EV=X^?ZzZj$YotD2`Z7 z_GX%&grR=26D_eBna!D+zEYHRoERg(_|@+*x4t9kx;kl4UetN;=pC{^*_#G_$F#MV zI}SHaCsN$RDlthOx{~VS{BBw4=`T0<@8(f<+Wm~?yxhv)StW{U3VK|)w8on&{ix32 zB(l4GQ$46{{Qh`g>K!r{VTy~cM$Mn~4-V2rpXYx@8y-cCD7qSwFj3JyYbv9xa|X{8 z%+^06c%(40?jURnClg(plIZR5M9;(eg&!W5gY0qsl2CB4A++RE=#Dyd->0CDTrDt2 z%*`x~j7`Urcaj}mVV_;UeIdfPOpK6t%EDDCuYcI;|Po{aTq-Ydk2xF+0ZT0iz_ zsqf$4&w8;if&3u^O^|;r)F*`8X$66rSDw_gp{XR{U6__`lzRL+5wUEHcj0Ebfr~Q* zC_cJ4S&SSkyF+frk5CB$TD4A9#V~$aAmv61tWX)7I$A@N$&_3~F~S7E_DT8Rt`oHi z8xsxkIZ)}n2JP>65N*VQV&V`6q|#wv!~6LmpWv1U8Wf53q?{`rkazl^37}f>E#Zg6 z1r@{ML{j{2vesHi0&6hIBQ$_;NF3?7j12#lnPhp#YkKO3E2TKPYvZTc|DwTGUa`ZU zJ?>=Lax`;j)N&WiUH*Obn?vRmue~mc3Vr((yNNOm!gL&G^Wj9c`|>38$Ab?IK|Gn9 zR@BM2PhRqUBYJw9^tChvVqC_I^v!^h{Srl(QP`v9WVALxx|ch5^>2vb2H7jnNw1**%~im*sdBlO3n!MH;EXrwd~+ETaE zjd;BixHmY}8q5BID%J95)l~3jDRye&8#&jC400kB3q6Pc6=D$JiXSaOP?H2#NXI!J zc{qb`8S&OpFZ?UCLO6A)kbDajdI8f~^)C9aT|n^}yZ<5$n@bG@s6kRIDmkfiE0KNf zqf%RsxmRLjOElQWxYR;8m2)2RvCb*}ffP622DWDjE;roDbf}QJh6}O|Y$`-_R?~~pPh9A>HVpS{6+ZTxkZYDrso+G|wf8a% zuUs9#wz6)UMh~l$Y&Pg`*h$rHGLV?6b#E99SL!MgT=n}mU78&&&nkx0vuve#?yPM% zapdFFxhu3?OzXLaK#pEKTUHzyH*4H50w@#OF8&zgHSG50Oq~CrHYBS@EV+kk5(41x z$|2Ajpx9Tkw6*701sav3$~lXWZs9>S3BVB8=wZE_h}}u#n>JEj0tG$n3#*@8j)=J* z#k=AM<3E}c%Q1Qo))!WO$K4AiUyv-+R-q6Em6>C@;pvR9iZWzdU=RP|Sm|sl0j8me zq+5xVw|FL0hTazjb0Ke|VSGwd>fESEyBs6gt8OF~%aKK`HrU0nVvF2JjpwM+`GrXy z-uav7s;A@LACg7RQyJ_uI>~`{p#MM(WG@|!5$iymb1*&y2 zsuLutPcnoL+s-Cz7?qB#@@@EYc--k*h{|b3<37UD*E}xz<8dXmPfdsKF4n%_=g*Y)VC^tU@EntO zGkxh~TBXZZpjn%rQ~8|eF8Q;a#5X%yR<+(7u1`z&3!|n-uaDvNyIR#Q>=uGgb@$#L zSEorN*1xm#4Vbt?iH9$#-G|}jmk5z#G|u5(ni6Y8rZuB@^X*2iK|~gWuSGTG)WDnp z%LF9`F7Y>FplauL)Y{1(>~MhhAzqt;Dn}ecEM7f5PsE(GFUr`Vy|Lbk{~fH zuS1@Lpfj7+(iy+%>Z~&e8q*FUpN4C0^$Rb!`Jk)_@l33Ki?wX|fzO zeI5=TXp878lDXGO9KL+FzN1^;1o%96#eBIEc$-Z#p-E?5#C?rqUznc#mT{QVQ$MfE zvU9`xs{KH#lINJJ{#mF<)o9gM2Va9w(OtKv!UsIZVd_NfWq*4_)!Ki&^L#Q2>f+Rf zsMx{uP+j6NCPaBXZa}|YUks1w_Oy;rtsm6N4$Q0`ORAq}#{wuQstPE24ZYJ*kp?cRBMixgvPg=swMdeY4(TK2P}3!sL4;68RLXFhn55lbuG<=I%k8%$CphLFHf`z#D5&ZnC>zhc5ErLME^@A7RaUPdt9`|}@h=&Q@0syV*kDJaBDPoD0qtL!5I`#qU!bq;w|MXYJB9XLHpE-B+ZP~lk6Fnt1 zeb>vJaVj!IrlIUgc^Av@b_b8mwK&2FqV>}8?H^a4a0GHpdru@=pbRpPVw6hk&D zJzjUu_A`TnBm*anv|G^klMJSee!#7KA>Hw#^@I7`Khx}YRp`B>pL4wyUGRHiVr_Cb zeJ(^O9bvV0{XXp)_+}J;=H_e+>AYt*P-r?34}j0CiD+E!zji!$Spt)Ox_U2>?u||G zUD+tKQQOZ)Mzg zQ*hMx3d>63n(i4yT02?F1Te_he>?LR4A~!5Rmjo;v}I#$Wi@V+llrHu#`G^#8i;t1 zErh4M`@<^rFEc(c^;gxdRr^H0&{*P^l;jtv%9c-wBUJl#?hp5!(HHv3foV-p)A7sm zX&OSCi!@oc(0C$eTM~U0&TFg>O{Q;>*5{X)ZW(H+-KOK8B9Kl#)t63|<+(c@k|O%# z$p|bpa4hZTt?_EPKCaLycl|>4>)bSznDN4_j;!d#Zf<4@L|AUx>Y{J(VmWId|9ghb zW$SeESk`?5SldN;W@wxw%XpR&%6ps>}F$ePEowGCm*OJOf3!epbW>(}KQe^5X|1*|TOfPa$-pA4EOQUoHx z#H8n^$KB^#%o8{#o6UkiEY|chvddVY<*x5<^9PM)qpv?CzwMQ7&HdZj@ct~zc&U-_ z_s3Ewu?}7PXN3!cmX611@2_tIzu$i#D0C6GcTp&I)3Dw`}jft@zRT36R%A&0>5hJF6wtC6z~GDTZ$~OCC})8or}A^0s~CgCaEs%o3{j zVR}N#1tc5P`e_u>5v>6^y8L+=KJlmzfzN&9s5wGgYGrZ>nhyS8PKCd=0f8T&{Uj>Bj62#L1MG=b)N-C`#i?2GCdLw4PDSh4k zw_Kr`I-}>$Y8lW!=jE#A-S+W*?B|fW$}#e?F+fuyRQ+O8$G8E zQ!xk4tFQea{_q0=9BFABvw!$1dh@3Yp^qJ__9$k?g!LYo{S<4lw|CFt>*bvAXhk0l zc#M+T>CyzuJ{l-lp=1N}AI(seMf}Ik7U1_^HzH0|?IWSB@uuIsg7eKj( z3^D{vjCYI=MPlMq?nh(k?t{>7F??87WiV8N$xrpb5ERd$Q{WQcyfKt6Ed4P{F4N7R zP{x02rY-Z1(bJoeM6~i*?j{vl={&dIWqFuAH!9Q2me2N_tzuuQaXJ4;U;w~TK_J<# z9|@o7j<7xfumCUX_y&^Ypz0<71kycGq#5y@)rz<4h=QS3Z0+K{#p8<}1bW?0izZc5 zzbs<|Se5}lrL+m}=m;66Jy-2{F`zH?jvJpTy;FSPA9L3rGTXE7^L5^~vmJ%^eZPH( zB&Js`3h`ebNawXA2VPhJ%8Rr*-D4yG@(;SiwUu9}H~c=D4tuL$%PF2rmyB6DfvvZR zTS_(8kQD$w{M^3!;SIOc_;1F8Pof`$`CcI<>+45$-d~|f#6Ez$orIqu6)8W;kqD2% zdr1X@eA*~fkS2YUIzm%@)F%2S{VS+OVrjsTk2uSM$Z=3I5g<&G1Fx|GE3cAkF>BAj zl8b%f9E1_RkBoO&^otc7T;jD0GguCW=t>Fa zYym<;%w}F@l-+bDsVEku$gAkq5zH3jF#@5o&COmZHVUf0;a9<{F}Z4+>I!pr-D=XW z)4}^59)AaJxAJuXcBHa57F@eM=&W7y@8vAI{~opY;@x&I_r`9TY*mD{8KtItoJ4yQ|-?dJQ|jRH{V#; zw=M~PSpNMjlEUG9H9_?2`FgsM!^LL4@7HVlHX+sF&u&e_*FWEzCLI1AwEg({_eVFy z?eI7ThWEUzch*e!iCgm*1{aWUWi|K~CI z5Ww>Z4MO>)1n#Rn+CCqou8{372r^+?Nb4(`XarnuP+r(!)0(%rlmZ`OY_yrl2t!rP~%2`LRuCV zH)*I&!Qe|40Z_s1+Lh#YGcc~EB5onqi(#8#(}a%GP0<5h)nu14Hgq~%Lx>7bu@6Bx zmOjS9olr4+mC^!=ZWgs8i7XYP@(9nrc29IU7M@1Wm zBxs^mMF^%ZX8PaIX-e$*7&Phu2T>c@MB0#^jNw~xX}{mg%4O;=aWTujcKblK>+1_* zA>cp?26;e8j8w9m04{bEi>u16^ZS)&Uo~t=B67ARvW*JURnK|EwY=biIt%2$L@4r@x@=x@Yc{R+1zaIOA5ZKm(Usz$pm z_0p@%yY$ZVivTMZj*1R@;W8t4lqS^2gWgC^7WpOJvEpP}fnk#dnB9Xm7lb9#8OIo? zq@I%1-MRUx<$1!JT@KCsnW`K2;$8S?TK_?P-H3)hPkuCh>ETUhcRu*itS-HU00KT& zjJF6zux8r-w&AVYT3m8*+BXv|To?;3*+4y)1)KN!P1V~OmKwC#F>`;JCV%s)o7KlZ zI`v+a0xM{EnPg^q@CUf3R zS>hKfTC_@b|GXE&=AU3x2Aa5FL87wB7IH)xXm_|`tEDdAF`i~Y$^>IwegDF+`| zte+Y?jrZ_6;@vDHfz}(-XmXWP1 z@;Td|Zzw#aCB>V$Le;QOUpBs*cy=T>h!)MWZ{E#lNTB!{`bI0f{1BzHYEF_CKQP}# z!SNK##-JLdaruWQNh^G^crAQRjf01xw9_!^+g~{1kvh?e8oT^cN~U-Sd%4UbUpVg9 zO9?2&>W-G;mK9#(vVuJy_^VHHMA1T6XBQB}v;Qe$cURE{R@k=^pogOWEK>h1QC!Ul z0fg?gOO*|@F_`|@zpoRo0D%5aq_?c`x>Pyok`W+D2EfvQpS}dbp9Vl+a!sTWv^_|% zw#qq!BNBcL`x6Nv?fypvA)Kbtou`8TV!-x(JbuQ+p=p28f6jd-5lkOy<5)!4Pa&*+j z{a{!nap)r=O2wSwq~X90R+Z=yI3cIt@WTDL#KR=&5LBxa^}wH_RPxy_^3@99l$>e? z=O_S@0`O2iN}w_oLzhm;$<1Y&R`L6^Z#@sukN@C=SNX@aX1Pl+z0B8&nYEv0agV+yebs_#eSpGV17jme3f z>1_BF0XhzG-&N%eo#vH%@_#6M z&$y<#w#|Dd1PGyp-XZjkbV4=s-g}kaLAq2;=pem!LQ#q$Rp|(bbP$v(ML?7yAfkdO zUU_rfGxI$2Wq$KczV4m9*IMWLkeur{jz2`wg=qf`krX*YV-9B!C+i^=vTvG9fwf`% z%7V(}b3jmg;$!$!S(-`s(ZiIec!)T@#;T;xun=~{H39?KzkpS!Q`+n-i_`!(f8drV zh{xZV>IOyq(U4m&SX|SH2zwV+)lR|)LT^%weahoxrBPv};Zc#e){>B5aFGyP{N4QZ zH|;kmQnZAO+AR)l(bW#o50YXBlC)ng#J-2z0_}iAYM}lAwH}HzHVe|X<5z|f?baft z&g1Obp*(p@q_z0XsZ$b!mQfF8xs@K^4FljV49sY@t#-xAc;J3W!c7f)dYl6>4h+^p zdCd4OS{XCMaGwQnsR-PQ9qx1l=oJmuc&F0VC|}*!Z7J8=w?~x*UExotxKzMkiUR&& zbEbJB6{%A`4AIAoEKb1U_EacprWSz18O&Tx!}8QW)`?a5Qao4tz1aap>`^rRAQ~dl>+aJ3JIvE4tt%BEwK_|^LtvyS z!9CnXJyyUu`9oKi25*Bmeide5NTFvKOqC(6l=DNgs7u#UTKXslvO)`sr}0Kw5Ft44 zWqg;2{m#vfrS`2g{Ig(UT=3K>@$u+*^7I=;%R)g^?GJiB9Jq~R^De2{4{nn;#Ff+^ ze8cDdsevO3)JL9K_GekUg9CIvYRAf$M{--jl)Yap6J=?F2(? z2^MT_*OHLDx!sRohXGo_%kof^t)H616rGl~B&+wxKRoq7VAxz>0Wfr?kts}e-tU9JXeZS@X{P3%N;}l}-Tis#&$I{I+vU#{a}+cqa$3@un+@iaF~_Z<}#UHDsu$lxzgDYQT$!f_VEayi2K*wUZVX4%(7 z45P&^SDK_k?YnmfJSwwn7ln;C9?wEbF zuryeWdfh{Eqjq0PjaGABZk5dCE)8tyE#KP%^5_Au1a&C^@v?W#!*=i-TVRpOhwPR?&rUN zR2gO5Vp9EC{9sE`DRMqqiiyj!6!5+F#ihPhu$&YgmW^+b7jO@O>?Q!>Z5&n%cczf+ zhghvRc$!BZN#z2L{w>Fz3(=WuIYCf)e^j{CDNN*#lMH6)iHbA@859j zEDP%amDm28$<=Qz_5)6Q=8K2$Pu(_Y<41EAIbG2x6sA4xn2Me0p37jht za=T~k6Yo&#ekah?8TQ$ESj5eH6y6&~_5Q+_F8C|Y;w`MRD&04792{^Tc1!tf9u@6k z)ZTy@XC#-ARJ3VWC6l}kEdQSK)yA22<|lb!Swq4iZ9bJdoy1esV7&RaL1y$Z4ro}n zXa)^Gjbm<%i@IM}1o;6_xH(7R>MoI2#lEIibU0LLyHU$}w6v$zot|A8`d`9dxGh_+ z+oMKxujpl_Kl=%E2blG8V@_?qy-^qI=U#tDYJDoG_X4GA)A6#~Ps_f`@znpe+8yJK zSe`e}XZUE=9|kCG+_H)Ztmor4qT_#04>2td^tX(gLi0gTK31N{QY<=5wie-QlxAA0U>e*W_7?%-6u1lr&Y+ge4n)HUOx7q(S89DnzVTKuHXf>Ftlc9f z(o};W;1MH}kMQ{pHyQ(!H?{6JtbdG#YA1F3VXkuAc7W9M!2GF$vB93gyI{6<2YA;F z&j2(YRr`=nK=jv+|6busd^c;D`(qxghC~! z4yIz(r_2qEkEQ7E;(IGNxa>G6WMJtJcb+@tnc)OKE+Ab6?P&eue@n=a&tQkvY=qPi z#fm*&&Q)H|uJe0Yq=*xAfHQBkG3vhuIpYrjTir(qi$KhbF}wzxEXj`Ruj4l3UMW!{ z9U0d!Qd@6WczPrLO7%ftcN2keVUE5n(hWq0444?6vHAD!ho(J+ruFqN^{_5ZzyQt3 zeqt5kvCHkRO`!%f9+?*-mPKDN0V*G5oCL`@+kd*WgA!Lx>p{M|tZzXo6vGdP3`8tc zp2(`wpJ;jos==J(6o1Ek3|2WXXH~V0v+v;sE;1MEAjFZn2bupIVkVM;MhTK@=oEIj zODdS2?gZry9k)2$rGK(!m3iDc^kwj$FC!`^ukW8s4V}#WbF!#%x_tk1edu)S{-y)P z=O8uhf^99&Gd^764QuM=r0wD9-+#Wk)t+8tp1l_0-Z47sOWsPV{R(>d?F0K2krLk_ zd#9`Gm6qWcHpZy}wW>`nb$p8iXjKk$lIV_TEL5U)ER9y!ZXi{nZv0>H@(on7elnLwzf37j z^3immh<#ryjzsG5Y^k*0`++nmFD=L=6s2TJVev$jl;xyvd! z#iTml$*a{S@wd}N52r)}8C--|i-z~l{WtpJNadbw_J)0VKlo6tb$gIBlrCOpyhOv| zEu%Y<@Xf*F04WFpd=R>u62<()o7CBrvo?Si!WwU|vnB*E8RQb8*2MQFW9|FzlPkVB zS?}`O7|K+Xo99@wdAQ>>U(xMuJiYy|sI>plfAppa&ubi+S(X%vpW%Z!3VKSptw#C( zM*soCi;-{pGvWOYC~ptlT>tp8@iP0R2im-jp{ltv2gruftf+xd7S13-)P4ezwAKQ* zqe1*x+a-zKDb*#JIdF0}3EI{{2!LWcwMod37&1;O&n1++S2)xyLvminEmL+o^`kz- z0Q$d(sIpD zd6xM;oAN9V93t_m2$|RQs*Ko9^Qww|QMjT;5%jLwo=ge4SdCecY1B(|8neg+RfJ|K z4AQRW)jU^bKB|uioc3vOzmIm(jSD1(ao&{?r+idj=F8CBoV72cRemUGYH9sC4AsO> zh=s~*k4f@s5F423H`^q+8p)QP_n5F;nRw9Y4g7|kJRuTt&6F8R@W z2XrsRe+lT_C;H;ke>Sv1)wmKNE!3~zf-2Rx98GG~`#b%eDkGo?t8CJzL?0@{==W=OgRE@mZO zok-5}yqXDpqoPkQq(KzsWhAos7?_s4Ky}gq>NEM7JZYeyboqn*d_DJafEu=h7GVT< zR~kFt$d6hiq6D_dtcllx96}8xt(5l1!cS7w0Pt#sxoo|QWnB0QDfwyTVtVlD&v|*UCz+!z=rIP z$fw^IK$DZ-PBeSP}Gpm{YMctw!|I}vsQAaSzl8J z_7>Q=GZFw|=rV5)heKhem49LIT1;Prm1T^wjc` znT$jUb#djr$6g2#QvMQuTYI zu0=W~CS#pB&^Btysi<@(z&VS9Y?qr`eoD{jg0Xb7zPe`wzkRse{%x*`+Ri#~(G*W? zQb~oE&U$K@7gIldEb~ocyrK@PD(m$_B#_|K=IyeP-7zPdHkh4rf^Pww2N>q_E*a9#J*{Ev2VA}2-f zk!k~@II#|0#;JfBtjDxB{ogJrlpTN%XZuB}cdbHAWvB&m;}xvh9y;o{aDFM6nzsP{ zQ9{d)64<@XTIp(Z^kpLcle3!lE|}5u)P*!8C!FXi8PNHp7NYomE84xY@)IYFLzv6> z@M~ucE;Gut$fzOi86=O(^Cu7Ar8#m?GmP7hL-7jg9EO39oNB;?u+^@( zF?~2EpD-F8??w@L@`|+#jMDcU9M8#keEVhtW$8(`}x}k4y`x$6ziSHVB__ejL5^kBo*vE`^a5WVMgzyLv2& z^7`Ax>W9aZl;fLb14k16BU$8Qshu_3A!_7swQ)ecU&Ovq)m4 zJ0JSA}caEf`nCvngOcHJHJ7u~j zHLh=PGA|dB0yOqKK&Q8ME)1Q1+P-zVjqcw(3cR;s!ufR_n!EIprcWo({UVxpIC46a z{k8Og{9;sUcw1fNi>!YOW00*zbXI_EJf_jI%}hO+(+9xxhlV4^h9c! z4-(N7Tuk7o-;+|uJ1Fw~Mn*0xkHzo~^NT;x+s8HCspo^Lu~baA7pM4#N#_3{dn300 zXZH^7oOAU4ua`K^ZwB0r(Y!1ONM$(Y!81oRu|JIJ@)$!#vl1(Lu561mA7i^+V!$!8eBypBY7@05E2 ze2?j=>+*!3zNK%criz2opnp*%MpDOnQ-6`Xb&w)o3s)JFl;F$t;H^oe8xdab5dD}Z zb{Ha7wwAU5N_(0sJJlgVwJQ>tn%n+apUZG@$-2AAde_PNf0P}_w=K?>Z+<2JKwT-`JS*vy zsQo*cGXYFfr~FeI`q_7i0Q$CanDR|yPU|nlOQaIUO=*%wa7Id=T>dthG>XzknOc=- z7*4@t67melKl8|+vmidK02{t^ReT{;lwVw@M^jl1r~h<=nH zmDe~kVPTOQL`$>2C^=2>J1^7IeZ4jw{VogbZg+#F#Nr{^$YCCXvBZ*j+OnChvg}@E zVk{+=N3YCO&)~YCJFT3-#h3lHp(hA%l`#?tH)>C2?i@FQelz;U1O09xe3@uWKxqE= zhcQUTgg42J_;oq#xe@tKD#B&+w@matk1ST!(epm$vBlx~`-MXTep(rQuL}8z4Wp}}8nrMY zw9MDETrMtWnXaL6@g4qTmn;LHd}Ko@A@sUe?X9e3VYqFnCKX;sxS(k_@JJ};x|p}m zp^Yx`T@lscvZK^*IPQ}@7pU%jS}i3N@IHy|wvZ$3H)p&US9z5D9^A_#%&s8hkyd;u zjsyVN(Q`N7F=BQx!6P4Koe5DSZ(&VEd)L0ZrKHphcT zWc^=9_)pQ_jco{Qq7P`-G+Tbbb)FoUxPJG;We7tG-LYLODJTf6O|^Rr?jOGgZ3r5$ zdPc_?M&m_E*U+l0M&6<)NJtlWpchD|7)Vrim&f`JA3s)L)feU$M)svmLM~M1UZ~PU z2vV*?=u0U6*9yxjH~R#$tylSCdS~Ngm=SS!oLu15cQ2=}jz=>xnSsi>pYUOK^xnPLPyEjdy6-`DqT43A zybiivq{Q^4cnwZOnzF=xX8=B~KADo^`n?l&;7l<+5j)TSJf}O)oF$4OIC^ch{XIk1 zR&n=^b*z^@&%qVhk(cAogZl-352{FknR^s-6Xb6g;+`{5F_(2d>CZ%X-zrL@0!79U zOkyZUACT%~^mvQ^*+UD^7EuRd5YT>(_I@g83A?~U;avP7XFT5`W@e^uQFxF86VDgV zC6w1sua@v`W^n6Ek|HCIdfp%%SE9z@;K9Qov&O`unW57!LtjZ>eiMHAUH|1zzn51J zUtT|X`DfQpSJ1q*NMw`im;IQ8>^g)yHBa zD0rAEctjMFTF{gBJs$k$JbwP%VX{^P9hPqMsTy&UmYpd2epIy3CM_dUjxzW@n5i@3 z6-kwV7@TY@hHHdUTdJVrzn@Y(X zLvtXd$aAEuN2qL*dG<(X_MDr1M1makksJgunG4DySkL-8NmZ+^@Hj!C_=M&`M%JEA zemnP6%e#r8&dE{pspUFc?zEH`+fglbFp8oypPK~GLXc~M%eQGvH`)ujnw!L@RL<0= z+d|u@OlP>7ZY${mI2sg6zJOdKk8j;W#gx$X2Dq5*xyBF`}0;yRK8*@qZMvMH%KXLH1`^nlApxBr@ODW=pfvvs@f?0+2fX0b4PPyz`A?X zv0I*y`)*y7O}h(Xxxt5O_hDa?=r%g}cN3D=Q|J9I!^o4NKKDyBCb#`*_QLsp zx8D(OQ`D~}VBy&^tYgiN zvF&l8f>7j#bSkjovn$_cO!k2{$IZvmpHId&={Z|-cQ=hRT26{u(p*{uuN=Zu4mrQH zg6>fTR(&R7q1rzV5L)fHwqu0?iaTyK%!mv_B@o{>64fckIJh4K>0U1nY~SyaoO^YN~a*#U5VN@dk0U3p>@%?(QPL z`-ctpf#F{I*6t5LkD*)-;SS-<4%^T z^0q%5q;vAN|56R}EwG;nIY5rQ)uv2s(9rm&Wi^gr zXCp!5HuopLpN?OD2DcC0xpnDgeCcub(kuJYr}fhB&1JyX%e$0U!MFHGW~suAZ#|f{ zaeq79)-uLuIvhgzOI`p-M=NDG(B>Xeu^Eg-8t=+b0k`2qE;|q>((An2CMxi2CsZhx z*>(P1MCEN0Y_*nH8^i<733Z0#K7de<5Cj0!`D^JJtyCNa)UV7$o|>jnwvTSbrycbE z!QfQ`@sL9k2+BJao?#;tnRB<1ug?9+?dE&}wLVD2Ox5j@-CBRO@5lV1EmEgbc z?)(Md|EwGTvv}(tpL&8@3&e?U2~2a2?!XDkTP-c%#Kh~{+`Bh2+0;u=I0V3vX>dJD z1Q`#*$RH?nR+Z%2<8%o4#QG?Pf>UyTZSkXa4yR@okL}xi-2y?&XYT87Kk1i9c+A(^ zzWZ!gAs>FWzy9vvP0?L37;Eaz``);N0%}AKcu6v9A&*Q6^%W%;eiF#2Tx@(K{}@rJ zR;aK5@J6lkjL<~Np4wq7TRjKyB!ddS)jV54=IQco_i%cyb5fh2Nhy(%`vTlKDJ2-> z0GYu+j|7^|ls*tmhLr@3gKwu?K4tvj0YKCWTq1W2Y-ru=ZebRac|oeLkyW2O#jHp$ zZgob4J656_If*zTr^soCRSS(*LFUa_Rm1&JSLqInuIv*INhRsOjBs?N6)|MRNVh%= z>o~!|JAy}dKKv7RbN%z{!G{mH2LJ)>0zbo#v`47`^5Pjc16L_0TD`00nB7Xe6hxFv z&(kzQ%*_g=3Jyf>!1qzKxnn&7U2t_^6m>uZ?g-)^5$dASNl_qb#se8usfRPcv8kia zdxla$3F3Od4xY~#+X4^|nZ$$Gvuvn!IMduEXI5W?}}qNEQsZ3 z3xhaS>1U$EVWw1dC@*Aqj4GGFM;iz_fznws!t@jF61hxkGJ5z+@sOYHH6%(pOE`1X z!q*>Ru7MylixK0w22F|BbmmDSsBF}39 zxiCXF65)sH){YT`)M#R!d92zLKHIc=>;4g8!S~x0!*PpXK=7n-^oz5-ZVYokdCqSkBYyid))htjHdj9uATa;z6S|tA&*WL4dsyRZmfb_t1Zo|j zXCa7VjHU-(Y$bc0)IHogV7_}k%bc|Wu}~D6WypwaGT-1n1%&me=}phO=2r|4VlQUo zaIrtE10Hf@+-_{BGi(m84$S_{FZj>v?NvrCV0-%xVw>biV1(%en~@ff&WIpi)ine& zAf*yb&s^NR-@yieTNwsM2o~%Ph*b+9>Usz;S2)M(Osdl8!INq~Lc!)zUNLcu?B-&Y@nZKSGRk!Bux$O(LXMkeg~r+eHX~_F;t0}JDkym0l$h6S zpYaI*tZZLNbcEw&rP6?=iW*v#{w-~aM$&&W84(S5s-U|A^;C{{mzxlA$tx7Tuaw?1 z%np|K5V9tr#z%Js6aP{hV9lwAbk#s;b3H)bOs!G^E(lH?br5V}=FVfH(&rYRMM|gC zk}J?4$T`YmjCW8rW0v-)kyO{07NCjsX5obobM_GHsxec06phtatGv|%H-@+y{QxPa z66l%Ue_Fu3zD)TZ$60F)pD|e>9YzqK0FEUB14tV?8{2P_R4t2InnwaUFl>T-<44v- zarO2_6Y@~^guBw-$ufEEL`1N2ZVvLJ3l%l9{MrIR@}AdP*E?BD3rmlYn)?7L98la5 zWvKvAp#LdUOb|FW&F@>v{k22tk{UlFZi8mPYBgH~H*bAwobB`*gNwiK0XZ(oZ34o!1utTanA?rvE&NI||_sydhIqB@G znx~#zj$gQhdpFp`^xMjrFR~y5-5z&iw*EN%8n+%g{0=fch`Dp~+4|+5Pj?^u-N>Uf z_!x!b4Z@JeIz#wvMo2w_64-8>q1ra1G>?OlL}OjZylh^vd=5%czHx!4*o<-W1*aLt zy3#h-ycYHhe&{HI7_HZ&`!c8dxq>hLB=auKGo4%7{wLI|w^wWQ5CY|Z zhQM2J>IiMJ{J)_G10A$rckNrT*Cmz%TXWfAk{I3y2Xy$z`Y;oo6F%89KO~Sd6uOg3CW`~c+0saD8+EjW5O^8|2!xCa;oWbnE8{kLZ1$;M?U01M|_Q2z~DG1lj6oFcJ89ORdE z$zHI(m*W?E;+#j_$~7>@LnDgmcPQE1|D+}>5f)$>)za0Hl1wGa7AGutE^}CS&O}D@ zQ<6!J=?7EK0;tg*KK>$Etm+iS&RW7}*SXR$wM#%rIN-dK=6ER1V2{w-h>^ah%}Krt znndw8+fx%DG0gV_mLGo(1>zD334VCTsjGIRg#@Xdeu^3^c(woIPf4KwXX^zDF4>E z`}Mvo*`>#)viaGj!I8vqCP|$aOv}tr*K&~LL-u-*>e@xBr+U3-FsMo`A>F)Zw-yED zFx{0X%|Z`PB8b7xMD7BBWQpW83+-{f>Ys#C=6(7zdo@1)(ZX{)%S+HfpJkItj{Q3= z4Fvcg$O`mu)gsvkP1yx`ND8B+g_dNvyUN8k%dgeD9Gi$%2x?-7<+9`-8TzTZWd>Hg<-{qAroU&vRFoq{rf2&HysR1V5O7igXqbBzAYp7az<$DtJ zzv*U-Ex*lQsXJ7O?x&*0QjPu4;cJO%s3diuSjKsnwLBcOJpHx2$i`h`wR|eHyfVgpXaR59aR9F6uc4KW)e0ih_H<8o zu7C!|jx#U<0CK{ebv)2Q%Zm|k`$fbnuN^a>9XqQXx2b*qMEk*wHkwQa!>SW6qLZMc zlW3rmyh;F`;Zh?Vr zp@VLbzizR=t{`&a%i(J`i>cCB-HKV=Seyu`@H8UHXa{^?+R>2G`$Yy2z2_`1UQ_fz9P z1I9PA#(y`B|2Z+n;eCeWCLlHwu&4=vvI)e{gwWB1D8K~2-JzK#B$Xzx78BAz6S6rI z@+}jJQxnR+CUA07DmGJUQBxXaQ(8k)I!9Cb08@rIQ^rhFrb<)h7E_i%Q`R|Cwk=ck zQ&Wz=rU-H~PBt?xQ8R93Gaf@TUPm*&05kqLGl5Jq!Adis7Bk^NGm$wn(JeEvQ?pxt z&BV#gCD_a*Ma`v@&7}>^WgN|A1I*>(%#oSq@|ETaE#``Y=1Ozs%3J0tr{=f+n&aCc zs%#c&q894P78-^YnvND)0T$Z0I18Oj3*AZ!y%r1oK?{R93&Sl7qf-mxzj%Cvr74@G znW&|?vZaNgrKO{#Re+^+oTW{srER69U5lmtpryl{rQ?>R)2Zd1zn0GARxWH-uA)|M z%2w`%RvwO4o&i=~aaP`$Rz8(hzAaXMgI4}?Rsma9fu~k?|5^o+TmNfQ6txajwhlA2 z4tKPU2(Z2vXC0Yo9aU)^-C`XxXdOFe9k*qD|J3@yUu!hE4TjAoUeqQ**(TA@Cdttz zIlv|*&L%a}CauyYy~XC?piRb{P3D$O)~QYQUz;3q+gvu=JW<GchWoZB0(f&n%eK%gJlxg2v zY2Vjk-#=(SFlRrwWj}Ok|MIW>FuB7Bo5QH6!z&qsoE_rVjt;MtH$4m;#vL6dEUhLp z9qyNGy0>gjzIJf;Nag6<9RK9t#s<}4fi4U>EQ+pRu(Iz6x0Xb$o@1iYvVE{@sV+#5*GM@m zJB%+o9sW%{`MGTe{p2)7cSoEY3UFRS2w?yLAmRcHgWv!)06>)iJRDgdr+OrTh=f`r z)npfyOw2}~IBCoUq|@=bcBST`wbEHt?~|`-yH4 z4hRSU;hzWrh#`>wzTop;ue$qxc~uXUs=(dtyk)_*_Hhfx_6RJlx!&5C@E4AeB)7nrV48${VNhjCM`Wc)6{NG~; z{;x3v{@=zBOjB)tP#etImTMs|2HKnL*P$Bba|Bb8MZR6617WVu!V*b_RfkyE8n)m& zB&_lgpAF-GidXiZ z*#x2S#k&@CXv{&VCPJAc!;tVj)ihE;`Yt3;HwqlgH+|7`{s<6nTJio6$U$RW>B!ju zO>f@uqIjJ$9@Gw(J|N~oujFy&Fl3>ueDSJ@22itj=C3y7N-GsCF>9|wHS#?4&wLXPs+|iB=e{jT3(e=5ESBKkzA<_8*#Lm< zFLOF$Q8O5e=F*uLpBhnMlkk7S4hx#K(nSCF4e|fJ=>PBE$i+_&`kyi}|2sXMHta|O zltu`Ga;|xmLcpR{p+kj2{r55f_>Vhe9t=Qn0C5=O|GM2_vJHPb{Qn29T$pgQLbua% zl7yCTZF8!#;m!ZXE0>sd$GbwtVFa~-sW#5|#1u0QCsdD&>$~hbf_YFhXVZG8_q*m6 zpYWEA?x2t0fQNZ%AVN|F2jCk*ojjfjfD(xp3MVmB5z*eak=ajrmBw%@!vy7}I$gwO z#)$6z_<5d&7g*!$?mBwcX#T`$t}ooDkKcoFa>GRg3I}2jpT{X`uO^!C%K0lv_PiP+ zy?+n&EVjn~J!`f3z{2M9pI_EG{XWbO_S>zI%A;`t!wAiTVf+~c5@oNJJS24gj(|y| z8iq2O6S}4#d-rDo-GcRk)9*?Y3-Q-Q6BH;Pl(QWj_2>#sBIEC2ZOcXr2UJ0NT3CQ6 z!eqob!BM={nE?7?Ifav2`h)9Uu9uV3VbjDm>THC+8qGF2UJPS$$I=iADmeqtE;?}= z7X|>W1|ed_4nH8^z1+!^C?YPq=MZu9NNXof@N)@XL5|j-q%}!^5RG-S0z8a4YDAVq zLGDESv^=UF1eU`n3V13)9f(qe4-*h0rc6=@bB6(_3@vs9$Q+@Vwjwg9r1TJXpN&5;tP){k1<*zPio_bu4@zFa7iHJuZJS)m9 z1`!ZuJXZ^VGTNh|_RHdEGVoFZCzuE73>40gBSad2r|Wz@9*>_q({!O{Sr zq8VP1dRsMGWMYe zK}eJ1(#uDYUjh4TQt?shd*|o`hN3=~>PF9j;EBYLX<^k$Pub&f_`&Sa2;{Wfp;DNV zM|^~s$zTCXqqKu|6i8LbAte2W-g!Bi4v**qQ1^1COM9R4y<}T^a{1oBuII&6zyVA5 zV9?9Ch^;`%CfCPoxii`ALXKxq zyV=Iu*IS7nHm(knzQiGSs=5QB_G-B2dygJ-i~Tv`mz!5OEjxJj=WO8W?9bO>;#>c^ zs_))>o8)i3xtNvv8hb&w*bkovpnwSQhC1=*Dsk|+mo+PJuGXwZlXyfZq=Suz(e?;( zHG|4nhXD>n`3@(1oaFuKH3n%{m*ml@xEHQMWuknjgi?+Guy;;XB@7@ndy5&;h9BEz zKUnWCTZQi_e;{*LGSvr`-7V{zu_qP=LcpRE5!17 z`Z+@EB|!O$gwS+jjrJ%-?NJllzpMQrNYZ>V9uji@5L_$J-TA6&;ZZ@I!6PA4H@YKU z9$!J5{K{X&TEk=r)6nB-0`V{{AHiS?CKF?j!*EU`2!KR&ER*;GVAuHu;JEZog0HTa z&!?l(Sizk!r(}Z*(auuzBBRtFUL*K9Kk$Kv?@?s$oDK6g`@6ND%Y#ZmjvX|K{q^q~ zDNJw)fra1V96H~?URm*uEOq2t$D$RzdZ&{WXn7lB8#VaT)j7rX!SdATD43q%aZdxl z)V9akuwOfP=q*ewj>%%aSd2c_R3?KSp#*Y31WUsvJ8Fk?*J_;cI%p8F3FiKf0#ME~ z=wnGsJ6S305m%vr=j{&L>FCQ&EP0E!x!d|;*=1LwVT-TzM&;X%%ja#GE&g8m_QklK zh^U*%mcV;68{5Ax@m$GgK`Hv1d(2lolRrXy*jhKn|w8Rp4l3Ep#S0b`>UZ}bFKHUWmK-Q z?i538umfi48T@P57SAxdL!|g?1fJEF$UnP-6MH>CJl~cq_tXUz^XnCYqCHjHV2`@| z*VyQv)*s@tyY!)v9GP%+ZXS$NRQ(l0thWaDMXvq!Yl_z@m#nA0S8gkdTIRmx6oMsvO3M0sIF3J) zDRf~h?mJtjuhiHtPQzBa`TtzM!F$cH#LBw@l7;viCk9Q#=04tt3JhGfZ<64I#NZ9n-s(0q<^VUavSO{-^f z`-SgI-LoC-z{sPl425*XirxPCo^iSPZ&|n2M?dR_{ii2nK?p!_;_(u{=>cTD8~o~h z3!kj&Y1y9@ruqe9*kz6V-LF=R(mGl#g&kM-wmg&4!#;48M6174pU*Tk@)6N%_{_cd`{nq>G_o&yc{U{3@d1KO9iqWb%!|k4Fxq7ix6Y8AbMPQ<3~?%^ARlASm~?j) zJyM*M@XpuID;WL@9djAOj!bs9N%my)9wY+N=(U{?lp1J%JhUJI1qAgF9GMVDzjM3S zMxvYQED`CTI!rl_3O((%A4Y(^lPSBM1Aj6k_Tj=QdBsU@(3DE!4+fJc#dBb>J>i5< zOdxUa?axV8ljvwIg{~CLL@{Qd0qwjN(|s?wjqzaz@*(w#-%Bfx12}ntvzmZ*$`lcJ zPK&F#h%`>;{-H<414f5m3*Z}PvhUWZ$_#9!hI(L!RaY;6{d@ikR?)LL+uvQLpS^^Q=O?un~Z+LxEVvk&b@}j)x zOY?+!^F){)B5a(PdUKi6a)=*Ou6n{g;8p_qm-Cd?^Hr|%*{||M`0_PMU38!^BZLKd zy#)sA1$ul1Mofif@`V<*h0lM*+V~XO^%gp;7dk~bnp_vU$``rY7I_LHU5*^?$VGUq z7X@Ay1^M{-tUGkApsl@&?;R=nO?gF;C_&+{iyZQ1cuqjE(!Yq~psWUN;PE-6Xa#s@ z0*+lT9_4l?GXeAMD}tPfTd=TFk_hSsrEq!o7~7=5k2y2pv5$NdN2JPvuglu5-9w|4 zKv?LCGx-oaBbmni$x&IpZYfSc$*he$PoBW#N{JYNC1SnG6QBu4*|q@1cemo&>j?cF zvg#?t+X(X6z3h=R#buI;W?W?1&{6p;f!~v#ZfRxYsiQ;&3U^OkG zOdYVqlEV71G&YqHMAbXGRZEY`j+&|je3iUXiXTtnBme89ryV+dlvZ_9SWpznaxvJ+ zbVuU))9WO&M!A1k#6c8#dMS8*GP)GcPmav|4*KE@yF?Jr41#hY2XYkx z`y51ZodXM6t2btTlz@Si<;X$c;4>_bhC=qG86eo^-^Y|q;0zgtqbN$B^?Q3Uiw6|U)IX}Zo=9r$>}KpK_y3@Ny--L zGMW{tJCRkt8>x^@De5rdW^8%R|3n;P)VVm?{+n7%umjE&CjbRO-!CBX*kS(AC%t`7 z;zNO}9seM6;6o4r$w9U6yO3K9PvYxBXr_I2#N!Vco9G$jlcHj3O0rI;tKex(52Gc? z4t&2Jr&%Dec0Em95nh$dxit!HkUxrb3QEhN&;bPHp$S;^I4h?P+1l5f-CWfbjl@&2 z_2NNS3r`ZhfqtR@Gq<sL%iWqfw2{50(Jd$4-Ya{ zvX6{Th)nlNk53>tWX|Rbf;C~&sY@cNp{>@x(zo=IzD~EcMOU!@NzzlS{d?TVdYnGc z)YO*V*l*kBZvUbzwC&YLl2WW12A}|X6<{go7KJ9thc(vyNK;jqw-Ayj-Jc>T+K{vZ z5bxk6#Wz3yCyw~eTF2GfZi4gfe~_Je)JQ@!47rhhEYG-k5cgv;TP&xmkeN=bjcma= z4$Ji9w_R38bgdaO)^z4M&L-ISPhEjtWnF)3adTIJPeZtDESXJ-r{X|O`iqfJpg}u@ zsEsm@q`TE#p_HIa;&-{BBE~whJ@yG{JZCS)o-#qd<1Vf(q`VClCeRc8v4r6Z`Ozg@s7gPB%2L|(LcTNr2T|9{g$KyR{h1l-nRsJ z)i&984wB-XPucv_4P)gaGQI=DG{8g~41@wy;2j|>-SacePo|q!9;Q=QctwAyjI(b> zf02vV@7dJ{ILH7zNqC!7PLzdCLZp{JpA^Z0uMZ{YNk4&eO0HGwb1Bk8=Ru}Eh~}T5 z*Ixa!{@rQmF%CE3e9a1ctQEb*&p<4}aMlqU#d^|#E=qv`=9}@hsgdDOaQATM6x@gR zq@Vw!&i27Qvh|`BWRxkDaFrdXpQZJ*M9X)^@T5 zT%r9rW7!0TBLdIj-C%pz=keTxh_Yu_u*tA5ebf#kWv~&OigA~i2+xx-hJk)(xiY7U zR>#@KAlBIn!HMGNTWIF0*Xl1@ipsp6Do$j`&k7Qi4Lw zFG=iqZ{q^*%=Arv;A}0CK@F(S@rU=wDC?V6-C0)R7ZdO1PiJ8Ea5{1m3OQi@!za+I z1z6?q+(Famo6cHd^0vaXGMMNaINPGET{XjF45ef3m^$!oDjOho;`Cpbd$lmD(cs2(E-L^_*~3V{agW{r0PJZz{4!}tfDg7qF22< zughGnnbrWB)h^1`>o}RRo6Dr?&~ALyc72y;oY!rvUk6;yeR;rTt;9`+o`DRbg-xNR zoID7v%XFE}B1(!Y++RwaJAY{o*^mkI^QvLZ)L1>%)b(q{06Yya4;_usaIM%`TwOAa z*77>eYn`H=y`FEaz`Tjtt^L=rjo79w*R##ov|V3^{ak;nyKb%9{#xAkm5O~mo^nms zA53&b52n$gN$ItvROv3k0A6!T*q|C5_q%E#1=fYhLX;7=Xl^t;NWr$FMEf z&%N6S+_lnm-H*-AV}0G!jo0LT*Yxe%Eo$8JbU`3#rlQdd-wa;cBr3N} zUEp8L-xJPX*-o^`n!=KLj;gD6WBfY5{Nt?b%I!$x3E0A`9O6ul&GIF!G^8nTYluP9OkzSo*}NvfZRD@c;+S!%whcDDeU8|T;x8kg=CKAY#g?` zS#j*x%MDKE6FkdT%%WL-<_?zOQU7rO`k4o7JQ2SdD_8C zq=;$vOu$3WniTu$Q9t#>?eu(Xs9N9kO%Js&kMa#x@9hf; z%+LyQ5Xt;*^t7ADWA4~>e)aHL+Yc-{nvR;CUa99E0J(4n0>BO2nxUKCp0ggo0WaXu zT=Mw~@Io5$0c`UhPun(M?&{n+&=B}-@lE<>W;fme}L#%=I^Y+?%C_G{N@hK z=JKxj!ETOKduJ;@yQ?UxT~GB_e)_Fnr?@leLki}w%)3e~wyL}MIbZ9fnfjl*@uJW9 zR$lF~KkxHZ?+-cv`TzOoD1Py@x5s_F*|4AYZyn|b51b(T{D6-yKcEdSKn?^Bqxoy` z4V~*qf9sgj<&V$o05L!y0009C4j`DYpuvR(86rF=kYPlK3Ku4nSTQ5SiUlo3q$m+% z#*iEpIur@=QU=Softiw;$awISE7QN5168Io#Gv3J!f z4T}@$(2_sPx+MEo@nXh}9S`Ub8FJ(flPf>|tE0$(6V(V2xcf1**{p~Ii>9i0bW@&& zS&kMBv5K|;CjSykL?EvY0Rsg<<272~0J}%2D4I%lV{zfwu`xSWE7vXR)_$v!W=eQE zOu1<7l65ZqY1YAl!K0pu-u!K-tkZwjTD>l8@}cR*ms%L{+qp#5-`B3arw$u$wY|>6 zFTVu$TQ5KP6qIVf?Z*0xz6R-24LI-ma;Yi)*t)R3yi@{8zw&;9?zQgh)5|-F5g&E*$|TR?i%=J_1I(vP&4Mt`Klh`P(>r10sjbuqqpLMTA0k!3 zKr!t!t*VSvv_J@Bwe+SE0faO|_;^LtQ3+jTb4O#3&FDtTa+C~N$G&p5P3oSzGFodT zA|P97uNq(pXMjTGjx;$%s4OR+JvY>A-z3mlPpd_7unub^k=;OF1##VU=cRW+)k=H} zUXsRrQQ&LeOYFmKBQ*5TT@6N8#&svA7%Pd5eN|wcGKBS5h$;3pUyw((QL<$%BRORy z-Bh{d8ZnS3W|{9GV1N|3v4SNZ+(~m|)EFyKlh-07MpK6v4WC%$;ba&Ojn<(Fr^dFP*p zK6>eGN51jtug5-n?YHN?d+(R8{&RM}#+Sj*5v?(RbIwtxm&w^Oh=Bs`*0}t?wcgtE z3fW^a;`6J$-{JnYD)?2+fSN*Fi<0If1)8Q`__H0G)}$gt70p-rgH!obl(GR9Q2%P* zJKf>{pgS5x(P+p(2<{|c=W!yz5Lh_0EKpMQa$pHHm^ar+a6=U2&v!1E zzy3TFxZM;#Pp>|kld@ofrd zhJ@q?Irc__ol=gg3tw7|=fB$QDr!Aq|3rVH@?y*N6~u0A$GF9*7|WFwz--S(Kxg)x;E^ zvN@zh#j8;Or4ES_I!#M4sEvzEl$zEgBGQ1WP7N!PLxm+#R!x+e9a?CvL^K~)StX>Y zDwRm}1irVOE1N;x<&mku)u4$k)~*Z=5vu_pGjfaA}DVv zw$5{9)GA0xlePQ;acEQAAQB^tSo~dTWqZ0LjsDiQm$lPQga6FoJ6%UR9hERwqno(t zcEGa<3JG=vq7EVy1Oev7C`BoRDhM~Xemr%uoE9=xfgpe%*YF0MudxNTB6C3&$%UQg zlwJTJ0A~OY>{L#`jXTUQA-<_qP|z1m(q;;btrA&_XBFI1K@++XQvQZDXop zu9DT*65RfD(GBcv8b$o+@vZ2{o33$lVclT|DX`S51hJyYbLpa$F4nxF?WsroV^Tl# zxT-?6jh{=kDG#p7VBu(%ohgvQ3giXvAn66q_@LdcMzlzSTt+vxUWo)i9W;~42kJ4| zga`o~uUJJfUnCH`>XomC{)iCFv2Skd!yKDTK{E!?VE;xR3P85nHIo|%5>54*(kxE2 zebC73U&UqCI1S8c_>%G1D(WJ-eiMr%9mhPj z<$5i`D4Jm_0#_sfJ#tPxxbZH!l(G0pw#_jOS)8jJi_K12Qk$f!XKHx>KWN7d4ghZ` zhWX`VF0}*AhGSQ&St7HkjX=WCjwHNw4g<-}ZhDhoi_Db>9=OL72vrgPUU~q57RU*x zF>ugS1UYrpEYMLtQ}?KP$;xFpPDQ$5kG2ubkIgmaYYSek&D`KU)--x+EYnVgz2rL% zDxJt%>!MnewUN5&R=XYHC;n+}9+jHob#=6yKmXZWr6uE99C}fc0KfN~7r7E+3iXLq zPoTUv1UJs14|8xsIRNN`3t?eX&x?KPz6}=f3FzpB8b(S~D!~8(Ui-6PI0tz+2XjEQGC314QoKF674d*6$tyaby1kcDm&)@g z7t1k&%fMfYsXa?C&@(yKOPCM@!4WeY=$a;I$}E*Kv8OAt7OG7WTLjPT|9~Z=|Pjfg8B*Pgj!>(Jp^9m(PQyR_! zpq-1dHN->dC@4JiLUlPraMLA4TqG(a!SQoF3%W3&nkA>hr7VKP4|>ES?3*O?qTmae z-?_x|0hyhtr6~kOM4XsW)Eic8HXjq3u_Gl;d>U7rk%6McTtpU6{6sqxK2dz6D*~Cf ziJLl{qU37Bei5ByJSu1l#_n??U981tT$w_Y#%r7rUSuL3R1$0?n?f=~Zxlz;3CD5V z9R)PUbW{s%RL6F7$9IIsc$CNJVaIv2$9u%beALH%6r6hG$A1LKfE37qBuJL<$AU!2 zgjC3dWXLJ2G6TvJVcf>9VaA5E$p4GP$c)^chg_J#IH44JpO4(5PNE-bd?0;ktes0P zjbzD|bjg>58jd6ws=y;X%7~gA$#VRptEos8?3K-f$)FUzRdcfP1hKggAyf5HVaCfOS&4Z78>X8l<8`%d}KWj66!HBtEMX z2&c@wlsq|kk(*5O!Lrjffp~;oD5enL8BnRWy@G}Tfh)P9D~UiX#nQsHbj-(uOnhw1 z(<+pnfXe}pOP-UxVl%-Q+e;5ovr9=dRkR`(a0h}w17ipyzB|jAxUc;BgpF9R28+zv zw9VTz$F@W%h--+;%*+;BDgPj(wWxW)l)^BLIa@=5 zbV!q_qZ<*rQIQDW^r5*7PSNwFTv|=MIEzsGn3DJbZFm84c)G#7HxF_*cson%#LxWH zPwkmZ39LGx_)TKbFin(0-ik=DYd~cSFN6>d;xLY)@~;@NJG^^>j5xgg#Lx`YP~g!` z3XF~Do4)E(4iKe2>jM=cyCz{f7v1|l-h;KPGzc&elMO_T`dbBo$iD_dKs4FV9`(^5 zWu5*s6?0jL2qg$@X+p7j!ecYT0kXxZF-ZU*0B>o{CFGVHYRrrnLm=hSF7?vFc{XN) z(JM?v(SaG6i5Z%)8ULI~8dLnzH-*zUy_ycK#6?_1S!A8GS(~w|RqF1FBUj?eVR54j4)?x+7XLA;u zd>>1~Bu&~?lAIcf1Xlk<%9S+MYsJ=dl)4>smA}+8g~+GHqE@;zDDbl@pd_F;IX=@e zx+9r96wTInmDk)PRK{_+LHSLEC@e)aSJiS?AsjMtU6sllHrWHB)1$*7eA0Pk*oMWP zF#Wu*1BuMUi2nmir`m%+3`vzPIuMYmoHvxYBttqAY**;?xaV}(lvUYZf>=^HLy9Gc zK`S&t9KBBa*I_LfiQ6gtqGV7IKneJp2aNV z1hP|dtAoASqyxTQ5=3K^Frx+Auw@>p<2H6RCOs28!u!JzQM?Ew!+=FTbg@9VU9M{6 zKpO2yvDMqXH67>kkFUZB5*+~Rb1wlTKm*KDbgkCO3)rn)Q6HQ%$6ebJ!`nLL+snn= zzO7f)IhMXV2rUf5HrbYa2{LOfGl)S{h_u{%}gvOA9ZAiZv7>FZIV#a{tjOd}pge7vDI0g>IjF{pYsp5{C+w^Tzf=#xP}x5a9&N2vSCtTc!~`COvEs;c5M2ALU4ajgI5{mlkIm>((dhI}}uZ1HAr7UvKy zXZ}TJ0C?wc290qlr*ShA!vgxftuL_J}{za-~y}SC;xwf zJ$wFUd=`j&=n?=}fpy5|Q1AwCNQOEXFmf`d^%1Li(ch3B>G?_M9bRa)K!sEo00t<5 z0{8%TC;)#3r<|!1g63aIfCq#wXqaZ`c|K=+BZF=@2z@?jbRyxqSTBX(>2NBD6aa-~ zkOyc;f&s|N^aFqZs0KQ#YAZkwr#>gK+JsaH1$9Vji-zaITWOK510|a4ksbiFUY~5i zYOUsKk8px1K_bvtVS>nlc39{IQD=7EYXUd`dN_bmPzQEUj=tXNuKs{^Fa>*%gJwvF zxGC#m-cUO2yqIV!gD?OmpoW=!z7vc{cS#g6M5r4({RR8Q%8ogs87}GAp!FtCE^!M3{yf03>h_2<_%>Pw?&m zAcb<^g5eHN09ee$a+2lF=q@3EYpa>r01X|e>pCkrgrIK#uq|DXp0UxCd6igc*PVfe3OFNAVBX2S8YYdLRN)=mi9K@&D@W*b$mznvs2d+=U6>yXxF?8+@|bY%5GM2iFmxM_ z?l;#n_ku5ikT2ZE2sS=|e9${U+Xt=VbRPP2Mi+`=xi~9 zc36X$9tdUbDFT@FTK|nr@AL-vbkx8Bav%U^K!yS!gI=I?iMaHYFm=5n01I|(PA3Rp zuPZq&^udbRnf=bClrlD^^o6)|-w*&L$OB}0fps8=M_7e^ey{kNFR{RNIVOllhwdZY z^~6q3U8nWL?)EJ#_j9MVT`0V@8h{n{0eWaVHB9tU=ZAKGAOCVUcOOzW0x<9acmrct zc7eF`G~dsbEp4`tON+<=PY{Ox3JZDDthle0M6=(GT34A2LR$cH;{`ihA95FX)-78iopzcyanSD2eeWchEbRVdXQ29kgo$UhlMtLIa_+W2+&*xfT5?moCg53FZ+fA{T>g{ z22gy)kK_TUhGnt{Fery~I0izXPQut%i7@pZmI%{d{Qt%u0CgLHi_iGVS9*{Cc%-c( zn<^HgpO+9=w`n;MyHvxNk8Qh!xhQ0k<{Sy^pGpA8c{vsc&QFNrW{aSoW1fd}g-|z) zFgJ87U9sAxHrt1`^Yj})fcO{nv+{ng&WG#cFPR1iR|5vByEA|Qj&%bG6d+(A4Lt$_ z0DP!7@Zv-O8ZR<*2$2ASeMts3*mpxB#(@Fky>J%B*tZe^3Zev%(PqX0B~K1$0dGLa zBpP=T*raQq1AG!U<{VfN=go^y2?#27apoPA0|9JWMSwuXi&Bi?=%$jU#*JyAP7I6D zsK%rO)}nHiw&TNyQqwLhFd#3=r*FLuaEq!}f&aAwz}&mzqTUUROmC91yYT?O5-GcW z4I8%XfH%glYE5bSH0so*I`Zes>vS-t-ZTmLv+`4!3?(O^c><+>Q2p0~ZxZ<_S z`JUDoV&2vRzPJ=Ha0p!DL7Ko!~qV8TF%Y*T^&*GZ%yhaL{F z$uu_z;0ZW4nUc;8P-%BjT`&q0fDX&NW78#U4CI16a{+*$f(G~%)kQfr#N%8bc9>#n zsFiRDc${+Qr`BjN`@foTD#QH}@kC>e7`4uIr9K>pQ`op|aw z&=2(JXu>52f#Hlsfk;Coc&72E6=s@oWaj{RTJ)J&qE+eO01yKAE3m-|J1nuq8hb3V z$tt^Aal|3lEP4}~W(YUu=wl8yEqbvGH|F>f8Fy%QN8W_ry+~wvv%MJZeB#F)qEEOU=9L9D}&6Sq8LO*Df@b#TI4w24sx zIq%%_Kp>mbacL=mkxvN+wu3EFj zb>Qsq^JQt8UmJa;l6T^zW}dw%u_cxCE(bdqQ{y(5QUeyT)qPFVPYgYK+pCr(pIb>* zeVgL5PbphQ1+e^Hs2BvlY5%Wi(sWJ*3`svnmeMMsWe0$JVT}Qs0zdMxiZSt*hp#!nBx*4a{tPLQGL6cz!hpbG=Gz$_fSDNb{$)1B_dqn~Wo zYy9$@lpFvVaxm!bT(+(yvXq0X&RD%R#qy!3TB=Yt+x zO+yu{BrP9LAc;w&c3Yj8Bw$J7$8J$$f>WfTvMvzENII+Bm5)9}ABl)-kdChAODh}klsd2$Fpb?pr7{C%KpPN~ z5*$e5tpEKzF^W^H;$VF?!m|-AN9@`=axrzBD*f(*G4du14OUDdQj&;BWFp0;rT|Nz z?1-JQ;w3Y=$xhbgaD>OtYWmYP)Up<~JPZ`nrdB;fTq=0+C+5|-4P(6$8`I7lGBT0O zWLidz6lR)|7L@qOcD^&7pZv|+_%DE)Y^o5SO3B&C53{BbYtwMxj3MQ@(T;xfa`!Au zsIsStz+tazJTQz_bmA-UWQG+C4e3#ny3`kybT9{Pyd39-kgu5^07Y%;S<|}K(nPhb zbFJ%L^O~8s?lrK3E$m^Z^4G*ZHnNkgY&05s+0K48w4-gTW=p%;*1k5jUDM*#tX9yh z=KpJK2K_5|$}`*MJ~z5!x$W1sG-&}X=|E7h4|&jA$u6#qxPLX#il)2Y{{D9+*Db_* z|7E0+9RLpALmx`Bdv`SjIK(3^@iTrF%r<7q2kQl^$2O!;Gx5nt0+SKRL>U zRdLpSxv9^A$`Zt^zV^pf{9d_>6AymygtoaL ztC6K>M%%vl#!vQtEe%A?Tk_MPp8fHoFa1Y{e&-8+rO&6Y{q5)Zo2%tM_`@%L7Jt3` z<3B(8)2|z9tH1s3e?J_B=Suj~zy9fGzy0&C|Jvif;RMGW`7mD~1z)&*n;-!ia0nm_ zf!qEyAh7k{!1*0siH`yzO9j4`0df$rT%c1S6ibCu5OH7!s*uHbndsG#38tXnIUoy; z5(Iiz2&GnE&Cm+M;PO$R2>y{HF(7D7j06T60k#H!E!^v=APO>GgB@WIw*Md#mXi0? zSjefM4-Vnt9pT3fQRX4xA4#3GVBr%X;P{+N7apMX5uxZ!AsBw#0A zPEu>dAmgPWyEIiCw$B@4;OL#9ciCYQdZ8(v;vV*)Dz*_dNzv4qASPa7cQB&V-5~~D zACVlLxCP=M8j6^u;PNe=Yq_F}X&zs}R^AQH6;dG?Ufc~* zE3yUKwPE$7ixh&Q5_;k{&Y&PBqY#>+GVahhJ`yt0*w|&^E&>%9F8^Z$;v6orO?1KG z_qEm{cH1pRBNqQ-9(P!0r_JZ)*&=*B1{_MOuC^>E}=r^q#FGpm{sBg=^_F4 zWFtD_PcjuoLSqjeWGM>dIMGp0CZR@NB0?^ZQY{$%uuuu6WJ^X}I=UoifmBv5WmY=k zSVE;vp5-M~UnYW}FGi#pl_i5EWgE8KRGMVetr}h;p)sDIS$^dQf+bsy4Wh?U+yP>`sX1+WeE=Add4S$`r&x;Qh93Sd0wVC z{T_b0CT>t6RchvMwx@+&A%PC)f8u3=hA1ZSWH^RphrXnVGG$}JrBe*}n z@>Sh2N+PKj>VyKCc^Yawwk4njDthwc2Dzlj0py}K(LTN^MCzkdIx4Ml(t;YtP#T?}jjCLZ zAgE%hIRa@UUglx08or@Ts8X66CM73!q_;WcRx0MIo@NokYE3Gqn=)msZYvBSX;b#4 zkXk9K0_B&EA%~))8R{rq4yG7#X0!6AxTb42_Wx=#j;WWb>MYWt6>eg+cB!UXCAS7_ z@2KTC24)?0X%+V67*^%E)}ogl>bC--Ny_W4Uh2-}VHmC`j^Cc|5&=Sjhf|029 z5|IwA(xO|@8tqHs(ta+j)M8uHHmKW8E!Jl3MO3ZUcCFXm2G@SA*p6*$ge}>gE!uAF zt~t8nPrgk8~jb$=^;oMSeEIwoS6`6f>~CS~2;?uov5|%IfY4%Pu81apXki`7&$N z{&9Gw?3}7+6mBNrvLp?S@DEO}5({B!_L1%M?91k-`ed*ukFD9xF7hgqALlYKZ`3aH zawyMIF$Xg;pIY3;ta2u^GiT#4KeIGLXEaZo2c!-?sCo1}!`f%VGlJ zywWo$L!#5>Gt1g1E$h_ABs;DbP|YOiQLhJ8-;`oxR%NQZ7`xQglqG z?M#QTTq36NT3ip_B|@`X&dIM7>LsJLs&@6PRc^H(fuzcQD+e#BZT@IeSM*a;Zx6@l z1luX^CN)1}psBvFP#UDTM)dRMC${b@sk$z)MszBVs+Ah%S_AZ+P3c@?aR^JQqz=wT zj&75lvJP8pNUm{8V*lZAs^k}ju^R_u$bMuz3i3)Owl+goG*)tG^HAxUHD0%FXkTNl zlI0h3XjDseZC7%bktD#ruxn0q?EW@tr?zZPnPxtvU+F7cKBh=lFHeihOOGs4vZx31 zvL351GY)rOC#?9QH7gglHE&x#KcnWF4`x~|6`#iphxaX~cX|sF&0;R&%JNCtY->99 zd5d>Uck@~?HGc>Ah%R%U9kYNRcv=!Tf-iU<`Zt3=xa~POgim$-T!flx3*O?vML9oLqD{B_qf{za!2#DBV+O_4)Bf9r(+xCjT$oK`ZaI& z?!;>YxNBu@P|XW)~aPa17thuu{b8_BdYa&cejlysVlyA1!i@TkDg73 z9BZi{o-~`EZS}cz_YN`%U+f`^cD7m{Vbh{N|FH8)sa1P9p;~LJ+T$;B_F&`bktaHL z+8s^jYqN5B1QjJlR?{Py*J?^>3a%E#LxuAD3 zN-{Zc2mfm(7qw9iWqS+vRw}u#=C({qZ~x{i&LXyShr84od&ACnBB#3sBD#tu@oZ0P zBcCygGBk77Y_W>*#JcEGr+R1h`@)X6z@PfK_mi`0aIy%naO$jXDmnZDF;d&NL5H!j zzw-appn-q9ky^Mfd;F6JZid=?V&l9{)%@X>y3czv&?}qH7yZv`xY9Sh)*ZdmM}4qC zz0_B|u2H?!XZ@`GIK?k+awe2i17Olyy4IIHZg72R3oeigc?yet$rHWV6Fb@yvf9@- z*ry}iTANqCpysqC_NIF!loo6zqqO@*B?D^eS?~UZFM5%$=_OZT#WOj&0)q1%-b?>AE8a;@G+dv)x^Dd9^Lm+rTk+4aG|v0-hcEt`AwUcm z0D#~?00I#vG)R!)LWc?=MwB>_VnvG=F=o`bkz+@XA3=r`Ig(^alP6K8RJoF6OP4QU z#*{hJV+Wf9Y}y2nb0NcnJ`472C@|)FR6A5F*uqmKs=bIf zqSKO$8y{A>mSf?KloL-j%a?O!&!0hu7CoADY15}c`^^~`uvN=wA;XM~`lajFv~lOw zy_&17eAhSdGqJd zr?;q``*!v3;m4OhpMHJ&_cgNTiJyOe|Nj9DP{09Y((fh$5lm3Q1sQD6LFx>2@4*Qv ztkA*>G0c!8?O0n(Gs|WR2{VmGOo*%M(mGMY6qTx zt|6^{gi**Li7e8{i)1`d$FgqA5jl{0G|@@l7@P9QE3wQ{O9GSJF2$=H+pH?HxN!MF|W!J%g;|i4OLX&0Bv-vIV+X2P{J0?bj-CVt8uDXM?8^LL+_+@ zvZtIolTc3|9aY$2i7nQ%Qs3;<(^f5Vlg{OMq%~Sy<;-(hSG~$L+BKgI5mq2$P1M+N z$t~Ahk&wMrQdCu4wa#Fhwe>YNsrAq~OjBKx+iOcySJ`&Y4OrlT3IC=D*+_F0Gtn=X zg_K)$dp%T9XunKY&4({N)Y~+}g|^_2K@Qo^bSfa8}iLL?_4*@i>`HH&7VwZYtKhduUgOJ-Twm5-CxQpa*nUisxq+MVS}Rsa6|c$uMBEbHQtZ`f?+ zx$oY4g$`y{Ta{5h^;X}3r?})Q!FBcf_u=o}`I8%ly=cQE!*{Ujajl+F`(+nD0S+*6 zVw0Gf$Y(j4NepAc%Zy=QR=?K~kb)I-9MCZ4KXAp%P1`aRt0)(&nZ1r#0kq%=QK+`v z`R{q~a+j&lx4$`suv6KB6#Yz?LLKgKYCxmY(DFAq%mM5%wd3ItkytmYnF%A?OX3ru z7)7?-UTBUZ*rIWr<4T}Mbnn#5r_oc|*l9rlq$(r{ZS1EiB8nZZe= z&t&alVMl_bG)ES(S}jcE9aSPOLuT%Bd30h-AT!Dg4)T%jgP}+!X|xA&@RFL#WDGxP zJcv~?f=yiIzWyk~rj1UJE>Y#Y4w*}0q9#w$j7%+&2tq*#GdyV8CMU1f7+uCpgZ;{w z+c;%2-hF76WZ52`m=(hpGVzAWbl?3n=0`iBN}J!hR-EWrO?%!8dHh^o&;+F^gUT*? z)GMYPv3W#wwoqP6ME`l{lfNvLsZDk2Q=uAFsZN!uRki9> zv6@w_Zk4ND_3Br_8dkB6m8@ko>sis7R<*8`t!;JdTj3g4xz3fYa_v-W^7Xu6_Hk)0 z)ZRlh+R-ri(xklVBLZhCnQ78gq`u-2GOt)O_BnQwgYu_dPlm(G*05>+GSe|JD@rE% zRbK#o*YtW6%U_OZD=Hl=Ws#b~hTyew0!8gIf#<@D1(ugLRiF&fdDsu$613(c?NJ|V zKL*n1fYC#kN+Wf@Spimk@ucZyrz=vT5yf{)Y3_C*3)1k?D}RSsF4jZ`uXmLXr?HJ+ zLGWr@{86{3_5>Ks)|=d;Q7bkiP1e=assGqY;;f{_?JHyVNhx67@+|yZQes~_Elt)_ zXy)7N_!juhR`J!e1XgBu&+B1(Vbr(?-Y5FpD?p`Y*uQtGugL@)TolW6IUY{!`ZSnN z9S5z&FFP-Caq81~PB29=1L}!8oKh0{w7i|%F(;wMu$t8rr0sKZUzeQQ-rg6+m}HbS zQw%wRNQjUlrX_oKInMS@&?uDbmpGwv(&Kv9GdlC)j^{kNe=<_AY4)pu^&7e-4L5;} z1tp2YoM?=YIhA0}n=H=*>1p!C(Ta{EqiKh`N{eUHn_h{eEj>p}hnm!-PTQzWooZD} z4b`i5^{WfkYFN*j*2a=`t#O^}k^dR5D=@(TU0;YHv^`oy0-y+Lcq0}Bpm#(PZVhYrq6EfH_`(}*afLJ7 z;RCoh$Blh}Nz`H<4?lRfB|-o}6l2m1Np?$AUW~XkpyUdnxyh~R>4e;T&DG8b&nFGh zgd6}Ags@kIfzFnguZ8GFKeV;=-1B(rLMA_u2DD8+ba`xj>-)F?M`C^v0R&``hC_fV~4g+6Lf5A8L-zJktOc z`c`?rLrjpDv*ht+hX@Adarw-n97j;c2n6L-C zdmhN}mW#YCpArI8K^L#DNF0RGk;-4B1>HD%h0?yxDhnhRg>bkCHh&0d2qX;K5Xcgo z;qVQRBihY>{yYfceF8u~{LUXg_yJG=0E7Vj2MGWiu5pcISivApsKo%-AqtiPzyOpe zhZ%li>6GIA;&1*0qW|O}8zcc9((PmpfF2H@6x4wozOMhc!5m_t1Oy@%GN z00dAV2vGj4000o+8vo8=AB1fH2p|PlP}t7F;Yx7+ej)&7p&ZnYC~!~*l~050?;P^a z*ennOD{cTDFajs=24FBBWRM2o&jbZR`Us->Sm*=R!4&L44w@kyh#9UG696F;5)crgEh%7-1zRxp+z<}s zZ~)S93%l?OH-Qgs;T)oY3y6>sE-(W_Pyi324(~7z1%eO#FdG2Ttu^}EY5+~8vIM4$@Z~zb>6uiM3lA#WwZ4*)<6x2Z!y#0{_SG>jYv2vhVmNB>6tcXp$lT_@M;K02T~jA42XJG{FPx!5YDh6Bxh~ zG@$^%Q5?x}A3QD`$FUp@_)92tWB8X^qP;RXmG3!ZTRe83(CApkO<6QEJIbO0Gd zVfF^1^{8(k3-TZlK^$)201klxjq3pJffX=e24FxS5Mdf_;O)TSAa0QWUf><*ZTK#d zAhW>Z1_B_9g8dXiAZ-#S0YCuM0UuB=D2K8r#Va8PVE`Hu8dGv5Jpmj9LL^DjB$a|E z6T&Am@*&Yt9j!4bK42dJVF~Ji2uPt9@aG_?vLsJ3C)+Q%!g4Ij@+=3y1tMVv0^ks| zfk{xo75|iC6S83s0w50D0f^l4M(h$V^->YVk}S;C5fW-3ZpL( z0x$)WFl(|Pagr3%VJU@5rYOp#QgdB6B{C;74l45{W762FKpTur2kPJx+2Jz_vn7zg z7=~^n*-{}$K@&WJCXrA$P4e_`Kp1>a8x0^l18fg!$u2nc`_%8mr}%LV9x67^7MR<0oC zvpx^vJ`aE&oj2;-KX4`olmzMXC+;)dbW$s@(nAY@ zDE~r~ATpo`?5-?Uv_%&xDfF`eq45CnVL<`lLT?}p`5{6Z@E}Um<34mCLUcR3^E(ae z1L|P_aG)Ci;0=u7M~ebN-}4_cFM*Vl0GjkkqtxyMKne2TN*RJPKT#K=4Fm3h%i?oK zycA3`FH5%+N(o>Ss16Ag6aj9OAas-h)a15P z9FhP!9Yi`W%cdGa9f*J+5P&EVA{R(+06ZZR3IG8D0UR7oR|le31wvO3qF2*RS^ssB zC<|gu5r7}A!5gym8n$2ns=@iv%mHS>7Bp}vEb>~nRa>_J1N6b=lr2yav|I&(U9%z| z?qC39Qziw1Cec+})%7rUGFba{Tf3DYW=}I()U(RTTb-{V=v67~l}AfpGlFzr|26zb z&nlD@0OAxl!y;Y}1uHLWV>@;L8lV)0VH75T9v~#1OPHvPGDsm62qGpCLI}X&6;>hI4q#@>Q3DTmAY$?$4)-7w7XT`E04}#$#WVoa z;a-OV6?)+q?<)ZMARTfuGY8cDMmIoB*6Y%3@~~`g1p)!~VODdLc1KqPabO)zuR}d9 zcE@643qwg0LUiu{YYk#W)nY}vOZf)Cbk(mQbaxJCmmqN$A(R&(m{(C4*KrwjElAc$ zp%h-jQ7Dv`qXgo7xpWVG^n1tDFAsuJV|Hd6rhR8)6XthG&vyWRmQD}C0rG(fcUMno zR|H>IA(j?@otAl>cK~!?TyZW(@Fgu}48(v5pa?i-4|o86S4)N01^?~N0MdXSj17YI zSNGcBbX|3QAp&Xfwj#nVhUpd|aNuqOmq7+MoB&KIexMyX(s7MV9f`mL?x8{-G5`p` z2V8IfhPa3$REmlC0E`#_rWlLG4RjYG4BBB14`2=i;s@w~4G6#lF5!Y<=LBY97kr{N z1Hc5RFO0*GjH~zRGT;$}!5I`R4 zfB+1jMJKtEFPQ)y842frAq3zX?x83{d6elbz_j>`(|C;u01>QjkV9^Liz1UP+3p_s zjt>HfmAFDrWoLUfe$^yi34@k58D8L5PLE*~03ZWQfoFZuf&h}4 zDVy1sn^b^-a}!ij0HSgrTv?D0fshG;kvG#7I&c7SzGet_gJ( z+Mxjl0tXM*<;zMvNhwf`C3^* zdjM#l8LS~0)L)hgw}boZ03fZ`x~&PI0GuGEtsxprlZ6{h-33Eb zfflgqJweCN(%lWx-QA4>A{{b-G>8t}9U?i>ARsCN5;}BuONey0w9e(6d(Zv8U$OUI zd#(3*!di;FVx?xD{;fh94mgD|Bu+7>KCMGrFg`)VDCa5y>pNm=k|n|I3;0a93P(06 z!qpc6bzCg;=@An{m$^#PBTCXGaG@1Gs4}=q()}5M%-H3@1sa={g*MY&zO##~xSJ_l zuYj_8J(1O-4MhSO)vCyA=Dj)uGz{c3S+HOqd^!*~5M>TT2p7k6@U9?2bjJbEJq7k^02Btn z#@_qtZQBt+gvCe_u#RF1WRh%=L3^c;ms1HsnRETS5FB3MbC2EYHEWF&MoD4TDldiD zAbt#fkKl{vMxebDVi(OBx1n+?>)U#9PK9VaT+gi~E~0XsT-u_R#3yGcAzk_d(F3W~ zE;$A5Jz}OAykp?&V|OV>ZVRN%_tzkp7qP1vLiuNI@yi}><3?G~TC;WynUH$t3kLN< zt03=RKf*M#)+ebxSr*7(kSuebyxbZokQboknrS4q57aEfr2_O?6p?w-Z<5XZ)=^(3 z2}HQ(J$89u7o?@^>V8Iww;U6zQ^N8e^I{ zE*>RvO+XCl&#_H{QgV)~@Q-&zCC~<$;H!&MbQ&gMot&$v^VMI_$$!8OQjpUn97o9! z+)Pj4?&Gs*q=D()u@cjK+a$>qV&+Os20I!=KH#@p6#=CO;Y+q=xS5X1+Iruzavd67 zx1y-vobym2qCHboVbgLtX4{HpSw3`}(%f4N%BzS(mJsR@-@$cWz z36ivK8V-Stc)NtYD{#c z^3@0h=a;rK3Mk7CinlR#XVQbFqV44{k@f{Ktc= z7Z%Y}Rdp{mP+j4lw0w<&&kqA^_SZs}S7n!lE;qg_9U9f=Y1l}B-1>O)g=~sYBXnc9 ztY`%DrUerhDqi+`EtWz?C>99J>8jUu!#mc1#-wq7P+;uU`KUas zeIM+jBG`%B8Os>nOGinju7o%r^Tj?SZ}NQClPF z(HHe;p{4dR&*He4t`@b5#F!htm3c5|u4ZIod;LgWUDXDQ7=e}>>&n|k!mrnH^JOfc zH^|~g6*N>3Iv1jqZw?XmEw;wrAAKirBl7=hw2CjFs{G9ZY`{CLyBf)sI?7fa%x;l3CIH1g-ih z%y=o5EK!jsv}&A-YtmeZqLTf2WfF$-^c?o1T zIJ665H?aw4F!-K3OMrg1h`_ZnApHm~;eO%~?@_Nnrfp?WVRf*MsP8@DA=k^+hL&ZpA>2C3|RPTFOf0Ib=b^u9u==~VgQ`7hYfhBk+X-$6|jz4r7Hy zb&upE6Z258@@G0%6yGQ@ft*(b&xj1b*8H5%ZYLRYs5wR;TtQJTh@t@}?7&6xHwm{% z7wrP_ClIN<#AhN8u4kEyj8gGwByb#W^g8P>k2f>k7!qeHgMwt9)(vk0nkmUn- zQ|m8+}>?PJ7(kCr@~mn(%6aRp8se4b!QDQNA39=eMC}gy%U!ueL-!ejD*j zK2NKlP30-lAALi3fy4{lQ4wgFNJ+j(Kb8rW{q?m&3;jApHb()+d*@0{2Nh0&e7~}R z9oF%&@K5c~Q~Cq;kdcvp-VIp!^qLBh-)C05>fJ+^Uj&3qZq~+$S3W(m`*HWZMD9=Z zdET+rRd5H6{N?9G+9O)$wjcYikISSz708HHO2*O>BlOh z2WkjP^nMkeA4zyCi0x4iJ1QsOXADIlpxcdMbk|`_IpOr!;ne+M{FLF>D7^ZU*E{7n z;Ru9aWw-#P=QtzIZuXl~HA2={FXegoxmUz?d8FuEB*RrCxCzFT<7sFS`u8o|xY_gY z1b3gEPy`iv&xrT6K7w^S^dLHtaxR>QGhEFjoRc!*MRT-(M9gE02yF{{saW_90{Du8 zUD@KEV@4{)M%_9`V!e&tcZ$-9jS*;yrMZgzR~~gD7VTJx7_w(<-XiX0B-S?)lp*3G zF$A~_@QB!n@4c`}z2HY)uRbtBx7qRKQRr}ve%O9Jcso1(L*T1#{ji$YgzEW(z9!hs z386SPzMugZhVc7QnQ$5nD@H;(7$ZaHf<6JkdgJ(C`fwg2p#`>qhtV)XFIdh)yb}?8 zdlJMBU{#tk^?AWJ>Om&`uquV5JKH2dMADl;C@-MHYYV>ZPqDa4nF&l<$O-oI0*Az; z-eNxRtzbc~H}{BSb!74ZW%9a3@}3v$ZaxL#nt~AouftCGJP$vr2SucUnot;ACj>|S zX&WxdG*qeocHr!B>D&Y9_o;+AUeL#@&>1f{0)SX@U`zDl=A!^DFWe*c3_8h>HSCPa zrVQDejP}5Eu5amlRX9jNG}dFHq;0V@a>=A!_DmJmOpU6{s(_T6SokD>d3GIRRgeE% z1BOt*IBLNJ5i7dzfn=vRA=Uo)u zJ5Pd}RAQ>^ssUITRR*nQ9yBfmlPf)1GyT9R!{S}WDs~o?WS)p+x_Mj%pJbLCPUgKq zHk(1_b~%pvyG-o?m|xJ_9sjt=j5z*N1jvB2)r%V#=g)T$9QZ^F28rz1;-w>CWF+}d zy>QPF0N()Y4}gXsk%UEFxHqXqcMC-%IKb^d5!r(=zK8r51n+5vOUA+pq~4!gQpX!a zpIgLsDnR(S2$+e|X=7pU1atSJVORh*QqYR&8^kmXN6rh*wwioj|0d6}m}3ye6%VJb zhO5QH+2f13akIG$qi+DG<#DH>JWlQKb)$mM@Pg zYzAQ=)r5B^744PfFZRksDU$9O@oNK1_^Qj^SjO(KS4vn^#tfD|*DCek!q{uX^-zF4 zpGOBZD#Wu@;BbuN=LSc_VSCfxBGFVxNH82T9*H}MgfPB`4SpbVt9g_QPrxmV7-Stn z;24f$%kIIMq>681s&!g$dH0HsDM}u5S9}<+l58oFy)8lJ!WSRbKDKHOewLc1!wtAU zUWt`CxRhD!RV8xQB@e=mxl60G%6|lx?>kjw=T`79R374bVkJ}r4_3U!t=tu>UbU=_ z#SQtq7sL6s5UoT!w?&+k4f|kBJn)%!`C%186pmO!f+AOO=vr_M1tGMQ z`DAOK1wX)`jBEnh06uPmtaJl@9-LMxM24qf-3_wAlpJ1 zr+vOg_pt~=McweIKYIe=Q z54pfw0FPOrMW5!YOKjBlA(+L7uU7kC1>L^wn6)}Brn~N!(9}0p1)!UM&@`z_HDOr& z@NaF}n?+sCHoegX9Nb&^f?BZiqj2$?QgYi9_nSWseaq5r#yaT8#cM6N`$k67(nh1Y zyVW+n_=&!?Q1%Rg^Ba0KPIM+oOC2U#^+ihIDR}W)TfMsE?j;U90G7WBmger(ekrHB z6r}hHuKeQrJ+c)aqvuFWA0>&pN0}nAdiw-nn5n_Lw_gJXVD|ZNj8r`QsJarI*U#`F z&viPZc=~*;yfjxEcglNrdV4!?6w9Q0pg^zBQpue`_c11nq3xULYI;~=2fhjN zrEE{h9(6DL!J)RDO2{nr%Ni@N2k#IKM~@9{bZjC>EwNi`xZC)kdqAdJpLb*=KE_-J z`b{uO{%L)GAEuQ~dxTYg+fv`1?L+IXHxz%!W4PoPyU!wUsLiU+PiCx>*DJUNevsJc zAu||$&=V=s*Sr)IcK}a#(b=-vpQSyB)~eWz9-8kh@}z9-lssR` zXl{&V>lFXr@0Oe&L}q(#4Tkr6O`*A?bK(us5{-Zb8sNK`PT@hV^QJwmg}n&EZnoUuCpFoQzF(G)T!f{cVB&EXLE+;7-?Ih1?T)i z;g1dnhFjsWd~=SKIMD^LcQg}uG_8fNSUh!M-l4*bK;IZ^h7;61?^=`seoS#J^4i_89^o0v-zLPRzv8e&pm# zCVmRU_Xq-dWLki2z#l>6xh?pp8TQl`n@NJuA@nyDJb>dhjQTa4dvwk8RS&;h=i%(n znEHrb#)NI6aFpP)jv%~kHEbnhTLj~BIo`mIS{WK*!eMj)+p8twtfSBF#G&y(@bwm zj=qNO-*3@fP~BpG%F?*)%4R)XVz}PGeLV)ekMLdnK%wps#^8Lw>m7v7VAAWR)Rlyb z_MO~%$S;NMCsNzm{F{>Si6Y(IZA>(N2z;xGgKz_921_AoF%_(%`{BLZ-bu_J4aa)u zKLUEfHDBP)_xGKk4q790Gag)kTbJ&@s)k^(d~Pp4P~2+9j9%L*Cnl^ z8+wGBXA+yH$%h~R#RUG_JgD1ttlK21+H$VrabMZ;q~A6oIr4kGale05OL&apKS0#& z;Og$|JMGxnq=)fOP`J zq-YVPT`>Adt>WEi&y?OLe&|Cd?1%rC>lDGeQ=t#F`dRKv2iTf6Ls~&a zUj5wVl^Q-FPTH4>*AxrKJN}oB=s#CYFdo=1qZD{nXea&%JSb%>OnxArhj1bLn*r|XOZOiz&#}wqI8X0idA^>1z64zD zc>Z&h|M%+n$_|(49*QQU#U2CwfJb4T! z$~vAiDMCcsi7k#1D3=>rLgfGLmFpuFqX-Fpf&fG=H1pCbm~0ma6BgB5HqSzu#8`aP z+iXhNpZ)l}CzCtjryohpx4tgU9X~^bL8i*w{_sCZ zioC&fTAJsz>P*JcPNsQxrc?Y*^kLk(@MVjz$km43I`@|h=3`1h+YZLJj#FWVADj-U z{SIe7+Pmksw|qT{Yj=k*@Z5_ZH1=Avc(%U0^4Xav(@&|*m+)Jt3SC?&%=>1)@748| z?iu>YMbO3WObLvS`Yz<#uit$|=*F7+?()+-Kb!x0<*Xj^phF&_norm&sSSUqeNPmz z-QAAAKzJHXl<*%LuOoKJUJLD=Q<)&CO*1ip=23aP^B~u8q@5by#Fm`gdt6$Y@-$NFm_1fj$|u1;f#xXt-9FC;W5;&AQx-qEUeA=gq}%|RyuLAj zT<>%(mWp{}a8P%5aBx0!RNp;O3j5roSll&&6A|QPCpA#vkto$}8Izl9tr)MT^$>li z>?%*wmb)=vzvK+k48a*+=pjNdA+k~}7a{WN5p+Wv{E?IP#QcaG@7>6IJ1PP{o` z`{I{eDqO_5^s7X!F1YqIx!GQ7RRsN#Cf>bt+xduI*IStj5FtT_w`2PN$CyvH6hI|e z`ey*p8Wduwa`V6ilHKbxdvSAJii}ADo6C2BU_wq+I)6AN!XG{y7q#-L5$PJ`$M4Zp zz8r!M1)z13&J43S*l+I7aCTM#R$XyzvtKItGrYcud0Cttrn*ZSyt}Jv6STFw`s%|_ zhSBU*d!H&C$XFb}ntfn$`rlLSUcc4*_dx3q2!={}GeX%kIzXiW*-$E$NV-CXan3sJ zjYdzI7zkU^5kmCmJoCEWTmft)h+v|9j-x-{fvtD)EN?XejVkVl`fJD>JT+x=1lj=EX`#1h#-|t-xq;zn4iS1 z`9YI%+#3RR=%9O037NaSBOtWJNfd2ZJc2?H%5f<1RC8D#N-^Ux$tuRNOYv-CoQ|Wg z5Ad}OM>-*B*nvNT{h|J;qN1uFLehhENvQ$|qsMWa;9v3zueSg~gAsS94ECc;TA3-= zalO~VnY2q-jM)Y-=G5Xx(vp>;pER|nMeBEKR-2K!X5H2%bGv=ZMLjsBHYEW$YRE&C zvy4l*vDFtpT>Uq#b5TByu50{WwuPt-GTR^)sELSH&0724&9wFen*dAXSoJP{_J@s9 z4n^0Dul$?&rzXyU!9A z6lsX=l9}fl?TppSfv@-k2+uI>NNq%yp5Jv|f!`musi#XDp9Ht>>9Pp zYl?9A8TzF+X^RBCd?5nZn%rpb^0m``CbfpE{h@V^PQl-@BhjgTooZZS+F4opXI?2I zWmOJOQfXfMZ;F82kAB2dg{#2p+HUK1V*necob&3t9pS zp9QTUEa!_Y#hBQyue}L8ZEvZcq7ZU!FGT_@C)o=cHRX;3fzRm~J*vv6vd0Ck`?aJK z_#R)&pIc{~PqNM_R`sr_9q#7l{6rSRoi*J5?&;2zj><}&03`R%l1UcXF}`blDXN{p z%(SB{$$`pR(VCiH41l701tXsc@8&v$EG}cZ$>!79JtU~|0~r14^^<05xO6*DDa2Kh zYj-W2O>+W9&kF_E0M*PcT#qEfY8-=O5N#5fk(D7=wGh4(ZY?{V#-n8TbhrNJZj7UE|}<^by@pCkjc`l`|Y9NKf0rbRiXLg4`x_@)lHt- zs}Q;aEqDK~%OmaIwpH3B7DAj1CK7I$7!SFf?iLQ~THAg(Y|9D=>(&q)10}8Oe%k}< ziBFu0m24mp_4u za~q|8M6S_8*asFpikH3g-U>6_!@S{(*bUha|9kA_80!0g;)hA?GdeXLb17YlW1sHt z)0Z7Z=%AaCU+;3!8(4=3r?I;WP3FK=`fdW0kQp%mzP9}Vv3PTrG4$qA8n}Weph?N+ z+`i>80_x{+q&ZR6^>N(e(SRUmz%__d^V=4{Ba#e!`bc?g@<(5C+;dr8-Gj{?9)^{eW?EYele7 z(49=D5I$K>EE7rMpomkdkhj_0V4 z2hb|;=gW5&KV0T3;>*a};;W5n{3zOiScG9*DPrgngMB&QA%dcvH8AUF0Q(C}hnhII zHnrUT-dsT_{DE~B#DeEkZl04Z+Tnsu6t_Ezd|k4EdWg;trXH#qQ;=D%o=ZqXnoSr> zc#o9YB0A(GiV@N3Cllk}#vFKo8PtHoU5V+_A8kQ{hy+4 z812)K`B$hi^gw-LC@wBiBO$Rb2p@2~`0ga5EEw6AU#}ePs}VaLCyOo(bE?G6 z6bt7vFQ?)%8|d?Bfx-(-Br8I832JE(?Q;@kAcaWhEeV(D7f-BSxNFNY=?_|>HVo=@ zxz7aypqiz$H~9TwCh@^CF)q!0C+=yGaazH7u=UOV3b>q9+!mNVCzwxjviKv2z&RMN z$G;b>_VNU4?k5bCg;ag))_DHll^@{PvBsl{MOg0Rz^O%}uhM#piHEgN6Dq@F`1#Wg zJmiui!!9Eu2;JHHIO47!Ga|&&X}U~YBa}EJ1xVeRcw&=g@%O7E!w+8hecXt{V|)$D zoy?fUg!JtPwY+9@r&Q=shu%*9Xx{2*5G!PL{Yr5Lco-<5?JGLFyx`Q*s-eY(>*)6*dZc zbjLek3?OdcEodBc{~Sxtwpn(wR*YbpS$2wTb6TS(&d*RtBWhBC&y-tt#+WT$HuS9| zfr-56l*Wj$`H0bz9;2spQ?#;^f`5&2a%HKrW(wwKM(1Zjci-X)PdD(I*@qh2ZBAN6 zr3!@3%C(PEW0*`_)8r6Sr3+DI#!PxM*A#wyP-#|sW67w+Yv)$DcpX^_yI*+0W>pW zcp<+BL^rm(&?_1L4g;9NsS;;K&N3m?15uMy3&Le|i@PnfeypN)C300}!1((u!xFaQ zLOzN3UAs?qm4*O{8IUNVaieR{WvFgvz6DJwzWkX(Fm*Rj#Jcwc-2Zc3C9mxJa&5=c8mY@Hb zk@#f26jj9>uFcB4FvGSeSzRK{J(WK~n_gyW6}5ceH$UcKeN1jV-7|NLCRnx#waEH2 zF5f;eMfZ%Aj#pQzFjk7!aIKnMw#OD8tq%3`b5vL{IN*{q;5*Sfv#Fbrb z`t~JmRWa8!l;>0R{Naqv^s?Piy9HnJ?3v?o?6T>f!xdgJ<3CBLwwW-g{?(7<6-SGa zC0g99YHRasHqxU;qIJ_^{MC}l5vfm%atIJj@gGvD%8aDSm>SpP(OL6(i?w~X?Oz85Y7X)OtW5OjAm6yuYYM7@oVbwPn4 zl~s+Me9K0wZr<@!3Dc`u!eGI=>5(TOxt72b)$x*`HO5P54re*76Dzhj?J2u(iJZWhWEHGlO#Hw}(3^gs!C;?W}h*`?and=m_C4FrR7RvNrqgq)XT2 za))^Mt}3B3l5iS1>p(g(t8ug)J31jD9p6Rvv)mguTp5sE|I+>UOC@Ge?v7iQ^qTN` zkK2g^*cLIfh@+9wP$7W}`zLM@A@L$^`HN4yo^pH%QKJ(-Pt&M))$4ChyMF7#x4&Q< zIPgqaOMlZ-U9;b@U zUCi^`Fk(ymXX_I{K_V)`LowRr@#UKErYmo`^Ms#S>35eb%=nc$`%^ya|Kuf8)x6@r z=0gzE5a4-<>j>ddCVc;=+|kC7y;u}{z2?a6t3)E&9xJJJucp^o`}@jC*3nwQ(M92o zR{7K)YtJ$Y!)yTGltc9Fx|65I*`aCC? z2+`0hRlDBuo&KrosC0$IcRla-n z=_luMnzC3qUR2wzf*x{8AgSR!S*fP8CHSr|`i;$Hv?Wi#g*?ZepsMdR=J`#pL3y;V zdhh;GS=>KT6naSYHlh2zwN;-1WjvQ@(r1NfE@v}c!wMio6tG-9P}V0C6e6I!uc(@W zh>}$m!V86;jYki><{(ptaE}U^iK3N&N@;(m%^O@Pk*+U%%DfwYp5<3C(sp`y@{Fr0 zu{kJl;CGW`96NE3XGcp4w|KbL(SdliDCg2^@BT1EV&liVLBy_$sv)YcY?YD&twM&@wJ-{ zHICk4^oj2Y|LafXrA$8vLEjt<^bN%4ht%^v?Jo@u4u}sBH*Uw8Dk1Y=6Za@P7$|Z$ z=eW9x$bKoJt{wdLVx@d1i#?cMJm{Cr3s<^z<{vMYXAd+4fb>92_tI> z?lhkwyQ63Y)MU7X*SizISZ=J?q--O(B790Z?;Z~I6FHpIAMW!v)w2|X)O;;JRca=) zID(hCOkItNTGW;`PgnY_A4i5P^0HBH1Y6_=)tHCX!^Uyou1e4D7Eu{*0kcF@}Zc0Gk#jBonN2C z^$VABg%@h{n_~VEzJArATjzV{C2rH^a=G!VD@EXq+~3>F;}R2sLh;b6vb<`xN3Xw+ zM9-LkN3mA@Amp(m;Zm*v;}P$;=AT4B8hhxXADuS6cYI@g(IKKz6HwsJ9-LDg&+~b{ zIN^NvsyOlSq(w>6iE3a;vh>+}Ns2s}sx-BvN1`-Mo%vmGgt3e;!F4vmC4&w+m)9|qDHub!l|n(OVV3@snK0G zSpx9}>$<$8g!R>;v)4wQ3LhG8_iAfeaEa^M34KwlUx!Jv$QCmNQTU&@h23itW>pZ3 zJ;*?Vl2y&8!ZC*O8Gi&mA(zv_J_0^i3d8dmD*URjK) zp}N+R9z6FShu@#pcbvX|QtPM@Ya9+L1dMl8E)p&eTS6=q#3{#0n;{k|;fVFsaulhpxOx=KR$Li~6iiVu3d|ULzm0tnup05SA{CUGY2T|q zRZRxa&RJz7l0!r3D_KtzLI7E;%wj@mar_fW@vUyVecGsW8iv(zF6O(VfED z&#_CP9Vv}CR^6F9@;@lCi9M;1|Ch#zfwYL0L8d{&^E+v``_;K^KjSC>+%$+(N?781 z8sQh{T7at(L+I62S`L0vQhm5|{<(N@z7v1~#=F)_P*aF@wnZ6|f2g6YbittAXAWl1vZ< z=Dd1+@_QWEJR|_JL&ib5ila;RVCLwoQ-5eu7yWFQ;sRxjVC48#p4v&SM1@Uv7~5By zse;u{6xE~V{3SdRL?_OuK(Rzjq7u7~i6sF&aCwOcsRxrjMt~HFgpz1v@M)nSn`bsB z$!j=DMj=zI9I~3J{Y=mt%z+0GohluKtw488sU`gO+n0oE6nzA?0;bgIfO&5^Bf3Io zlN*m&N9SxZ2O@!>`OVo!bO<5RuEZkN@E$RQOf)Vagc2ge4>HD|%0CkaN91fBQ-O^4weghZs1|cFk z)>_fUOy`<^^Xel|Tbw9tloV@pthLB$999r#b1s+a+t1$ln~5w+fl|QhTT&#s^&SqW zo@4Z4f@pqxUE9ha#rRb*BUl0|uo0H*pz2qB&!}Kg^?{|n z;R+_{wHXf=QhiJhw2~L_TIRh#Qaqi!Sy~2UgQXtgf|B!6*9POm-u@g!7uw)W&V{|2 z%KMXO>Ug6C&2d0toA7A3sOM1`9e~-H6l?6L5?X&yQ*gc;*|)*+vIJX@f4Ho{0(lvH z6b~d~tIyJQGCTvV+|Jt2H{<}BsWBsj(Mae`g0kR=ikV;u)j=Spi0xLm9f%F11Dnej z_Ht?E-z+7z2Ct%(G~!WB1Y=5UE%DD!n55MW^^%ewCis=2IV6xa(9{0_P>6cEjn=<> zd=1w;sY_0x9jkPJPXXTYnO&fXo@tKbbl6A880(|FKPv3_wAfzQ-Ca=7DIilrMA1qK zFs^89bc2CH{>W~2HSZ@K|D9k$B?cT7iBKu-fslc@c`zpW!jLE*=PD7?^|RucVNTyq zlD91)4}(nFHW1mP;k?b4>FriTQ-wG0IIA$1%MUifErRlmhf#FLaC$NEvWDU}(iCn6kKJc8^hXwJS z9!1N3(aE>*sq64QD;mS_^o+gWoso$>rCL4}xcO5{KK(=bUIjbXP|2NwRAsDV)Hj5* zeMik%;wLr#x(mG)(Nl{5cUDRJ5^zrUV;J~+8S+apHHI6y2vHCR>UGygxFc(EZ!WcG zb9k?yNZ77Mm5#<+S-*{5#yUFeO!Oo4&gS8ISb_&H2v~VUOZ4$qMiMy+qs#exc0G{% zhlUIBr1$8<8oHpeLg(Vpi3O?DItC7^7gp>6hvhN8H{(Y-TBjx)H;(Q8b$Q{fml~F> z{s`)gsKt5!ib|1G5rVaZi2L295!z-}^J^3qBZfVzIXlH;1?rvCixK1!yS$H3^xPkV(K)K9lZX}Q`0dYwmRMW zATYt0BJBtem4qr+$qSz(G_(5$PWnVaFfzh%x`46%t?XYH`O(FX7W96MJTpX$p?jo^ z_@hnjWcG;!!~EMU#0dcu6o`<1 zq2yr=rismz?YDMnW>B=E-*%)|%u$lcC)FZDlu&{eALw!7NT?B`W%nw+-td;+%3skH zLcLX&hoK3rE!fVMv?b2?of<;}F0TWO`_&+T4U)1*Y?0}D+(xaa@m}2Ew@M@vZ>0*A zUL=!Om*h@_q!$Ez)Sbu72YnR$oNid{2@wKNcoq_;FU6`2%!AS$AsiQ~kQ!}~lQ5;5 z6-8PE-aBO+0w@7*m+Z9?kqRwCVFW|37GrQ1BQ=!VBtp^wQW#du30I`|F6M9=<86Q# zxajlvW0K2sNjgMuLK9e&iu3={D`u{778f&;*Z~&rmtEh(Rjifyn3XP=3!mo$|ELH= zB1Bocr~?ZWfn{Hug3^(0ZG&vN{0N!+2uTqr_Uha(I(v}F+R+Ac)O#gaas=s8=VJ?H z5d)Lghs@M+-Ml9dLfpAkLuJ zVi7GzqDkFKvt?#nD0Sb2MyZ~tK9eDh@lcIU?GY-&7N;-0ejly<6RItxq4qjj#|={l zjw!yjp*G1lGQQzY6s?ZHsD>_qu#GqIb^AG-CN*~yN3%dWG}R(Q)^$;m-$%V7`4@yV8>os*N>R+_|?Q}C8^ zxRXmfyQ}Y(OYW9yv6EY{Q>T}OIYPDC3gbm~ZxM75In4H=jU6D%cU90Tu3RW5gL)ms zqP^^}y`$ACteD)WA7088co0fFm7NB&>QoZWJe6C1gxKzds^*L;D20TY@%ZCFjxQVW zA5Y@ju<d&rVcZK(kp^+0>m`shT7gveC$rxL;l@`V9sPP zjRYF0=aTsyi;N^HX%oZb39+Ir@n4s;Eh5A!5#cgx@)&?1=S$AvCXAv=S2xf@%P}s4 zMN>Qf#BC#zcgCUjHH1Keo>-fnDI*l9(#LC0JszI~RI9o z#l8A9FZ8dhxUXaNTT@e5I>#mcrrzSG-s!lV*^Xt;rg&40q;pE~q9Eh9wau#vmrS3Kj^1RFYUZaa`&j9pNq!Op*H!uy@$s_XU$U*Jj=lsvt^X z$+)sOfov|AVrIhOsP3e9YbLt z2g@J3B!43_1mY|O70tWjNrkycAJ@LXRhtqqof7rVRIfD=pO{ia)(FgekX#s3-Ob?Y z$r#B#D7<;0#+215^+b`*L`k-Lf~s40*H%TB3^RLL^Yp3r%z;dg`fTI$4@~m(?}eHK zfL6g%NiUF+=?C5JX`LC9xlta2(*2e}KG%kQD~nytCsh%@XH8Wpg}t-P!)E005hm}* zX^4+3By*IA4pb;#$M$e{ahY3(?UEY8dV8qlkf$n>=EVOYzAiYuceZksO!od|g(61XUhdmQArB(i!EhJEi-hj-)NSDy4TcH*7eMP&3w|_So~gvF|--2VnT=Q zKGmUHFyN8i^=FoCmZQhIO!3+Z(`|{9rn|4~C9$tsf0ETe6hA2N6u72k!&75eOd)0| z={U6G!eT_97ARy2lQs!Vj6_b^z5n^``Qj(tsRbq47_GB()42{6t})?PfkMmVxQ%Yh zBIfo&+Yq9{sD<5hF`DkbgWgic{r~KG^W9YO2;a-{+7Ra!ZW!A5pnSGA&lNVls72bS z?+B@rt&+%{y{IqTpMd@a0apZSM~CzWRxyu!kXR%|=u@U=^SvtvGtbhji|z@!(Lo}A zWuIMz{?f^RqUqTSOD(#2&j8X9xx>Jt6wp^6`*pccB(wgEUwWM%U8NIokxFk*Ld^(f zKlD}26?)VS8N>jo)MA{`tY)p^bav|xeb%vmOnL01cyFuq@*GeRg}+}_Z%+q&GZkO> z=}sdje%qQof=YcjfBFmKt13LKZQodML(uNI(ByAINmVK>sGM5lGoMK6&+lmpGupf( zRrN~d{tW1C{HC{oGJ@@y=3)K17to~7cxc}t8DiSUhdz>!p#UrLB>^V+gJwfhR zD+HBP@~LdIml?H}JzMkI_6i*qw!KnSdih63r%7gV-FKi^rGK%@UcKfaw<=E(6?TutZv+qn*gM98GSX z+6}#GijCsAt}v8%t9%oB&t@nFZD{xyloX40#dpmqk&tfP2}Mcd4c*{`-Q+I@xnyn? z?B5ig2Ni9{6hVTKc)`f+8zjx`dzRZsis0hM!6nkcPW-_s8 z6EA0DD;BXT12A7!msi3xedL^d-2{RJzxl~Y`K#R)6jqRlY6l)^1+7Tcr&iQeI1iGk zgm5RQ=hito+$IyY>K<@}&BlIS#QGA_`^G<-@C7%A%eO^|yJ$)mr&7=G+}`k&Aw_JL z+=A;52~IU7kr~V?L%x-Lss9g8XTjB0w5{zV1PB&_y9Rf6DDG|rin|wwQfPy_yE}#A zuEpKGc(EeIrAUpBbI-lsPuOGZvG-i>n(y;4b)`&2_H;%4@;9qEwyS3vlQ3zB2%kwr zjwf`8`_uLMpPSkTqXfcGh++y*T`f^vt)*9`?>(gRRPOngNVlMi+E?PC1lpnY6jA%^ z-*VQKf2@d;Z>j12kvYE72=vy;=InW{bY zrvS&IWLKus?n<5?cVdnu+SvI$_YY!!KbH(md44X;)~E;ktx*2F7$cUkKKpt7vztv| zH7=|uNE~CAg&0c`tTqQW(?kKXzU&rCiGidy!XQbS!tyzd9!^ckpPS`b=bHY&f?Qf% zS+N6`+VW-iLY-{!=W*!gartowCVfXg9gb4T_Vp~yY&*fvs}51MdxQU}hGz9k4^3*P z@GplBW)t>aYI8r~GDYDs53A4FvI(T|kFG4R?}|PP_5b4zGj#uc#{HD4)OKcD_+iQs z|6;NFoamA(?#vM@P3W8Pe9vNm$VZGfsUj9j67<#D^bcMn_|nX@4>xz*WBv48GOSFw zGaBqWdgrSi+;H3H6_MxTD&^OsuhwdF)D42S;#|{fypXPEK6y3aX}${^T^^HI#{?cUDe$-X_Q_Z;l9gp0Em6Z zd-~CbU=8VM4{Be3If4jyb5LvWb(w8F82G%@NFUtbI56h$mC$*EUnU9UgKE94>f!XY z?)~q7Sg{#wdQ~xGZ$79N9%;l={lMv)cj+Uu%Ec}|6np1$zR}_Pmavd+_D66pTNX?8raRlv$z<0uYVR+AU()nWBv z{50_xV|_4Kvy_}Q_z!Hw;7hw)zV(ksydu_DOFyZ}Ur~&|+P1CvxdIf}Z7vj-V=2qF zNVh;uhjcUy)SW;(nO2-zw$e9Tzx*XEgBk8M6mntVf^uK$2OR@Qe2Y(*JW#oO0q;&) z9`Zs~*&tORnkU2E|9&ZcGSHmWR?HI>;>9XqA^M3I6qw8uxf}X@V3#X|;FiVWA5`vm ze^_LOsVP*MzQS&!tvA2zI}6=yD>Xd6fU-EcwehW169 zk+)bzt#QsHtsO#nBF6#LWLHF`HABugO^2*bA^85WG94EP@{xT@4i?9CZs2Bd1EAJY zMx}w|nRFnYcZarAo_rlXr?tpbxmN>NRK}ZWAMB69k(P$XklsgL?c}$Egly9QkgK9r zAmQ6T?z1GmVx03*oF=1H{(Q%%{;Sk|p(@&o$DxKk!WHN)Ag-c9uJx=(gm8LFco3#~ z<*7v|Y^@wzWK0equmH_jWCdVN1U_tkEYNtw{a%Umc^3945daE6?fDG^KOW{Ut-O78 z6>IcS{5~)J?Z3pM>DuR{03-p?g7?T#n+GgyNkuq}?;s>pkAGelA^ivkWS+({dmYvt z5@Q&u^Fpvtq|)T3|C^`!_bI3*PeoHr+VxRQhM$aBlGEU?Dijlh2!V0l{0s38>w zvsxIw%)cVI$uU`SqC$8GlMxO02XHC%QPa3OlqtL^;5(snrLsN>Al8zu1j(DQ5=<+b zRgZ7PA0+@Frpigl6X+T zT9(eGBnqA-vC4PxhmV6x@#K1RFaC1QZZdC&SCam6m6H z6n$55r?7*GmHZVwN_&D+)2C=$D&xjikM_t+x4U38K#VvY+z`nNyYe%q*UGm6|xcIJ{-pB8}RhA38JVFNF(`bK`y{6yQMKE>JiR z1OzZ5aYBZWT?wL9a*Ld_$3J+ttN(A24b>y{8Wn zE12I0lxd=hf>J!xM=T{nm?JGlnj11wHV^naM}+UM-9gmmCu((X$1L)_Owwg+dWJfc zauJFGRzpXJ0Ff8rpl9AK`H!Qk$0amskJ5tf9aO;`5mgIpVLmuT>6e%_-wz?pbrc8C z(ypy*^Krtu1QVL^X~km9em;Gvj(tK*j+XOmLvy1&c&zMsP2 zxpiLpqh~Yw?pu=ORKt6R<1(=u%g^dH%_JYdPRr+KWY)bN-@o8m=E`f`Di?}k^6;$fMQa3&f`c@^$Z>_Ngo%pxh9Ge=yfeE zYx)1tIX5lJ`J_=3rSN~qZuv)`2Erhy0Gy%cB6n#2;l&=M0T9m?fPxOf31(V|hWzf6 zRW+UF@To`r=vIC&w-1xXOmiy-OHypoGp%d({C~`64=u)9a||qN^r|a#ol)wAL`=Cv z@HsF_()RxtoI#EO<=8b>D0Xlhq6VDGk^iG_(&%v5|A)K@2Gt*c9HDz)9XI3-PKsYj zLjo_@O-bs5I7!(ge8JEcjOv+uJvb+vOj8rtkPF3|o5-#nSw@r9zm(YQirB>s9$pUt z4sC+C(NG)E3SL~8djQ&N>{~bNE-uxPp4kO)18VYehk zJ|?2mKjXlX+%Pk)0Dki@tO{I`d7SeQGDaL~0xfE8Ej;0QJoXAg1st)7J^WdB{&X$A zok9Y+SR_GOmi%DG01%U|4O8?UlSnf2jSP!wGTT&QLU%Dg$_@`7E!#*iZ)*bg&S!S0 zEXOhJug6_`%ur4v2CmR}K%}}A(;zqXpw{XELrNpHSO{++E?>JP_aU6=FNo{$fDx;a z*<>GXGl&Qcu@63wxN*;MwqlDz5`PN(cA)Pz} zo*U42B}*m6NV>v!P4PuK4HU^0%ssn99j?w$ra>IcX|Os9z2Ggk1TeMeG31-Xo;not z>cRTs=7H);il#k|eLGrEfNdeBM~)9l5Gg{N4OxeLL73gKvtUYjhkuG|$m;)a?yi_sSk<{rx>ie^&GJ3HD z)`2vU?yKI=S4Wt=D7tD>b5hT|>51o(;8X+!^g?{ib{Bin(>H=^ zy4pg`+8j2*GR->j_>Sr^YLU8fCN@YWv@SzTCMz})o5(!-^aK;b{wUs>yUp}S=GGFl z02Gu}XAkYLMvJ*=X_|1G`bJdt5rJmxSvtKs20j|=5na{;YiO~a0<8^{){;2E;$A_I z_op%XPZ89epyClVr0CbI_G&fb_CB-@k~n$RsZjwfCXVQ)_3}=#m?0wctObn_D68Qz znhfQ#EoO`1HybMaG_5Bat)nun$uv_GYUFGS*x``Y{Ib75v9=1+6YTj=mn2+5_~&bz zpE|7ND)KGIXUkTh1bWEn*0lsQrh0Ui%ha}dIxcDEuUl-r_}RYSWuyBTbX6K8g_{?l z8s^C7F?jH&xEmKO6B>ARHtN9bnY>UUJ=jn^`64{If^Aej**f@mNuz4R-`=+}`biY~ zxE}d?Mfmy?28Ms~i~q!k^y5P=D!kav|1+v@r2hN(mG{Jo0j6UC0Rn;Bqk*dmJ%^}1 z))9bgfsbPsYEP(6Z7Yr@tq7i_Y!QAM!is=BK5bg2SRO?1g_qIdIERqph^3FGNJJ>Y zg|p291q{H+R|xD|0m|XqsO;II_PJOHc%l&5X)t;^jd=+@fGeJhOwKJm7~9~%K8{VJ z_DB%_$WcF$Cc*6`=K@s6N=8#u!24)I#D{yw({xudCf}|V_Yhh?1!?EgPtIAR4nRlO zmU~}hMGwl|Xfeq+GOQh-5O;crq7rA+#@3dNxPeAyxS# z5tkY9@RhoeIi1bm<7?)O?!64k>JSy_OiEs7YG>A4Cavim~5cN9GuGPGx*-lkZ6$D^oQr?~$t%TTAm+rneM^>l?PYkz|Mm zv-ucym4m8Ok3R);G(~0&n7^xtHLS>9P1kcwS5e6*5YF^f&eCb^zWSjnhn{ndUMm64 zIdQBxW1;KG3YZkG84#|^&wA5JlGn8+GbT*4^8`P5Ud!!8PAjU)Mq?~EwQADzDL5r5 z?D$^LWm`C3Qs60E*#A8rFT03ry@-mm817#L&n{+NFXkjIDRC<1%`OpLFL^~;`rue1 zlU=H^UaCP_)?!ntlU?>^z08cXT->tk;q$-@ySU>oxX{!f)b5i-*(VLqkb%*fEasoU2WbipF!ldsEh)lB=@Cc6hd&#PALI|G(tr#GjnI{d|rXW;p| zV^c=(KbUjSPc;_bYqX^2l#AzlrZ~n#*5;zzu0&|`*`}PGtB^LT`a7p#F4Z-i)o~Zq z3BP9W-`D8GSyKNF-CLJo+Mqkkp2Iw^#o5SJc>cAaPq@y5wW;XRT;YiQ$&-N%hb-T3eE+B6e&zwBqc&v^mwXMP2%t0{W^j*ovDdi#S; z>?(cshmgTvuG~uD;J-b!zdk^-aQ|G6%nt0T-|xJ!|5bMdaQg+;Jcn@U>HT?za$XbG zQ4@1f(Ov@IT;A~1QL|_Yb6njLXLc*j-f2E-{4}V$5g=#S{5|A!dGArz3%}^8%zdaP ze+*3k{2-frCVK+s{=TT|E4b{#qU(QUd`2wx8|2e>nJ`em@k24UkAE|S*O*RZ^DklU znQQ=Ht#;`8a{Oop@cPf8QNTab+;jBAD_b$3OZPP;=O~5dINS}upsDVmGsf%oCBdnL zJsI|%+x3GML0ALIMlFe2AaT+>wI6s{Woxk@2@;psx|oEPcLgZq`h}j1c9MkgaEsBD zL;BEwnV~IVZxXn2jkTpkx6=T+NdTXw5nrZE3x99PaGs!zjnM8{>!&j}E&tGB4aJfUH!*wiw+(TUH+jT=O(0e# zq@xX_8CRrlzf6^V*=R`oni8h`@h`;gawj5i3wvO7V?HqPFU3*)+7ZrrhZ`B$He1ye zRRe|nz+arQ#DiXOJ-rWrkvy8|yzNC3YsTwkISx35a|tS*fFutSw22Om$HS<@^ApZb zj%OU#bDSih$kxQ5!q2;9$$MnEdl|wP>cOY9%=gKZnKPf?2anf!k-F4_PP&ApiBh-4 z!zXj$^dlwP?2RkC7waycUJzbzateFWPj(DijuKvTM2HB+fJhsr;V)eI99}N|p`-V_ z$LAi$S*F}s+Z?GqCpyW;1*U8q&pJPRbr@@U7~8bZb4m%?O|0>+*~2>x%U1D(ib@t3b$GQP?YUd^Sa>Mb5Qeg2<$K9wjF{Vg+fOx?Qy zK9z+6sYM?ZWcu`CDryu6In$NQ7obolmY-E@;ULla zXXM0kpIR*h(+#2V9RQM#C2(V|reg7Wg%YjC=lI3^3H-q1g+bj&@ z-d{wrQ%}unF`_BTEK#o!OX5HI8i)FUKbw1ZAYA61=$%^g<48EAR5YE#g2P0biNyr| zgxK2`iBwZGl}1nZyE2LyY`Qe%oueS>zAr}J50Wa)DECU%m;dr4{~>h?0uZ1Vjwf%( zoP6O&q*fy8m)vDeLBt!AL6I8?xp0O;n!kP)i$zJ^P!>#6uYn7C2iXhf+^tu)C$ep) z(MPgANQ?tHjO{+lk>GP{CF~U~Oq$f>W$s0QnG|60NXLp+0k=5sk~~Lo$_^w|p>p&J zIn}}X>GXME0w2ENr5s1Bd%;8~V!mQK$8E&WRF5@K2$pDx?v<7+&kiXFxWh81G6Em8 z6@-9(WgUhfQDhdXM6-~-Fjb+l#NjWLzQkx6bzAxw>mrFRwO!c^qPm;DA z5#^=M>w63ZJK?MxS|?wgWJCqYxeAmiS7ky`AH?PlxU0aD2<)E~Uap;|(E7Sg(_b+h zeJpvB)6XSHe>ds|fMnhSY+W$jFacmDwI=a-p^+ZN2g3ZvafrS7A# zQ)5*Hh_E5;0`Oi3xQ_&TRHuk}A z#tF8np;IF%GA_J%VT`4Vu4|qut+X+t6{D}s9gfx9;5kuAlyXIt^QNyRq5!NAArri8 z%&KOjuzf;TzxEtw-Vi`!bAZ<($9(0(ZV)z?K=%!bWZjp?AEH+S1*pay{SXY@ci*8h zY}~_y*ns;HijU+sV+EhZ`<7YTVkaiKXN^5i>&URYW=*U3y65_NYxvX@IltjAY3sOn zKTmWxx!lYQeT?HhOYe&5SbO_bV*YwqW9`h{y^hCsYbh_a%{bt99;s9sw&}_4;}Mlz z$J=|UIKlcc9F`?P08OD417rIzZ+=qj?LZ>Q zIuLB$9RHuNGVwon%S>mtJ^KF(D`UrePz(Pjti-Jd{V&M!syK*mCzRP47vsyWbg*U- z>ERfPBg)kflWP%x)p-}glP|^xLJu&Z%hIa2ihW5l@r@Q~umcTvHqc6=wTJd_bBGZ@ z^dW?c&dOT6cT$ZOPP8`N3MH9zh^+yf#Hlbs^Wq*~d^}7O>6sfWI8%h}>Gq=BxHbn$ z_R0T!cax&DCJRq@hgwec(VO2{=8qjHVXq`1{OBY>M5}$+(sSuFPCn=_Srm6a;Vy{wia{5pyN7##V zJ@}>w#*hBoN8cNzdnc9{TOk7~)QZvPEBV!BuOsP?lN23Os={6AhfYnO_PM!)i{k5o z0jW+|_g&eZRHeMI(PBmb{wI6_D{U_k;-ZPDib1{~&)W7Zund-#Pnv0qvL(uTx8{<$%gVu zFlzwECAr0K>HYG;~=&#T2VX$=ze*w$n!YZFHIjp;^CR*Ym?V;(CdnOH8m zpIFuxvhSNK)M^}GPp+?Y-nZ22VLMon`=b*@g=`m{}MUHHH5{QCDolGu$kGnwe~5ru~TPa=e8 zt_(#9&5N{1O7eO;T|MHB1*@*swqVP{muq@u5~JrJG?dkcK1jKfU)DMjVzxD2@OhhqmAtM zb2D-BCm|t%VQ953@au<=gzrhzsF3Qs%z^z92sNxL(mnB$s*M}FAG0D%0S$8p&HPT# zVn0)fJl8#g?S?m z2}f8HnM#Cb*K0+@{$dH+?iTe6_CZ`0*b23c#@BCz{-Yd9j0@pvq$MqDm88j5Z~2Vv zYg2ZxCjf>44PFw=7#EqB1QW$bKr;ThtDBU)@gBW8L>yr@nmva^jl?x)>1>H7yT-{6 zpr5b^4-(o9rPYsW_9c$-S@>^m`9cT01-~ca1wnOq{d|{*E@57(!*X} zK z_bPRqn^bZAxn>Sn{Ve%aYqo3K=JZ?S%bxnv{5m(^JV)3}1|H^BC`0|RZ{KAI0B9eu z12+rqMct-33+g_gN_ba9B=@qMj_eX(o*)ILM#|e^qAhnv;s}wUMs5Euivu%MxK;)= zvT?^p3ajU8dhP#%H1w0j&t0C|qFy%AA5PHj^1|)oZE4Ipz6D+~mg7?BvS4;H0xsW0 z|1ME0cz4t*US##^_d3Q9a#E%g09q7BidOJAq9F0Y)CdC%0okg)`sZKytMNPF^((H` zqyDp#S!4Y0lVG9KUb`R+0h}hbH!?D>QL;NH;b1F*T0ZamXJ{ zri8CABHGYbig+Azmgnan1?GAYpShCEPD_UyJ4#(M}lagKuMtf zM0D$j5JRcxKh&J0iU5NMLixE!D8F@`5C?-BIu!K&PhSK$2)K}}?LHbiv#R^dK@BY~ zj#+PyLn;bU+@&xLVz87Vv<)KMc8`C5O$d@A@(Ch3VIn%qjQNN|47MO^2aRe-+>wMTYC=Wi$c3n4?=L2jeQZaE+=$01KShGqF!XZeZG ze++OBn#+Ny0ZEzV=8QG{`LbI;LP37gs5XdNp}>4>SqD z^8S>7X8~T|N-1OTec}V4n4_=N$MTV+`5(n8&@c~ZQ$6g4Mieu|yxjMKXyS{h&DNM8 z$qr<4%t#ij^vSHbjr^)0)_xF^HDj_v2+sUtM5-d}ZM`$C8@4bo<2juN4k3_;-7-xG(?sF>5VKWTl2>9y?tiLXcyaPtJ#+o;`a$r&aXf0>{OU~-EhSvn^ zzfi?!x~xV?<(@)KVY)J?jjB>=dajZt81_kZRH=46C+F(Z5v&3Pl$Dm1jhQH)-6_Xg z&EBU)E@a3dDuTXU)otp-=CH>PXTkZf1nN{OsrNS*n6S3A4v`Deg$7m0T2y7}RV9dM zr;Qk>4jXhj;t!J=DqQ2=-&FOHR&`ZiOd8=2PEcp))-(aA(KNtk=x*SG`j( zz@w>M73-`lqXRe-Mgr-^##?|K+n18$YUFOdeq_YK534Wu#n1YHf3zZWZP)u_}w_u(m3B@$}QJ)I9wxd(e(7)^eT+tR?m!!tXbNrfhPw}e{Uf} zV}WmLp^#&twqc=xVaY6DDIa4YJ&#iVs3bzD^JWsC_t5mMzZHABl@+T)Hro4F_Y6$s zmNM^!l(2Uw-sKHH7h`k}P@PTIbgx8opg*Oo@wLtrak z+X?01ClTr-owh_Ve25*XPMO5d%6X-9VzBQHdML5;ux(EdclayPhDYOA(bZY6*ETKS z)N&}&CIIMYb^4<3JcvSA$Lib{?mTB(+`;NQMvZFdgufu~vJ&p{quJ%K&okKLrLy6A zEbo!{$qOb3xMk@2>GSrf^{qbW%K^g|azS-8#`5nf_+q46!lc?@RT?JJWvw@HL-LKTAz0&eX!f{jRf5^-(PmRX;KEfWg-RqZt8jsz1zRd;nbs%(uZN%mY`ChM{9xn}UFCU+!JO57S35 z*W7&P+$5v!fJ>r}x351^xqY;){`lwUBOr2^C_5P#Ucs1zz^E8C+tgj-!O6;i;|K-e zWslk9er!V;2S0k>k<_`ehGb@ZR&gJFCq^9?8^mWkNuk%QT@ePBjF4R&k&g^jehgLh z3o}%l8te{xq?yt=M%t4kjD3S|3JHHchf4rJ|y~yHoDtBx*{?h z5`c*M5ncZ{bFT`h?!%n!gU$l5+O)%NtYX@UCy@SJ@^;)faHt+WX3k_ay=h>ZIe1Elb-kK@JT0GqJ4>dyGL6$1B+q7#{+qkjwI=#L`(-U?5HGB!z8mfQp31JgZZS^+oVOBtgj6u7RyVn zCWw?x?%cIxPPC-;Bn2sol;_=sevkvEZ8iycFrTa&;IObid|yFcIK^Tsg)Xaxn_{gR zg;Ae5BRDxNd?5`Jm)XiN#s-bqiWz*!wZ2)8eYi7$M?(|3$lXA~QVA(^y-Tl>hP_6U zm{}>4R>L0R3jQ1A7|t+J%dd_wSr-yxbg_+%Y9CP)hE@ z7U!fAUImhjhB5xUgUAxI_}9up_9p&kw1ORn4SUb!{lUD1eK8kI;ZyR_^QZhrl7icg z$$PW{mIdXTapczu(=9|=_%v3epzVb7a$BB9@pN8KYBxR3i+9EOWt zMN6{JA_bt_f#zs_X3W!gAzDip6|;%pkpI}Nb|?ZrZtJ_+xCfWiHXCCFTFN|x_ z*4Q7V0r|>4KaTuJ75yC*1JJYBI4Vj?m6XW)9LZoMR%(1{an?rUZu@YEh}B! z>R%mORy|C~_?&om)-A!FC{8x6jyGfaWAWd%H<|Upuh^jP`s)`)C!Ob?kn8reE)IJx zROintN9va8>(5M$P-5y;XfAK_FUhMe|7>6W`_Opt?-KOt3ak+XG`oTnT%mKCqV`@v zpRZuwjIpS$@y)IY6W-vxH5RGTBBRoxD8Q%UmeTAgoIMLJY4BRLd zHPKML=6zP;|Ax=NNh!$5$i-=PCui(^YJpP@!PB?UbZSwrr26R8k7r0wu+z~^o{ST2Oo8bbE=S^B9QeqQ~wF>Oa_ z5QElda5iX(=iOW~=FTxr=@>BQaZ`jS4nqSewLL3FFZc)m3XXOVvzamf{3QnvmYjhF z(<##6z2Rzoy$7`RxKLtCEGFdsLMQ$ag~I60exXdQKt9i?Wk{{j)jcJr;qu#Zi_#HG zspgPa6h6BpdHqn<0M>!y-pcfQfCs3Hp2t_B&=&F?HwQX>T)HCcQ%o09`(`HAxmSP; zuO`O;xBHS_qa0tT@M5c5?A9fz-EwPt?J!S%$?-FwqjRPq)3$L!1njgJFM4^G#2@QbN5NwNgSmd+JWDIO(h>_pcv#-mc)hr)VRKSYS+(uc1S zdpX=VW2rUNx*hGr0XaF1t-ImL=B64jXwu>y`In;3JEPmouEH*NYCc)@$XtYJfVmp+ z2`PSl2x@#LD+?GNTLEXr^m3)9#_;74=bh$%wTYTY|AQtt z)l^zkQde6o4WgF})bJ_g4YLaQq z3KjO6$Ab5V_mVcf2Td{wNJy5f`2}YB)kKLoA_lOh>AI-?ioTABK+B!JM6Gen%FMSl zZ{=A^|(*DzK*-A&<^b0@29tkn($BjCE&&WX_)UL-jH?p zyP2#~&tCQHP0LaC*Zc+S!(Kl=)~G*x)#*P^+$gnj$s)xs}&ctW^iKg#yo!--eGAvagAK+cwKLA*4u+GTu zpo80Fd@-LsLW?3@MP(|`ClPdWLwYPvjP0S3rrGo+I!Cg1Kej~((!!Id?t1ZZWqCA1 z6&=Wx1)*+ASNDh-ej0_nr25Kq>q=Me&CAQKICcLNph z>QnESvoul4?kFA%)^nYvLHqX_bKjsOCfj_6hcJ{HXz;&W$ciZJAuRxSXONc}BF}<* zAi|4*34gMMm#mi_3tM4Qi#AJy`wZxlpe~i#L8k04nKt*SgeLc8$n% zy~acUM`#h|D+d{RZf$snmj(7m17$^JaJ2RxO95U`S6ro)tXirnN8k4-RW5GWj%K7_ zlVvrqqKWCui&2QzWjiK>EVwGy%#RBb~8#UkA03LXATJ&L}WxktXzZVE@O1d)w0 z{q)AyA&!?TpsHdVn3~od2nu?}&}3paacu6f+f{0wQ*6@Xf0BayTtyn2Ak z%&oqFgXd;eB)r+%G1n?N(}3S^Un({%A~q3RO`~jU`zy z_NGh#P-uh&BnhCBbOOwT3;gQ&dxj+YeslM59Ic|?Y#hT}RaSZ#o z9|e@TgNrUTB%n-n_IVQ>ctG!r5~U zzg@qjR+t`ua8TX>3%1KXR}%p6R0Z3`8eAiEDc$2&`wro> zeI7^Tb7yz)3m9wCZ}&{x)V74`=!ZnOA~noHM+^3n{Q++C8{xHQ!MX1;ga7^58w-#; zef=hKgn_xc`F#1rtF)4*1pd>d#{Dt(D|Ir(S@y>~ji4W+&Wp4%Kr9r4RzZ zlO4cMg|fq4Q9>_(a|AL5Ha>UA&cA+Ub}rWg+Vs$&!}b)kUa08r=*O| zA1UVC{#XNP+^Hc`=K-?N0c#{IjVVdqs9|={%S<>TpxQ5$+AHncf56(3(AjN=ITC}> z$T~H^{s+qM+{ke{!U9%cKal>e!(#Y2z?BUg;#iQ^m_*f>AR89ZdTY#5dFR?`|yR4<>?c zqQr{=GclsfTAAqxMBd1`WKYHDd}`e172ZfX`hJySh3M>oB|H@zr3y`($6Y(2el z*EsJry_!0`Ryw`jJiReAz1dB>x-`9gH~kGfvx7ggOE;5vFuf-`bD%r(-FoKGYi3Ps z=Fl3D@~82nTIRGF>nuPiR1>w`5zn<^Z!JI4Igtns-*<88Y}{*?wWEb6!Cb7B=_4#?hx;zoJrIad^b1dhdc z@p3_0urT9Tc}~$ZsloB%vwurv9?v*#$N|i-c3@^uvm-#C6bF1BA1fIYXs&EW9VJjt zY{ROFLV$&jl}|uFPfeiBaY9T7i@?3YH!qxdOBSIRg=ANO2A9*;&|Cl}F3`m-z=s!r z=3(O00IZ5|wkB=%vITm2EK&qAuN)R;dkv?R&W3rq;y5VFQ^V9zXVGtQ_>7dOWD-y4 z6@#}n69s@_6={J~`zy_?Rq^L$^q@R*$BoUO)R6vjX* z6Y~AA@j4PK4Io%q5a@Mc|4Agw0s~igB~4MkRtT2c9*vv?p}?e9wbn0@L@&$4J)6V% zyrYjizv6iw?T8g)5|>16fzf6l2hYMrGo>Nm zQM-n9EHTzkG^OM*bm}KWj#)26D{NjfvY9s;2ND*y zkXN1OOp}nz7G;;mu*^H;hH_Ihx)XyE8)N;lgtG8X`-op&n5FjW8ZFXtchU=H;+N+J z7z9g05Z+@=lDkp#Oc8G)QMAkfnVFgOCA6)7V+%}344)XMvo;&l@`lq)c5>I|ASF7* z1w1ztJ2;deYRUsG_%|E)p*!V0?)G<_a#0E+(LKt`lTsuDhFctZktQ_9=z+C zO&JHrQfks-T9M*x>gVP)ci=sb@bPmF9zPK37*(=;K9Y9^z8`Xu z9xcPd5{rk~Y`e+X^j0i2@@F1170Vr>*6DRDtlr?7SZYR3X~vV?DxY-=Qc8e-BV*K6 zRiSe2HxSk!I`iU03w zB#{awNlAk#!(nVapQHRCK?9f3euKe)?0^kwbq5zNT*W=x=;AP)$RHuxS z)hYT5X_hk8ZT4TnNo3JysKKs}kS^s>>E_}7%cDuy!fa$=dT-^cZ$Fr7F?S`p(}e2S zBSUAb%L2x$ zYO7CqGtZE^67=pS`+Tn6Fv7T*-`#U>xW-GwCMT_%Spa^-065G(UY;6^_+J8e(ifV= zGTIjc_{!hdhz||~A8;4puNvgf7M$(pV6xJDvY__fKO4P31KA1Jq35nMF&;^T`R2C%roe@ntOA>^0_a%U7c9e_I%>Q*97}x^KYCcCK+rsf=# zIc(G|7p6JfZZD@=d*mzv*aw8LQ_q3K`oOXH|3z?H|Bh#9r2P+q8+JTr|9s{c;QYIo zC6N3Q`C)3911necSn#azuGBbHbsX~1ZM0M=U3MH3bu#ZyY{61keD$DJrCV6IlFj}V ztQXEDgIuwvgp%Q|k$&A_tWxK!f{Cium3vM3KuqN(M@8hZPH~gP7l-$1x{vLK-YgC~ z69vushUt?UYQ_yq+YRgJ4V%I%majJ)4>z2sw_FNX97MM~g15X1x3rA6e0H}2zPEyA ztNanS!k=zM+HSw4-fl0cH*I*b7|q@`j{|npFV8&VgV>~AMO-Xhi_YRw))lKdBkLKM zr;^-pu!iXOflJpU{iF(yA#RD+HhaEXp3`iK`METP z9C{2@o`F#5Y4u>XO8d{nD)O0nt zsi^SL5{vgS13iLqdV#rS!3Fz)Jx-U4K*ysEi!bkPVQjJKz3=iEvKlo4KNA^hIHnOe zC1h&Z`Git2fUtNSXZbM0Mx$#y>ND%+^_2#}GKZWKi)3MdbwV0PIJOfy`q-X)o9exy zM*$7-UN$CpXRut6Fszr1s*ifoctwmIWe>9u#|_|LsjFW}A4|;8Gu$EWv3J2Sb^nT| zwc?ytoMHm?r$T_|A_%PX)AjVJqLQlmD-@pI!>2##B3B&I;mY{Kr? zRweWUt*6?tb&&`|V>Q^*=*mjmgd z83X49>xc3@yUxigW=Ti+H-KY=E9R(sZM1ZABjqN6s+3pu-+otrp&>V@Y!>`;_l+T5 zc$a&R0(`Ocjoz(#V2n->mf{CX(^m|1MgmH6CsrQ+bie<3w zm$QRdSBYPU%QSC*;t=B2BJ!xKru=oUt)e{L88y=$uc5iuIYV!|0tzu)9urRB%np8|EzSaHjANI=)l z|GoC$RP6I$0)wRI&Z)T5fE(${WQF!^GqA=|qu_y$giT92ZJ}H?8TY4rM)BFiB7fnb zSw+$=c&?Mw-b&BIUwgY3viobVV`;Ca^xpRJSA%ikPb3&jBT6KY%5Yeb+U9sM_0=ue znexvy!IHIr*d{{Y-W=K4tKiTAkD77xNUJ=(O*4X;k-F| zLY1$g<}*;(C6NYszo?mROXrN__GP1zn~O{&Ez^=WZ@b5uZDuW4luEmK&nodcVq@sq zA&&`(iWL=nBdfQ&i9(8M=nZugBNL~7K^)teaV9SlT1TeZ?u@@IoJ>KWJev8@H(>aH zk4r_=m89InTQ3bSnc`8b@-z0#|O5;f3#47fyFU zzd0#0A5lQBKYUFyN!*xqO*aFjb<=#W(Azi)QVdR1k{IDF3~3oj*!%rYb`|wq`QPlS z03H6{?5Y4IzGX~|uyQy?L&?@Q#c$}it*gv%WAeW#(;D*y($*bS!&wuHYc&66RTp+G z5a7dE6&y$*dg{Fg$+$||xYV+(WyG+|>4%qeReb@=5K_YayBikNcf0N=SBWJ`LHpQF zR_Nm6%-+l9`-lp?hL#OT)EfUM6}#5C^xy|{FWJ|iH;G<1cv|^o z3saW(u}@!4&P3^Ax0i794}bj&8;;pP$XH)ZgK8T5+c&@0*GdkO#EmM$P6pyY2Nuc~ zf@uQ(ip8nOc;Vcpfp6qnI1?VH>jLR4cp}NJ{z$_JmMv7wz4zw->dzNcL11(&;2cRy zG{r&qBU|;Nk;f{eH;>5X^mXvN3N}Sjf-+4hw@N^q!!_}ua#{DdbPuB_;&)9N67y?K zpvix`^KaVItc$qW;11>F)fu7@4T&i8!3uhgr{oc3@xcG2SkaXrCn-mjG68iY^HTt& zh53UJY|$TfVEVcrUD$IvBqlUY3i_uGZ}e3DbxLG+dW7gcRE>FkNUL=%mJjjet;Fcp zhfp__VLHJvtLc`U4yo}!IA7_)9Uoc5nZMvJD{b-Ar^AI3Z4pey30t?gg{I;QGK=YwKdFomO(otH ze8O5K;2PH{nd-0snXydq(mJ2YZfUCmx7O%73eDtCw>_2V6EyHG(8}9xtG;jIE#7 zB-*8wGnhFD6N<(?&70(J;BIVA!9O87yRPq2A_k4XkU%h_Yt=CIoAOLKImc@wcAmbC z^|o6I4}lMxd)AiCBw*Jv=GvpZfL0bJX8h;w)P7tP1<|Krw5xZr;4@T0!W(r{1|wn|2s~mfquWNm zrG#UXeK#DReN7uq_I=?P;kV$8`aAZ28Pk+&^>bD>_%(w@%}VY&-7`vYAaHuWpJvtq zA2k<={K$I?NsEyE7~%~6uSg9$Q~|P#7Hv9vpLK%S+?mZmMc?$R7=y-jxIp0d51MUw zy?a+~_MqhUB%SkioCG*xNJN|ClaM(P)@74zbfUF+BM-JVSr=Kg`EZcE{!+jcqGoFR zt8PRVpy?VwC1dJkUx+_#e-J?(m_*F*AoPbv@Z9ToQlMxQAlIYZ0NzeUjjwC~p4bRb z$)p;^z>U37IIzMCm+jt7_Ii}*3boitKdN6tf%qJQ*NCC#eJQ}`9h(@F*o!fi|vEKNud)-9lHykG?Ku7Z#yU3|= zZ7r2{WsM~6>`lHKbniG=?Ihy1g4Bq|+Yr>Iu-Dlbe^_KfuA5k!QBC&yl7qH82QQLA ztIB>TVdJbwa+}njE8NtUUME+~dOUI0V^T!=w?FU~X(S38xA(^Gg&pO6C0Q1Ed|uzJ z+*ZpEd^dW`@T78t=xF%2t`=unFdGjiIn%QTTv6$ZUx&EcNJq5xV-S5+f~Rb;N(RiV zmxDyk0_uW))oLv1!BU%o`qes5ewb}1XnhmL5SYe(_omXfM{RLp(-WWU`dos(4V^_} zh$IX8Q|>80v26V0jHZa$5PYLusYcBN0iWJ|M)85X0ivQ5F$FErJ0-gRVBE#;%{{EK zGX;v|9J$G8yJOtScV=%=LD6JkRyQDKrI|2i}nl6ka3dWhBu*x zgE?IpZ@q_cA;ZziceUBZKthQpvn#*Nh7Hg#71ucUG%8Ln<-vEYgb)spl3m||*}HNB zmQ6DH!?^sik+c^GCB~63-Vrzg##vh|E_DUxCDBmQ&T?gmK7dD>l80@Kt-kt<7HTzms`Hpj1wJ7SK6ow$ymq*JLks$!|L?KWB+_@3vH&Xd6RHCdyz4;)mS z#yb0T!W(s(7s#FsCkjjJ3jU_$MI;^yY9aono#46D)yOsW9VUj7+SkUiT-6r59`bLTd0uW%(&6QRL-1rh!d6jcmqH?bQO9{bK=p2UPg)$7g@? z#YKio={_?fW?oAru}&HFD^=VGFymp4nStrA{`VGx);c^R%B2`gWqm5NVUDQGj6b(v;>@1>Zwwl3lLLM zM7Rr7x)a?AUv<=8`mF83vzSNuFRfNkyX*uGoT8&ni)^*kCXP(+q@q#}EsM=45F!UcNO6O;4j4ut%l*0i)qDyaP_D(;%pnz;-=jP*q|kma-_I%7pVgIfg=@V?q)y-E@^BlmYm^1E@EF_Cnrx#!N;(9guU zj40gUw1#|P8J$V^bTwDB38#k%aY|OCxvTMFwdHQ6l74*5N0Z0&X0fBUWvpFwdFh;> zbn#DJ4Kc3hAk#?Wxx~5}>3q5ZX$`Frfs`$}#I39Lb4}{s8uLAYG%CS#`r7nIYw3Ko z8KQ!jDcNZ|21Sq6gYHw3fq3g)=f=Jcdy6C>|}#&Z!QHr+^D^_jbK+4fOxUf3Q+pjEO2kFP>Q@3@s!HQk-GbUa`ldg7VX77GcLr&)K5tn)9=a7AxnLYdsbLzs0=} zE4MA{{NnsbC6O%khO(jj;>GBqb~3uH#S*iIQqa;cqM)3!paQ9N8Y<+gwlo;Q*-Nl3 z74ryXq5s0GLfIQED^@=bkE3fx;{mOYv_FtB6w!!Wy7PjWX-u}YX>E%!J zg)de;^j{7pLHGK3i=xGf22_d$jaCi~iI?83;2omy*{j2wjU(&HtIb7Y{VQumqO^NO z>uW2z=d6=-u*8_3gev0+XlT{!j5m_C4+Qdq}mPf`ed% zs7tfGzVXt#tQ=njOFJoj=SxTuCF3}bM8~-f_;N(fw@>xYYK^F z^N150LtP)B9Mk$~He<7>@>4*Me8T5#}k~^cYMlsHCD;) z*u^VJFmdsMiq-+w`Zs#(8OqgR&)A|-fb72IuZ$=*o%m=zE|7XlZj>pvb-eKP&p*_n zF||Xv<(K(7yL4H(uVRGPRK7V#wv0(4 z@XwW#*o++cgWbu*Ha?p$=?(^Wr-Z+n%PKrK)*MQl1 z>jBlB&+pH~Vs+{c4Cyy}T@Qg_s@;Y6x^m7Ix2psQL#BHfZ1F|QI&rVA@!NQ}*Dlx=g$XVl!+Sk{TYB8=F? zH*1K~JHWf~E3b0~i<>O(u@<)+LVO$uWk3Y?+{>4m=1!*um3_sq;^M>m>GhyMc7S<+ zOtkQud%1&;ryq*DWu^;ki(T-C1(=3YNyc+z=?J=(hn#kJcRiMvQEuwWZaST-B#O>} zMrjDN5eG(hZb#dj#ia^ike-*3Eiw&|2>dwpU&M%cWNtZ8pE??MrreE7#__n)fB1o-YzW9@T$=7a? z#UTA!H*22c)gDO3o` zwKjJUeC<%{t^(9m6Wl6@>s;bhAJA<#9p=YN2BqsGS#Ju?6KAfxc zf*QaR+?#R~8Nz=!u>SC5eGwA=6T_nvMp)$=Sf1;Tl*LtD41UoV@=f+@zTfEcB6ak~ zOCPJgn1#4LkC*f!vsXfq!2&$hAz;{tVSSKBGniJ@lWylSz~?dCvp9H|Kjg3zyH5Fb zZ|Uu`#RXlFBD49C9+#0vO``=%Q;4WB1Ca?A=3KD?#wzTfj`hmLdCg$MC$HB}yOEP* z*QP!;O;r>O^z>;tM%DKXa_jNLYF=w>zX&BeJmmUROhw!`9~H(826aPF0+!933eZwT{tVDyuPIeBXy;>GpZcUHS<_6Ke%>u%F<&fOQ# z%@uRLnIva~QwV{InD=dqzp>7SX$4~4t{0Uhom&|z20kZr5BH`rM&C*RUXR~FBIZI59SRz`iP3( z&sM_#a^H!gb8Zv{cr15%f1U3m6rK#~Z+1zQESZWszU>Plfon~oUSBz*`26t6w2(Um zWC9$P`(*)JtGT{kb(`16*V7(KcWEkqd6U9%J4GZ&VsrHU9TnZiAYaKlrOMIn|2UJZ zg`_6RBz|%=&g#UeJbR%76KOsb*5x#wG#+c}{LGAg*0ZN0@Vt-bP?r-A<$loEA*c7C z`3<|Uw#29iEsbQfR4csyk19)}RP@vOy&f>ahf-!EP0x6QH~)c82UXsK_wWZ<0|@}b zw)`e-G*s?CSw##WSi2DmNYty%=q1|bRrkMqZ&LG4_PS3vyXZ$AXNK6o(Xa7Q%nO<| zkW;H@16mT;TA``|511e<(w?;&`3QzDzY^AqxhO@$q_eK8AxFz#DLjbmSx}D;3~5K_ zELHBsdPA2h1!~BZT6>cRePwbNcHuId8__HYIkfTutUZd|Y3Y)1$^afrcbnP9!sjD8~gSfvOIH@vdp9<*uQxvBVz)=))J_-OK%_1y1K5mNdz zV_osNwyU&RvLvU;S%rMLDXn$;hJ|Al>{@hMpP6Wn-{#z#`^YST1x%v8fUEP_F~d^Z z)7|#=2SFdr2^;$S=Q1na@jHiOV*CytRv%9p*m$&;I~lWaA+8$Cw(o!O&?Z1YB=wzN zUb+J>NzyL7x*#Wt<+NShU+gaVRBgQ%uV}OM;>fzE@RwqfniU~n?yN(^#BE&Z{TG~< z%srktK^590X!#ikQ4h?tTK2~A))je9*KbBwvsfvSS>Qhq*?X?=>)hgQ(X*rt_Q*(% zm#2e!Z20hc2@6;2DNl-!qv>GkvtNrLO6|-;q-azrKmu1z_i0#rw0rjb`D6QNIqG+J zqn_nHzKbWyRfu1p^m@b%0GBb!Q38gXDK@M{bP<53B?j2e*Wcjdry0W-_@4f--3deX z{3PVRf9O|8`NQ_)NqL|_KwT@_~czseKp6A@Ll zAMi%`H@ba%I$86EU!#@^W zcnrTX?T##lC6thiXOYbm>F@xz(`)r~Wqi3N8uX>Cz<1;vObS0rZ5vJTXhh8uPg6anuRDyH`uEWlh%k2!*!sI?v3wAU78c4{@~ajpm3z3 z;YhUyPoQ?w%XIt^K+x>E9xLf>MN40tJP%aBxXRtt@KhUlcd(jEM%PuF;bqG$j%5yw>0+6Wmi8a#%W2R09RF|ekkd9v0= zmn?u#Wj~IhD8d@j<>9HDxbVKLqouXHAH^KSJ6{Q6BVFw0OlQ7(z;%yyIWHW5AAI=j z(V?;?wX*a=!MsT(pw6t%-Mlj)?KH#x6?*rl)y%mn%wXf`n+i(X&84}XkJ8Vf3-|4* z4?b)YUquXgaceRoAs{*0N|u)W*d{{a7j*3g~F} z7xnaK=_I@8i0ii_y6>z6oNz(AN1dOkmlQfubTT%zcRwRoHzb%f`W*KXv;E9&ZeXbr zs->RYCl*PFO5kXvWn-1^|7Ql_WZ6AtpQBaS-vF0$)cqH2(NA+5`-Syfj6~M@ELq`` z{6tg(pF4^2zjR(K6>k@Y${`D|XVUkR9#2oc=Jb}Ta*UWC!Mha&D<8K_d@!aQLL-E> zo>4DQS3E`FEG8%?b{2-4!IN%YAxlHIbYYYQm}IAhaCTUEzr@~pB5JKAqFqi?;b!Ny z-VD*xSFAwO)x=v!GR{B};8C#lSbxWuQ(l4mWMpank3! z80TCR$tbxt({RVy4+INH`7wOi;F>eE{evXe=g=MCRM_a9>pkl+s^gBS@ z6V^-RAPTYTbLX#q#g&-=y!G ziuY>g_8;5drVpPNw(p(vKQBJG zZ$iGxQko9es!w6U|@ls4?iy{^tgBVBPbFS zG+y#ssV{EF?N;#eK*>qh#N}QPM+jk^y7ctmFm$&&AY?W1<>`v)OC{W=knay?j%PYw zx=uek|8dXiV)^9nN&n-Yd%8FOByl`Gn|f8aU0r&)`;c(4e)V#q^zZSjzvsK9k1v0g z5-v{&1dteDPfdU}0hIdyjTi`t1u-@Kfi;0R#lXB+uwWDT+CG^7Ge{f@k#BP=AneW;Nbky#T|8%t!jPlOR8cE%F3r4f7V6MKu1_+v>HQ?B|$B;jJPXerj>7|y>URRB2K67O{d*Vr++|aB#zWi`B#Rp8~ z;>=av%(czTjR(xl>C7Z3mhNVjz5|wian>Pk){$n`cL%If;%sx?Y>Ulo6W%PV;_RE= z?BAQ&cMsSP#5s<=InJ6nE}I$G4>*W?P^2v=%0m>51SismlgS4KF=pDtuyP|9`45?d zeV9-Bp_XM=my^m2Bb?A2ac~5K1yFaH1;)jU_ogQe9_hDW?gXVzO0cJHo)107XY5maI$;9Ej9%>gIvTt=&D)*cNXdH9A_E-I$|gXk?R4(0^vvoGmQZ{BNbDVCvC>{e#j^sGJ2il^cOPO zRNbmgjM7YxcFydcxJ7!KSZAB=t1Ki4L@Z+r=gTr+!W-P9v1FUI7-_TYqtlD_w>VRw zRmM=cD3e5h%rK^AHr~cI;#RrsRzHpms5)v&}vHRfw`U<}pN;MrcxVY0h~y-T<^`Exm%f7RsY@9aM0a;CMmqnM>L0dKS=`@ZAckX`ZqEf<9t@`94aMy zvd%D?$q|<0Y)9|nd?hcZzjvT=FUVXqo!&J%hdy{7IaE$J+wbxiFQrfK{0^fWT4vdR zVlXv#tCnH-;-_k|Mt`WnxPvs~ca(Q)md})N{ThJWlXNGSra$0(VA5e2fV%h49F?+e zM4{n-Gw0Gob)j;MaLwuSpxwxT^v&?>#>W`NIg^Sg5oLm4veIvdf- ztlxcACS1J^G*kd}D!$omo^D3Iv;FiuAdaj76?@r$pmugNKsUQiYrx6zhXF@qsZAh` z$o>)z3B*~^JnEDV;yrzQJ*ILZkdX!0Ew2dX%m2by6&w}?uj6S2@J)_9%EGDj5DfI=UKZwF| z3h9#@@4XOTZb`pv9^{+L;gEZ4aow)bIHX%Hu6~`sPKNSknh(Mp2w^jjsd6#*PLnzO zA+`r{ALL@q80d3PV+*^G6O8oK-3;U)O3&kzOu48ZT@U3m(|YreLn;uMig@Jl^>{{m zP-g;nuDhdmoYg|+u}VU1PRLLma*XkQZBB~gK~^;*I|9w2ATKM8N&4`Gku@mBs#)H~ zD7(`?Y3ww$I4?9^KA$iZit%Q5Kf6l_5Idj>9rA#qTqLd4!|X3E)Lf$1NyJ}e*%z@+)Q%o zhtyhW>P+=-eqpnkZ&rjPi&+cO0A%gyO1vyig1~9nZ|VvXb+FqC9pooP|l34-*T++zcBW z&^yNT*rng~I*YcN>Mp;yUKL#KCEmk73yWutfcJ{usnR=0J`r0m??fe2M zy~4o2B$j{C%MjA{eHjT-qOa;Ffvv+`(|fa7p2Y^g_Lv$<478b2vh$su8EAY{A~InFfy?y6vMW)dlAYOroQer9r;q!$^k0>#sDc=oI9 zS~Jw)um`julI>8JewEV02}tOqzuefZepnA;n$2&LW_gmvj)U%!opE&vL^mnfN3YU1 zb+9hj3Ggj)(xQ7Ns@fRwczbcXNt=UN^1k3p&LdFcsME zb?(0bDVV;Q{!aL7G{@*VjH?|P9m)~MrkuPwzbiLua=C1DIi;2q0~Noo$aIAn8{kFKM9mF7TbuO|sbouO&DsKt$zTI^7i%q*Q*7_I7 zpJ-ZKAEQ4e%>LlUZ56f!PQ=>6hx;YS=6=ZRI{O~L|0Dd?#e_&t5a7eK~xnb}Kb~P@L9{gVr=UscRJeBEnws6zuWaCG`R1Pg%unt|hd*u} z$#aZno;=X(c;@EsxyQeGSvHG{Oo#}Z2e$~#2hPnIL_h?Q zP#9EqV^mYD{B<-1i^43gGskV$`!0E9Sy=mGS}KMm1@IH<{?I5mO%`7Sy61*Y);Abm z`lq*PWcL#ETqUpkSdxtRxN^~QJ{5MAk9u-tPo}FVX(U3)r0ZsCD5{N#q!P*b%bqY>lh9AOfFFaKOz5U9~t98)cHcr+yr9YH2KjEUrC%pg3z;JheXkrFvlc`_gaq0G9Tj{Qxx`K z6;VomVNPkPRN7AI8tm~-89JgPPMHQbNqG}*YG_{_gYL#V=U97=IOpCCBX!B6k>PR4 zC%BZvyA-%T8*wT0d?{D~JXPxFjJ}4CT;qE-n~#RfWGSy_VX1Un%VXFRTq_bp-TAoc zTrJm&gSMy9^lQ*7A5oI$s9SYO7@2!b1=aR|9E(Q6SK!fM?HYG!(`eb#C+~IcH~s?( zc-CVcm%v9xtQ;@BeHB_4gD@(`D=lh)sbdR=eaK~}u<0leXO{^O)v`D0(fKiq+_USm zP!Rq`12bkD^mZj2#r1gwi|2e-XHCTb8Wc~BAPVt~>~KmU$Kt`%df0wq>U~fL*-Okg z0EMZ32mMi6-!Fc@CyyJX<3H8m{43#y9p*~a^BLjG&Bu0-o=+Ok2x1+FfJ?@2nPruA z#tG<~J6x845c{pJ-4Ru4eZMIUj!-V}@1{qp@?~ujun5W>Ur4OXa|Im4RM?V|hU0pa z--1J`e!wE%*F(t&C#e@Gp=q(Se!*X!E$=A6C@@ti$HqmwstbYgs&7xd_nmrSKR-i$ zD=?E{h;qfpKq{mw^B(tD(d}`H5at%$rvwDmqi+?d20huJq86Qq>e=uzAQcAr4&<4S zVL(FM-y-EY6&d}$za&tF?7yy#1B7jc|Gs1A6KsHTx<%b9CQ$v3*dELS9 zK#Bk|$?-M^4N#LY?8aoN*F@OG-Ydexo$u8Pihq88N`3sd^9qm8c?KmS10aBiBa{OQ z0zd!&g90Sb|99RH2VQ_-0OIO1=m8LnRjaA2KN3bOWINncJ`fA1`QN>q|HrTVe_!Vr z>Hjy@`G3HE{{Ly)NC7y20aJni7y%&p_fZZ95dZTibL$joHCGHJGP1F+3^zY{n~J)f zz^dI+Ig%-0QfE8TQZ<$<=14!T)%x^Zp`5RA)<|phM5%Hl8QT@C`wCa^A6WO-scOR~ zI>kEeb#poTt?sL%$8{f`qX!b$bOZQ4w7P=C1dPxaoOzjbts!*ebxTBAIJE&1zPSQTRO7uQ${tYQZKrj_J%3u_GEJQ4 zK(8JRrRa*uXvdIb1p8hdku5HQ2*&zEzq{+;3!0Y7P|HwWbqfq)T$_pg_4NncZGamu zfZ5chdPl{-@8*4|tR$4&9XlTcp z2FB$_DqRKxifi92sS+C^@_6?B=mFLbwwXytQV%c;y$BfNASYR6lj08rq7wMVH(R5X zg&Ssa<9G_>@b(`AGxgYrDAK?JW5W=A1Xz1r|K?XI?S&Y&uy5(K?L`AA0-c589?)$apHkDe-d;;r+`a>!1rG(%DVK_DuT@j z<@)vtvz%$<>Em!b=Mr2kAvlT>sIAQU+mTNFn*yOsT>K|ySW1?0jZ_ZI+vEBqlv2^H z3WD5!M@ihy^tEdvCwQ{Rcd6U3B)EedV7eoRT2hr`r2QE6w!_NM8Gg86 zFWv8D(3ujaA>=}&&a3Wk-MCLbq_0JyP_*#=(bTD6XIc*+^%dT`$84!1J-`FgwS#u0 zjrK)5l%?7Qa*E-lKc1g}f?Xa%=kTvD z@2V&yM|qA;3v@8BeaC=BNl#OK&cPsk!t@^$$$r-8eh%b!fzRApGYsu-jQ#JMB#2cJueZWlG$raR1T|V zE&{nVb({!Ag&IzbK#u<^YSrL$;NT0Cyz*Z6iGSXyy2Wj^F7;AR!li{^pVSZi*1fhL z&hGAt0FWw(TM)`@KQGqFNI`PI$UcEHFWO*)&qF?||>OVQRvE(G^iDgC0;U40}`+ zPMw7A5Rd~_u@cd8^m%Zw)EfQQ zIARV3GaRrG{$O!UEiS6Mzk|2(3IoS}I}Dc$qs0A-28Z{p89nzP!d`VlFv+eIswgSf z80m~=;@v(9XUMlN9DOuesfO!JUx$fv>a^mo(G2JY3_fAUROu-DM4)08hE%jZ3^_3S z3QVpKZyV+`bUOcMA zznwM@<>&Vv)8J!2yzZqlR3rhaRgR#Y$|%SZpwq8aEvn62QrV~!ORm+sr!!Nqbo5m2 zU9G{x(V3F-qiSW!I>T6<*$U?48mFmY>jimS=b*Ew9;LQK`s<=~?J}feVAEag086&?0s&YMh>FM;G7&B}yJ2n%Y22%ZfJ1v5E5*4lF+M+ML>4T+X zWOIwxMYWFqr&d51E@%3l_bDyGk0-q7HS5=566zC~ig}54eW0SwYHnz8Ys-&QF=VF; zJXw9KJ=!30Tkq5~SkS_|&TS%LzHOW|eR`s_%{#wE;!F!ni?Zv(p0b=z)7w!NQ&@wf#P;g}r)DlcII0{Tk);gjDF z+$FV?cyn;^1|ky0l6p0ce!pVmlc?e>Y?^62I9$(c8c7u z$6P9VZ5Xa^?u8dCDz#s4JlUoRNBETyzmp)`d~Fy@%-j9#edo)^4paBN)GDXK47A*@ z(YgM-Sc7Sj;%VRS$0+_;ho^Q8@*7<3z@N{I6J2j)-+QNtv^S=N3)xeO?nj8>RtpJ{ zvC3^r2RSSr4K~};^tUB}X?RrQa{ITshhdWMA3$#g9(Rt#vgb?elmoE9p#ZAh;yu3~ zQpwKAe%c27k!VyRNqDOAq0Z4WhHB~lIWgpPRHnDxs3eC-abXVbTz zzGbzV8Z`$q8LBi4)lf7W?CVLs*#5!6Rg@E+_O){Nl>38r>F*1_p_uYdQ4R3%P;UT7d&S=*L4}07 zdIG&xMu!vQe|~eke9T$%woBJy>+Q4Ri7?+^dtX+}nj9yxf@I*kkzqn#Ic&d%!C**| zHF8@+fOt}E`kpBC3hQhNCyff_GJzcmIqH5t29Pc5ABF1pQCR{3q(#Zs_yb)q>m)gqwzFzaeY z!ul3X-^37UUW52HsJ{4{X89>%P3mzi7;B})A7mD75g3E6@+=c$;9mFqN$+L71wYQQ zLdV4fe6zjGL6BQ8k*Q$OezCY=hu}auf5y0&z__@)xP-2_q=mQ?!f9L@V|)f9)9IF3 zW?;N!I^09qLC-Pfr&{3k1=_mX{&{)cq$>YFbCX;6FDV5{-vdfLzHMwS3*yoC^tz=?Lfj%Aa*;-uP!ff zK2Wc@#@_5Wsg}WN#l`B~Lh^?=PuP{_Bqp&j(&XY-sM%?PRfmGTdg}K$PgOM4pJB=i zG*wCf|AchH7&N#=E;)}kbucd#aZhv4GF}Rq%CQjd4@=MGP5I=SdODmo_Y@ApQ8#j@ z@K;%#2BfXYc;#!QpSPy7j<`QLwYPbb!9Qgtv6vyFZ7NL&%2YTr`PP+r^DI-DDN9v8 zOU)`vBPdHNKTD@OOUc_uPtaSSi5fa&S@hN3NIv`46mat-3myv@xt%5-Z*o_j&f;x0 z%{}OJQ`nf1zhPs zuoRW|Va}A*m`~W$*5l#Bpw5R;-oOzSs4An{!9oOD7;WAL>JR0)e7Ds#r0RrIR^Wlp zbUBVj;`P%EC9*&}A}_t=nxhu{XS;BpkL({rp_v~=!5Zi{APT`2)OHv2a{=3vG81o# z-n$hJzP@&RECewM1FHx%;7BC_2>3uyC4=ui0bCquS)lF@?#=)|Ps`!GCx!GChwcZX zW=ui9B-IBnJelf0nQmB_`~#AQgqKK`mFx(@nGB)rTOlw7K9HmsQDkseT(Q~U6*r58 zf*VeK8&0wvyY<~7@U_yen`l$5>>7hy!L=Ri}R`Sk3G?6wu8;-;y(%8^$I!R59b4?H44RY(dL)P}NSsbw;&gO{p_gn&C#E`~2lbgw+#np8B`@?FoVBx{zE?=Hb&_hLBX8xA*4t5?SA!3{gy1^E zQIgYj_;js772paCo?>3FRmI8D^P;BA(FRQynsP`XMcODE- z5ChC#ld^X;i4uZauW^D$zyK50uRE?)`95806M5{QCplA_RwCjpiX<0L(O7nqKflem zmwg*fX^94kR9Q6@+il4ab0|kB9yr5Q+AO!bjM0?7z77`a_!8LR8H~6R4{ z1$RDbhfH88Ck>geM|a}JJR0RaWmvjmt{$4gu7uvMqzl?V`(0@)-5HAASvK7{!QFX< z-37hfMa$hKy4}gT9;J#sl{P(3gL`V~yUUl|YnOYTUGy{+_SBELH{0}f1ow6+_D03@ zzF6-4(?p$&B2Gq;Rti&BU66-NUirtUQ|oO97CfW`GyE(&M4Ou^FKADe?5`pXM$3Ku za+%Wv-E<{R7-R?~BZ)Y{0~C1h_89PKt#^N{`v?d5Gf6%Nbf2#QPj#u;4SOz{sL#+u zX}$Jqus;5d^yqrTjeNWS@}=PKSZ^5eg=P9;VycU!+06suQ89p8iwf!u{vr$LVg1@T zyYX=1gTmMOpvW{_0{h-h+xYQdG11-P@p?O5Gtn(jRmSZHM^TbNQa4QzzHI?uj%B|IR;O0Ee& z3??a3>q#5%q!eY)YCQD`7AgV<`0!x9{jO6SSDqo5uZ-##2W$h~Hdp`p2*Cq?@X0IR zl6aU#6pd15p3>w{pm<_OB0oqmc&BGjxC)wd@*caIl^~# zOUkI3Q+^b2(E3~?k(m_`TO;j7hel3YUg;}JrGWH$(!#Z&QIYQV%4Fy8>@=2v3}FhX z{g>1Dq5T4IXBzcu+`B*fRMHgPr&s_7km;Uw)#8X#dxtW{hHnXDNo<=9>e8D<#ttdQ zm;^JfcaL6Y>gRMb@3x)zoH#+UGU08BuX-^u=ZZaI?R(s1yUtkgGh{@-Ex!EEL{Z2{ z!R8<&n|c$?2f>q#Pg3mcQ%vGb1>qF6hCrGkHE9#|80*Zj4dpRG`PEd?o76(d8lYPi z`>J4{I8~AQ+pn%Qk#3JjSVk`uCot28BNn6V-ea9YP$n6(RS;43bCdKpjps0p*c0uH zW+$avnkRP@*}e{(`e`}$_iFHwH1{}h%JMQ%{b_o!)(EX$v{PS>S*>$R(&YN-2dI@V z3oBrNrGCRy`erQ_Tn6LN2hx5}XrN}sl1SB>h%M7VLD-q}F{S$}i*JGF%I~O;(LkEb zZ2GcHEXBaXH1cyX$j{zk$e$11`g6ka6PVRe0jo(&-#qcV5BHvq_IZ3@Y8y^7t}s@>J9jkeDchnGHTwk;f#x!R2%BS&iCiROVBiG7mDs_G<0nroXIj*I6i+F z^1=Kv;*;eET91Bv{ZYioN}67B=gx~2$`8|QSekL$Ky7Y&# zTk!DNDgH&xjqXzbQVVSCvM!HauD2CW_1dir+pR)Y6Hl}TPxL0)tEMKOPT>;LR(EfS zldd}1Et4ltdW(LoUn%KJSS7nQA~f<@zG&3%=1L&p<1N-zo{~WWaG?%Ltn~Y3*9qH( z>R#{ZB=`@SqBMD9s^N`T88mBu<6`ww>i=Tzy@R6KwzcooO#@Anx(Q0oIY-ITAW?}5 zh!P}=5+o{uV3TtO$=D!Kkeq`yIp-WDgNO)74)XOr=iGgEeO0^e`Ks<$b>FJ@@1_`I z&Nb&+bB#5A&vTDR9lrhEdp+Kch}i7gC3?yB)`HLHB{De#KL5rIl4&#bD1V;}Gz-W^R>bUgq}xE3&EZozKK0{;Gj@G=3s4DX<^wF0g0Hdrfs| zBz5Z5rp3$hz;4{CXr z7~j^je?1VmJ`iI3C2}qQON`VX>y1(wOP06=JftM^Y`OXU0~Peq2*fIRh7R`pyK9jExBbdtSwtJ5roR!5vgE_R-JUHUP=u> z#_M;&S<3<@1wx4C(Tm~H^S301>F5o@hC?96$eb+;>MC0CAB!Dn4}Y3|_P$z_+Z)UE z^=9u(y}C$B;Pp>)Lmc)Tqjj=XC>`n-f;}Tgle< zT-3l0*Pg^0=|(4xi_PBGzp0j{KLQY}AxHAush)U## zK1Zk1n#mSxOzj+)B^yXn-@hmEH9%BLYSY*O0E2}JG$|AB!An;Tb3}C%@Y$<$?vN^r zUF#~}w64-sWegO%ug;lUb^o4Vhu8y6iS?=n+VZ&Kdb+C3P~ljx&4l_|{)=9e#-&QzJ)DIIfBz@#i zYjOy96X5{C?%iqc22JG8#i#wisMS=vxN-{sQX=*Az1|Qgfdzk;I}2^O%fy9x)cZQo z$e(m^z$gj8pcriXhz^C~K3ekWvi_2gp%DDI zOyt3^=`);{3olw(wrE*gR;F2R&ND+JFQL8Y5OA2j)U#YUMS#NQaco;52e$HYZR3Vp zegouFvLE!_?lV#SY9zROodi@@ScLwNWYg&I{3hN~uro~H=Af(G-B%{=yQo-^XrJK? zT$g!{roCu4E-;GxBXhf0h;<%K4o?*U2IALh%NYtHN&dhDSu6Aha3(toP&m`CAtinq z>YNU3Pl6YCB@3vTQWb2%s1Lg3o}=Hnj((E>WavU(f&AzrC>SIaINUU>!R*5vXG=(^B_W7WYQfYVX>NLSk@q@IeTGS%>?+o$2*=n(0jSSmUh0=ww3H7+Aivdi69$f{t^|ha!^H~&uE?xsfKh^~xKI1Y6-Y=}=1nB`%ccd82fWXs+gVXGg4Z`}_3693?h&^?NsRtTF-gd-W+=;J89z=abfm0-?`Nr>fs;nPL+8TH^r-uzI?MM6R0}orj9Y#^wyRCm068zD z%6IqP$8r9g844+Y3u=zww*T-%luNBD-vr@6s}$r>^r$swA@;@3tqJ;>_PVBlnV*3& z66+jLTdGlqIE)eN=RvjVQergA%^V^xogUr;wU5_$Y6Ek45ADDNW$}SdQctuXC-~%| zJAugg`Lc}=rg0N^_yeWb&~K-JlQ%rfh;6O;_mT3AK`J`&87C9@d zNsZza(>!r$nq*aU&w25Otzz&-)@Rp3uj}z$kJ39>j^Ism(a1JL(tG>i6FBFoRFi^&QT*HyDnXUG49b^|3l!z&p$FklZs%%2l zabLDgf+Fp4YYGU%KGIk7rmPfiIykgKS*S-j)-?ua3IBoy*s6e%U6O&5Ws-#aUK;vu zhx11pb=p9}aHf@=x0QpiddN(G4KfJK}^6xg>nWq(QE zGdqPu*IK9klnf~vkdB{h_q&@qwW{4jUI`t}R#0KLm{)GR?^sc`Zk<7vYCY3=Z|1w~ znFCGCWUl@8#2L}}1BFn$o?AZML^UyLJ{qKJ$uS?`-H)1-g1P~1I3BEsLJXkxk5Pva zDrb7YYsxAD#tG6RqWp9)PY(+|eM`0XL)x_f-a;X7>ilzz@1k17^YmzyL{!M%;G_5a zjAHO{oIAq1dp{+R=JP=dt)TYLQ0~(Kia@wsD@UFPw44s*>fZ;7>D@f-5prvh^;LY$ ze7E#0F2^t|uZ$ctoPr}1e6v0;`!Y2Ye&>Boo&j4(=~{1SOuzU%2gV9kSQw<3Of19| z>?Vn)xFz5}t!`#~OGr1YpRcD^GOYcuFZdu2UdE{-MVtCjGeWInc(~`mT91coOk+U* z^~5;sX`#@oJ1FlZHRC4VRgFPolrhI)!5;mWa?Z0hkeg?UnJ+m`g2gp&$Z+ zoYSh1pNE8jhO{JvbO%IfC&7lCjVUPz6>YkbZ2WJqNbu=andMzS8wi!E0 z<}vy%2C657t2qt4^1!gJ7la~lpP8YEBmj7bgYL785{Tht)>-jEup% z7ZEZ_mm{k%p(Z!7tpk-C(2I?!%slY3M&5cNq^-k^(p0Me8BW^dhRpZ(_(4bT28Ozb zdO=8r^=Gml6nVm^c$Q|;TUdiCWB?cbM$MQ&Ye{@7ab8^B&IdVIJ^Vl9@EdpmPAa%v zSw_zN%#iLW?;lgn$~7(-WJ2A+Wa!T9ErS)|%oXGXs7y49Ff_u2y$?K{)1sDQ6TX8j zoP#AnuhsmT1H}~LYkR1eG%X*#oNn)|p6~0J@1K|-Sf3v}njgY7dGL#=GZ(u23|F5j zM8pIldRZG4 zDe#>l=risUt=Dh(RLxV#EWt>6IOWkMUR7h~X2lH1Q-JE)> zyU?J!={fi69z*NV;ekTHgYF=Z%916B&6kDC-*1v#L~D&t`$BJUGazD1k9UrXiY_MT z=aredFaJBwMeRr99Dxsbu#US65Z;dap>@$G%Fwi;WlQSGdktT838s9?4PAu{{SsH) zOr~B=-1jetow4<$)>^Slncd!h%r&>@kMT{puozOLH4amY7k4I@unww5FN>9wcQuE| zn-iYpuF7q!-acNH$6G_*SX1CwQ`I$@k)l}3 zT??`>W!nU*K^| zN+*bxj5r^QNWQalza?hy@@WDA^#7NZ$p2-naeqzce?jBm|0#`_|99H3jlzFS0{kCM zybu3q;^m5Y-{Ox)K&BL;vy2SFr@s-<{Q`~SSj3@7=K)YKM-t(aG3~{$pdM@tuHRFR zv*_?GF!r^FKHvb7AeMs>hOSRrGbJ>^9o(@6cRs*k1#+n1|K5R8f5tNXn^@W`Ko}*v zMOB?MIU3vf2;vzQp34NB=S^~;X7MFpKxp2Gpgfls4A3pH4(lc%Gh`n*Hl(;d9eS_w z=(*mu)9PrdHuYZ{j}`t5Y4tZDUoicn$8uKH8J*z34)iZUb(wrMOBh}ztnh!WPl9k6 zP^j^+`zF&r%S$(mv*7{DQj-)HR&WCM<^6AsKhi5uN+AOwy;H}suqsgh9;^DFfuH_O z;Aj98OmU_TiE*}60poEwi{31P_(p}~EnvSJ(W!>vAIKr5&AvuPP#q%rUvaYfd8^yb zD%F1qK;2o=x({(e=15s^$lOf`bN;4Rq|f}1Nv3iCFXn2#QL#|MiOgZKOwkMl%1KNP?Jp*KJQkGIqMLvf>Q)54qG>RfE{> zWZFZQKBxl}XSozJ<<>osLaMWRDU}>3o>_46R_J{*|Hqr7i6PvvT=#-C*i{1W3N!tC z(*HIc^FP!1yJhg_z()Xf#|?`_rZU7x6)m2uZ=>2iR#6>{RaEyy_}}(B+ci@v6IAa{ zVXsYuJ3;Bx!vK;uVygCQDv@egifdF8%$}unD(+3hx{Q?pTIFK2_unWsgLf}1TpwV0zm?Ng|S6KC z&fosviyZ*sA2-gIf0;!%TL^p^6^KL2@CJ%NDfv?{o314}FLy=}3EozM_omfaxx?N- zbd=K+>D~Fl*vxVkQpsS}cXPJZ-^nIO)81y&L7{xb4xzuUiugjDocQCM+!SUmf-ezM#R32UFpg7A!2nW3?re z-ST{t&J+C*v!Vd@3wuqc4$o1G!zprRRRsLy64-IY1HK{FG?KBN!L(%~S)jY~{T)2I z`&sIK^8O^B{%M{{mj_g9FjTSOM3ek$wxvz=ETXzQ|8-wYS58wND{gerYc46Y5JNv_TcnQ*CsqUgfdMB zyJOaAy9gbx7ZEG3(O#EWC4SX7kTr>L zrSdrH!M6msrH+_^QitfK75M}pCT6KAVmJSkI!apFxleRbNwgaY>L1ne*d7Up^I~MS z)d5*J7p^B;y?eHiVw+dEk!oM_8ZPhDNsyoBrpT6`?!NJ8IKz4S+a~a4FeML5mM!Nh z@MBnqIZ6ViFk;e=DY)dHvt)-Q-(YVj5Wu&_&gx6N)I8GFx>vResU((DR`2=1TU*d?3xtvJl;Fh|9FtEQN-6{VldBN{R8RQzJK7(_0EQ^X4#CYC{Bd^(om zkLym@=KUgKJ&Z(3U@vDXqtLx!+Ri!-Xg>bl18Ptx$y|ZeG1=i=W`vxVP2;0Kp|Dnh zgl&#=*mzof0Z_b~VawvQg5*rVxy$>&GdSfA>Cf+&r`!mHQ}NRci*lq@yAk}K=-1bO zqF*jcNX7&&;Y{1#C{10KJ>zRtF+HE2PG%F&hL#~g zz7QM7akW^>hb8d;=+PwgXL5h{xO~Cn4<_Y?*$YJ@RYJhR{YGktmTK3F!9q4l)jqv0wh?+{kPjz!bg=*{{JW^g+Ft8 z_TNlcNbJA7s$F7PP(oXd@a`1>pcD8CBfeCDu=;_fn%0|Y)^i(VAUE!_!ShVW0Q`-F z07E8JM*55-zjgOWj!F@UglXV^biz{qGp+yjIy#fTH`>g0W-g1>lM(=}^f#)-VmM5| zq(mO0Ba|TDvt73U0*u0ETKfD2IQHy$F9f*N^Z4c9ROAPu_-z<+iDNt{&0ZjfOMh7< z@;^Ib{12{IUFqausMVtjt%)yzNf{@KkY*WJ^V} zd@6K%)}Nr&6ExkH=MxCKZu$E&?VA zFMme$7frE#{Y-Za{>gnEW5ST2$zS`2SUu?g@7>JmVygHxsD7nzF zpjEUrN$q23UB!A|vZ&o+f4%D{&Vgd^;w%5MZ{hz0*USM=$PIi4p=iu77!oyuw^U#I z^DD-mkWuTBv(1-y6)H23ZUHre7|IC{dDW^A{fgK&Fl=f(`MJ~&LUFE69__w90KJQv<(uI%s*&V z?3!;Ge%Tbexg5+C#I+K_=I2IdOcEA#6i65_(LWD$W&gKmJ6;Yy;RP2u`G?E?sn0tZ< zhmr57un-QuG+vF&pRynMz*{4Q8gf*=m9rTru;CI6%qLveEIUR_KL7ryZo_jul#pQb zUQS#l#{%?A-ZK+mdmd4k4ohj6!#8K>AuS^M2ZAN3S5Pwl^81h4rDJ7k7XTg;&f$&M zXAIf{%-X{`XQ#Eu06L-Iw>jXYoxFe8?bLmm)dAs`{ZiwZ9i`cAC6d_LYtzW^$W+{} zDs*(kk9h!48POGS1Go^g;QSekEd;?98MNIyz-7`RlTk!YG1#`7dTxCxaoMh9Ku<0x)LF=d%7B< zDsZ-z@X+yWJ^5+L*+%-S-Lp+}u)z6NPLkvKw}SkV^X=l=-Sh8dT>=+7Ra1@^Kk7D1 zE_Rzvb}xQn@C7gT+HbzN-0$Wry*%ib_<8wjSXJ=qaQxwmtE1_srB}!EuYO*gECmbx zK3z+C@%wBmzx4O{PVLX%7kgcT*O!M=FRrgnH%qU7U!MHDz6OZU0KOUqOtXiAYM{Y4 zyD@mud%o}pG=x*F1@?H)kE$PyC(+$P;<@L~6hSYqqSi{5x);Eukp+9$-Fl;8FHk5V zi|DCZ8%?HAfMk0H@vH7OhV#8(B#{O2wX2%aEWv(=nnpHR611H~8u3yiBAX&#O_}ZX zepqo)_KjNGR_|mKE<3V~aJ@yD(PBTMU={zi3YM@>aAM4s1QYG(bV}n1Q(8GO%gx)W z`T%#dSv9&C3;~?G#qh|G9Xj%3RGM!=?9H;JRcOXC*nP_q?l7&&DDmiyY=aX+9Nz~? zTcF!}C+o(UnJU?>tX8E4GFMzR1^y6SFc=!Zc+uS@qxc&Ce#9_+7M2S$Nrnl>IWd;U zd>~J4iT0xN#RZ*a6ByLNm?LzkY}C7Q30hI`RiaH*o|oA z9qT+h!4U{>pH?J0g<^zG)UW$x<8-LRPVwY(n89Sdm4TFfbT~q(z}w1N*S0| z{it*Guu72oPK6 z0j;S`TJ9VMUew{>p=_gB={^*wuB*zJ35DNLIVuSqYvHpu?*o}72GG!NN-LrVNX|53$Wefony+-G z=j`^%CxEGd-R>dCpIQjO6HXS8w`cCUJw91>Xy#9lAY@|It4k2X#w;8M;IOlu1Y9sd z9Pl6OtiL?17TNljNq#!f zTy>NMslK^Ins(aG?-oo>$74a(X!x8fsyxEzY=vg-v{Q1hJnB{dD#OKT7m}nRCRk&Q zh4!plO{*dM$wtP8#L+}WVVU}fe>|K{zBvmq2o6&hc2OOf_`I7F)|`{uxwip=>) zOjK14r{=f2M(3l+gH?GF1K+f~&d1Os)di}W+xOGX#|yNoKRz7THfTJbD2u8teyaKX z@!a`j-C%XetAX#P7w1zLlA5wVR+!Up8JW>_yc!ZEF7XoV!>&#qw$=13!H(F1`Y! zbr^iDJwMvZC8%~?>&?I6)%K&jE?1dI>$_F84&u@-*SM~=>w6y#9=vP3To;P2?|-WG zD|PO2LvpBo@YUe2%!|uSBx%ENu-0KV?bVi=cEc#P)g({m>YGkU4w3}9L4xM(&{N9g=Zd&8iKI=95eULoVv>`EcHt6;H7n;=j@>u13 zH0}3cfp+uu!=dxZ#@|O}rH|Jvv@d4oejnEjHSfL}x>&r()Z3R7{L;R&+1cek}PlVsP}hO#Kl7tg|3h52#T-( zeufWF)_LBP^A%`MN0tsHh>T5ZszjFKwup?iM9J01IHEc+kyo zcMGoX5%^>T@8zgxzn_>gR7{M^9|7{?D)1AX_OtZ}65I;%cJY^@;+Ea=hh^bZJCW>Q z2*}lf?y31~NZd5)4v_AKKkD`j<>C&vr7*eP@(pDb22hX{9WdS!0R|&BAwp7zz`rLN zn&=Ul0u6f?5*ncpnkyNGhr#!D3V|UwOEB;PuCUZoxEPANbc^?G0bETjq(Kcay$o-G zdbL5tI<_PlPuV?28I-nA>v0jJ&S3W?xEK@3X&F3ROd|It3Nsop%tcjc8;01&Nmm0h zJ;JiMqLwAYzIRg&IutQr$%5u?H! zu-l?Gi3lZjW~kSJ60v|)T%e<9xOo{dEK7{20z`uYAf#ed$l@T#SQr+}A`k&$cnFzs z7h_0aE+j;LaeYt(9+F`F+6<3SHw4zAb>6}VbB+hI5bW(qkbs%V?$b#05O2T3;l`ITMsD9)eE9ifKZY;xCrP1BD6Kk?bI|ch!ant+L=9CRj~*f@Zy+ zDm62CcA^l$I}3sYz$})kFc!WK`fdh0X2KtcA^8MCxThp|^dzdXCI>uA4j}-z0azas z=`d0daDr_(k(|ZA8uuCJRGHw*iBa{*bK+S>-p!MOcd=uBnf2E))Qx z)b+80!?BFl^%+duvFuWD8!2(R6%hg{Nh0b17FmKZi;+3UOND0mdL&v>CnN|ZC{rT} z3KQz3l4?MSFyX|el=qzkKwdo)@p&fUZ2a?YEaWs44n4t61b|C@+R*{i%hx!Og-K`S z@4a8gE)pb@E~mz&B$Fc0%;%Zh(#fS#DT%LBQVQW;&Qh|Z(s3%NDwOWQR%32|&}P@c zD>DNG^aZ=n1Po@R75Cq!W7|W~1k9rRDq%V1&vI3_?<;xcs(*y@^}uuB;Y6dkMh!mF zD57k1B=9XmGMiP_54y6a8@%^EEQRgfC>wx+jsa$w013<-4cP<##H8VRuu4_1elL#` zzwRj@_APK~C;+2L@-67&9~aUr;~Y`})WZbdTk?Hi1xJ4Q8ZMmC=Xqftb2PSd5c{AD z0D4b{R0#=d2Cz~=`BBn{5?E2i-6HHOVXP1i$O$?-3@?C(|K4X+0COP_c`#qLyZ1kS zp($>AT--=gRQb3lM~B21&ZYwXn8fvQ{UE$EtXM9)7^}Wr(961R0r78z|3Q4hgXCtk zj_M-kw?9pPi{Q7&GyM2b^E_uBp8Mc&uCiy|@7~GzHlr1%avI!3`zh+r`9QA47XflD54?FCu|!bfG_{FtZ`w zo2F!lr$W$5X@{+dJdlh`>l(wIo z0m5)NDndLDAnW&iRO&guf$xV90>nrf?9l?68tyAucLN7_VL_|;y*SyZt}zy*jE+2( zY`Ap~)>uaSeYp;XsL##feB;8Hd9MyuUJpUm*zh8T6#+>!%?osTSWjKWTmeaWV{;=- zZzwGo4SB5--}SuO6{{^Ff)F5VT+DCkPowYr-qBf}CdKhyT7%ppL2jb@IJ@Sy?<_+0jdeyC-$$fPea+si?L;G09YyV3 z7mei#K;K-GzSrl`d!?}0FSr;_o<|2JD zMzA&^ARbBjNR}t}eix~3b67-3(@r0TuCJm0OMH<*?q&bm9ry-s|G5iKk$umP=R^+v zfC)~$F%j@UUmtBxW63qJ+FQy`)@5Z+U+>iUECR5b@ANd*KI$KIpRdY6bpblWuTZo^ zF{Bj-@Hh5^HAFEpbUYDny2*TdM`;J5Wa~L;d&c_F3H`mbZ~6!pdNYYc*7Fa5$j9K8?X)-fo(wDehYGtyCc8W1#s*H~R`wy1w0l4P^eE$=| zN0U9Df13DUCU9UeaDNe|J0Br8`{ny+?7-KIh5jt>F)r7E#_~A@Pf0f%Kz^8n(R#dQ zBu8lpbb_h_IT01X0mu^0UA|=sAK-@83gsXtm*WbL&%}j2J$dE|xd*tC={=z??krrB zO6m`}X8;8o#bDuC7(3k}u`g6ExyJr-wG|FXmk|v|u1fD_$T|uV`T|!6f(z;Ez#YI` zXZ^Oo;8!P7F_{gRIzm3i6k-NW!Z4|sg6}%|pAVpoqiCOK2^x?9R3*#NY1s*KOEKOk z_ut8HOVSw}qw}uKmQphW)34x$yMiXW!}ZQ@sbjVxO}6Al^T9e5Ua4!rWot0zbq=So zh!T-ki>pKmI9OL*h)^kE?Bm&mxj7*mFbaw$0kTU3ga=n{I+9XVniBJJP zCHt1-_7f`BRbuuwINz$sr=}x8a*>DckH}_8PGa9#2~z0o5pBj0Q+on;wUb;)0MjqH zW{ih{<@?t^_5(`xP*I1(!Y7a?GmX~7J!N=|zg9mrFGc=_qqL{}pPZI4FZovxRFn~I zE)iC&9Bb4cewzE`Q#=#)md8E%h@&zdrr!B0#bkY+E^Qe4PMb*7jGlPuY}@bGtD${Q z(gPOl1MaT}&2JBg%rAhw17Z1JIGQb`zlo**Vm=;(LDR2F?IU@{W5ut4(u+glPhV4C zklefedZ^8KRH1!=)INXwRYb5-WJCL8Rq)vQ^>K+X;chA7*_UI7>*I^T({J+Uj~D?D z?Rmhr1gngPOAJ2MAqAowfki4As(s)K8G`VBp^d=Yjc{CY0sB)p5NR_R_bE$tn2F~h zp2RnvEr8J%%yhSaPZGJQl_}@_8$zSErIW23$)KF7_iQ9xP6o_`s*Dt!kWft>M zzr>{ZG0~p>&h$s!T*ZaE2A?{r>L>)Z1s!HPVY^LJ;ml`k||B9*G`LgNqut*XRi+j(~QL>GEFXC?k+UH&4{{^ zS^PE>9{q|W{dL!##hhI<{gdBs&X1zAyGl>pe)nFS$^7p9(p*J8{3+@89U%qWn|ftP zya^YfFJM-RNSO39EADn@<9SRj8lll&jFb z_!qCH%J>!{qQ)G|R-wiktt6t(o@7;_&iOt-+3(XuGW9}Bv9Po z(bL>&1EUum;tx$;tye#M@)q}&p?NTSjiF_<@~ubKN!B%wp1u#f_4rwSZp~x6(vDk3 z4z=qwMlZhLN*FtLvDX^A4k=5RxKCNvn!NfNC}H|~Gq={%>t~0=6YrDt+9z+XaV5=s z@j2?u{7F?L%>!@R)R_k}21#0ka^}@pgbQ{`T1HB2)LBN$<4IY?s&dp@#cN}EwZw-u z_0~yGf~0Ixp61otq`l~rdYbWSqyFjpw|LUFS-~7wv9V|s>1Vk~HVx16-v>!QFU-$t zxPD$#+9_@Ksdl5muJj9@jD5M~M!tRJkcx~$^%Ry@tNj`z<5<6$*XY>zvs30p^T|fz zi!axBvQ91doJ~$`q}pCPqKKzW&RvYbvMxQG`Asf;f?cw|YAGcixDLtV%ejrHayGk- zX}?eZC8GPZ*?sCsu-wa;r_mJTwScg*WIHh^BN9`$QRLs0$ z{CzR^_N)Eng&^%e3Y0kmR5Dy?SLg3wBJd%PAWNqCEnQ@lrq0V7hje(L;AoYmmFqXJ za5|N0BwA~qIk{brJy|$h20BM@@GrNN#gCKZuYa&I8VDJk*R%)75m|9wIt3AqXGJSi znKRmt1rZM76R{=mV@ZY;#CtU*R7i!Mm?|{K zTx5HTE?Ya;kOv8St`L#76~Oq4NqJ^op+z5>W#4-0OOe(c?Ou$wM^muln)gKOeilin z%0UxC7nh1-~CdAmT=QTPe%mES;aSxA6(z{APd+B!*n{T1SzSq3+fj z$t8wlE?NA60joYIe?P3XC`<9VL};6c3jKC%kKM(7gP zIcf@Ty`cl(=I%ukvN^F@Dc4rmjCt&02F262!1S zw{*@!${q+x7Ai=l?neSYJV^Z zBt2F@k%ryD3D^smIV=z3>PLngy4z#xsE@#J^!kh^RnsQ=t?}{=+MbT#i`GroINPFA zo{6rWJECBf3uu}XE~MKO$YO;I<7v}~5BlhQ+Y@4z8)~|VSMdFd!cCf#vkYfh8IxOg z(jw^@5H}8WDZ*fwKwy5%Vgd;l}*W&L##a+g@yn~=aTnw`Y*pW7MSDfjU z-mRV|O96zLwELkuI4joibzM@9->a18>O! zcOM)=x%reADZoXxkdeecELtjM@F8vIl7+tLE~haW)Vt<=8voYe52=cUEw{9<(JK!A zT@`0p;XHe97ItpC%(f1annyS9a6Odj8?tfnv9*{z>aL%O^HlYsTc%pNAtB|y^ujf5 zFzWL(4oL(}99w=?Owm}e+s6e7M^HrvsCoSYY z?DYMuHz0dC91PB%-2;}QM)yF>BnTv8_xeuPX1v@FhbJd9l`f|(5}#$_Kh2_PSQyWM zmzR1?XCf;GjO?eEJp{;^Y>D%;n0Ib7Te_%3i!b&I!)`|%_P?u?869?mxh}>!NgVsX z{C;UEK5NSFb6|OO@zh^_G)_LJw|U`0oY+jpX0`rWG!3BRhST0*|nnP-22TFAL8iMf~A)Td@y*Vm;xD(D$nHN*Fqro*38Bdxb)Zd#cnAMiEvgMB48vgBKjyI=m74^YnX0mTi(OQ@7 z>|`M7Q6=F<5cVZOFQ=tu1`m=P=v^1AYnG`*$D-2SP)V+Q&Z7KaUWxA#kDsmSjBTj!#INl)VyBlMD$(@Q1r;PK_TN)Ii%06vm$gSaYR0d$gE9 zI*i}c7R%6z7mNE$`%|D~13X(QsJmSBcr~YeUl_JyQ}syisw4n2%|a@jRjVE;azwWSwzAKQPpK-_-7{*yzMQdTk6%0dX=M-eg(iT_s<`?${&*Fy>m7`_T zs>3lhV)|3)zh$osDSwR)cMAv6fBrwuU(DP8y^lX-#^nJyPwJ zAoVIx0GO-$v{2Q@OruK=+&vy3Ql>75=F1%Iw|Wlp5yES2)Chp^1qQ?w?1fgSYXnq$ zsLZNeu%e~c(`Z`Oyq>8a=%yb0DyH>iUvrL+o`x@A=lj556Ju3*vSo}@aS83?aCaK%1j_i}KrKX4bKjb}ErUrhJQO8YQJ;?!9CB!c!lVd%&B(AAr? zXEFDxXYg_M7(^bY5$VxhU#9Ip3m^*_*eNFaeMozdLr?ID?jUEl3R}OP&>?fd=akf} zrPjjnmM6VRV|~nn-yBD*{|{StcimN`5vs%y>f8~U>Ji$G5xR*HtVJ)w(Fh~%C==x< zGy5ou_$aILD4YH$yY(oC`zUANC|BYrckU=p^(b%0DBr{=|N5xF(WoHqm=NWdF#8ym zQWI4k6Vo5N7PlU|m+zB1Z30?My`{EN1lqdA` zC-ki+4BRIk22L0zPCUw;cw9YU)G=W^F=4VkVR|(21b5Pma?+fA(n5UFQhCx!f704| z(#C!AY2c)7;^ed3$>-IRb{&)U6O#_>la5D|FL0-vD5sp+r(DFRT$QKX^rzgdr(U{G zy$YQ2z#6aTPI*>Od38*^nV9lkpYl1HdW$=aqMY_+pY{`<_E(+`(4P*po(^)K4i20S zNt_PNoxTpMo({+QsZUHtu1`lDO-JL-#8A$}vd_ec&%`UwB29o=NMNNuQX>Sf9x}nt6{qi>92-VxP?xpZ%abo1;IQYdxFiKARsnTaY+gm^=Hi zdbX%zws>Op)B0=))}|eIu8eZ7oPDlBe6CV?u1bHd+Ip_WeXcfet}bz|K6kF6daki! zu4!Vfd42Bl(cBl@c?{)z3;TSl_zf7}sBzuwIySUziG9m`+@n$z7POUYP4xn4ef!SYKE?TDbm-ySPNTxXiw| zBEGn)ytt;nxNg0;@rUF2;#T6~x7@|;>c#IJi#x;*0{jtGg#%n;i$S2TyX$|*tjOn< zg0{0kNM_`|>0SD-H$%UkUOnKS72QqD@!lh^4%Pcj5B%0luRi;FqDdDl_H~+X2^{sn zga@4guxC)^%J*khctaxkB}M ziT3x>K{Xro@e{^lAQL5-0j-r+sMj@=x=Pezchuo{X~7$`a?SH@<>!DoZ>PER0(&O( zGf1sfUN`_$fRS(#4GJqm6abzZ}GNJH@18^Y^%M){5q5TRcyU@wb9a&4@ulft;vjyyPGT*h#(-?@{~_=U(fqbIzX6UiX~6&t3Ok{!N~dFJCgqknjDy zlf>^5h-#LOZiUwGAUm@|9`>JD?;kMd~< zJ+urD-jC9Netgb>3|9FVj4qJk0A%;0f(kI1r=o*fF%AeHf-Anfp8WFb^B1t=ad3fS zF!X@%#w~mB@vq&-`P~sgVxK@NC*-m*x2GOs*FVDYc7rk^!LrAYz2l%`w-~k)eAyGw zahMMZR~UYJ!T?b0N0Hru{9*&Mym^9AjtL=pf<_Jtbllmz-N7i388j*w6~!_YO;+z{ zk?4G5&JoV}CF&&uuEA7zJ{$>i!~V7wO$J0fNW^x8c|cmRq@|){y-)HukepWGvd0(` zgSaZ)M+zzs684ZT`*7|8S6U92yeW(cHe^AhH1#n?$gPnEUnNR&Ne0zC6t@IL~1? zmzVWiGx8L+@>GuUR5iX(3kH-j!73bJY4pOyNI>}N=^lj>hZRJn0wTDC!^;77y1|$r z#5KzBGJfoJR~fx=q$vk>3&jBp@i}ieLLPnR`pVly^V9sKv+#Zt`x`JhJ5mvaeZ$NV zazl82c#Umd5J7?hLI_{H;yF3;nZkr{$?NCjVZ`@=2j3!qH`6q7>$7vD!Gm{ zqr{~|g;PV3cc&urjxUPr``bq1EzFZorZ7tKN3WQRJ-RUuss?=cD z$##l{tsk5@8;0F0_ToisGS(T$k~dvBh+0O=j;bB~T=e)dchidh#e}9F=a6q;d!q=txaQoN0ao8#a{Qi^!gxg%C?$_o<(LDnk7XAxG(^``9a47H zC=HX(0K;Bf`HJrloy!j3$rtLs)=LVFR$J=YI1}wbcQ>pK2L8g*Q4i-XWJG|)o_<6$ zKHQT5z%1cc1QZ3@Za*y7$;nn-e!fp%eUxZ{iC)cvurYq3!-7qXBY+5{0GVZ4r%`xz zoFiEA(=Mq)UQnmX5$8~Eo5^88?Vuv)oqs_^c*W;dg7{(Ut!L8TuWlvMWkG;joM}S( zvZ|4@ZzoBr0+d!XoXH~s6yS}eJHu)rhY^L)9{vZ0!0*-ze6tskzZj~Lg8`#(B1 zPMSTucSxW5v96P38y=3SV$zG@eD!32yw|UiDT)@0yQl-ZO}#qi?tnNDi&=RWA_rYR zb4#Rt*ug(U@Bfp3nCY?KUvQD$#Cpj3qE0iFQ~#l=y6cS5QFdD-FY@~8x;4o z@7A+tF_K5$K67t)H?J!2$w9oi+`@RMmRdiX7NT_eAxX)&a(6mz30%JSzmdM$h$WI4 zaRx3B_ngo57aye$*s|Uhw~i}q7a?y@k-FL6cuRjf>}g|(7Su{6sPu8*-DOQP=tc_P zue%|}+{o`s#?-+9F|uS3@;SSyZm`NI`_(L?)joDxmRO=BvD7+3Dh*QA%E@HUHCKqG zpZT4>Hawsb7oV6s!O{&zX-13t9?MEpTbPikjW113=9sII-j*C=7|97W=J%ZoLDz%Y z$Yld}NX${*rc7uaW2lF1KO8d4Iqcs$TUa<%C8y)4br-oFg;o2ISdbfuZ`qrxrCAtT z^pNh!3W>_lM$hd%0TkT6*!joOwfcy?bQe+z%%`OWI5+tTq{EFdXW7${(ifv9N25qxUXiO@-PN#F$Gq>~W|mN@BWZOo-noMO22kHB8L{k;O)v``*hqD@f6s(=-Q zoMhHJ7sp>&HxF(N)hd9chbD$2;@~XvZ94UU3_;o5P^F5H zY!@cTGyUIzNMI4nu3%Uw3ta^?1v=ge%nvEV*6- zdeSQFSVU&HJZavwg@`E6f>TbN2ni%GUPN!o4-uU#B=T@8mJTe46t&tIpKoe;Sr^Fb z!s}iFPPJoIJtKK6GAkU8un#w+8U7jhG;#Hmohk9w=lHUSdq0?}TWywkbNSeW3N2FG z68+z=l>H#-t$?<-_}_Nx^h*SCd!sSYofCDm@At914Gt|Hhs8zR!{j{dBsk%ED$BgK zF-i2s!7npR!S4Qb?_}$H0=V7v5Ay_}S6%8(k6mu@Y~bj(_A*rSMuHm5FN{7hGF&vM zD>dCm#kDfs!`2K=AdloP0Q!xdzPghZ!Z#2v}@k9A+!| zdUfskMx?dxlPhCf-)p?W3m_=IQ8}Qf^Bsj~#}@Aw-&x6-iUNH(FaqZx`U3bKY!JXl z;P~3zB{`-VX0v;O_edcOPaP+ug5vbEpMJ+C#T&6fYk%NAO+R!;rkg)J6#4{q2E*3& z7H@?i#dsQl4+Rh9+44`aW;=F8-h4}t7t9u=>SGISxBy*ElY@_GHo6%QQ>IFZGcK$1 zV!;w~#kap!vMcOioybYXB!VC9<6?a0fVPWTyM}Jx<+#gfIIFDr^<{JCr$>~p)?_?F z-H}D)VQ>|U3#M&Q_^*A!sn>Gf(=XKDbRI{_N^R-}Dug8#?qSPC2vH@5=;sEIuA)7w zTo@>!hNF>5KwE16?w#+`7=ERu#30#mYr@AY#oPp~zpLc2y|3Dd$HcJt?T?o;2pMKe zZlsUH?)=0_S?s_NQ>6F$2DL6wTpe@0?U(lR+lKy|?+b6Phx}ZcfdE?~Rx2cFq8!wT z5;E-nMY^)F{`zb4n_s+M*EonCeES!@_$D1+63V|^|2lhfa~*Z(n`!vrXc zIL`m{N%+fG{lEW!0Q##;^hc=N=ywWF4EYB>LWssk(2=e`@Dc6b_y~g@*&p}_43h-j zkhsa|v3FX^tlC(FFsMTa&_VU>`_OUE$bKh5X6-z|;FeUeIcwbrWt88qa}-^&N$7}!K$mPXIc>fh5wsc0M}#rValUXw+wLiw3RN#SHOjP#i^92Ha;a1q zHQV%8y{x`lXW7RF;cnrPYqFmx()=A-e&zN%w4A{k6L@+mLF%(fA?nenhCio^-Y>Ku zSP&h%iR^k32;p{K$CpSO#kr0F=0qwv4v%F4Oqh?AUafERw>1Hts?Px3Hh%iDKG4H$ z6|J~LD+I^*1d<&jhr@T zw`M%z7pw=1oJYtedLegd3j2bJO#b=BR{;P74);S8gS!#g`1N)dND&UmVNG)*@U zoI--bZU}0t5KNd9WLFM~&{qJUxtnXCE;n5yC<^J3i}&?76H6k7zF7@`T zT0fy1r@54R`hkj%;kJhlmmL@ZK1x2A_iJyhKg3eOvq_dS~%-d3fbWRH9{!X7@o z+K6^|*}4&b@2l8m5<3f$Q@h4O2k#jABAVMogfBiHM{`np2ZyOhZ3X(|bK7rSMQa@^ zE8)AGOk7{qE~HJSdyiT66z5CJ2TK^25*j&WU8rb&D?Up7XDPR~P0sM9v5+~w0s{K^ zouF@=AGitw<#GIjQfdfUj^KBA zJ`gpWFc2U2(Q&H}f@vGF9glnH^B?vLOv=SkcMQRIr;koowi5Q6Up77!bJ9V%2nCvWCFT?+)?((<6{VVA% ze;d=knC|koVf}}nkg*kC)Bulc&=(`u{7$F+&qfAdN@(EZxODctubJKE0nri#^{ zYjw7N{kYWp@WW*1-@Fp-u8wbK`?J;e-*$EWxIEq(d#?Sa>leCT`{ReVZ{DD_2rQ0u zB!t3oJp{^Du^x&qvcDb%Q{vbNCo^)~h@i5s*odU_+W(tZlJ2+}%~4jd8N<`EzZuIn z$gveCwBWcEFSc8;l^}Jozx51(#krlRK;g8Vq{3FYova~pu$`i##JQ7dVC1y(Z(fPl z!A^!%B#EBg47bhxl z9h9URIUkf}+E*Qv<$4_+lov#D9afa2J0Dh7lvN#8)wCQQRyPdZaDA+K@uyc(^|7ww z;_zdAHx~C%LqEC}r*W9A`lxAKXw@tsGy%#z`9I>J$2b2`fN>gaTgZ;0n?Txikd>`$*m>hkF9 zEdrbOd`f}R_1p}DuJ(LJL-f=6A706vfwAk?c@u})uM6hhpS~_yMe$xN{o$23me*da zxV-vwvFbL&d-=}u53gje_Hr%Y^5)a!2jm}KiGG&n{};Rx{6eVmUwS1g$B|;=g@k|i zN{V1NQ7Zk+H2>z64EM81oJ8yV;gt+gN7BJC&fZgItQdn!W`D<=s)3Tqpu!$UIPPo5$1OW@e5!}2tzi71T{X3CLaC5h9d z#PB7CTdE^!W~a%S<0WjOBO_XVrzr*ar5wttqk7q=sTJlUEZ!}n2JNS54RNJB4yt2j zE2rtLc7Wd z+%n4omsJ}yxeRTp^cvvQVyHC`@rIdbzh==<4o zfS>|_tu`gI&|Co3tdOT1or;t=FNDQcD6p$d$C#ZLQB71RiH=SufcFX*2r5;S)n<~j z&r3KoE7gogXVTlxOMmxkV`I(0=gFi*^ZvblZ57QbJ+G9 z_pkLxf*LDq^`%~#iw0E0TMNUMrGANv#>Dsuk$Qde}8Cp*}jxV;O^V<{^*YqoO+)j^|e!U4a~k~z2D;4+S8}T zc3sGAUUfsY8bT?@*Rdq8dSD_e0rdhK z(0fCobmQ?&iq}^Id}y!4L1XK`%qzh#YW@GC zSHk)qdL^PN|9ImB0DM7qkn%sIGZ2()$-3YlFB<$aM-KNX;{H47>~BX7Z?lyCha(5W z1eJPR1(=$ph#>@Oh41z`x82f)sRb#TTx0rzh?)$+k>T_{*IQa*z2(rxGVRgZGWyn6 z^+wS-=IAALRG&BE%{xOaG#dFIL_S7*<{fl`Mdm6d! z83p%IFA!5>dS)ok^LhDY;8>aK578%$*lhFJ%BG_&B!E3114Aw|+C^ruXY-YQ-G?RgjFAY*Y)2e8_8B_ge6zG`IiuN5()-4#qh0=8`w1JJ+kmbyJY<-YGGA^| zj-4Kmm~$tT%uQUJ3UY27p!XtbV^54y3{goz(Tqf`L=!#u8Yuu!xk1IT@^qr^s-W~k zLHWRzsl05QJ^*?mCTNUKs)?1viycCNB-P0W0AnBz2MiQV-CvSKG!4W$EQ()DdEI*I zR47L^9;TC}QJ9sI&A4n&obv@|b0^hShUJ#L5Gw2~LgVP>+ay9S@WBYIAp5qRRQCm6SSB;U3M>~~XqH+f)L502ga7sB8+(iy z)6+bDaMEZPf6=a@zEjFX2pfK@j% zWgg^_F1&nq+YVse$>fJc#`Qdz5FRmMnrgFD1;5S2p7KC&9yWN~jZJm4)#B*{CBCHq z*EVRG9bv8C?l@)sEysncBm2qjX(0R1AvztPIkowgEz>ieBvO*5TQMekxEg%!-%mf@ z8C*{%rx=3aDbzF$bDj4{lr7y-7CeA8>U90M@W+^-VL$hnx=Trbz%AgpMI@vO^^6hU z+fGq4%K>H#MUa_NutD-w4PZv#M*Zcy3C8h@DFxb29L86EQ7rFJDCSymD*4Y!6*ksGA%BySX%tkxU&mztQ7% zMTe8~d4W}dtJ=Y5N6b1P`&*um+6(;IVUr9P=3hFMhiZhnZ&L=i_2Pwb{oE8Uc5VFX zO!`4=gqarNeo0;^wJ|z=L*OwZsBPZdN-JyrbF%F6NNCDay%x5rCRls%Q)f{cy-a+J z27vAfH$+cxKj230@Ue55@hd8hLIHfKM!eh9AY*(SKvqo$%Y@2`aacT(P0H$7Fv#jo zt`(A9NEaY*p9+I}T4T$$HWEz2!Z9k}%c)pb<}AY^pU!{;ZWQ>dyL~zF_gg`rru78$ z83plXYY&Jpq~pi?F!Iby5I!Un<@63vUWW~zL61Yd`FIR4!# z3L#Jg{S|Va{%i>meg)SD4I|id(cNHv%yEENH+gfbqF9%1yr<_TNI^xORKk@JX(BI; zLd00oxh9#7Z_wV!8qxG30dAxE@zGp{D;aQCq+wQcbV$Yqrf#$2foF!T2k5$N0)VFBS#Z3rwF8-@4JCKt zU`#}P8y&B0bYeO_Ss^ON?~^LFe2m3SiDGirFC7O`Q`4R@qsD52G1J-6M54JcKx`ii zSnIC-@zskzKoRvMsoH#(WL+7oBhRo#HPLrqbDIh%mq&az86(7cy&^p8ney7)azi;I zQ4#S-^z~}D=41GK!$WTn%yJNT^`hpt{v|=lh$;r4OS5u%usDN&muw-Mwf4*8DIIHu zt&WD(^uJ9oaC#kMS9Qeue&aWKYV_0Hp&%D=wqBYAIO^9OfaZ4!@WS!!{7!)Q$K}{i zBR|HJMyC+x#1F|{gEi!+ht{vRmvKv4UTJP8c;B=9kgom`8033G*|c^jBFbbK`I)2C z-~7UivU63jO6YR+ei+}$;TRZ`4 zY7C%6N7*~=)4}PL=$%I_1mQQ0`=p!41w>C(JpJ}(Yow?`U_7rCf)RtsFhE3w&47r7 z(VK5cam+_)2o9esW)SX0@i^(Em@Q&Vd$iJHV&JkKh zhx4IDi%m6*0vhj|D`JdOYt?Ya>{)F7`EFHLXJZV+JoXdOru+0C`De_EowCFmd_Sp# zK|9y`lu!*!Hb(>dIeTN*70pjHJ)E8`!_To^d3><&bPj&qxxwJw_SW@rBP4*2Fv=&l zRKO4)3lWa7Y=4)7H&K940lMxpz_sfR$ZS4koGO$Aj@A|p=6=y@i~L+C{_v5V{pE4g zV-?_gVgfbh7u&WF{+6LV3VxVJpWZZ8)W#}a|Bv4UjIyQ+3 zao%poyx(Z)x_Arn#V^=xp{MKX0_CffuWRAHovw+&d&=*h+FT>Nf?Ict{jbL7A4{1A z{h0PP;vtK)ztLk4x&Z9*rQETRu<@Rt-(8d0FchH({gX7HqiZ87dL5_!y5oy|6)k5Pp!8cSS164#uZS|CSaR(g z@?R4S#b)xyDhwr+^KXgrKQHxPu@CL?hIL;C%Y+4(Ww@HNi?R+skbruPQc}#Y2R)?F z&J+o5(FuOINa{fWpsTA@u>c`HXlMisEs5xrc-zRy|CU4m+Q+YOK?p#`%^XOKxaVl< zR1tyQ9pTy<8K&zVRv7tsIFjHAxVbnKVMYrehd zF^a+hD&Y8_0*tY4AMi#Lk^>d)h`Pr_^b~0v910Y0#6VzJVVNrVPyl>Pa9N;S=MzD6 zf^puB_1hGIQJ{`dQLyN_%jiN!KsGccj{{~2jm+K=lFJOFb@VLdh}#Yc>~O~qOahf1 zhb4;z9e8=+%f<9u-I`Md=2|%y_rvaI1m>(Of^~GY`(u+bql2kX6Xo&tuN?a;Vz6{0 zLKOjgc9^7&uUsR}pRmXmD3HzZOvpOQ%_q)!m}<@>(t(2leMHzaeHF%^Ck&r9Ga`GjyGZ7S$jw)jUNQ3livdBL0&a+4ca6O!?k$z*W>H zr};NG!d8+C7R+X3&iWFWKSh~WpOy8IIToiy5}qi&GY36a#TVqGBItfD!ju`On|COh z0ny3u&dLZ{&ZHg9z+x%{5SfwDnK9pdfCh}WZUj?P4wTG5;v55~^;R1}>s%W%7EO>L#=5MnAhdA=M2M)!04NH5=80 zpqi%kN(YpriPeat31870{pEj#;OXAG*lYOnvfg0^t$0on$zWCKu85Akn+ z<}{%3FZ|U8!dP-5+C~z|MzVX26#k9uHVss-8|hXX8GbavX`5h$jm-C&*!-I~a+a~Fq1v}LE`F9 z%%(^D_(z3fj>^YrVgqitz8n_;xP^Nfn4foeDlUT&0{#J1PrAWu9+Dcb!UBK5wP@R} zabFT|aNvb-AedRyLs?90+R}fp=(?L2y2E^ntnzzVpBuG!{?NcFyPtAAZ>oI!j> z^ExQI-7}QfTL6|>%>lr2cm626rQXnsw@$25d+HXdV{4fOQK;w>`YKEt0vS zn7eIdwLTS(xCukH{00LU?d6#S15F-X4Yzn!^lq;;$+QrQr<}pw6yGMJ(uxzjlj*M`HKcx+iNg+{W`AUX3zR{U0*1(4k(i)>2WKn z+#X1H-LDcqG@N{{#k=S#p=cmoI!Zh8pfNzL($1i6F0HBG;Q7d-q$7QB(pWc(=qneE zJu>Vu&rwwPh}|oLc{`r`8qFmy`FDx({&ZSf=cMU86og-g!{mm0e!xHtkO&y?(Mlwh zjY3_A@D+@ps2gap9)3VaQXs|Vb)@AZ-7RarIccFVKEum>tewyEy6lMgH<%1ouOx*^B5m7-Ge>2|qC(%kRO znqG$TOB3tdh)C%v%^0NHb`DOLJuss73YeR>q#&`!QE`LcwZrvO*=%3B zh10?VdFdy@Z1=?i9iHaIKg6=U=$QqT8Jh1HVt+Ei`D9NJImmxvinleLJb;RABe6S% z5Eht+4ba&<0avAh>*0fd&NQYoiKhK+LW?QMR0AvNNl@pKtlSVGvHL>NlL#+I2+TcQ z+C6k!J1yM}Z*dOm#NC|T!=U`3c1DO;dunO?W42!&lL4Nu7iJs;S5(+t)GuM0uRO>o zpK7^!Ho4}^dIhZGWGf7nt}g&5B3>QlUQB>PT95uyA`zV>6u zO4wl~ln>z?-Q-lYyDFjVsLe)le?=?e+fUsIy zzkDa9!y)Ugr_p8a*f&39%*YW2?hb7pEP6j+MV=}L%btXk4?WWiT4Sps8Ic5ACW9xC z=@}=Wi-Mq|s7wk=QmA9zwWt6a#m*M*x>p@3WD-V+lXh_&K_nhY5*_I;vriozMe@j9 z|HggTS1dWuF{NxPB_D;$*@jA;PgdoOPIZjVL?tE!J(E3fkit3CJUBobto0nJ-tDbkTDU5+UwI|vo6a_}NzpHmlbv4&6vf#JxEUB4K@8M8sM|%XQ+O3{^?c9@ZU0MyA5K%2H3K44=vjy3tIA zl|m$EQB+pui?2HgyP3EBLSTNS4W`9@9>ptJ9{Ot8WzpG$-%kY1O4`I>Mk}XXHzOs2 zHzkA7xx-IRCr0wxvPx!E3m2*5$1P9qJC|7FKC;DqZtoX9HUYC*$^T+ncFKu&?)1Ff z^YjOG@lt%5@Ag@M1Qpfy0_9^W!( zz~5abP;aFQnV@g(CZglSRl1Ec%>{zw=oh!|3 zFNUT*rJgaWz2a)RLSlpM`F+wfM$*g}SoG*O;t<@Q7guqzKXiAlpkuZA{I&hgwY(ue z?7+3`J3r`|e$qGAyr?IaIUXB&^Ygv)4+8)1+lSv7bnB@=zbJdZeH#5~Z~~gWIqq4x z`Q>`}b6xg&`~LNA@HGw7_5PddqYu}g>wg{IT%XF`oZr9UroFj%e)Ij!&CjO@edQ_Lc-J?EIq%!u$oICY^_bsHvBlMSr`5Y zlh)|Sr0Ky#ejt~JE%1BU)J$b!t?DvVwj?bTypF3KqO$4?SH%d zVC^1SIuqS)=nIV*qzl`tz|8SdDI2i4J7N6@CLd zV`F?iIen01fyD*%h2s~L&SVJsA7q1!PZ`+CTtX8$Wyc z+Uc@Q0r>)Pj38&_3leomow&nuCY)Bmx6(M7O{3g6xK`=zd_3njQ!%;7IC@<#3BifA zE_{!1+V5mD?0Mi?j{;JCbN)i21^xjB@oZK0SK%DwDH<9#3XXGn+M47@GK8rTgJUw) zKAFel2s?S92&liz1yB`;FhdCBiL=DS%QE?~uP#n_WD-H+iv3hCz3qB>Sc0fYC^ zcQ`@uR8}EO7mJ(q0a7S3V*vG$O8mBU8M!6p42W8{D6B~a=tb;dft%de0RTq47l}c5 zpjU|T*%~v2+KLLAa9zD-3KL3KUA`Zh5vD1(J|F`jxvTSFcQm#neqO2B<(sKnIdeY!}WN2j%o)BJ1LB5Q62> zg3lJ^@I!UN(6_`c$WBB2U;uWDZx}xbOE-ieG7x*CkSK(se;Jo0XPQaSbOHKHqxRlPZy@ zo4y~^+%Uj!kBtm+yhXF-vm@Ms1O>tr1Z6%N%Q>1R2D~ebYw)0%JDeRg>21<9-eOrp z;i%h^Kq4lka^$P{nJpHQ;hi^eg)oEcYUBp#4GL$^&^cz_ZT{ND5T4BC1K10GkfG3@N$IkM3t<9klBTnv z4R38ReIc7rIG}f%1qf6b`i#ZQ{=Q<1Fv_=J9B>;m+)0K*mxe}))PV9(eC(x}`jwds7Ef$|$2Po!FKBpDk7l>nMS5UG8iiE=ns>$S=x8P9&)Ea_|88^e`^6A$OS z^U|{W*x7VtVWEYWno}`oiZHLylO5|;DE4Q$Zh+2~90W%a`9YEIFGNDdcRqmv@GSNo z#ig+R!oBcG!}1i{hBS+j$Qe)qq$L&f9TMGiSfRL1d~l5NeN)5PXy}0Fmn1zhCBU-* zKPSeRc3b$4-#oXf?_5s+&s{_akp_}4*ZUC$+p6eiei4vLYcb(Bkn|D)AT^g~Kj#ez z#I&6cWm)RRLTQCzO2O7~jT0OckF0k5VWfA&jQB;^L2+XY`cy4&{UdY7TX;x%mai?g zZ4la`n=P>a5rq8P`^Ukejq%h_fcR2VH96zmwhO%`@Pr%1IisrWQPE4E?|l=PEH^bm(YMI4zN!3*W^uEQC}V_ z!n$z}Jy0v5r5A&hc=8d>nYU;^u(UM3I_9&&yC>T0IAo1W{wYRhgL-dxuy~j4p~sX& z4pjA`G0WB202}cZArA;Sl_DDMXH`bQ^go1AT!YG4OaOqm$(@$OaP03&orYWqZ+W z*yWzi9X?6j<|b#OmBf<9ZXqa&Yf|Hom{$+kgB|$F2N5P0sN3!1iu;YJ>v<&R@!rxr< z_Y+SuuvZ4UyyFmaa2Yq@516t(rBQju0?)!SjX9?1Hys1JO7ITZN$=^OvG%bV+q zPVAuL2-$#5boUM#s?u~%_6eiI2LW=jzwri!8UMHYDMM&2VSoBXv6lj`N%b9?A9G7JqS|i`-<2AhdLJuO@iSUf}V6^ha%-UOM2s{dc&#uI3eOZc6~Ps96e!E#H4ab z893y=OaN6<5^-A+&2%4q^j#LYve3j(_%~9?{=1P@XxSqfw+SvmO(s|v&KrFv+=^U1 zL_tV5C9i=3$Sf!YcPo*RN%oLRPp8T-DKdk|l>3t%^vUG;$-3R4N>30f8!Z~Sw0ur! z>OCnMa*A5M{n~|n^vvY?a^!g7!sahhji}yvp7h*kCSfAnVy572wev; z$wLKDiC`Uy$LgK-C28+asT!V0|D|G}B2ok=!zp9{qM#%IDwh$G$FQdK4xtp2#}Xe# zgdHp8s;Byl6Z@a1iW?+_K~=y=B@6K^k#Lp3gYKBD%(j!9o3I1XM<$t(zEm+CRL@E> z<0@623ZS0H#BObjicAOQ)QiaN4#)GzP^MMy1x(rXs+NB0}c&is$xM(hlM%JXuj20Rf|p zbmNumOINv5I8uBP?BU(F-*e>6%1PJK(bXyBz8V>E*(mz>-eN zYMnZNlL@M@6oGX$6pB)h;GhkGsK)Y8#qp?W3yh78-HBX~C!H$|=L2985LQ>xu<_(}fdY<>~%My(i+=y`%s7gVZ_2885a4LaYxXh_E zU?dE8tRR(SFI?*aUf3tbw5!iVkxjcDS4G9eeBh$hipNAJF+qP=*iXYuEl}h?K6-9m zG~>?9p*A*I$qcj>g;-(QJ7RhHU^#ZfDEZ?0rkHP6sb2}PLyonm{6d~mp_Dm__-JnJ zra-sIaA!26B${r89)q4(mGB8cLG1^~BEccEn z_pN&CLfjPKKIR|+dIT*Eg&_kE%P|IK;imw1d_^I+0D~{e-l-xLBU+dj%9sX?Y2xro zB!aAC@q}oEQO(IeKndjO!lhZXxaM~q0iR@cztCw@LmcWfjg-;K>&@mg=gPJa&gGR# z^G;6ldCuG!PRUNqr5PNnG`te`-VfW=pqlDRu)M^4S(_hI)ek+>HL(oY6*M zZq=b2#?eUbw$Un=m(>yz+-~o=JJmTYH#Via4Y=F zM#>=yN18Bk>81P$m|ZlrDwDys9OXBqqcyW6I2tcCZRY1ujb;4Dj@ucD0tp399&ZZDFo;UluMEDOsfnS^Tp@}Pie?zq%AK7X&js87y z$<_KsbWK77j&0ZP3%Wn}>O1e$u{MyZH&)T}}Y3)ncJl zPewq;Qe9C3boBxY`cn6yh`}wuP%^^^uT3KUrRhh4h_ROzXKR)i_uXk*Y$QcOk*}WM zi5U2cID05w=RqI#iMXnNc$7otjfwohD*R3O)z()*`&9kDy!#JG)3EDa`H#Ko0|dfx zm+JT1@MfYs5~Do$qF6v;X|5*Xyhsqm5Ev1`SlQ|zCf43z;O)9rdfF;%o|eQd9>&3P z{cRn_V2ypy`s^WU*TTy4Oppl6nm8c=cThBtbdBRPG*_`bM{pw)*p<(w1}AHnd<NpIdZirg_t-!b*NYu2)JZ*a%_BHno6PwC7)YJJD*w{&KIkHNk{ zMJBg<`{0LM@p1H?e$4GnnVE{+j8^;DW0{SKT}&LL5fEXdgIW79S^(HH65BPpwj~mh z{kj!@frpP5`sUI@wg(GxXWX@dRxLl;K?A&=wVZ zfl4=rvi56J3ghME*L0^&o$;$>R+ z)#5mc>NEq=`~$L14~<%P2RN;>{>skZK0c^X@Y9H1zD-)KrNNP2qJyHi z@nOj@>|=~J$?)>ckSZY#OFXQUdB7#25sxmw7#WWD%-qeVI=og%QqZvbmD#$l@xovv zZDXDF=}2c=R_-!&ZN$rLJGxGYAkX`ejL)i_-$oj)XR3bElx#EnOox`*slDnMxnR?1 z@TB|kIcMDNeMrOD@WH5|?w3lkvDYkPpRYAShppa%=%+cKg$P53QZ3qq^5!u#dVbCT z_i;#GJ=(qJ++>m0{Vs2jLq4r<%zvv!#csjw#5r~G$h&lf#4m%}yj z=O6JfR8d$!*T$cJD47Ik3O1w)j*lj>zEAF$e>@p4AW$n?1!>We6n+#k=FdQVnv1{T zdTVr?%XBB$J#YUcLWTKj4Hws|*(y!NvV8BD4yd|rh@kYt7salr0c8=?ghUzYUKe+u$Im8l(mcC zq1jEDTj&|~pfUFIi@^C=lfZYf@hKsS4LVN?hFNG8c%9R$4NBeV9F%do=)a;CK81)~ z!bx#mVxU@`9A%E)z?G-Ymn~TlBa5%qmWB(N*_;XVM(MvU6<@7|CYpi^mUlgjZn7}C z8iKzZ`=$A92*Smcgjj7QcRJHIkY zT3qaPy&9}?8zxy2Cs-g_#JRSstrd(}(DH7GF!hTLf#O$_<|Y#Vh0~%rz197}<9^p9*l$ z-n$9)fAw;u>m`57uX}CJ_}X{!Tl1i=cld~IAt!&W5chHSIPi5p;P;2t<>y(s)O&Rs zuSy!eefi-ckE$so@g3Wj9I`}2BgJZsge zZ`}?2LF`3fz@K<=)&`mRW@07zJ8sSM{x{2>wsWVm!@rVOZMN5HQkP@4E^lG3SyF%A zw7XA94X#OfgA>6w+5nF$6v%PgYVqWSVrC@0Kgrz1=-f<^Y}-Uo8P_8fML?z-6yi9& zj*NmB!L2)SosjA5S_Ke9`HQwk?%RP9iIX{ldfh~Fm}M#;s)C1Njo$)LO)3|uHh%4P z#<$d9+2J>0`M)=|avw9!@?30dx&FTB#vrnR;?poY_X&vRoy3Z zPnLzha4HCe7d)=(f){#rU%`ufCuO;d16M-1OG043x<8SQR>2_~yy1wRbvYF^!s=e5=kEZmU?MfpQ$VTH8Zp6-Vznwu9Rw+0-*YCEM z20i0e)PiC8yV4`AgkJoSU{~kJw74c_eJ+uimN&Q(F5Hhxx=N$`C(#c=5>)i-4W&j% zX=>g-904EfE#6HfmOMP^JStV&cqB&n&mHl1R>^=ViUT#+u}K}#b~LY-g9?WM#C zQJ+_C%m|5yVYJYUYm0~5FiB5s8aR1A2CUp?LIoGW;p#O|GK+X2ykOMP?QN>NXY>ep zBeNbYcTF-ss^sLhj?;&Mec!yBklqVgc^LCra@i~4`Fo%#pQH*NJz958cWM0-_JNmWx>55OOke&EMkIUNuP5Fp;_-aULfLnBYhZLvE((IWdQ zu@U^=t}aC}9MtKKH@{hdMPG7ahjd)Vy*vo-IQcr8LFgmDF+9ACC@UW}+;2P|h;QjI z41TlmPB>-IBv;kQ3NV#MeiT*eC^-Uyc@TY>`TvvyyG%TK#!E~VD4K{Fe6Mkjf{>nh zkTBoTfz&&Hm|n^)4YYxO*5D$y5T%tG&|01+0ZNvgwtM20IZ9y+jRPOCIbW<{#T+gw zKOL_JmE3G(`Dx?;7`A)pIecKjo>*&*1E5;{Pqanfa-5X2hyZbNO1{YvuZ(jV0-S`+ zKWWoc8Rs|NP+kmYON&IU`(4?Man6{K3Gw{!Clg>karIGsoQTn>Ut?4fD;*I1z7C*) zI8<*JI574D{zE<_&K2A1bNeh->?~ZlGf8(il=p>Q_fM&wUtIrDxi2|tklz+`-y`oA z?@h6uM&v1^YPj{ND;Y^u*L5=pk zxW#~-a-g4i3pPZ(7-=M#vAdcea#;&^Nxwz0^0=|uXq|7n6Yx6$v)2kmk(lw)sM9}wvkenh2{c_#`674qoj0Gf9=0Vd53PYu|H7=GZ4`zQ} zY+urO^OsT{K~S}g)oQI+6!gCOzRJOf+cBy3St(`LT98Gm+1XEHwi_v70}XuILG!`y zY5v!j6~56{y>oST zJ6;tcHo_Pxg!EVqEgPC<$pdD-0orO?L&#qCM*o~^b~DZIId)$D*; z_7G97U=*z*iRfSSFRJx!d~WNb85r>+R>XN`dhzteVX{`Woi@rpa1;+*MO%0d12EW# zlu&w@{clxv5TCBI2n~!5ob>ZWU&YFu+mL#kBCMRN<07}xWne+}pNbgP*@=hI!IE#cDUDAjS?4T;@3&<-#H zRj1jAXud134+>?MSd_9kWos!jd=UF5!j=bjw_LTPy1AEF_$iiU)l7o**oA% z4?|9N`rqr2E(;A4_8o~l?p=2jlkR?B4~ne0m^-7%GBP8p%AG3OI_-PLMmzKS$?o#U zhjkiz=b{VeHtuV!0{BeVYv0XZCO_tjRclIUJgLR!`}y*1aIaQ99IBH3kPu!m=YF*+ zwXZ^UvhhaB4v3-8M*||5hc+*B_xpa^$jY9Nlg%3?#2kT{Skk}W^RY^Ma0fUOejcMG zp=kH@`FxZ(_ImU7Fe60RDe`v-?uY8WR#M2c1M3C-NY^OjOl427?vISdN3<_h(pRib zEEFdNUsS*7=e16~MYR&F`%q-BoLR$eEzg=fJaW7w^0KCHLdT9S!ov8PQm~L9_55b! zD*(dZS6Y5AaXtT1$!g%R;D}K1*Ytyz*VFHn_S0rU#+2v4e`>BOzx4bIZ+*Et+;bbI zwnCdiEdK~q9rbW7&T>8}hT+MCOc7=dgF~A5kO*L2e67tP5R;dp(scaobZ)BA$>PxW z>-Wbc!N)@^6`1QJ!cR}M@VsCv`xt46t!k(iQKMZxBx=b6XE;_bC8@aWtQbmW?|O63 z77=F>k|YS+>auc@u&w1P8Bw5l2OsdVQAJNsVi8o4TC`r@$p$ebBACy88U3MzqOWG( zRU|3d&E(C3nP9|NRVaoB$v8jMyp0xKpgq*HycQrCdj%#A1wo^dn6PYQ@9Y^1>?!a3 z8vzMd(VnjO&-Xv*3DNE)1*PZC?k<QC zRt^Q{3U$jk1>-p6{DguCf?fc4TmS+O1N0xC6Y(K?v?BwdHkF>8M`yNFVNG2B$z(IXPE3d%<0T;MI1wRf#YJ z&$xZ=3MuMtjn3{4xL}J!G=!`fXeby0%TcPp(yDd;eX4<3jBCF(KampOVDXE&7qo`gZ7;4V*?dBSSCPG~`OcqDgtU3CJMJ3eSQwiqm*hzgG9Nvz_IX2*Ma z?c#y6{fx{tiUkr=HU7LrBh@cH2IXqbjE-1xRjYA*=FAyP3!zNBRUxEDa|7T2mSOS~ zu*Ptdc&B=%(BnJtHdCxN3kQ?qSL;p>u%1Sxv*X6Q;*nyofdnW|=aHwWqmk{8P3Io7|E+cS13Bk?@}{Sz_}ue;Jw7 zxzpE`oqx%|K?qT!j1w`%EYT9ws`MTzNP#GE&JjEbye1-k5Y!zB*=_n-+or!;+^tUV z`7u&6N_r#4twhB*eO}U9Ma*K*lpf&9j$!DmLC@nc7u~vd(?WVOt2i;>C(Ib@Icj-k zyq-HKdl-ATF{A@vh#FK3Alt}%{_Z+{9Bc62bAFKO-f*3B*Uauv&`*JEuooU;ESb;j zV@6UkN&~Wo`A0Uuf*uHZIh@QtN{Jp?;s@yYTljWOA~16K(Td|p@rt2z%pXtuq~Se|AW#AD2*fBLR4A#3dGm*4H&pmehv5L$)fp_w2qC8*G7_nOD>Zs>N)~rs zY4;gNS`|!ZGO`r3zs#=DZtVV!g&*MDZIR*!Db%|!2)1k2f58#bP6vr_;(k}(ZEJMz z>EBhDsp*}s@5aIOIji&^xDV6~4Hye?5!?z42E`82s$zu%Hq2s2m+D9QSw^dbMke=u z>3gZgdJIh-Sf=kKm3aKD^3Wi8z16+9*nTjr-}r7za0YK;=6vrxo}l*8!2qr2F5{bd zxc3Jo&jo!!z+`{X*%LV|_;^MTh}}2xsqf%xY{$WTy4HVl@Fk<$m@pe8Ko8hgj)=NO z<9{5o2LPYc3~twG6_VIL*f-PHhkqz(|NQg5p;hfDgYW`z#<3u|&j9FAl>7po={6vi zh%K$FL&}IibbLHv(K6HvY}@>%%oxQc9QcAR5{8xd3}i*CAY+9Ycs^ zh6x}Qp&;H<6yZxBq9HVrv&l39O&8NlpVdsPiY0+y*j!^YZd45)4Y5EF%nIWQjDuSA zs{h0=|HSZlUl`kYVI_`5$-{@?+oG(0e0`{ofWt=GRbeBan13h2Twot=swM#3q>+wV ziu40Iyt&Nda2=0~Tt!-xe8`^ORsAsphxH8iMTvWwxG^HYxCrU$k&(41Zv8P5`kOzv zi42UOpgM-K`cew}Qh^adD!!JV#TdC=1}42~tiXwj#?=@P`5 zr$q0q9wXO|&r!F2tQ>f-a)@YQOE0^hEekKegd^2S>D7r)EW&cr#{$e)U>qz5RaL$f zSB4@~zyYet0R~0lfPhbMq{>t3VQJ`~PbZ-06XhWy;OW|m3}{6tfQ&I){Rf6_^x4{A z1UT0G4q*hP9ITJ-dV5L$0Rb8ot)hpgTHyi6M+gdLK7d}**aeq&4`r}`r%N}i%Nmbp zi1vWAY5BKmP@xf20jB7?kE?1WdRle1npj9^quVSBRnwqofCtHPB%**HFVu;LmroBg z;fPCs6J&NFVFW(A zY?Si8h&9$3@d;MDuN-JO-EQ(Bz|{84`>@@>Kk(7hK*d-|z4W%((V)AEy`}kuh^KQT9=xkbdeFG)(vC`3Qi5+KyYL@)2=QysU}e0gx< z*ipcxAE|OyF!`6QcHUnLxjqP~FS&eCJ%af7JKahyg-JG;HC7iPn^bsh z+Ik(Rag}X;mE-N5D=Y)@xq6W1{fbEyb(P5CzIE492}wHk0VLX(w%#imB8Q)k2^7*Z$XUCRW)*}IK7tCH{Jz$N4H z&=3CE72MIk9^M%qYg9fw@CKLf6vwMSyf=XkBolVWMGXw8w+R1mgv z@Qya6LXZ53?XarJWl~ktIDR{HsO?kO!R43xA;5@k5Q$bOJdAI53|Hroe_O3KA^)Jw zHoV>HPwR)9>9JsO5}YN--id@s{$`vlt&{!v;%TjA!Nd}!t}WMn`wQ>AN^TWySP*m~&3 zD5W=@HFoZ9-=8Jh{&$DGRRt*+uCX9Y5rR>y2>_Uf_*@gnO>U(Dfyi~Mvn}y|AenzZ zgZ~*>w8nV*$m{Ndra@*!7=b-3(j-dC4%$)`Y1yO%yo#0(LEshP5Pthh^zA1SKjeT| z1=z-j%|;-{mG*zW-hb2IGo^A55s(2xpWasn#SZ>n?-zR-<8?0%2zmMvRm(()CbUKw z!=jH^agO`7$ZNws3nu-tXC-QyS31j9-@dYJGZz82dayk(&vzbFATyWE^~VocvSE4tIvn*pw?j0#9k zLu9PaV!uk8`{xv0P7CNkoO&9(IU0=`RkveERfO zPX3a-RH^0|FE<7UQOOR(BC20ed4+Dhcyt0rBfwPMM;H+CXDFc3DXYQi-`NFXGnSF7 zK?8f8qlF$apOOHOpL|V}<;<-XzBsK-ECqnW*tuEcdg+7DeMl*Xru3R-tAfP1T5Pe{ zMq6;#G5LRPzT0W4CMLU0PdF0`4^sP&2tHBu$qnKmw$q!8DEslkzlFl7i>olgxOvL$ zT5MWyW>0kVu9q__R4}?alYekoF<~I;sokmoxVnxOJX(5{&~g?%zX`h_ljG$h;HrS4 zaS*fjF{TeZ7Z+0*A8au@IMYw)Ny(p_@@707(NclXD2yB8XcjkNZDof=K9Pbi)nEE= z$c{1hq$}2}6gf9g00M#3#P8^%s53P=7(B_?xLyQMYX3KWW%FvImMGO2Q%L-Z=u+KY zlKNJptuME4LShhjaVru0RSdK#YKw{sgwDipZqC3tUCN}5l)b2JOA z>tG{QO1;)56`K1`I+ye8Q)Np5+tfGk!VCUaK&(%$Y&8&ZuC?$Yo~*zn?rwXJE6}rT^_+LNaUwN* zujjCe;jpiM6j3q&8W{5Ge{#ZfG;k<#bA&oKQ}D^Vrx9^9zDZGZG$s1p`u96ogG9e6 z`Q=0ZNrtgM{^R6%z11I7yuX~8okiLN)y|jLRId<@6>t0v==gH+EsFf>&#!USe*(WG zRr6l`c)_i3zEygg_VD^w?VGRHyUp(({@L$(xpQ?eNN#&`JR$t;=J%X|?UTc0?{9xE zzNgv#yZZI!>EFZs_qMlxuMWT6-h%R#Z*bGmxDsn1ihKmFkRbxxU<=Ink_DbR_z0pk z-oSW}Lmp*V?&H3)ympn0E9j2s&q%ImU+`NT8f zuP7UlRi`YzHW!f0V<^yRrs{4nL>I>QgW|=BsyB>+OTCEwJ}iJNC=q3AR#9fr+o^y< zP)4uRZ1-WjGYfATtndEFe(N)VhE)3w@Cp`dLMD=AIZc7Ik8mVbfy?to&cU@Gl~MgA zQ0Fo8%>c%4@3Jf($C1gR&lP1I-|@Z3l7TSGsyB_mzSt^s}Q0{Avs~^C%I4 zjF!>1yYrleVkEByWr!&8UH0~Jnv1xE?Rj-9abD$NKQr*3BLGqp6)Jq*E#V{vUDush zyr!cydiTyOoiaV>r(Qz5ZE-3eTJNG4{M_{c!O=t@3=pvmW-b3UWiMt=o?uz2XLi}` zLiPdPF}*3Y{&*UV@_#v|yCqgAJ8MrHz`fY(EbW-6?!B^6L{PhZC^XrTa?M@5>+w^* z9BUYM*8`G&ylLQPl$ezWD@sU@w{Q1N=aO0HWP**&7W zTJ76#M*SjsH07oU_`Mh}t&H_L<3tk{na`Kzq3Iy@rF0CWT}l>|pIED#99ME;^oFK$ zSHp-DV^SYx3b9^i{*$;SOCYk`{q>B~yRy#oO!ArEg0Vm}SA#(jx?GU4qG3yB*RP*( zsd-0|HG&ImyB-G3!&1xdio_{y%%%iD2kY%g6xMZIbU>l0o-Rn_ zL%~;S;IpK#aZEvaDJ@N(#$v60{*Fmau92+|u7Esmsc9o>AIMM?jC_}TYu45hm~yI? z{$x|zyhmN+4N<#*^P$zpLHMv9Rj#%}8-3HLl9}kgVgVceskJF~i?_mmyE6&DEzecFnP%~kWDwd_Q_K3%}eaf+fkT;0fS@dbww9#l1Svnh0xPv&KJz|WM zARRXtAie8SOtN*$HHSChP>G`lkxa?JI|L%tJB?8Es71(qyp|QrtDdy`#$Y?i*rNXJ)#Z4EbmFmOv&n z9go4wQrnCUEBZktpgat0M3GGg{N25w*UauC3u=#1yyX+JTVExF26w#wvajh6QtY&Q zi?h4SR0+mNC)&Mef?}d?HWSR${m>DyPF8yO@<4+(c zG{eF&PhzQinsOZA-W*ehgeQgVl0UT!VzUy9_hcRrfW*$%Cl$UrztYIoi8eq-|2LcW zk*-EmJu9|fW5Kt_6)zY}bwQNp7How_{FjGdZm+m9xzR&O{oP^O|I@=7{wdJ^y!Fk! z1JALZuZP~Z-QWKH+3%S622K;hBS)$q!k~vY^un`lSTQ&9bDlRKXY$_!0$D!qfk5F? z%>Fsi(UH(ByJ4D`$zhu1;Th*4$R!Q*%m92VZ*W0?zF0eSKpPmPLNPf=WRir&nV2J@ zc)8+86f;Vf&_MJ?gt#M}p|Y9uHs_e+!x5}%jyNX+zGg_408w_}Jk{lk>8|-gAn*XeCUaJUNYOA>%JkN#1ZK*az?js8u-x| z`*D>va1m3%`QO5ADcJ%txygTsEB}V$*zqh+@PGlKoOxJ*m=-mqpzT|}D02R@5lMI;Si%f5~9M9m0K4_IZ9}AAnvbA5lIl)gXNspQBE-^_hIQU72aHKnfP*< zR7Y{f0NGpWd16TkISUTyx^=~0l=A!Yy!RJS?n6$7zU+KI*gJm^g%4tQ z6vcSr%XpN)fI=&;4~1go4<*}ExgS|vTW^(<0t9#N3!RJeH3jGdVub-P8$< zm3=Z?E;O}y_w(1t$wXtWT;uh=#QN1>lV=uTSRC)K+ITnqeTMpBKjv{LJ;Vt+3-+tGI ztEyM1T9`gCR5MKtpmK0Bb5ql6Fcm8`;nOv>PEa#Vt28}aR54M1;6P(p5obx=ZqGn# z{#e!g?XcCxrumqf#m{sLLb!Hn&g*uy2a>rD_PK2CpBo%;=~d;}Hk@%cN!lTDt=t!^ zD5{+BN9^v!8^;`4^Q$`@pSXN#bNniK{o~BF4EtzD(kY$G7T+vNlZFT59O^aZW~=TY zQtj~-?%dSQQ!nKfhvz=f4&QPP&QaPA-|Yp8Iu5c~xV*dX_?>;oi5+ zYMus_xt*h446bhEiGg)40md3EB;Fn*=0%jtvc6@$YJq+e8h(}dzV}^x+eZDs$G+TS z{=Cb<1bI=Ai;$ak=e;Tq9qE7v<{@Uwo(6QD#&n9d9f9`F0iM#qfx*F{9l=kQ1AQ)n z`I^?xgCB5f4TLJ%o0g6pQpSe%{}>wMkEUKx#Q^akw;CpB!9c`LyodBD&gX8tjG;Srt#YVv2oC?g>|THOvW=T8HY%u{p4)Pb&9(FS z$XNVTeV=y9TkNwc0!)>UcIx_N-?>b!t3w|Fx@X~8O)tE^WCteV(F{yCcP#5dJJ~mZgp+>51vTFqDfa^N>eDg)P$K?*S(p{{GWKkcYm1#yFxdiR2o?ZS)|UH_+PJAype4^pX}@0zTKiPyxkAnUt3VLG{Q3*@ z-J%3p9lTCno_%NBsghh^w?B_MOpXj&yRJkA=wFNccBJ~qwpu~voQ@Il^jew`C|!C{ z^mv6Yt~BW9RfF(Y{OTLrZ}0bJ_4hy4{@c)Ryhv?aA3D(gL2&DSec*)ytUE#|hCw-* z=f;MoX0w08(u5qF6r9s9uBTls#K2zeNIc^6&28s7VOS|t;SP#})-nSjW z%>^+UZ?&3J04WH@__^<>3^)mfx@EAdLw-CCKh@yAkm!9;34c}@aS7yaxK5Trh7ULS zri6xg+?kr>-+37uuBrwp|ZnwSTy;kld51OFj5KJ znI2<-4@IrNd##=P$=ZH%wx|e$#90lWO;yjsJbFZX-?Hy3uuZ=m_79%b8~ltah7(@_>Z$&uEF-ANO6pOuC?S zYf&CepVzr!X95SC-O+q`#COU%{+jCBJV~ zJ3du^ar&wFo}uZf`rkR@&{M3fFBP>O5FJ*kN3Bm@rtdkY#qYODCu#D>{EIjGi}V5V z=P9h=-fCO1D0h}AksV=Yw>tO%mB%Rcy#1&z>Z_t6n-7LT|L>2d_^G_K7DQa89K#mY zk{finfRm(yJB?W}uES#*E1{)_Tbx$7&Q?aPqXTDF*tYeFw&{8*o8mJkmrs_Tij2;_ z69$}{6v0ppY>YFnw_mp1QQ1Gg4?BEpag|h?bwZj&usOL&JrF-{_vd=}jK%FQU3Zg` zlWMz7_TlzRwqKGKA8gf$#xJeC%%CYF&*cG~{Kt_Q#8h@=M$5liO`@ z6^O`O?fAL!^;6sH+JWoWx7Vfkm(5TAbPfFJW94bR{WJ3PX5#6ep@Ew@<-d!!Ki)t6 z`{nlU_kpLY-y&zs-FLpZ9k6nr4458BvjJF8hbchBsE{a9ij5;-l8Yb^EgMdv=C|xk z6fGb9&+WM(5UUu^;M6HlND`}@jJ>CXXLzgn&Po6WLf_x&{LVb7K-h6+gYZ6F4^WI- zQttt;M39rk%xP?~P)4I?r^C*Ypz(f|>}!=2(0L#Yv(G?}xVm zyDNS2$LQX^@QW`PVwtA3!B}D@rHGbF4bC6h^hb~eV2E{{2%5OAE9N+sE-4+!%z$y8 z&3v%W_Nug?D!N>rse+B{r7B|+r~k0X8xgM*@9YON4&K|NU+9xQ=&ic*TW-=AEXcYt zw(Uggo?ED=DE6Gk`o?whnB+32qZ;yXMEV!H;_U{d~(RgKei+B$EDFkfOr>Bx(S=b z-E$>!C)u0HycSA9K-bq_pFd3L9k z2rG;2i45av6{Q0^YaGmg&`^Y_cfYfdfu6ldgzu=S>-{XU_n@Y?BlLfWfGL7^%;F!c zcs*yaq{`Om#2M324BN^!VaqsYp{};9H3X^=j?22Ig>@y&v_{o*I?Td9?IGLcshNsJ z0SLYQH0to-h=ZJis7~i|n>1@Nz=gwl7G7(y75$~7O!o{~(O@?B9!Y-h{G$^cS=e@2 zT1mrO##;AV0;$<~RW$Kg(Mb{#hgl4*usxmJy>kOi|FhF~*q=jecI@T3Q%|)PBZCWr zS*Zxks%)E8?BR4qUnv+Ff+MxMw5PQUm@(3E^rxg05?y5BDy$nRD$+pj+%FXV(T6@i zL)JT%`Gz)IdBEmHIa%{-orn$bmlP|_c=zMHBXD_b^$uLp|M6->Qxwu)I5;zac-Y9h z9W?bo2|ENIu>cHYF__}qw9YV#$U5rHi?&lp z4T${>Blw@)h5mu6>c4vQrFraZDv`Xy0D z#(E1vhgwaH3@c0e5ijDD@&*Y&03Xlq+q*l1&(S#3Jfz*$8|GM$BK?TPDk(^9^rCy`P z)(~4C z$~ZBTiyk2JDjfr<1Z$EQHEPuk-etQcw0GplL&am1r(e`;^UPdc23|Bs!S>e>#ku{y+hR_pKQnE<&Bycs>gU zR>>%+NmL+85ZO!(phOb%x|+%T?Y!7P+bVMLc!0?%jvm2Bjgs7LIvfIOwknTgxq~&{ z)G%s2-Quc0YZv9FW~OXcI-2G$AHgU-4{3lx`20~LJrc8yHqL@vw0)M4POmeV&U353 zx)0vhpL@pQ`XZDxADPftro=rvfq!QFIpW(wQwP?oL_TEv@Om4afmiGrbi#-&W64R9 z%!n36B<6Ip#Cqm<5?GSHS}Hg)EqdD4;BHI2I%i7Fq3k+FO13sv;PH%rr4#=g$0x-U z0))zf5uVy6KXo_Y<|%X_R3(&x3BP-2<)PDW+4=-JcE1N zi4(Cf1WVvru@iPSRpFi;8SW=f@4@Y*`#X~LQ^SLh!)xZ0br_Zw>xGAi4`mh8?j$z0h@dz(c5Kgab}^m+FbUXbcTN8aI5 zpo%tMJo?AS`Js@OD|4l^$lM!OyL)krN`chPhz>*85fU$nHJ%0{R+vghTdQQ>RXo64 z!&=k{>N5l_f!S9KOPZsMO9eZ6<97%3M{$j0BEPhmDnPW_V`2lJM*6WN%fqy7NDF+| zJLVU_1we>*9{HSfz-LCvU{NEaZnkO)}7B-&{VJ z{hYzauDZ??C9Nf+Pmv}J*iY({U`W?;rdcv&3WgPO_{^Xo-c=~7rnbr4kPGvzx*M)#1Kaw#R~U+ zx%Ijn=8rs1@$Ow$Nw^%-i9E@E)4M6mnmO(m`Mc<__ouRV$h+!dkO?lyFJk|&l!{0` z&}Fh*_hV>OFT*L%ce%GV+4cbJPQM4XM(AYEIk!{C6ndqpP_d1|jniPhel;gf;`XSJn3}{n^>!Q7Gp-VWE zhGq0zjIaemUIs(iF+<~AjG`+LF^kXgkwUdoYJM3?ZxLm`4K?J6TWd}TnoD3X3L-jA z;6}zVxx@jOxC8>0r7M?j9t`m*vw^|lx7Rg)|#v|O^zOm)V@m&H7)j*kmr>P z*$p_UfqvW?XQ@}fE$?%VwA_;jnJc(qXwHv(?q1iNZ5bZa6`vSkrq!pMrzbh5`PpSC zz6*7klp5%8Z?_={0aD`75pWD zSF!+1BG|EDXhE#Ci>8IQD1fHG)L-(!TS-OrmL`kK?EEleBGiP zSM!n~hTwzQF$Mq-5FUtdVSh9IevB}RG0haXVfOuR_`@QNs_ z=t}lgb(bjmvCR8V1t;QV<+Vx;FJ#POBrRhcpNmTeu0tmz%ETs%tjo)iKFEHUc;#9y zwfsve;fz~)^6u2Hn(T*1DMYz~c! zhbhiEQoQpN`Tzqx*edxd5UBSwL)kkb85#QVOdS=42SKqxd?&;aVISUyyPF zAaG1nLhIBBAu=IE0NF;_WlRl4Ky6MK(kcVVw19ldh=Md({`N=tPO7s0LTSpO#8g!> zcMviaw<-#p3=?k^lFltqCdp|o;T6esQ503-1xjYx^6fG5Ry*>eL{;N_%!VIovfPxs zCOK!oieF=*->n$HE2IY!GED+#eCkxIuU|ocEU!=0jc!T>mbFV^jd9o7)T$J-+SE(G zU$1i1ocmYb-$Gzks#(BwM^rVR-Kxp$RnaT8%qHbF!*#N5$TK3HKO434r%1G19Xwk# zda)kAr_OAl?y|daEwMiRf+BW7-J4p2Ktv<3LOqhRB{aJw#00u4p(&_CwFuLMV1UAhF+&1snf37T519%*wpEu)-`_CII?le zJDRPV*RJ~RRCg}hlO#^>(gaH8R6$?wxDl&k@l1c&UNbR3*z8*WE+^%a(2hey&+p!f z2h#9U)qpg$#PGkRIkh)(=T{`AkXyCIackQ;eGAwnXz^<~uNvl2H&RTNSVVVnYL}&1 zwOSTJZRjbI-Ju!bUBBX>Dy!{fkCH$xP|bQMinyoQ-IVRLZ3d#}W<@1G3bk3aB?a1h znw{96*tZIs_Yqtssx%gUat@|TCc5)x1Z<`&yVcimJ=yZ+1jnYiVYhc*Z)T5j z1`eGWYTC@4xB}a!Ontd9lq7=zn{9dL^7~gk*CS`y;rPj}h;y4`2syhH)9FvVX(uz_c|ray30oVppbiH|};| z+#3*}b#@z?)Khm{v2*O@*AJRq1MJBaT)UtK-HAh7W0J4wCwy;rxh8hSG$*3dm&)x|<+f|Q%L zvzyh;skYs!6mhnBD4-pbT>-syGibNEn92jO#!=dcLa%4oHN zl-^6v&Bu8uz}W>rEHD0b!Tq0}HU?`V=FzvmoPO>Xq3E?K#osIFwj;n3@hULwi*1yk zmqZiE#Tqd(edLqlSJmS$2WHUt=PN~cD>q+mJ*u80ekc9-*7LC`?WfnYYssWvYPLQc z^tqLP`S_=8WvSh)lT@X9ANuc}C*9YTH}`y7zIeA5f8!`xUGH7}W21}xyZFf5GKztCAY8l3vNI~QOE>(mHrSF(8l-D^&!1vfndJ|b9%+E z>%65K0(9$!2kQy>o8e#lq=PqXOVq4O?%CZ7G3W@evxd6O1UQo!*%F}rBl*1g={!mV zf>;8>ynF&me}??~X-c=vF1pRm_R~LME8aZl(Saq7=FhZLzLfrZnfi$md7Ie>(ELpEXPzvfP@t;Vb8;+zKWuX{i$4S*+&O8ACR*>wG)+Lo;x5HJ!BxrBr1=-SNepgW@kOimOr~M8gEiPO z$;sTSRwW}YjdKV&3GXwc6F#HAr6725`z&)joh&Jdvg@osCd2wL2@fZk`a$l4D;UL( z3;@Wk?@U!Cd}b7yEt`}rSionVbj4z6??LP1#YU&qrG2YTU#hKD)Y*u9a^mn8 z86Sd&M#h@llUyW0TBX2lzZYjt@{dfvjWC)~n~9pSuDNx4x`ic&`o41&!MjpxHcLaZ~yj9WGQSC*X~%nB$;* zHzu38e`?tEoRkS|>D_$2Ek=NFaI!ZhLYHtA{|`&&;ZN1$|M7FV<~6RpF0M^R_NHrP zZy6yMSyA?;u9_Am;5^RbtjBr0-p}{*CHBhg zZ%@J=;mo*Q|p$(wpf zK?0TM%HRz3&~K*i_zB3B#Y@4JkKE9-f25!{UDDF}eGp%-gx+|`Y-*L`@8)FcWI~NT zboR6dyJXLAw{syLTU z;CYwP^XSmrM1vvF!c1R=0a*1jf1VHv7rpfYq%yQVfk$BS-DfQM2mmXD_{g;@>a&V&d-x3_c*w5yH_s3aTTqeqXibl5N*@%c*=v802}v=z8W>AddqCm(bZR zv-4aFFZUJ=?Ya{dZh9SaKa_G4mkh@$mJfmw|J^&o3Rbh4THb%$uLEcef9zQ|4?hX5 zX(Me(My1nDPRV>hh`udw%ylHml}(gkv;3VCm)TT;DL=-LOHF=x}-kcAf3{DwY!>FpBv;g zeX)^XgNHqqPW|l*+3-byL;x6VYz8>IKtm-V4LvbNu z!>uWCM+swxVw7QK;LlZ)8XflM^8&dl6+`xJbBmSf27^%%PY}4naiPpiJwqO2S|As# zy(m%{w%#r+9hjc$_Gyj!Z@>qiI{!P-fPBH&-W`{>C>rQnu4K=mAyp(bK-vw1tPiMu zDj$vCzlBl?|wJiQ3*}*}U1nXJ3LvS4DWEcs{8?yNfPzRVD z$v~PKv>cdN`9>}+BLtd2wk%$8Ivo!IrGv~{o`?$M^^4IS5Qf!70sJj}n$VAE+Uz0A zrA({JbyJev5&?=GU=j2y9ZLT}{El1x(m;)0?t}suQr45B^RK?Hgpvj!M@S zAqSjA)>!__#7e#p-DBfrQE(c3FfjqZf4t$?7c5qY_RS0qWz z4XT3iI7R@B>UVRQQ;%`M_A;r}(ScZflKnMfsEt+}-cun`2~ds@`ic!LsU(hDBE7Js zIxQ5O4~G9wZ~4OjqW$dFIGFs{XN9fO^6Mj;b$+YXulC8Rp>!qnh*Md#VRFEtlYNWH zsmS+hbkK6oVz?-AhZ>7_;8)uR``yr|Zw@HJ@-LSviar2U&R(clh=4`PcKd0h_p|Fu zhhK_JnEpPLGDQTA;z=2XMAj#oq=PL-#kz;?X&tqWz9WU3b?+JdUcA!AgVQj1(dP`l ziH6(F)CPps0<@!?c zQ(`<0_S@t+!2bHz63lQkp!qP*2DT!%*~st#arpSe&h1}rDMKC-Rm^_9@#_yikhI|@ z(xT+?ACoQdGT?2vu7|gEK~o8%3_ulj!(^{Umr?opu!_Gb?kwnXAL=hMUu;6_fRcec zHM`Hhp*BjfPXP>~jJ)AarXkrRKmW(Vr#J&U|Jd#Wlvxl_saF#z9C~CE^r_wcS2J7< z#Ibdr9N&Rqca%7r3TB-sup`35e6jn6bJZ8CX=*Qmt**pi@2cnGQWCv@Cdc+xQa`7|L}nFxEpKL)0BxXbt%J)5 z>h6klH_8BS^alGRTw>|CiM;C~N9lAyg1KDO z?kFn2p8)Yv{9x-rMI`5Q0Zg4tjr>^5gQG$~v#Wm~$xP?}L#7$pI%U7-s(oog zo*sQ4GrcC+HNt-e{BZAcJKB(ptW{s3^oDH<7wTvUPWxV>7UBW34gBFA*)Ei3d|+Pi?fC6BmH&KuC7A+SRL zd!X=}CBZ?IQNrzpanM6(98Cs-yb%%mJ@J)1U+NxdI+QGao^(E){GU1HEFw9_J@G{X zS%^e;dftorjg;5p-2pZ&vGT+{HfqO(WZfmCnc`&G0BbuYSx|`OIVlr>X^9Q#Zoq@nv{L8$Ph_Vo)81M zq~s0Z;p5U6z=?Va-?@`Q3)^_{Q(r@qUh+~uSPZ6Q1%5d65E;iT&2@BdPnNT^3R6_ZYxyb>GAcTGqMpqRJW7nhggf`}E??^xh$EFRw9_9p z$Y|tVS~tJDS<+0|UYK}%U7l-b?xt9i$=)0AZbDdO@t1ucb81Jezl2s87%QZY?ygr)8NZt_lGvnuynFxO3{Wc=|v(`$wS>sKVdyl z{k1{<6n*hl2I*BPX?b7sM8K;NWyooTXlviib$m6eV|cmGaU>3`mL{x#3zy(1S@&;G zw?}Tx0|u<3-)3g>9{F_Yw3v-c=>ODcbTHg5f>OufLU8Cu@IK05L} z){MK`S+Cqhk5to)_imDS-4&X^IyyI2@4amcMD1O@CWQIzL_G%NhA|cHm)O;WERzEz z!n9?Rw^Jl6Wf2%t6;(a=+(6>pE(OXHxP>~)<;kVHvLejFW z1s1H410ydseg9h3Fn!5x#rfgh$v>a%JtKGf;4m<5h5r4wW~ zd|9#}@kyawB+zUeobIlt+V%qNWZ&~p`6%)jviC^FvvbyZk(?(k`tv*l5TmW9+=p z5V&Bb)MR1X)U1+&Jj`!LC*RkQp<2If-;nyCp?P+i)!LJkcCp3N$#5mz_8yzDAiuUAeiBkEOZ^P1iCp@l)qt>~m z)TK0k!lvBl>Cn5NJge8yPlp?eRUW$R9DbwpE!<%=xpUmSXVTWt#fxFaxwAK}b1WP; zSfL`<+gZDya@)(W1)|Dlv%IPg;i!W)__Q4&RF!jPsauvmU$)n3EHnF}!Vy`(d*bW} zx$WiF5SKF{j)z=&8cP3i#>uu-DTWf@H!5`67M2qU(?@(%}%rb%}^INo>s#mtnnv zMm@?=`ITBeR87{1MbiJ?qo@(arSW zs5|St-Bo_j0Rl zW$31X6#)Md5l&bAMro@WJHaxFp=^%r9>k9}1Nc{L;ZJ077kyEivKIlj!DsA9Q(ckc zBy7_w=Szp-`=W1a^Q1EsCB6gSa{Hh|;LZ3w=vaiwhe?u&E3bhK&Y^tLVF@F$=HGMK z>P}M4^EJYJkBr7vsjI1la6bS{7aA@O+bbNcP7(OtdK zpJj#zLmWMLD(@D={S#gjhg)MT(Z?eHMApLwefG#5QB4_H*ps0mpyBVtGq>)5$NMlL2PQaF$kY~)1q;5XAW|&?clH3 zb=A1~%uD>0zoorz!ePp++0=RTJr;*Uav{{`Hgn7^z+&Sk4rAo}bM~QOvVA0RoubSA zk;+a*KTG4}cSKf)VKv!&bAFw*HUcTWF3Gmf(f0*}KERyrcvRVYA2-%nHKME@oZdU; z4su;iuqv*P$$5@?+2fHF^!wzad+~{D8eL*ea@=B&>$4z{t?$}wH-sfc79T~1%Bdw& zaL}{hkhD`?|KQNt;P9?s);GZs%fU}~Psyf&pHYWIah`<}ghby7i8VfJk~m{pyKn9r zvi$ysTyjJ0%>9He%G#d|o-eT8Rv~H6vg%!$j(emH*Q7H9(zE0iT8OG!?`7(~kL%Go zZ#&NFz&9=AJx}iJl<8V*!d#0zolYz4LiP+A%6kxc(EkYh^p5yDGm`7P>H4f(>&H~T z-N;Y7&;~hFd)OG|Vion$WG9vCP4-$G)}&*f@BlKmli&Cylr(MpPo})x+}|dq0B5$a z)xV2B&KB!SK)&z8R~OGdY@daZgBH(=1wMoY#f04)_HX1;`=LS!4^VoUG*BC{y-3Qa zN`2=^#NBUg)%v6#gqK-mpCA1sr4v&oPF)5YkzSx5TrRe=%r0{%MB)u23Am7&kCkUr zJBDl{|BNcnZgo&Fs!Ue8Jv~~UA;du#pU&&EP!V@gj}k9!-KEK0rv0@nAev17Ih_ze ztbqUS#x%PnEV{Z~8B;6xZ>DU<78k#9Nq=z1>37U$zJK!X9OM0U;%oCB3~?&#A^R(5wrgK%YR)8Pt-zebBS(!?Sa1ApIZ)S5Q^BJq$Qljq4z>f7||&t$FJ zG8HO2h=bx9Nnx1h;00hVaAx<3t0UFBVb zdOGl&0#2>S2VhEKuAsP;815V8Bjk`-cHDw6BX3nrY`ixvxoo?B((+tc!i4Y=835d= zY{|>_U8b*Rq4C$%arEY#)&Qs$fxUQ%Jcf0i^t@_!6Jo7xi}7500@R$|`wdzk<8F<# zwVD!Hi6+Mr70d7BTi+~G)NH8Aj8qTSvu+TVy3KOi!VNP{;{NkI9*`)0P}amHi0Ywh z24SRsS&kZaAGy>>-64ha@j2WGM2d1XA@43TIit*4pT zOkP>lNUOPFcD2sT&SESN{6sLhwv<+msk2o#PMO(OH_hq2Lq7Whm4H^<{%Q6~AU}nb zRNmirtJ)4IgJ3>w2W|arBYcS&VgK;K`a%2dk9CYlvyYC6OdK*kN|os6RDK$WDOP~s z%5KfDZw?`A((+Y;43lL_;5OAmvZ4#k^K|0>g$P$s{@BM*`b&8JwYYhT?y@kwD z87!P^o98D&eUp`k-n(rDYi+5U^o*5vHizAl7vo>wia z$x=%#YCjIEI}_3oFo3 zMrs&PG70(o_it8gsxs}~M>UqQvQhSjwyEcTd*|LrWSf<{$Q+VB4mCLj=Wj=;%7Kv` zE02i7`Su5x;t5|WXy`%r3(qM6CRXLBgYWw7gfIYxZ*F$>iOH6+-Z_OlDD3y=3epl# z_N%WxskZPY(mFcAvM3H3uHvlG=W=EHgZHQ?Ou^lBa%Dg9ggg_DTA;f?(}>yz7lkt% zV!P49)FU3BzA#4pW1sb#Rv)+K%?s*Bk8-Z!2Eco2S%66|8^KV5tTI5AlhBt?gkr6J zL;EUqu#Z>lH@M+@KjJBZS{8}{zsQ4dH=9O7URFL5KFU@81AYB6wv_#|(oOTvYYh%Y z2p7u&^N})tXEeM7?VXYUeD zxRgDC&yk_XNNIKCSdjwjmn`n2K0^iryV1JIq_@htQ4~e5O5btwdvD#3h()EoEW$C4g%B^0t{S5l z*GoZx8+QaA@kbVEaQqhkVnor6_TT7*VWFj(wfrbu0u=d}ytb_7M`1mmURWQHD^B+R z>>-fI&YeqXgz+ySQhC2}a@LW`RaQ>e>R}|zh_Y!Ulrl!&I*}cwdI{VDRE`IXZPb~g z|DQ7CB?VYT);KR`eI2z0d0rG44W^sX4F2}g5Uy~@?A|BQV>yfWZtX3gU znkpYfP{mTIe~6+7z?ezAfXj$EARsu+I$<(uGu37Z`Tr6idij#Cu0aiNGveGMGmQRA zNp}q(3gke%XcccMAaAtS0z;4HdLEY~s|NFNNL%h+!t?-b+Ch7QG(7gzX)5`nx!wmg?FS3fECj@*Vw zz|-&qA+S$ba{fF+{53(QMlb5RN{Q*g)|(VXqa4lv@|`6IkSCyCY%fSueM2 zVI9>$lt-Uu->nA{$-5ORjJ@&$lCLDto?dHgwP*eEOA=|}+iRSJ3WMrPztfYw)_HjT zBaHnb@qypg1vC_f&0b07R2Df3J1cy>za;sh9qS~(lMgzm&dsxmLzxWnuze`;{-4?c zQ4M!tG@1Hw^-DgSr5HNe@bs6R>>aj^Zypc)L)}(g<;}^)@fC-q``>;KN1rQzO#1FP zuV8g;ds#mNvt`1M^NhlKCuu-U??LI~{&qQVk~~dO6U}t>H1 z{6nJL$a2s9`=$rAPvU{Q;W?wEwEi*Qb8>!}o=lZr7tvv<0Pa09{!Vu#UJ z4Da@nm9Pm=0aTyD6WF5kOKuF$yPlu>{ky_?`4*>+u(!fqK5J@K zIi(njPTk&wM($p#g{eKQJFX!F{&c)*d&a#}WCu;TQTu%5^5KYoO!1IxH18|%BewEE z<0Yq(V95G!+OU{(5VL=U@gQkt*e2`$Bo}H$z~dJ|q~*U7hzvJf_s-2Uby4N`>ibs+ zKwFabM6~OC>_xO(>h7=BGJyVTI*D`y2}&LW)PRfH>6ca0IYCE-e>OPr=ga&6L4gY) zd|G?^Z9ebDWZeiDCSH6f+J8#qpg0evHXu_|CSU^TMbab4r+W-^+1I%G_y>DLeKe$f z)V$^4V7-#c>{siiuYMX9|MGqHdk42k$+d}IQtNm{*qQo)D+X3)>)p4sJ+ri-A`kr;$-50N3Ge@dy}c>j($EiUBQg5;PlEtS|q&cAQW~7W{-0xyBI9Ci>!54nvoBBXNES zIDLQ%6!6Ng0uE_|qvSdK$HAI9U@hxdQV+0p2v|oXRyVy*W@;1zHu zrKa(XG><~d6sD!{bKw5o0IhoFJ?wyG-D@k8V(auco07OM8^pHjU)83Gxcjw|@+g39 zEeClXB!V79q!Ge7IM5;jgwW&YGfa`Sag}_ELh)yR*JUY zN4eDSiaU>#$Apx~l$pp(P)m|(U6v7ySS7YUMBc=C!}nyOW&XFYMC3Yq2^3M-p=&{otCuO%{X5J8JSU!kOLKEdbW4J5bY-!LX%3% z)}t^k4{wp#%YL>0^YeKC6=<6;0mG*T{^h`^`94K&fvXQWs)N=fcVg7PwiwCT{+4c_ zXU|R+G|G2u`pZ;k{O!Z?W3TcT6z+L-oPVg`-+a^gB9| zQ;gD6N8LNIkT^zgdh`1(z(9?{pc|Hg75v88L8tzJZ%}^dV{lZJp*#Fbt;I$a^F(T4Rp5|nXrZr0apr3a4R)@i@&hKCUJ{+12pp=Y^%cwEQWbB(1 z7kX9hF>EmM^1gxA2jfEv{@iGa5zz-PI5uAV5c;~Ynm25aum0ZR!Wl)YNl@=m)8_HI zM`-GsP(d3cZ>hp;9z2U0!eQN4M3PII;iZh=6fy1XShItNshU2i7!7LnkQbyr{dog z82k7!X$84yvCY3aXJ-l|x9yI6?|9nPp*5-5a`b0_F!=~k%cNIJ(wrmeivl~fH#qz8>y2G5M{tdIVMV>-ep z_XKA;!>T_25b3J_W7_)X2wH_<6uI=EK3(5ZLm7KWdyOfJc-Pz-H}#r#61fJ_iWEm; zS3P^8MbwMB1wbWk!H8ZAv6f5s~RUs@Sc`j4Ik>VH?~ zV;S>HZpJ1zopmgw6E*TeY^AiYYg+dC43%x@%E7ywlL;5sO(9C!BvxAPelfG9qT9N( zx@H5y9+PgCbb<_hf;qJK4JtE&Hd?iAGY_b+=sd01CM^Ke?hs7XJ>0Z{nkVTb?3RHP zd8gV>s{N5!vc6M#yk45oqfjTKy8ICO>NVX#67p4PfVF2 zO_|X&pzH}2c6C;voUL+NkfzyDdF|#Zjq!u(uKF5hvPn>H4Y$qU_I(PTd3%QxF})^( zzpT4|SB-Y#GY=2U_S(LJD<|(U-sVsAIbR(;_%*4oe4Auhtb)p10?m5KEd~ghPX>B7 z13J1y3~C^h8dtWu#PkkyA0aIPK=-v=rtbz$YsXFN=zhHGYZ3aAU$25*e`N`@!678M zWw(=Uc}{Oagu5Y|39jr?o2LT}&Ab#DZ05tV$;k-_-wM=J)+2N^jwr8)OM z&ge^{9Ea|)YYZq;#lm-UuHb0(e+HA4y+xuSD&<}ogvKXL%Wfix znYdZ^n}mGBw=N?6b(WQd?mRh#hjcUTm!rLjE(Mm!mk)Bj_Ht=P(VYP*R zr-ebrKI|Pm&!w*b)4qx6ldb^?JfeZ6U6LdCkfX4-#^s(dtf{D8T!x1!#8ZX&Fna$L0llKy&OGn0|M=jbhBP) zI$LSWL0vimmN}$zg{)C5M#gN`Mr+I_Up0El4@?E9Oxcdd=&el-1I(G4On3slw*y`T z=2_tL42+L0pB<_H&bRbG&WSs=&I+_CJhr)Qa_`OY{f0o>_G4Sl*!#W5c2j}&3xT%7 z$M##t4-Nwzq--8s1|lIRNV=033@45}K~BQWj<XsH({_3$rv{j*r}kT~13IOmXf&$D>{kmuoN&tpOolFt&d zLJ|wl64T^?lCz|SkmUBW-Od3%W8SjW9GFa-L2Xn!$FS z!4sM(e4Z&4nq_{LrWBg3f1Yg~nqzyO;~bjnd7i7UknPr@JSd-crzJ03K0o+I5;VtEKA-8T+zTyC+1fyyaaw}b}uuI{2VOx~H;5MIftrVy|p6rVwqK3cVuy=$cnA$azpmlYAm zEpn!s&_jbku|wsXgu7`%q7=8~nrxMtpM^I$C_Re_Z{0op$Q1sJt(R*1(_?1BxKLQ$k1>+avc-gGZ!Ass*LE(FRfyliE3BDzB^xiN5~KBrjS>YDGQ%*h@6%BEGVc( zmTtx@{&aCUa$Wdo6m`TiPXb||SlXiK*12vnSjeq!3X7MKZT>wJf@*kyvM|&d;cw^8 zPfY(-c0UR4$SHWCMi-twll!+R@NfN)@Q?np&ENk%jeUN$t8pSHFO7^M!vK8S!TSx@ zzihAf%)78_pVxLzZ;^ICh4fNybUY(eoiDr|ChXdCi@dn4iXx0{r;L%ru4b;Xbp_<5 ze)BOybKXISTW3S*Gm7~wicg8Mmq4(31*vnQFqpij{>amlWyc7I7HxSO7|kt*1APo10m5;Vh-nXqN4SrsO; zW%JZ}J&^;CU@-bO=S!$&DPYQ*ed191YV(4TlK@wQUdU>NQl8|+N?4+p`A_2;hu)s!d2 zYz0ZA6PW=LC1UK+5{6S6boPxC+HVsACiQN2icT4xZ#MGswK@~$R_)P9^V(w)26NQM+~vFGPMVnxCx4$-9N5g}F{dWs#Gq_--a7l45|J5XZGIa} zHf5#|H)!Lo5yn`qKJG5d?IYAAZQ?y2H?wH7!k|s4t}LNzdY4@kUG_vvlCdn-+~sW6 zPUAHVkKqk!I+&{Rk9j>^iz9zM(_Y<=myhe|ra80IINp&_k8F^ZH*5}aSRd({nt+TU zvExf(8Kim{Iu7#@^HRtrqi>u~#}p%x|;6B|VC~ zJ~uyfFInI}3idkRsFKa66bQrlhWBciETUflbX20B=KTCRX3 z*bn$vah=K=HGfaFYWk(!!_6={+nh3P^)!MAK}W({-9JpsScBmJ_XV?~PI{S|W#C?n zl}$FOZbBy1^&6C)Vg=kVO~sDvfi&gKl56>CY?^%Wr>P+MC*DK#M6)so%eDHKbgy(? z#>>?*jO(qFPT!NfnNQFv6(!%Je=uS)12vBa>Zg40AZ3ZTD&&)Dkx%LfY==g-eLGh&U=rr4^ zpP}>NX^Katg%LS$*E3*DJUP_BSSXIOSe(G(y-=RB9!1z!ukwg9Uut)9*Mh#IU%KB| z4EMYoO|}PP*9iLCJQxTminq%px&neS@kn)TtqD71hL&nrejP-GJuddPsR1{hT(3IJkHZy-LTYucE zb?VE+`xP4&9q9&$!|DGZwR!9K%(L*wUcx^uU)VeDrIj+yNZX2oEe0esT849KXwJ9n zI%U3NWPR?Mzo{_v{VacXXA2lL}Kx%Ka45+7?VaMvoVFjj1OXpWnrKEqbHGDjXiR$H*m zEQ=MKu+a>bK-eclHNu)F`XcnXPLd&+Y=-K`i90#_HcX7s5;UzcJ7y$uS*C9i2@rS?=q% zK9c%8smsK3QM!Fkc)IR8(G@e}`-PS=JYRn$V3c-Y5YGb<+T}JekF^ZQL^tXrPu;AA3!})xjkXMJV&arNH@S)m1vXmD`%GHt9)uX;* zi6vH&t_UU%PEBzw)pZ)QC@@xeh{U9@Ko=ne)>B0%jpa%sfcdjF=Th0GcZDDRn7vWt zt8qPk<6-YLHXMlQ3`6$7Cx#QYLS}W=!?NV_{0?lD=EYf`&;#FO2iO|R+}VJ_l5g5G*g6-} z`JnCtzpP@|Pa&)GVVfnt7hSMl5--mG%ZUq@VZRmT&PPL+{EP9h4YkuxfWbaEthSwK zkMxw^&NskU4#~>r^NFzKBY6-Hoc>@i{?_{9U!Z6W_Gcq*>iGJ&F3orUeK(dx6hi~7f0?HRuuxV%DjgiIUKswasnUV63>&~!A2ac1EFRr&e9KsjeU46ATZrUdcN6*2!NGq8QEkSKss8Ic4BE?Jc>OC%gn-VnplOF}@urAr+4KFB}V1gLSMyQ^yX6qaXxus$Y&+)eYhwlni!i zSXnN%69jnC5v!v{)J{cHzCuiap~I*x+8JXX?GgKnVy2hxbfD$T>aq?Oyq`?I8X?$H3aWSw%nF0c6jd%BclMRS(rq^x)7>X}D4CEl9 zd*Zw0)P#Szh<~P297-2Q%vGJI33uJN&Xa4jnW$Z;$^rd4>)L5C}ght(ja*k}S z2mlI#75ycIPcZK99RdiB&X>wO! z368`?qtKx4#U~QGJ)-=*Xpa6JKoE4DutGag4f=DNz3j>D%LCd99Lp#d+a5K*Jj|Nu zNXc=q2{!+O+G4r!h7Fqv%VkL^O-bF6S|q^Z=&QuAMy2E+1i+!jY;c=qA#I5q36Dg; zi2c?LZZE;j7-`03)w1Nmr{tbVvC*IyngKw>g<1~rjcnD*D7J+Eir+Z4(IiSoWLnc&4)k{`8dz5mtN>hNlk(p*HTSvc*_q_O z)YO05X%fe2_^aDoMB?Tcn*Zm~35r5f3{C{oFQiwG!5pbv8~R6h!OBesVo zjFW-@vYd3XHyJ{2p}>2n-omuuayF!Lg{lX`Vj@jV;>$jU<|LYcU5`U)Oc_}wXlyb$ z$xyVSAJtYYOqp^_d5n!{aIkE+7J8q-+0;03e?yP~NWbxCWU#!XVZDLh-hE^>ZZI`w zxMzO6vrZ3`;g~okIWMIZ=dv`MWjNnR!9D!x$TI<|1D)bDF80Yy$r4hF8S69#8_(2x zG${7HyC#ng_RKk<$-)DJ2-PB-rUB`KDk(bbtt*luU!XTPgeWj6El# z6OZglUiQ9Dt;!Ikti8FDT&}aytn(elhZ>d-wR0cp&ptF}bTPMdvC4I^opo_wbal3L zb<1`2oOShPbn~}#^Gw}HNj=OSJ)HHymKgmxK!XH>+_Ee^@L7MwaXt^(N|s@2lZaoh4Qr#rKKv@szBpzxQd_?rF65S&}zzPTF}=gx%G6Fp}J0MaJZ1=8?qrW!P&w(jOh?c(FgkmV2@_dDY3{Dx7yY#~Z zyR=75eS8~)3<%z3lRRKEXk;Ly33i?fEuN!uv%2}f((CWj3o$%On=o^e;F&;MRGS8j zF@nxkSUXB1YNOOAxU z^G0lzJep1+Cre@GSix-u*E5Sg%}a|iQtC%5b&%Di&C1sN(m!GAi;C9{3`LC3j!UpSv<0Plb*2>S;N9o!q{Ip|PL!K{l zlyHV|Er;bUC0H(}2CcwxsZ<0aYI$@ZY8(rB!u(>&IgYAev9T2kyI4G6d9`KudZ!wgE+OSt#8Dw> zAFVthw5ECA&E^!9{n1913`NtTVA|D+Gr9kE23@oxR&M&F{B}(_o>Erk0^2q#`{iWm zThIzQoF;XY_zIQt4xsKqgBiKx=Fp{d7kQL_D;!yAhj&09ek{gbyc#jA)E@=TN~l#8 zvFQky9zYVM_RjD^g$!AUr={*GNRj6EwiC&a0ulpVOT;Zq7tXy;tAS^OS^E!l-kp>-~I@mGvK-G7}RNOI;C>MQsg zM><+D#haVyu3J*fvO+#MN=&YZJ%Ya>!{lum5?{ez)7-~;l@wp2h#r00 z=NCHt!+M16Dt48+T#C9lQ8eW@PY2@WX8(cX5tK&Q_wT65nL)I-x+(e$AxWqnJp9ds zVd2lh&kVku3zwZt%`kP}izF+oxY?2>Dqx27j{ODgObMsK5xHt1z{tgsPy+s=*og-* zxtD^S;Mfi9sP9Vi=?{u^H2C7?A-vka!bX3>0E79z@JKB4OJKEQ>*S(VzpiD+@Z<71 z$bDsOs{;(amN_mOyH>{X+G7P5C&@~{J!*7t94EyB)*8nttw!m}&U9lXJqa7T?8wH0 z-OLh*=sO42LTk`8Vd zQbOB^Bi~l9;EDoouaXm+QCs72GNPq`7j98BYRL;pbA{_BV_8(UTeh(wejP@h+d;-0 zb^VQfafTfrfAEL=)snnj#T^)qNe#zy^`V-zk{Y`TLE?r)m(s+rUpjB1$wf}&;=(m( zDH5yB0dQbwlQ6{aQg9*f5h&hm5}gu>F80Fusf zLml$J-%udCfq=Usr&&NyXW@0J`Ogu~SIAn4bRY>c(Rx2SUt!zDclI<4vR+NfXuH*q z-uk_>)oVDr^Y`zU$A3FjgF(u}-OL34o+|sQv3N=CF!;`rA#0PP@^>D5KmNQ$R`M5u z_~^^Ua45!FAaS zEJ|*X@y5GviY-xHyvbkn$7!oVv5f%rdi6dCQR+go4EYS~*`ND!5HzBGzr#`2baiU@ zei-Sr?nQqt#uFp`&#O5vuaOt8iqBk3R~aT~%br}TL|~h{Xxlh}&kpBu53q0m-|B$f z=7a+XB)9|)uqEqci<6e1-im>lA9ZV>5K#maB&-oY1weL(s3brLIq`-Oh44UZOw zn=0qrd62HWp@4qcyCE*?AXr}0KH<4YLN#67`BF=oAY4PU#N z2;O5!raezon{*5W!m8wn)CI!d((ZW3_UWLU`-_+)z(nkMHV0ogQ(z&z2E-nH(@(2x z%-Cay@0o#t9IOeH49s$qRFm+ZeW7H&na~>YKXQXdlV4~#1OX-QIKmlqt<572XRM%j zZH_43N#93jzWodr6@8id+V?FUn*W{WT?<3V9OZ`+S7>Oek>U>LZR~LJb$Euq5n)pNQsMxh=f}-o&xj^mvR>yk zoin{wVs!jXnE9lFNvt2!!c+_>?Yqjm01@v6hq4Esp(H;n%qB>VnZY|2Vhl(PzH%G0ZGFXA3j{Za zv5)pH>_?xo1!sNkU|iMW{}J_{;cP!}`#<~%iNpx8H?dc#QHr9mM`=-N6SWnMy)|a+ z##XDSU9;4tTC=FVi=v9EDq5qZ%|GAkx_wTW*Yam3{Y3cP;L3Hcu{$bZjz_GnyhH0cGvtr)}G$ z*Q(m<-Yv0hXBe0;Y3PYUdXA{`B!{p49KW&X@$-Xxv@VvdK?R-0_IJbr(1dKz(V*LZ zIaC($*O>J29=pP1BiauZG_6Ixul}4=y{SQ)A7eeYblX0fxDu%LWDS3N&TaTC^gbh! zbQyvSq@6QkrXc)NYJ$8C-gD2%hY>1-RHMMozog@(?(HA{ZIm??p#;bgO~@U z*?lK4p#Q+@xM`1CKBjhcD}4VqOWNDTts^&+mhe}HhOfi+?BA=V9KYIdsF+1etLd%u z)d>NTiy`HMOljhmG3QPBKCyzVX1cti7-9Vq3bPTltW2cDUvn7f2>Vc?V`ts{TC>(9Chni% zgk1y+JI|q~DI|W2;dhLt&_fMVpgn4)bz8)`NA!XIAKd4fcCE@eDYGFSRqHMLIDSQ_ z;=3s7rp#Sc55FNq7XeT4zrFTIE`<^`+3_^^U0F@C2>B`Ah=&b%OIS@a9dd?oG(9^% zj3y#YH6AUJwaOnaUa4;=DjwAnBl*w`5^@ie*9X(8QjOzB;n~cK8{!&aIaT8c@L%}i z5YCu9z8T#c=Yx82SmVqxk93h2tZAmw8V^-!Sjc}J;ZFTD9K<(s^%FDmZv!J*>r`L3 zwZ7&6%_cF48Z}`K&Zu4A6@TL>Zu@ek zsx8-qJ}u&_>gFh$cIf??q!n;(MaXPzfpI#Hb=*(7ZbS{cHG_ACS5T4ni5R;exa0dP zuCJvN?z}KIvg&X|i;L?%#_!LS?cXp+Hc2SQx(T7xvb;?^Mf!3FW}iFz-N*uoKFC`! zO1k^24wLfIdRNa(0>o%k^ap2qY8hYaqggk1@{;?!b5U(Ot1(=e5`C$$BKKAL~pYu0@3|NsO z0&(1g#?7O%Mo*U`KVv9C4lh)tPNI8!Z!IAJqn3{>6asUGE6m z$W0P%79f90^ZqMYehtL;D#5`f`;vX5ViNJ zY1rnF*vW^Uv1kC&Q{j~uL+S>E<3cZYwE;y`k^{*RZg@9z0J;a#j$=zHsR(3m_W0iyTCL;_QgwUi*%`8{aJI zeB~<(nYwPEXMQw3B{uu7FHT9tP{A9>|2L8Ta5;Zzhzg8_VYXxZOus57S6T-*ajzib z_nH7|`>U#}TY#Xj&aXR?fAeEMs(Qv>{8ZvG9{;gF>Jqc&H%>)dqM31!D~eDm;z3 zFCXV<9_QSy_SOj@1W=3<2QkrP&G$`RfHkB>2r zj|+;A&y7!Pk568TPrZo8vm~U;CuEu@WCtZ!MUq@1X$tnJAl@XQ0dCzTq%Vf33lOxR zV%P#2-ivpG_9Zk5`&L&OHsR?QhIF5y9iWoruO(>|K#6676uI)r1LnzNv83B*dZ$Jx z1Pe3(RJ1%K#}$g>d#Z%bC_3*As+V+7Z!$m!NiAUL)rY061SrA9ptr^3EcX8`vG%$m zY8#=u=5ROXXg@n5~F$0E>6&Ubz1H1VOJYh)7j-r<2B{@Vp zl-#G~m1KYx(|AOZew&iu^b4I5j{7a2&To-kD+S_5T5}tMv|Qhc)!by=ddxo4W_z
    jG4G8n!L+ zXH&_M=r9Rdyn?-eIb8s(FRl&=-8SNyu83Pb%6J-_-yZz*HUh+M2r@cOmr}^Me+)Xq zO4t2_^&Byi0C~`2fy`E#?zDi3Md|CLBDXOquPo?Arl_J3xQ^e28(-te&>$uNWLjbk z1K^qn0EVF;2?l!mAP_V#hohA+q^Zj$+av17u!=-zt71qKolcq8$H2{?#>aqR_92ux1Fd1ZmQq_ile!s&D`&HMC; ztmLz-_bSTF^U4)+0cH${a;p5FIf6|RMAcYg8B*bzSK-A7(4#>j)fE`FN}qiOL&eH~ zkjkLE%8<^=u$9V)Kb2Uvswl;(7|W`-kg8b$G+2%?>82BA5p82s5wBRCS@Y;xwtbR| zzpSc*za=C5C^d_%rnJVbE7&!v!ti+vki7X;NV1|j7A#K?P$ znU-Z3!S14Xo7pdGTGedC`x6Rm;p`8|Z(y)$ntj~>Y4S5T_X7+AAZgVeIsPA|Ufi`0 z41&hs6!KwxG>aQ>@lbf!yCAb1e8Zx7V( z(#@7S?_;2av<{ijyqmeHzH2e`4bJ7Ab{O%gW`vpX`*}o80?OttSQPk|TJttHe(dTMlX1I9@YsvPz??%y7!5DGM!UNEWQFU-x~JHCHV0|u zta|3edY1Bg8k~9-UiPeC_V^9<%v1JmTlFFYdKVw{?sxT?mQ+dX_{+XUQ$L{J8>2Z0 zeRJ`VA?HtTh6{ZG8{=vI8erwc&FyY*g8(Ghh+{=CSZYik=m}*cxYoHful)lvj@op5q z)+>3{KQ7kK_RY_*E#P(%Ixi%kw#i?4ya#nP(3{`vE*})j9Fl;m0gx?o4_fX{zcsuX z%nN-DNqgNn9NG&Fv$F~tUaXPZ97JCYndZ0s8GiCFhYGl8BWov*>cYZdeG*i|SpC<9 zR*2{9G3w?qWt4ms--cBtb(LGwbI$D1<+Q>2XN@=XcdaN~Uti52YvwN(2b*%SltdC>-oznP#_4rWO z_(;L{X!rQ|>iERf_!P$n!i>^~IqMG#VIP(XKCE};$U^+@M_|SWAa34@|X4GS=i)7!Q`Lr$*a}Le^-+L=M;(Z6xe2pEPM)9I7QJj zMYT3XO+1KjPSYt*GuTWsg-^2-PP6q)bF58s{hdZ~&hRSF@Y~D?hR+BW&WQHRTw9xw z_&X!XIV-I^d&6c{E_{~Q?^f)YRa%=>`8$i^oKsVt)3BM-3ZK&{oYU)>(_fpr`*+Te zbKY2a-o$49LHN9R;k;$fy!G0=?caGc=fXqf1xK3&=kNvB!Ugx91&_4_ufGcz&P5;P zML(Oxfbhkj!o`rDMMBuxV#MD?Eay^`@=}b=Qe5~_eBn}J&rI@A?tvrxWE*zid98g@3vz{Pd^i z)72WWnDPnW+8|Nc0NZYmMQp%|HYj>GsMa^A|7{?+HtAG08EiM1A~soyHraYNIo3D1 z{%s<;ws=*x_-(hY3r1`S7j235Ze3g7lK8hJ$@N)U>$L=d0G{7q2n9e2TqABq0*>Hd5C9_pAU_tJ#^SfpFnUqzk;amK9D+};K&Pp6 zFo{LReRZVi#qd+4dLoDJtFreQ!uM;e-@hs!%MpXTE6{Cr9wBECTv~nKTsiq16-~jZ z*HSh8LPu)U@i#JZhyp0}iZ@4p)vhw;wneqeKAe4OCz1}s@>DN3yUy0wjJ4K%Y{TeU z8t9f_qad6T*dTlf*;|-VvroAp>5)misk$xFW63^-M3GV@^`P-^Ej9c2ZYF@%UY#sf zPvSD@Z23CtvRj&IkNRnjKmr#dmuvy4_2ea75xGHSUc&&>#vx#Sp}vr(z*`w)FTcM# z_2SO+yFH!$FKSqQ03)nw;uuy4OTc1K%`sGArW?J68%HrD+$U;?M*nNJyD+hq>s5jO z$UkrtYpa7G*BI7=zW5{8Nd@N`O>La~4N(C2YnzqrXYwOEs5ykJ55h^-YWjptFlRA> z)N^qyFo;v9D0t7Qm`nA5b7;j@)Da(fm!a$k3qra+$U-v%ST!&iM==ob1cY2q;;hT|m*{N-c6f%d51j&$SMS(;dB@MwK;MrSph%T^Z$sMIq?rH~yFcWAh^~s8IJ&QRb+g zVR@#vA?h6=UB9{qT=%G;{>O~)btlOD9efeJ(gw;#SVI910dG~<=IEfu=x3^pNq}Z3vdb* z7HDN6+(At1aSucm+IRzfl*OI;h7XymkdYLkhLU`^=ljgL-EekRZ`)LLiQqxt!axYX zohHzSS^58Is?3pDi~LGfGOieD3epK$4?kQBRK@b$xbP(PUL=m5RqlVrYrPoZ8mDP5 zTEt)zRPgIzfp-p1ms}eDo~?E{dZ{Z~AS1g!fU=`i!S31C-Zz+lZxEWk+IOfQQkW@> z|6tBTpm7FfYH{!zuZnT#joK4Z`(T9~MQYu6TJC=T^4oLOA?e6X!$!=NI_wfg*Lxhk zM*_K~^M~w}%AyU{h2{uVXgJjz^L8>gT9NjrwHY(1p`89wXMBMM05|N!@>PJzF#XE*hyfG zFXf39(k!k%0A%sm0A*au`M9AB){VbxC1^xL)H}XPzg*Ppz1|#y5f8KcBq{i)(C9=c z8);hvPg$h`Cmu^4v5f!8fJ|qJ0!aOy)ljh%lZEdyQGadAA*0nGp_0c^?9f+Q zr@AVQ6?{U41?zvn%aES4-U|un;AeZEo=wpxkb();_qHUvDLjBWZWJN#T?Xw-j#;gQ z3-{&FrPJj!*E)$Yk52u^t8N9CYhe}MlA0uy2D9!O*1FKqna}aE2*hhE)J)^mew7Iu zRO^}T(fe^WpS|*{LjFVb-TPZJg@1lkqTn@#IJS%~+S4i>gBs(UnmMzD z@70DWH71pM^EH;IHRc~`9<+_l*N2?GM8jX2ztKWerk>Wi8oabz99?MbJpDghwb+nu0~TJ3hTd6vmoD27^c`!@Jhn8Xc-DkZsdW^(V^P0;`YN&RV41}* zDiVYQ>HtkK6ICS5YkN(JV=<< zO1JODYY?_ywmywYOv8yDi}jQPi~#7WLz|Jp5GP4Ag+Y)ow^jTo#lo~Dzu2?)Kv3Py z?zCh@6M_H3N-->*dfSc-Z4Hv4$zx%Cib{{b4)~kHk*YEBUKp(U6$|kH z?B^qo=$Dt&fvt_yDy33pu?RmCY7EWELskGB^!8R~!8e<8Ho$aB>yf`9uB!e2At)YW z$ECMTMgDc4#ALab91x%pl+bo;yxS`ANgB{LG5O$b)+V>w(&~?>cwe`S)O z)j#m!1Y{50SpqNlxq_cvq(2R4bpuV)wKS{}5R>n@87r zPAhC>LE`HaxXc*I9cI#vp(IXri^7EmCAFl; zL;P+rzWxyU>37kWYSR~p?YhgXAH{#FHf(-`3H|Lgnm+9dD?DhQ>^Tg4V%O}exEH5+ z1PkGDqbXtAH-7xxle9SX)>I&UXMEzuqf1iHZY&3v8diDaW0>P->==OUCHxZjWJAJ& zgfo5`5OuwbB)(n0k$vyarZ@_;%M)%-t$*-Rl}Es}H@^qX;0yi|ZNs5tL0DKWHtI_# z1vD(i6sU3nKiJ`E#!~z4@>C(=V}2A3OvI-)XW~C(;K`3Xp*HQIy{_WkJ%ADByoq%E1k(|1z`mKqyXt zr_{gki1(={u9!7OI|tvOWjy))es62dRJYG*Lc|iI!hg65eKQRLO<#0PzqXt%@jLz5 zP_i_6#tn-Mx!??WLV}#|vkax>43*y*;vE?%g-i{LOs!{Fwct#>j!gaKOu_6-{vnH% zFIHvcsgFMct5Yc^&GV!sL2ONS3>ix&lq(Gez|kZR1+u?7_rXiKgDg(`d3p zlyx{3`R8P?7Q9m^FrCk_Qm5z=F@MhF{$huxJixt_HR8k2qn|roM}m*<{{&qEusen{ z8Yqe$09YhsdwvX%r~;nMR6m;g>i<-?;P7|RQLx<5Gg*lv>+iZ|@N>*AuXnI(yg;B2 z5rbUi6rQ30c}bhcX!qkUz9^TXJ@RmZF7}-DIgwW}S<3zlCVnFDKy+3_d4R_-wb!t# z_u}(S?WozskmQ?AHD4p3dN%Yq!TF29)NCwRT*5(ciDKsqB}G|QOWFTG8agdjAZ)-z zc0MR!76)A5g5NKe0!O9fY!TnIf$wH7;^ZT?AqC1S<+h3iw-sfDP;hm{5?@FpfQ;NV zjuZ@eAt)RxfQo&fQ*4x2ToFKZnDgY%eR@2p2p;lGj&d2_nO8fylF=>tkkPGT&-mp8tZvM* zZeb<$1KY!ykh;~CI>x-Zb+-B~#ril%{dP$GUS7SoQ~mx*{o$W_o5A`c#fD#&4ZeI0 zeF9lS+F4DV7F-mz)@}_Xw;K7J>z&A5qnyp%ENqCGz{KSSmsx=H3|*C#c%oSc&TnGt zGT*Tz3vHBcK%--wa$&8H6M_|1W1Fm_L3XZ|jFfTg?9I}5EH*47>IkL~>aJ&!f(|#B zSmXp#byxB$5~`z^;vQ-_JI4Ww80t%;%Pz`_7#D0HYnHKk{lKa|>#LoU!+(gEQ_p-% z#J$YfJ@G3~dqvUYg4YkG$ENh2vkyFtA_IQ5y4T6Q#)iBO%5MuBtmo;AtLei~L%k#4 zK6koPL(5itH!o;9(N|I1)+f}PRU2T>c@bjOkxglKkq06|+rCaS!hE+UwC14bHJls!ouA6ekqyC2*-h=F!y4 zhPaMB+@#j)U!iYVx|6dNG8`OI;~-7OGB53aru;gsq1wqM{&|YlW?1AuB-?#ijCpFw zSKDt{i}tM*E9h6Gl54ZFn`D#wm?xXk*{@+ez_Ri#hc!UeV?cj(z-YezF2|tpwKSaq z%>A%IbNvj%faJa$g9BaM2~a}OY_)zI6%qz zAOsjeLOsHUBd&&@4%WvvQo^T(v0)?e9OgTO#8ff$;e@LZe686=BJc<1AII@Nx8S{0 z$6IzKIW;tO@i_bvO&wGHz9MY&*mCf*`A8L!S5fuUc*wyvMjD8`%KD~L*nhn0igB!) z$g4cMdO7~%Rfbt(LquLxAkp9bAFpzZ9-mVB(0T2{T-b+tj}J@TAM$EH$ckJe#;Y7$ z>xudh(yvrx<`cUIa&+u(wyq|!o$KYX;pFm@KK-wb3MRW<>WSxy!-EIIOo|(UElv*@ z{VQBidCrfrpMb=D0Ss&g!D!zIH=3SgWCEHEsaLY5NqwgRhw|-OrSE)f@znyLC>p3C z#eN_4%?(GxfSPNG(`_Ud4NEweDnKfbExiyP3uQw+s(1dCAdVi??p z)ex+1z09P$WFh2(u=EWtSYS3QCmw7?+I);6mkD8uHQ&wJ*hzN9%vEiyUWNvjGKWTJ z%Sw+17oE?I>;y%oQHry-H7E}-v9r8h3w*L$`5Llvbu@Eq7KE#8=jm9P6VJXWF2{|g ziJcE8>s&e}n09kOvF0x#4sOP*{tbUE0NyyFcv+mf{GBH&MdFzA$(Cnp@0d@=H;oS| z67zxK^M5}Dg{@gf15<`zm@h@Rvbm&i^bHoqFO-4FK{3{QH9-_HYAlSDD$%kq;DG^{ z3q!-Iy+M`=z-+BZj^Kl23&PZ?bX-wokD~To_L3p&n0Hr&a-+n8Br5RKGw=I zuOF&I#)wA?G^Ib>EKNQkD>os3DdBll;+v7g`#-D8%)Rg2O|WJHs-{xZu++zz+ghpH zcWzRC$GAJ&S{YL(Zw7uc+U!FVILW5=CF$6LB`L2AM{oD;QVMU_W~{jU2@vh7OCjI0 zaCm1*h)z*RimV>nP5o!K9+vsL{b}STDdW{%Zf{#eLnUW{-B05gm~q{?!SpR=y0#+ z#dx7EnnwN|boYL>{0Zw1idv%lzO-Jq6GG*KAs?Tj_?`28>u$a5%l|dVJsMIuJor~P zQzSb-RYFvw{hs>#J>tiE_xd++9wdf8F8=)(b+0ELl037?)+mo)Z)y%YNhdMzDdavm z_pzOOy{^PD6QQUl;+XCBGuAi9Tn#y#gkxSp7SHVy!8e%#PfkSdWs1Bxk(jLg@$W>M z@Z+$yXdsKsveY!ohIGP~zEOto^S)C+6cR6U=4a^(^o!CMGuyyAv*Bd#+GU$;9df== z|9bHFX3Z7ZC;%+nnKbeysY{aeMpDITzTzx=Wfwh3EX$dFIy?S1Veb%N$qh(ukaryglvl`> z74JqewtisE+m3zwIlIV(v&~M&!{a-V1be)(IK>nG{L3=;zK;KGNS!o9F+N3ga6~nQ z?P0-xiq3i-b!kyry$lrl7kc_uJdr37Pc7qQ6cs9Iy#4pF-Ot4G)8}8n=lGyVf+y90 zxGa;W`E#+-@auWQ;-Ox7@#CJKLBQH$osKzff)dra5BC$3@68pSvQe0_w`Kq!Rmuzk zAf!`#u7+!cF%Xc)jOi4pH->v(;aU*ZjyxIi#5Gj4o)7B)*Dlg{X8c7jTim8MhV}lA zex9u7`oOdMyLXF}!~cQVO!f>*HB-1W^Gv=@QyYM8_){?Uu{!}IL226jJSnPR{UUz+ zos7kDCr&0Tv@c#T8EO#cmILmKRDj&<;FXu+Aqn<}u?VA3eA>wn>c-GacSqgk6wcdm z92O^zqgmp1Lj@K;ohOvb)xICKh=5d2CJK|}s<7$}c1AT~bIZFR9|)n(!)@=(1Gu%= z?ey94CNI!KU2P(h<2kmP!bhpow42xDu|HpDH(X?M8F0AP^91_GBFq)2d&?)@7*cka zw_`?UzNN1`^mX_9r{U*zgouBC{}LA6x~IxWB&&fVHwc62&ZP*%tG2Hy8T>`0QH}EY zfTTM7=C-6dNRzKYy%?r5DO(8M5X{#vkTKF=wu_O{Vs$C=9-<+yJr;Zw<1VGm{RAwn z!-L~Du4XS3mDUx=aeSpK^gQOIl21MPm7Z9`fb<>lw(-Y$5^umV`clLE&H8!WH)ITC z7af}oZaQc*>w?wNgxF03uHJ*Z9(d~l_luBKk!~=t#7H_8{RBeW1E#QMBW>_6n{U}KRkeoQExx z?{`~#yH~&n#b(gJe3Xj$Fhl6Js~{&YpaaTu+K$wc0Pafd7K9n=R;>ko_J=XHO7bGX zf!j9KSO#|8${v9@4`V@3u^prEn>(dJ|zy#AEIY?RM6!{ZEwqz*Lx zgXTtMSK(mBA)vlk9cS1E!c$Sr$+^pGB6v6X(7TR+ee6|C9Nn8?b#!pZ6NiyI+!gHd zXr4?Jae4-pcLai`cv?`;q@rA9sSY{q_x{F>N*1PiHr%~~>f z82ijc5Eu8BAxamr6NO>P7ofjuMCCcHE%ev%wZjR21_=TN=6L#>4`Poy98KcQyheh3 z{08J&oN2H1ET-t4%W#tXN6-cKIF$nR<@g!cu#7`YPM|M#%GAf6)wNNgC-lb~+^=6b z)WsuWq-P12Z#rDK>)SIhi+@v^ZdweAtxCD)g(swHBRex{mKXK?Bq^=1a6z!E`t=)7 z6*9T0NK?A!cmBsMjRjPu@?#$^B34)%2%1OV@~>bh)&GVXncqI&RNPYmw%-L){{}Xl zUq$=PZ3;A_wC_~RcRn%ltD8F9hJZ!$Q>xcYR1^^QaXd*I>nw=~Gr?-A$_|oLC8%!jGIz?yT#o=H^TDHYzcCIle0BYX*1TmY^Q9TU6qC7kl9iMF zr|sE*Jq{z~NaheyNQ`;!do`SAarD`~4C009D4UfN5EDECG0pOeTJOl+)EgLNB-@s< z*_V#7qhU*+Dci9=3~1AtI?XmSEGtb5L$iT?d4bK?|%7@ z@Y624jh&n~1c;>RUv@MJ^HLG1?Tqx~v_7fYY#&Wr;}gFStnM6d0d+NlY&R`s+&ke0 zQP6H^;0)Oy&l%G$8Yj%#{gBCQw&wao0_b82M~O4w5RfEZy1dP3Q!)j9`cc6LB581q z4{5&D4n4LabUO;Z(5QT=@KW7+kCB%DRGWKY1w~1LjTZDP5m$`4!QYiq$N{kW2uo>= zOi4w7v8760J_X+?*MzN{_?)nVzcrG1xbG~Kfl<|Zbz$kG9P0F3joPl-?Mr315VA%+Sr4!HvMl6Oeo)9ZohxhG z+h5}_p7Px?o(knZSwI$mQBI-t2O~hJEI#vd=?9N9UnA>mbtT9Q=)vfm8BPVz&BMOp zHnNW&&pBJm)z6~>5nty*#jjIX@PaYj4;k|uT3*zFzGe^&T<1GP1J`jQ!wq%WH~#|q zE-$wTARZB+x__#-yf8o@>8e_4Y^~#G>6}i6?N?8}wyGlxz%;9$$t6loL+fqu^oE~5 zasI9?h4h{r?$04s<#8(GZ{dfhs{M^R#*ggSKdxU1$`7fg`WVsyCaXrUy^$b;RAm#Q z#QnxjE+Z)9rDL{PPe(=Jz3P{at4|fK+LzS^ed<&KHhEbxRs=#{dfWm+ko5-ddIwegwqb&Vzj&Hx*0LyF>GYObUS#|Z2cr> zIBe(lVbDbX%^!Kt@IB4jAv2dfKP%4`y)b-GH z8bbGmWH0&cBPj~GAncF+>%E6rZ^G8j*MCQce`ziiy#n>Uy9gcDK5du`BNEnMlh7yD zMs8BcDQVo7Bg4copMSp^K3)E32vbHBr}jMNVa~OSMf{RT<~~sWaak2jztnZahpK)h zP`8;EwRG$B=Pk0BH_+N{6BKEWFO(M1t!x;@M?~8QrLd)_`?*l?MO@D;E_MvsBi6?- zUS&^otyrMWKSQB0eXRQ3{xA^X8|22(?Qwc290|U+cPqd(4rKC{x3iEsOz_p5S{C=xPvi`7w0_(uQR3^G0d$IPNcpqlIB z+dvUtb0cHa5#164{jMPbY-+qx$*L^;{W1&#pQ9s9mSX4!lzv~v1xrRcY;-B>fc|{O zDV1qFWVbNkdckV((B`hGb4-n zappI)9JjU1jfb4T+P!1YaQP?($=F3-s8IVm&x4@={qo0D!$I3nQxlcI7VZ0`U7>Ts z;Y#!?FX$q`BUtOiFouz+8zZfxDXq6gVjXpgkRx$1BVGlK6fdRd)ujlGNh8TEnuY07 zY>!}k>e4l{BWWgYh15ke&1LHN-e>uBW7re!IK0mV-_99;+1%HCHt@dXg>L$+oc!4P z=e3=M2W=Uoqop3YPgWBih$)ob7_F$il@qP-?1h5Kqt41Zij@PS*nY)(`>^`4(S|v_ zGVoYa*#M4zteHx#S!3*VvdnA8u{KAkwwSSwgBwj{V_gSI9Rp)M;G11#jI@S@slYg7 zCgYZ6fpKy(ASxOjFB7dJORNg*L&6Y5_s{i~N zOcFzui_*WcTWEqBIYZ2%I%WHNh}F?owW6} zvj{zv3*5_ToIDx0^`@3w_=ON1Pm-ukJ&#n>+(A6ThCE7&WjPoYSWO?NkmIKSZ;+gh z)@5Og&6$rkTK*~>8)9^qPB_E zrlUrnnwF9N$asZgvd8kJ^Qyv}HaSe2#@Fkj=TS2&94`oID9E5>CW%fF$%r(!X#!6j zy)G8VCtxgF$I9lE8->YEE$8U|HKGhpD+B|i7!voTR^IoJ)xCHZ+2X5Uwt{Kal!K8Ph*RR-^jwY8lZ8hoJCPc^Js&F0{Bvy-g21*+yCDqX3luD8W|uj znlXqYhHF+08?fpBgPGq zQ32+p7K}?zpRJA>#FGN6QIghKA32CaxX!3J5@fV3>UF96N~+H4$%y{jJ?poFd9C4A zIQd9tMhlA5k8`H7bpQw9ZCVL)?u2#~_xjq$dCr7qoT)3GER{Ui@hsEva_cdJnopbs zQOH;u?98km8Fw)>(jwvXJ%SDE=E_A%j7SZ^=*fV+GW+2|G-y3@%ygsip`6RN z!cXKyCkWt$BH%m4K%k znnpW1+}F4s5)dcs0s*b(v369i$+@UO{*-jB`>dhG=0gA0>^<%^a z4#pEQn_W5>Z|~_Dw}#GM;32JvB*b6|2t+PM*4JjD zV$I)U@AsK!dbws%qr)DMr*Sz%XUmV)B++is)2s22907r@_Bj04M0!)~M6Hlqf~WY` z{pGrhc6aSwotpd8VyGMvpl=5^*i!DzhbrM(n*%UHGCdvCJ7zNJ1S=`-yL~?b}OJ!{0n}z=tZ4bfcR2glJWE>0YZ=Y6D;vO=NAszSLG(6YSlv2x%+i2Z=0 z+gRVefEk=n;Hb z?`qXnzzs`PdKa=w)o_g>9ws2i*p(F&+oY3#A7g^vraZm4m-m*ub%7jSnLz#I>B7K~ zfx>b9wQQ=JF4@Rq&^barfZqKpjBS#3`T*8qmB5F@(&KUbuHGyzx;@Diw#+$@$|pu`6|QJk^RsOqzeC~ z{hTTzMovb(`PTVI$F=)>gTMIeSOqmxg#2IM-j8R*kjdbF-G81?nAwu1{ww{1c}&)x zS)f@^mRU$jbQ+w!@|B}~khjUXe}1v9m6f9JPpb*>)wi-|Mn!9zu4|bW1rwq)%n0bw z-&6OS6gjnWFnfQVug&Zc=*N?rF{sUqk)rRcIZD^ zUs~91Ydko!6in3#cnwx#;J}mJe|#Qw9p)&2^<<_iadyRd1r@D>3Gu0?ly)RGlbp1& z7s-Jmfq@A*A0Op)Rj6~*70w=f+3`$~3ijRmZUFl}z3z-dy=ZWaj9k3Pn@k`%$H+w< zQPcY;_eIjY{#~4taQzJ(hYPt*I)4Km;hf7A#r)^KP>7h+Ne-+$Ztt{m@}g?^PhCUJ zr%UB}XsG4PpGKijPu9y<+M$*Tmn|-rS{9+-%zw%~zU=rxy;Q5iums(I7j(7v^LFLs zo8eT|1|1GW0>Rae?Y1KeNE)#lM8%;=eSF(0_=F=}&(* zcgtr36BN|}SK%lCg}v_@esvMNl@q}y^)KrvsCs}+)SS%sD2{|KVmSBrkLUl6hkr8? zo<4uNdu;Y3C+KqD{7;1);o>~utSxmihj1AP`!h`V+lKfHCH4`Zbk|&ukndtBn58}T zj(7&*>4c1HU5|N(lG$$h9PAzQjo^8;)33Rm@Q-GSn!WN6X(H7JBpoLF+k@!xl@Xb%GOjePb3YYbsI_eK`A*?2vQ%L^oG$+8?Al6; z`{FClN3tHXd0x9Sb&t*^*1JQ_PvGQ>)l0omP_${+H6(|E`@+3NT=7_SMvpX^#--!F<}k zOM(U7qY6TW{wu*kMZx^a6n>GS_(!-bEqj2WJj*gf zq~e)-r$}XC$RClal0-$(>hio0(VFU-PSKZjoqt4Yn?@DI>Rzveh}C!OcZxOi99kN> zrBmFxhJ8yHdhOK-YyP$74~cEH&C_~ToGr7Kq2ImdA9jhib-8^MZ{K`%R@eR|?~=7+ zx28+N>!9<}%jxU8Ti1Jn-dkPo{axe#*cMdao2uA@I5MO>irK#2M{;uj1tODDn(tTA z98V)%CHAccgn2lQ`oN}PO-}jkGv2zOrRhXb_>-2VcLa*8X$nEAJ$BYNRFGnr?D~Kj z@SbeVBSp41Q}XD8!Vmo$6N*2nP}6!eVSW6s$7f}9z%XMf4aieR*##(QOF#6#|dD4k`b7x?HD|=T!`g^ZgNzyUpAkVl)bN@Pg>#0b+p+xM_wRhhE z4&D@$y$9bE-NaEhNC6&52*3D25A>o=W@%9U1fH1dh5*Le*Fd^f5+Ow7Tn7lvp8y*8 z&kjsV%R#t;qClc}I^v8Q|AuN8>C|VS+z@&TXhZ#1+Egb+sk9>TjI}Qu5RQ3ZQWyZX z{>*WCv8Vt;sk3k4UH)D^r}}*}$ww#EP5m0L2Fw)&et?e=46}sZW_icC^8yFH*aSnQ zdrt~!c(~1RA_qJ;=dx%R`DQUxHHcKy@)&7H^_gW1hGoD$WIe%Z(Sdy^d<*!vtM`@! z0op`L5|RYcPU)4K%@#Hg>uUx{AZpfy|YPSCEsrt)X)CDO1)n$Ezz)wFDq^v1}ZyJ)T&8C z@?x}snn2koNtqZ@i^xB(Ds#W{@B>%Es;p>xY`D^Qmb@dZG62OUdPjkZrZhm^@vOyzUM=&@dQjxs_2EnUPI9XD#YDL5@H%$Lxx8Y6(^i&*1efVRZJq_dV`iH zm7QY)!KUvIficy@!F0_=87(!K& z&u5DTkC0GK0L(+0p0qT;JsN#2wq{|v|X9%o(y91B$>zeyx6hPZM)B1FiZ)W`zfN^Ft zWhnfU?*09Qth<>(B-P(+es(VQl3*)LW9=2mA{mDi<)}9weZ2#H0P&>Khx$tj-1;?Y zmX3VOGDmgI1gb`1U^ozBAn?eG97}4iaW6k+b4T^a4dxM);R42}D&IX$h$P-8Nbyib zy<(J)L|ezGlf-S<(b`UcekJHai-+t4&Wpe@#C?9QW{sk`dqx$tYtVpN2n8aPcrqbG z@9Kl&F4FB6ZvfZ6Pli=$B#n|4HV$kd^JDAzMY1(6JqLdkg#dx=l6SeXJ z5D-G|NN=JB2)!e{S3#r;NKv_Qzx(yPzjLl*?=$Y+=bk&p{d z4I?|{A-3&2QVl{TYTW=MBV;ePjUv?`dO3n8szrtJE=@YMbdO{%q`lcnB_;rSv(a`H@FzK#T^eC6Z z``XjGCGglNrM|H&$)a1WmQ#rTrh-6F1WnMqJn`y{Rf%@Ic{u9C2dlCx zYCJL@MVb-SlOVSRY5x!7>-WBf0|{2b97d%=evbOW@nU;Ifm(!L%6e4!huVI<0v@hqE_QsQ?KgROUVJ0sgGf-G~}n z=DxlgwKVonm##_VyY5;wO52EAu%rI)1(;C8j{z(U#P-@!tBD$Aw!NY8Mvt*=fU#ir zK5TInX!ET+%!yP&Q!%{tF7G{xjvP^SOSBhIQZrJPwCB{E3!MzjSW?|b&!ghgJe5AQ zs8-!)4BEQWI}t(^dk~)RHVlS;6Mv!&yg5bK!iGLjJ4yWES#gf7ZTD<7N_4Z??}7g* z;nNRW%0)eb!%}v#mA|JcNo#6y#%$X`QIN0%QFak{UkFjbfx}v^o%WNI_Id87H!fq{QdM$=o zAC7l;Nl%`SZ12M1r-s<9txr!Qfi?WNOEW)o9$AK?XvCo|fmtmhDMlfCV(Hs@njlf^ zZI`QXacgmB_1y$@#o0^hNbUn#D78G~@cC7pU9}!O_yh-TgMkz+d*G9e(#lPpaHzO% zpg1)_ia$f@<2;H9ESDUpngEdlBHL@M&$>0D&<)bb8fPW@)e#tgat_OYR&*Yb_0>2V zVgebY<8*t$beaG{gW=651Nc7tnaMy2D0b%gfhO1f(}W1Dhu zlHauh(!7_gJm`3Si{EwCX&uOM7l*okbh^n;xVvL`*EOj9a*HQ*Fd3zWh$q;EE{Fzy zO}m~_`pG4ao@|Rje#ct^=D3{m(b>M*AH5YdAE-i8Z@2iJ)^e^}A~S$waea6Yd)*=- z=MiZx<{t4T&c$-%-bLmHh_7Ty<_4+?>d*@Y8LeRTSFB15diyWy*se4(nY8dWht6w; z*%MlApWNc;dhceS7AF|Ye;#|byPucP3+;wCtPG3_4ls2Opq>uy=BU>rG@NsF03r2? zr}0_TW=tnSMuINd1gZ(-CkOx3>D+E@=mK47%k->MK;?=H{mP1`kvckZ$u@G?&1l8% z(@THqghcAq13|SyqtBjKqgkG;wF#+1Y09ROjAw<6wuoI_PbtoM1@WL^G$r_IO+I8Vu(CYWa-r!~giJdV*hya#F zPXxcrH|YakMw8w~)5|^+P@#PVLIq-()d;JULul?L1h*A!2{|q8_f=ZsAUbxmK>vb( znlX!^F_n1?_hs7r8?=WG!q!+?zINl%DdEa}W7gWF>XsO072@}@alu1cB%F@xtySrj zlbf;%ChSE8ysvKZ#W3@`&IF8;?)q}j#N584dGIrDQE$q`IpgPs zRL{{M^9LNy)ureG&C{l!({6U_Mj)|pyXRfLwDzS#mMRS6=|dC3r|p`DF0E$@xeVVD z(rhFa=DlT@=D29V_rfw}_?lXggYoc;)eEu~t}E@QuUp*~WL6Vm(HwZn#1?cBoq1wD z*C;l2F^+jO!-sAWsYL zJy)M~n#3ZDZKF}OHpYja#sk?m{r4C_-x%NKB}{u-ZGeo<@2zf75w@u*qe?A`Ud3 zcdElP;_@6$=9yAeB;sF=zM%WGu(Jr-G9Mr}>vDF$R!ctF-}s9bDp`9gCVXOO6jquCaB#SSsR~H7(7_EZ1IQ zomX-dS5VQMwZjI>DM(Vu9^b1f-ZJyjX2bR7?ePlP?XM|A^miskQNkJ+n|0^y_U)xg zq0&~L{1j@aiGRvlDFWM^zf2 zu@q($?$*RkPumuroOBmz;)`LCNRnTTSMXeFP2pBsW4l(C+*vxs_5LH(2Q92G`=|@Y zpw-ae(`Q4sFOSTX4clF&Ji4{Tye!ds3CNvFbKRV4ezgG?LT0dTd}1$`O$~H^z17b9 z?)$0?BVnFa^Q?~Mf|SR!9tVT<6-m}0QIBbZ0V!Dt0$UPCFOFleitls7Nl7x6Ly6Oo z^Yl(VSL(XTv>Zj8)TaC1<$l@toh5Qja+xNiC)4(rI{$sB$L>I}8ufVuopB*Q z_0(PCtLwG)BIR1x)tQrRPR2&g4_#NkPtD-NWPmc6lUfO_CmF1*6LjcWF!MGjW z_sGeiqWc_+!(L_-P%6sC&1689SEe0hFBP?=K(UWUV908k_+cl@dT1_C=Dcv#yt*^D zfN%O$$iB`($-U?~yuvD#$m(>*^^!qt#5iFN>RprRPI3(c{&|#nP5%v!;`)Ma}P?BCL>KrK$^+8@As^DjTOFo9;P~CRSWe4hTbl`*o zi)46z?kjOo^m$^@{DiT^CWAKeLtV+=tGT!S{+=ij@dHSQ$VZa@Ofax zZA%~HJ|Df3>o>;_ZSN`AoYnQ;xUM;PJ-J%z1x;OA==n6xx^$iMC;<2+z`h3MBr1AA z004smWKifo(I5pV5?`;02465DUj)YXpCsF~q<`t-4DqKlfBWf0fDFbak4bF^B!yFJ zhN06?A!O{QgW4|OAg%=*CiLC_J=aqN2_3Q|dS1w5c_)iouQVNHR*X8s1~YYPikA`y zA-G6$Uw9?`s47l=(9Sqm2VOYU81T z7wL6{`LGG>F4@VJu};EZWoGKayfOj6CH=Sv_fKX8=3M{OXc+%WO8GZQUHEfmjCr&oIaSo5@EDA4 z2(NLG`a|EUfzEi6 zm&6Q;gTatXnJ}C+R|1U?9crimuby~rrIgEdo=z_j$W>N~zrCmpmiJI!3>&o5DWHdd z|B1nH|CN*5KgvmpB-e2V$5*RMi+QXo4Q3nArsKwdp-{ng>DPQ30F^!!t892mcSzDj zTI5#RbZ;OuvIrdA5ZC`yCeiAARR{<6DG(wqnio@`QFvA8ueYMluO$9g+#uLr>D>88 zV?wJYcxO6xNT{SV;w%{O9IVxi!NlCVsgHAj8{^__b~FbZU>Fn#OghlVQ?gB$lq$YP zn+3zS7hNAtry370L4mMPC)dHYxSNKrDgUVn0}+#p26Tx}^OK*C=>POI2k9mY(*7O5 zAs@}H75NjuQQNnm8A}9k)H|2Wcf?CxqjrX)vb&SGT;?a+rR_UYP=OqSLKV*FbnR#J zn)ww%2H8d#=|RGk!n*kv%k2sIl?58bSDN^tB2}VlWlr7chJOG!7CK&?m%Ekp0CHhc zvDL`z@@pBw9BbPR8W7cx%=e{Sq&fzq!23iQV?cc+&;dh>ERkQpk|2nZBV6?J%Im|0 zY9cs9e=%u1MXY{vt%o)(8;Z=fy9Brsq)K2wN!H*V`y}1Iw)+;-bSDy4W5o=-nT5OF zPZ0^tRd0mSMV(4K(Jrz+Msa-{@R+!6w2h(fCS}Nd%bp$xC!sU&p6!#<01v@E!LmF3 z7O5H_zv?7%dYoo53gnm3tFV)Nt;df{m7c>Wi8)~|6d58oAIAH_c|Ke)J9j=pBCRA! zSyGW_R%|li)Ok4M6Pn^`vfF74ciy217(|A5UR0H%+~Ogg_{Xw=(-NK;^3SnL>WQ^2 zRa#tqG>3{*b6k^}6iK8)2B-v5Mq?8o-z0nd+~qSavo*s0Jijk|1@5$KaBfTl`R&GJ zp@P|8FkSe1D2E4s!#V`XkkUzVUN>)3!1KI;Ew0e@+^Pa8^oGwGdDqgHy@Y+Cbi=61 zQ=bs4qf)35>IGzuFD4C11m*E$47Z&7yz`1)^wBL%(mfTVT)TleHRvFRm%4kY(Lh8G zwg|{2OU{PlpfU4TfNybVQc==1jZD>cJ5=wTYHpdN&@;h^HGmw`m+Aw3g=nV~s#niL zaV2L&34>{IMlzO6h)|_uxEoAj2Y0Ff#WX05u7ffKP}L?KDg;Iz^fA6xYh8~p1)^Cn zSM|9@ui28Oz?!UO$7t~=RXyL>b~h}h{B!1Ro<Mq&cSHjz zgQ(^$AF7A8QEFVy^)3<+BAca`EUwp{dqer zuBgFKrkVgOS^X2}otrTR6pCp|q}X#vB30DqDAxy|2j~m8p^NF^Q|ps%c##6PYz{bOS^jW_VQX z)UnV~&0IH{pufX3z0MF1o@1!=@PX1v(IijP8-fpm@$962^I=4Y0E8JEMke3F4h?|0 zCu|_DYT7H*5D)L$ih&tj6G}D9bQ)X?@{XkT7__trvb6wr)Zk*WTFKX;TVxn!4;R45<{(NY}rJh_1iDNo9VSJ4OpF5Xhp zn;F%BGtELB@WtVzE+~b_>geErFo0DN^r6@>WL#x2gmhal{9dq0Os<*2U-3r2-8B3Q z8>9fYe|A6q-;es&&JW@Ld3pFhHv2z+`o|9Z-?PkF{+DG=H|Cl}G2*YwoWidhezzsM zgA4@I2$A2Cj6!LLfu*`^bZHwZJ&t?wcu}R?7zEF`GxV@$yluo6j_Ggc-j4j0c0QJf-I4kSIJ}YVvcS7nc4-^ za#w=?x>^2hT6(|I`iIlyek#>wIo+MBB*siZ95mncMmGk}e;G_e={?ZT0Ky(_cm~1o zYVTOtbEXi)*NF3Bz}a?dBAD`N2IaF=a?~vUU`Wy}@k~MUIqj$a+&1#JS^u^H0uX>J z&_3{A_c36Q;C}^)6^51k--5&>uXPYXV#IxnyvOPvATeIKKS5&5;L9Bq{|S5_oLEsX zLge17PU=?`{skoF*i78VRJ&@{cz;=)>a2b}^Bx09tvp{-VwV|kO5{pcP3b~wG|wi~ z&DNyI2YUMAyX3=?<=$Yvzk|ebO&81(`+!u{1jf3MDdvjxiL2(Hr@P-&Z_eQR6%8x_ zRG1ItW6suYw!FXxe5X0yc@BKyWcfITAk;yDsrN{7{vobG_x<|*_GKqgm%ra#zs*nn;+AS#Q-Jp zG`lBSBnQg~kocfShX9o$S`$Q}zcT+=vPE#=3BQ_In!21*ZiXgk@op$ENuOXQ&d6`U z`c#)cZ!y;3D4Qcv%erPEj{ZBYn_|%*DAoU4_-Hg@w!SPPo5v zY$CL*PQr%o;mNy1pRNg^n`=Lhi>F~57+?Dd5_5Iydod9mfO2{kewoui zLo;ciMP##nSJ-LeE#>wN%eUY5KX1MV$V7=n9E`WN8ejs2TaA=*Yg)qjIIZBVFJYm^H1b9 z4i=(y#19waEL;zl60R2?eoTJW-Mfqn6+c?ZjCVcyl$%q0v|3obar8O=zPQqG$%yOm zdd+h2@kUzjhSE?ynZ&m(0^^0F%{GnO-*&p>HtRpN>-6q^8MKHxQspl}gIRh%f6(WN z_4{$22bT+}+g;)5rcuo(nFD>ov)O+C)|sQ;@?`*Rr@C^q#@&4Qi2~W1P(pT^%Hd%> zrukS?fSRQ(${#_W@;&AH6S**P&P7ReRaF5z^?c#q>Lc_~0Cwvd=b?8iSnWQIxab0w z_KDqwNrTFd@OcD7dlTEng;ThMCdv~}#FIf#Mo3yG5>RQ=Oe6uQKQRTt&*iB1 z7o}6}V$vw9VQ=68t_(^jG{4`Lf@DO@lLGE})u2-K4+;zZ>7QHZUW^hHTSQ43bhSVz z3#~qE6UlK&=;>PBwjrMw&aX(6>{;C?z>g>9@uCjT`%+;FZaSb<{*{6ZS6F{h6Uodd z*|{~{j(9kVcBupmI;c^9z&6K3toY!%?oy}G(*&f605NWG#mg7zJo(y!Keb><*l(dd zkC=k!IHWpE6r~*%6N1I`<$;R{m=}ETUvF z7yO>0)%k;O43*swEpT?s=d+5Bx|ZVR>GV{jWkBD;x?``{yZgU@#8~!A!VC-T{|}JZ zScmm~SweTAlU(OmkMDjtj;hF6=lu9U;(kT$pWOTX%95u=x2~U`7(W@`ud3-Ta=qU< zF@3aO{U=C_jfB~_H=b(|P zrzAwKYmWNCK@*bt50Kd3xc8+I7G3kF-yAecMwULgZm_^IanK^)QyO`{Yk}kVpcO@3 zM#RrAa{rxsAK$geuXNasjx3AIF<26|Iqb0PDSJ`fwIu%FkVq!@gL|KJ*yU_go;cF= z@$8$!lWw=j^5kWMWyOiZ9`By=l&@XOs>g@D7-GH7&&nLuqrNbsigd>A6>X)X{^-bx z%)f)g5_&4K<+?u^JvjP+qpr-=F{*XT+l;Ep;=9+Jl#a*xBC9HL4A*bi9FLFoR8>`Xue&}to|vVs zu4y&gxSMo5xnfjZH`2Xv?+wv|HL|*X*>KZ$;&}R?r~2L3?oI#Wr2Yf)KR{wc?mbM7 z-2GI~R*2HKIYd+q;Z50AxXriupAM^X#TgME-xiQGwQV{^J0aeFIV{3_~p|qsl?aN>?#gL$B1~Rv6W_-Q!{ahTFipP{_iOALXwiEa&9zjb)wE=A?5D0}gHNT=W z6Mt&^;nm|XH`Q;paF8SsV|CJpVmp{c%v6@;9DpkvS_U=9Q7I+DbYst5kcV)Ph*2ah?G#jQVW~_k;x?l5^;_r7&N~wV3`{% zm4iGR7O0ruH8saMImfOZ6A;rHpcEFQe{he8ik9mO<~s<6B0?_00BRU8Moar25Gu4U z4Q&vaWRR9_sEwt^qZ6i|gfJi)j4Pqs0jTtFh(G95d40@D08BWZ3S-NuY)e_W4SRz_ zJOHb6Yu?-Z$}F@@H62aqg$6Erqwj@mKL~Qr+J3Z`gS_ z2|98)A@Z|x)JksTedoyIn#enih|Chdnt8}FBf#7T2O(({iADLd{<+++{?^bHHwZME zWTPZH3`s5L283<}(z*kGr@G(}VUkpG$Op4Hgh80F#1^%&U`!<~DT7Z8^9h`f+MVaD zOAJ$OG~kAWo3N^fKccsb4#Y&0!vUe%*p$B5IH_1ZpIF6qgi81`3OEw1j`Xjg!IVJv zP|(ai3NE4N{LImp51-p9ydcu-V~BnSB|B)%E(%^5a|jwDy-<`3W|=K@0U6gwE|e&eL-b{Z%uxh6ch zWsF(jOfukjUh6DSD|{$D|v{|R>&RGl+@VN z@%C4mI3(X)Zn|yIPs|w7_YNik(T}?t&qIw(W9dlCZQ};xpgsrzuUbSBj-nY0nrZtx z`s2GA@P|==-=UCqrcQ(v6Vw*EUP4;J^pYHu4$1dk)D!J+iBleUS#6)GYt1TAm-#I^ zg-MO{!Yq)UgHT0M*DzsbYkc1=Ay(18MBpuj8ZYG>{3Yfw7N90C%O>y7eu>SoSjqOw z&vDK}WNw917*mm3(o!Q0`(q?zPG07GbEBFD@GMi z)SV-#M$%L<}WxKWXFWu z9cEzFR*bR~_Y#}edP4r%qJ}0!uUzV}usjf49eaO*w)}{@u+y4GR=0vCjhnimf)!i# zgLo`ofLqf8c6=-JM9Qzy@n4${)txA8IpS=qLufxpyLvOdYX#?6fDrSIufrk6tyv$n zBX+RDV98=~TEh=P#UXP(tuIN<>Z)oY%0t{MDbh-LZoW426%n-uFiFf-%%6J~%P!@eFJPDDE15}~4u2IT;;FZFA}Q1{=tT_U3h6%x+07lyiliOk2|zG8B_=4nhbg<6Y~a*IVh z;sv)*oJkp#+TWOu_>fa-02uv#74Gv1!49$|i%z6U#t2hwJ?Y1jJ@hH3I&lZ$FhM+V z%zxq}E66)q{VCg~k!_S}eeL zr8?4Xbzqg-(gHlQ)EfYNAx&W$HMa9zM5QeS@OK(zNsYkGq8Qd{=>spK0|wCmV{_hE zucOh+7%#S(cqF;Pj3FY!E#miXXf-|&aXsm4s zN&P@J+5lrB8tJ-&XnG@}naeIEwPQgNU` z#mgR=Vl+yIv^uW_&rS_0Hi|LO0td66#*DPzju7Zq^k!t_J8oX(p9T-_7K0fFs?z!$ zRQhdS4Kodhhj{mMsr$sdDcqQ60DSM>_yc@D)o=e+qRHIizjE)*nnj=dC+_{a;(YA? z!M#s=JC^xZ?tQmnwhH=d37I$pS#6-oIM0PV4Qei1<(juD1w1sFJd**2lNAn=4H`6h z7}#sEQJbO3-Y*k%PZjIeC&nO?`GJ$Tj499NsY@q|Q?(hBUAI+|-qM_LBpcx&*LQ6oFDaWk<&v(8?#vxM2E zK$@pp%ksH1HX!1j$3{Bw>IoF&qCo;5Tc}4NU3CEIY%97r@r6;aSmaZ%J-jQK?sP#(V zX45NIeyl{MC^~T{y7((DmVDfkUdYy1#s#i=X02W#tkzvyE_k+_2Kh)%uNd1y^GJ2B zeLf=UmiqlI!*8?H6cyy^TMCV~XsdTCpG&~0Up}YutaVeb_4Uy7aI8@TsXyFRzylDz zEntjirqs>UsE;!5l&L0kb*F-6jvBJ?L*W1qm&Osl11)3)()42Ce74|^O&ao<8mWN| zsT$O*2((O)+2`qvuWnFLT)n6*I4pCEa&t?-b8At2#>)+yUQWY!N2w1(!Vf@%dT73F zXl(dz9u`x>-*1iaY_E!MFkDz`iCoJ(S)gW>gfY8OT#5#(XesXd(;O6U3UO{{YkWBy z^+oaOe1aQPdKNmgrGSYx#U26rx?M>%m8b`uX) zL~mzl>|Ko78#Z14K>g*L+uEg#z5X9-6wt3e66>&O3X>8w-$!4EuWY2dZ8)cF&~I*R ziEr-qeBpn+$vva-!!`LD-xf6LK>TD&cxEe6V)s<);pwPt-OBCC58IraJ3QwORZhML z(rk;+Y~UomdR|r3eDp=({g;gLBLU9c*B6du?;Pu-QtQ3nHSDFi@LI*>y|8&NV3E3K zb9F{&P#a6LZ-3!pSwMn`4DceKy6OZ8KR`!*E+@&6q=EIB?g5acFYugbnu(e3GZH%h z{`>UR$n|q4Y0uViO?qiOlrktifXfhiE`Wq;N2FED_+4OL8xy_u0_!;})S?z0Dq$KH zNVd%mK=Tt79xI)3+EFVZNChm)pZ0_qb2nq5Gd?TcUu+5C4Di)A?if2YoVpUnI*eMa z$Zw7Rx$?`{8RBs##cJGGIsZGcoR3@yVe}k<7Cka%BD=Mtk$$Kw_^{9+4WNKZH9S2Q_Oc z)@;r7743o0*U!_v1E43hz9i>MwintCul$6CRo@!_7DBd}`zdAae+9^@G5>&`clQRl`MbLE zM5jJJruoQqlpgfC;(&S60 zB%DS11drg6spt+Eb;;(@)ewO`eYi7O-g_>mPFg^FOf$}){)y&YvHNVZIjn34{Un5p zHG)Tfmyw~@(8X|j(igBpkbxxW`#Mh=zKAvyT)^KXR?mxC|N3Jc>%gBYBnqp4zIS&NN{3skaPca}p7p(BK(ms%v+S1J9 zyjH|Tb1SdP9wW!*E=QZoLjoh0A26(2Km*vTMz6hAU!Oise0WwTE8eNjB`3Am7|`k{ zwLj^g)2v*^1nk#BAc3k4UpR~|q2V`+zSbt<3f~$erB;S-gk7(O%Y#GeqDHHDd`B_Y z##YJ8LS5git2%K&^gY{c!Qb}xw*tu9f;o2%^w{k2B=41a#JFSxx8A_XzT$WR*GXiX zf+O2T=egE5gkq^cvY~jdJbN)E(;Q3nvD-ycDZ0r_xMxsRe%%e%!@SmQ0v^e6%b&oX zBxvl}rsVngb*pN{0$-G}Ea|ge|Dvjy{hhsl?HnoFLg+BL4ryJL7KbOeW1=2?&bKbnlQDkh8cke#A zc{luWk)^rPrl?V1$;1?FYM6jl<`F-HVpPfT(L5b`;o6rX86?b`dFk$WB(B7&>i4O1Cph~D?Zh*+ z!x~C>x6C!tFSNnbqre6ZPoSS#n&=Z+lwxLZWjhzwPG`V1&qdPCm@+IPuU$*qQ7t|ujjmW-}$#j_| z`UD?naoxofgcPP(C8C)xCF^otmFI+KF!s*1cC9aig ze>;X)PG5%~C zJbp2MrzziZD1YAVtGU;=DsKl_5$lEtvu$m!{0EgH4s%CiZL7}(AAT3Xkes({;=WkA z?pO#v)qXWizp7s3yY~5lmv>72o7<-*_C~v01raDL^Q`aPxtU zzxQCXM9B72av*>XVXOr$)tWj&bE^|N1U?~N&=HUPqn4ORmBhnhVosi1V`p?=kW2tU zY!Q$a?6yN`ZW5hfDf7ci*~kadSh^RLG@?zYPLIhzxaTZ|;?q<24-i^YYqN5mYz@#W zZlN3uEg_OowO!Z6VN$WSA8*4G`>q^)d2!w>^c0%|=Mz^dsS;Z-lORja-F=&DRQAq% z^)mfeR^9E#FGV9Fb{ci&c7v8<8*RcfT#ah9_^~Vo!yP1T`iL_zhqO;^R)j!qKoul8($q3OUI4H)(kNM4IxWLA2tGLhs_o^4j(%8-_G>AF%t?IfrkZPaN)04Wz}$WQnnF z`eaZKfzDOGtgeqjU)K>WjC`5I>F*4+W0QCt=@k;I(v_jC&|$jIS`Kgg97q^lk_c4* z-BLF3-}Mzc#@DL(zWtH}nON?hKkUtN^hi6!J}NJe()awGXEx-mwC>2EaX|6QJURAJ z^J>NGPd2_3ohW{i9ii-MR^5-kKDEZ+fQnsLr!0PYm-UtMq-?5h-i0eW1)H!nt8)*=N2d3OpFLdnIQcxHbnOk41M%%>D;5Ek(nP~iDqki?;rj6I&*SDh6m{JAYMFJ1`pB1L(T9c4tP>e zJXsJP7Kevt;>j!U6a+kxm1~o9n zH85v3uv9d#5*pYB8`u{cICdL2p^ZrTMlRk)Zka|N%|>3cMm~o|e$PgMphm&CMxo3` z;fh8PLZj$lqu64j_->;Fv`Lb_Ns71Wj7*cXW|NFrldMD2$yv`Pxu7QbxF&_nCdG;- zB|?+(V3W#Xlj?5MIVb@|Pf+6}sLK#EGzpq!1T6=GwkJU+h@cxs(90y~R}hFoZi7LB z;Ud9kmv8~vjHYik=502SX*ShtHZyBBcWA!o*=!NiY#G;VmDy}v(QHF#zBJf;d9nG* zZu3=Wi!FVN9dC=hOv^RR76-EyM~4!EzWT*E}1PiDq3z5T5b)t++J*P-EFx8 zZFQq>b?0rpE7R(s+3IQ5>gCXS&$IP@P^))bt50UDZ$;|^LaQGUB(~V@1Y9fgA(MT;H9yB)8goh9_0rM#VGGMz+qyW_H$9biN^U)(>{RUF>|f+xZ^aMdZmf@OCxIbTw&q5zM-p9lBaP zyIO;~+Tyy}GrKw}x;hD6U4vcSi(NgtT}0tnA3ae));%E8{Xw&P(5!pNp?lb~dnBlP zG_HFrvwOUvdxFqCIoLh5*gd`5Jp=7InWgWUY1Sv2cea_IT!*|Qwfvl7?y zDYIv_qUSTAXKk=&eX(a_w`UXDyG7r-&D*;p)B8oUch{_U&!KnUv-fLI??GJeVP@}9 zMei}8_uF7EQ5W`OxAz3v2Qc)3`1-)IeGsiasCgfWV;`wkA6al8?0FwNtB<_0kD|Gc za;T4LsgHWEkA|cl!O&03*DpbIu9nBp1$W*}!_u1@SNm~@Taq%q#Xk%L2{?x^dbOH6 zLws?@6i;Yq`;6~F$r$nZoLP8ny%;Ix0nZAudIb~8wbU*b6VEtOCR?l!1;B(Pp)ku3 zeyCHefO&|=*C&cfs_5YDvQAjOOUqntJ?-&9{%^`J#mWy#%^#G9KBz2xP~H1*j${zU zFsQ~is4hFGp*5&!KB(pRQ~9M6JgED8P%mpxzjE+=^Ps`dpyAS>(ca(%k|8w1kTKto ziR_T6){vR`kh$Z~MXw=?;33QBLsnTs)|EpxMA_HS(B-9}D|)V7cSuIu7)IRrM()avcxa6f z*Nq{DmOO57}Vw#gJLyfJ$mqgoTv|)R1iBu-9ce&4^L2#<8r+ z{1sCZ=8cm>m#OK26I1VimycskV?yc2pcaT}kTb?b5X(F_H9dsVM3cPCpq-b!a@j3L zlxSrW9kU!fO|gr0kFH&ONc))`v%oh4@(#M7JHx6Ju{nh@>kDFl1NtSTUT(rfYi|hg z#J`PH4@1U14z;QwaSbCCN7I5~p!Suket*XADx{Z57P5nm~Frs5>k|12+4` zFboI_^s$UltOJqxUxmHH)7Z{3_&}~P0bm4lZ75M7%x<2+c99*!awWz>5K6xRV<37h zUZmx84&~Mbxt0Wg@W4Yf?UyO&nqiDz+bq2hV0K`~SX*CiTTMVUqFwJz(?F8bdL8x0oEe&!` z{%n{+F`g42@{$$iAxU;emz32NVj(&4vNU;n}e zDInnAS4R17%JUyoWc~}I{y%(v$I1&&qx@_ZHPmTZeFT*EkCVEbQJG~^>^xx)caq zs8p^uqKjT(pyaX+RL&q*G!O+d<$c!9r3fTsv)<+g7v3`dB=;Z=k2%$bXe?5viYvdj z;gzI|4-vpLQc4#kV7W9~;|tzIXgNs?d?Nd+&iJ?g*8jo=DWK;6@l)pCl+HhRVEqfD z{`b53Up8#Ny@0>w`M+R1|C-J}V0--blKv+e{+j2%eAtLE6yO@P6Y^6^#_(Sx@jUv; zx}|@Y#J83HR!SBg6F{E$OG<_c{3#`K?g3Gs(H4}n#gl~@YKY%H+T}HgvJg;jyYYvV zEQ0;~PbrxuA7zb@8wv|Sl6JuQ23;D-k*Lv!ObonN-kLK~1Ga9Gnw7WYxKo@#njjWu zp7SXNwPj143DP%Rb?x!^2FMvnxBiQ$2#^pRj~Q!?-Ar_$g0!Tr{eDvqyI3>=-5-FO zc~1tmkgTuST4;E7O6T3BTKDCyRJl~~x4yfd`^rsQ-(7y=wK@6z{t2hVJHLZ3vmc)6 zyub3+=j+-`b*jXB|8K`zUp}mmcb=xM5v(gd|!G=nJP zlTg0042)`!FeHKmWQ&>vh-Jgr+f>aha}ZFbB!a~C)z-Kgz4bww4XJlF1`6Wsdl9L$ zvB&&aTo{1`42B$eAA5nU>>daE9B>8E{m4ZHGYUt~0j$*Yn7B7F@&J?!#;ZnZ_-e~4 z7R!JH!)Tar)^;g&3XVa{UA1E=e*2%6Q!%8%D{4*K&3rIKbT)<(9393VLL-S=yh9u3 z>;zJx#DQGNHRUlbFsht%6oTF@;0g>z7<7?lAvotn-8&%%3Ro=h8BrEsg?w;UiXtkX z4uf>L;!ZLlSelu*YMCEreRSq~ zB%C6(E{ z+~e785U|rl@`QD$=whOfL$}=Ww+ToPdn>n^!9qPlC_hQLk4crrX(3<2Q@9p7sPZWZ0QtXFr^{B=eOvT=Vlh{n}>CX6D*d+sr3@xj6q zjScU`xC^d63kl~_e3p`~79TDr2X6SRWJbCAF6TZ@@%>bgT72}muxZ10t)kcU!RMOJ zln3i?W{QtD>mi#Dwg_*U=|d^?Y>74(!&@2YoE^8(-CxMf)PRWnrKCHq@WH#CzTKjW zJqGlH$SrE8%py7al}d`p9%lZ&wfFU-wMQYb>f z!2VHT0urL9dya)JI+V?Un9WNmtq&WD3mau8uP{JvN9;Hj8JWyza&pI~K*eG=S_D(tvfRzaYn> zA6H#)QRM4!Eza!Ob@}vvarV|vQT>7c@7bWkrI%j1k&*@%WRVsSX_W3R0Rb0SU}>bA zC8edLM5Iglt#mhtii$|-UOw}=-KssC%tpHATebMoNtV{VNKyQ| zi9fRmUVRZh8iy;*f941!I6*y?mkOcdYYf+&vZ6^)+m}6Wy3>TV;ZT_QKPZ`|p?(S` zrKtrf@|1(xbWj5GrgHL&^;`c(v#Pr-K6G`Oi#7Ah__^~o&q)u9=WZ5j=UMF?1PxwM z*DKq~%_0;kA`Xw4SDp!)+=ttIQ#};K^(0HTmdMwm1|KXvOBt__EbHMG2)ipiTA1lVpmRM0-kS?CtM6t{1b~e(b>vT=?HqC&~$1~ zzQefEF)Yhgl5%~2z7oG{S^Bx^|ACT;wpRP093fI*+L?>rEmVj^FgeIhbrCh3HY<}DjfS&G zw{UWDIPvyIf}s2=ohzZ={GHs1KFpk31N!k`7-AW?^M9jcEdTwd>_=NAMiC}+4XNcv zbLBzN-qXxX3=@lW2TG1iH@6nwN0I=zgamwJF~y8;Zyuu)zg;>gI<{|K^f~GM%3HbF zmz@so|LFen4id7du!)i|_en6m2_d3dknR+pkF{y#ytAnPmLaGw!Qc}Q%rXZmnbt=D zmO?Q7^CT52^7ef|TdFysq59Yo_8Vkv^~DnZyrJ4NVBf)-y4zcxDz6{c zw#b1MZ3`d3LVrQ6W4jYL=XGC(tPqI>)&81<{C9dPqFVH8>czibxI6C;sowiZUMnth z()6=J5fq7+)^v;mLFsd+35>S{$e}2b9?S8a)jcXWLW7W=xbelSSKR{eMRhB37=_2{ zFfnH57pJk$ZonNYUd9(P6zBSppfeDC7?&LWaZda7I(~gz3QNcgpwSxG#Pc;1j7Xm9 zi*A=P4D+9nLs zxIiG)x80hM_aV^k4IzLLSNAG10fDTM6#jkCVrylR)L`e=fdrf2&WDk_#DPE~U#-vBY^A~(j;plibLNtQ;D#{ z*lt)foAK@Q5G}{VI=2%vRK>Oq$5sZ#-(?`R5cA4+is@cV=($Mf4RUstb#%ROha!A< zHo-iL-2PZ`h6|y`ql&+Wfq$=Ed1WH2XJ|2p^vVcwQ6M}_k{s?w))|Dnmy-l(OuEkx z7^RZyQW58Fx{;5{lpwGV;ckuN zQ(=s+o=GR#N~Zv^o(kT9x`w2OG2(%Xv?Tx;o{-c;1JFT|qr|jKtwSSaYm z7KjKY3Qz$`@HkRvD!3cz79oqxkOk}jCQg6?qz_y#(#FH$Bb<}?a?=PK)1F92eRGzy zn+fv)$aH6kE~Nnuxip^348bKCHduTpB!)US%e6Dh-7JRo(!oWHyuFv)$OH_kawnV# zxox9dRwrRy;+`H2Pu}#`JI#(-ApI7bgl|iV>Vu9Uyh3*%)Nrm~8B#U`#c(!hf@T~( zkfeKtw5ONQvjkF+N?wM8F40SJKljB4=6sXMB-n&jh>?%=dO~}HlH0wA#d7NPb2PW} z!qN$Iy|d#+gFS)^Mso|s8NIzO9W;MNRXHhB(ZAR`j~J2lVo^_LeC*SoDh@@G+V}%*kweIjJ}k)j5t3p3Q&Oz>N}8k7W}&`2AFV#^93K^ z6eGi)PL-emZ^-jYdK@k=EDLx!LOvmuZL#U=9s~4P%Vs=xcNV}Qm`XY2OC!{AAF5o3 z#~eTie|LnRK)jQGUy;XtDO{^mI;2cCuguA@w1>FR@w`-?sa#dQT&>10+QtQySFY7n zuCrV|He9C1RADGzVPswrNLXQX>VSs8DBwEj0ps(bb@E}$^$BV#GOvus%2!wA)zoNtXCB*JjzZsUmVKo6 ze_-POFxww(=IPZQVD(2JNrpUSBp8mM$Ur1lRHr`AeqP`B9I{E=E=E3qBdKYt^;zJ4 z*HN>WSHHaMULWER5mZx}6;itpLPPwbWSRTFhoPNBFCmDR#xbC2eIiwWLIxQLX$)KY z6Q)BIhzjyOG7k%wEnbmtgjzHb6IFh4bd*B-c#^u_fk*7AN6;_IK&_+lA|v(rqrYo; zZX9~N-*lUx!8|zhEzu|bXWaBLC=&atgm9%<^tyR2rqQ_)7fRycj$b78B#zYu0luR} z?3d}Hj0r|TC2YfJ&`c8BwNT+joTD7@_n1CyG)LE`Ud-aH@r$>9^Yv_%pzg+SiZ3oB zhs0wYiNEDMv375cG~)h^)`5fFboD|JK#r)0ds~~XQq`|>n?9Dn;W(mm*HpzpsMmj1m0G< zc2wne)mS)S-Rft1$=YEQ12Y|CS=o@r>@a~&-5-hZxo!Rt`Mt+&UI{)Mvh4xdd6jW_ z%f=}+)ww-x_0QgQ%_+QJS?=1_7!gbC9p?W+SzD!tOp-2fv3w(hmY&G6Y#1`Rn6fdlHk5iZ-dN{;=LXabqd5EGen%l! zm!l~*B%Qvt8Isy$?%HVJ9(`j9o1F{eO^RS_gj0qlUZZkrYr!3QDS^Z<(?luZ-%hIpA6WTBxZGh&0 z!n>farVQQ|3?4#Bb*r>rgr>>YX3_{h5Q>os2mqFh9w`apEWtibWK;e=o$J}1Y|aVcnd|tjV}(0ht||0EWd7y54@{#C z@<0(uUg?tI^fGB^XFeu(W{BiJL#5B+E5js0j{ZRzp!WA|7x2tTATMQeEW&z)z23n%^W|_x|Wc#IR0Ik^IBU7*LA#09zt;9KqTxN{C5Fc zxQJ$5pknNczeUOZ&LpxfWmqlMFfC>kF6Ake=e%Dk`n$xryo6I)E-x%7wOXz!Tz(RAU$xxGy3(`Q$A=_;BeK#_xI(np*X|8P*RJ%kuHydm_QwIUh}F@;)mIbC)|JJ& zKC9!bYjYyr5Jhsd^Xh+vYs-`Fr(Yn!c&E{BZ=#0owOy)A@yS&? z!nJQo8)t>iudOyN3O7OmH?Gz;{!uvH{M`U6Z)Ol~K*KkQdo~D*Hp$mFfu2oDwk_(X zo5o68^x<0yq+9TwEtVp2P(#I+wJpwuEC>sjmbCs|??RvRHcj5PSLL9r(ze7@A5(*a zD6-*W@Q%Oqu;ltJL)EK{BaK{fpZ=rbAu}4VK${usFjn*2h`1zG7H~nNK~f2*;kyr> zlJ&^@%7`$t7oKgBlJ(+1#3H6WTov5B|KV ztBctm2*trjG=3+a=Y%%YZu|EfOC1cn=mo@(B%2J|dFP>$IWOc#qxR+AvQq(%xVwHTncWXh{Wh+i3^qDJ{1JfEpUwyMobsnoX#Cj6+9&{I6|fY>+lmA` zu%wum;D*fSs`io5X*Awq=Hnl7#>c?gVpGkxQ^iMX`=X=94*{ao^apybveoH|M^l_@ z9O^D%{Bl{wSs7oi{2qQtS6aH&P6!O(0*PQ}5AN>rWgqQaEc0HT8H}YN&E_V&=iniy zWOvdfstYqtbCjO_AtL$!MdYJLNO(36Zo7UngL5@~Kb6Fl^vY$9zQ|i5ycn>~%{j_k z)dLh7i<{)~yLW@7jx;{^(;Nn6fpC^2kN-@#1VSGD+l@h5P?rW&M4A{AaiLU$*1F)_9e@rH@`s|`FLj@(4vqGW7pIr>|wF%+!I7`VZ>rdVV^2MW?S6>HusY7LM7K~mn(4{0 zQO%S3r$c#9z8E(c#W|i3pWERc5fB1mOHaO4TVdV%Q=+uW`W<< z-oMPxr+o5aW^6%1J_#3 z5C;236(V*_Dks2I-l$4;cd+q2iR5nMgFE-3KJC!QWKC)`kJQA6YNU<+7bP1`G0pg2 zlnlj`53$ZL5FOjCi~ANenxGvzA+E`jeWtG{P-^3tW001L&E>BTP15Lx!79-lq*{dU z;$Y|)$+#K83P2>+zC~B&`o+}8r_kdEOp%6-syfkNI3nT6nbb+teO3YDyiI;z0H2pY z+CeZQ!yYYVcsj_XjiYGf7>#{0VRQ(6M(Tamz#61knQ39ZB|H(?y&0WtKuC&7(9F?{ zAp=u7-_v>;v-Ka^o;)WYhnfkit{Y(*toq(UHnY{tsaWKj95^QDKd6?3CK;g1PKrsr z_Z9pEs{n0GM?4@IkIbPaU9@3N2Wt|Nf#(PU(06EA(bFJuEMbNQo0tl21KMw$A31kJ zZywFycp9Vdw3Bnx0P(58xqi97J)v3 zED|O&T>F3Wo#;#g&iqZk+3;Z;I21MfIY>r>G#yAR8^6}-u(kl?nTm=VC-0^(oxYs zFXi3~I=dWzj1Yzk7h{aB2l!#AAa8`i1p)IDPK=f8AWwlsBDHSm-VrF`n$J?Nxt;y;#x{Sg{p^+0s*rwm7*_R}*`I>I6YA`YDc zAF0I$+}ALPVv1o{9yUqkAb_>yG{FoMWP$2V&4@Wm;!y3i5c=I|n5CXl%zhMA-B32y zLAzPn#L@dddzMkw2%Wri8gm^mM7GWk0VPIpe9HK5pp#sIM65!j8IxkU8dUR50i_74b7VeY*ub?MA7;3lZp95iI0)`}(; zrD&k@@UKXqp6mCxqg$quOY67Lx|*38%!7S&mqEg{{wobexK8)u@mf=#F`{Jgsfwb$ zDfUw@L!`TgK*K;PQKf$uo+0nuDh??Hl#a!FPtS!Nw| zWvEmBlgyy+G78lV&IcDOVW_ViMn(3Rtmz*01h|zEX=^R1crpv18I{P-3|fvII;`VsXz~bjxI#uC44k77^Q-ab*O760id5jQEq=edElBsextFKzx6F+%gMNr_Z zp`8Se;@*D^oyw&yS*5zhhq8b7OH_YTh^r3~D&(EvRZHvJ8qj@i^03_<-YbpGIg zS>sxCz6}dlhrguN5XkYFDm1Z*i(J?1g22$%u-pjC`!vuywpbC>*4 zMu&D^;<&S&l;X8-f@ePyE@;OYcnS2`kSUGdl`r-y)>O}UL$`nE(Gm!(|43n=+R=mt zkDC;U#k7a+-^0^Pc&+~`exrGid23_8%W(Gk`&I$P46(koDdPEVG(TK9U-P3mN7gq@ z`XF;_Lq1Ki8HzNY~8I zJf)Zl{qm2|j|LO^c8adyRa~l6Rre!Wl;$(*kCwbA;1|90-RHmWyzVv`ye5~Gz8l2l zh*TW0?s0s~5a`2@)0dr2Lk#JNzIC~FO3S}kz^Dz z0FrHs1NZKgNu`N1W>oVAx)B4Z$w)+**Velrc`Q2(mhw#eflWa$b03Fgs`9TMkTv14 zSb{Tu@31Tq{fjArOV-V;9m5|Dxx(7yz$v*KZ2c6#q^Z zpElO9xF{R52r}g-a5un`2^W*A5wkC5T5d%vz{x2#38aY!#H9uSfxZ?FfFUP_S`4k< zIIybGnc2d++DEwoVl&nvhA{!K_~xk5K2tD2DV3F028kKy)Q}&1@+n15JIcoUov~Rq z*gDfDh-(cdUn<#UEY2=&AP;?j)vsc#1~q4%_POswyEDgJ=gS-Wt6%m?o&a1#trYc; zcM+#ud!6cUXVr=sKX8mO=yAMr;(quCrleW&&ev!}^XS9v8vM+3BxpC;FJmO+liV#$ z7TPLvOOu7ONZ-j-Gf`ctlcQF5GUTz8# z49YPT1r8cA-6hz@b-cbFwq{Y^;UcaslkaPtn8K=aSh7u8CKJUYGIBULnbAJo6LT4* zfx9VhqjK6_C>Vn|sM6y6r6!jWwLhJtyl-KHhv>uwjog$?ZtRlcG3BzG+*84=liO-j zE!0!H_Ik|bQ~Q`Hnvki(@~OYMQ^$i-2R0g57UFfTxD)8~P4#HvZsqa2o>R5yeg(Z) zV64nA$vp0?&N9{=f3EPx|S&f=40XWT0=1-EMSkBbo;NL_dmwjJKXgo|1SzXA4`muDU^E_ z@TBu_v{s6Q7ZxLmN@PWTzD6z>v0^VBCmfaz~PWO7($ zG|DuZV)*?L7rAp?gcVk~BS&#&kw$naa@oY!wn9lFD`oQS)3@(I)@E+~l?h9{wta2f zaCY;@#D#mA?+h^(*1X`;g;v>DH(m5tp4rjfj*Wv6HK_ z7qr9(mJMb0xqL~7V%nVd5~VJpH4LE^Fye{NmQ%WwE**u!{B`cNJVUIj2}%jtj3%c1 z;`7$X2;8tz$Xeg&DrnIX1GBg$gE)ni+m|QAN2t1TG0Q!3%jJ7yizwnVR^T_?25590l*8YG zi*QD$L1o#S2nf$}30tg)2iLO0FSF4R8`!jsR0IAPU;c%w_3^hB1&fbzwxXR+mDa3< z^*T3Wkb)KRjD^Q)MTU1dmo_VYTECXBDK})xRg;15nu*)Q2&kz>1Z^$Kw%PaJ&eGlr zV8%_Ew)(6I1#?A`mm^$yBU|+EG;2oUQq!n#MxsCH91M=|<-P_cxK&gZvgZEnyHVUD+<8L8@kyRau5G9&vVP}i4x!lXgiK!F%7%+1?Md>~o_hTX-LX30Bl5%rLCe`Q7K z6ZqXrEZm}f9EcsTy}Mo_^z>d`pOpg z#hR|ND<>rK?EdV4i^7RXzkUK)?;CsXKV!qr4;nj<0xlQS4>}+gg!%WH_QCMSR{ML_ zdUZt{M$VM;wgd+eTtF|ia6ffgyEt1)QopwzF=>*6l3ZP1j-ylZK04g-D(#@pkoK#b z!!r#+e+$ujbVc_lgz=b4)t=)tUFivnbNlQxMSLeQx3t}o7~2yyW*eJF8?N;Wa}1wt z>&sMKl#E@nJ&$CK3S{cI65b6mIDWGG#oB;E+g+D4djd~mu8r@~bKHA7uGPt+$Ov4l*m$voLaCH#^HvmPEK$An z1IDz(QQRc&+>E>ClVZp@t%zx)&;}i>Aaw0^m0P5SM~wcPjs9B7YYMS<{0sj?iQK+M z^bT%nW@o+V#A9*>Be0N#%nh|PyRDd7aWbfB4v0`6nhDjJFZ%lZ!Dre{0tr?K$1BRP zF%J__>=)^{6H!lj4o`h4D2g8=rO1%gGKe82_ydV{5J7L!d+mmMxUPBvS6}n%)v_fM z2G#GH`QE0lpy{(->x2c@$$LeeUqPqeK)2c!=To8&-)|3IS9P5k^rhRGqNo?=|B9f~ z$#IKCCy`a&sE!k{VD1lwUjNQdW*{EtLON#LcV1zY@Iy(DuKtTA`xKk%uC(8nX)H$l z>`msSPh{#zxgYzf4Z19$E@&PFwqC^0rSY4XjxYkRM#~~_I_KkDLu`{x+&!pn_Yk3W-PJ%N z~cD{DPBXy?^*DO zpQLcpW&X%z!NO(X!DZ2(%VMG{9K%%!Ur0%DFi?M4YI0TKbXEEM>UC_0u-p}1=c=mx zs&?e6Zs97w`0A$as-Eb&k>R>&@-3(R5x)jQS>+C@7|@J$TRJdSLph@3tC>81nwk3)3pl$eU{&YjFR;i_5bLlXyPI zO`P7MLw`H9tt^Ne8a62N_w@p1{LS^;$kmfn@JKtg%PHvnhnpV0xxf%UP1_iJ*GP<2 z+oiKCSl=3$zyEjJBt#b;+1E}@N(sN)OTGWcOjrP;&A*-Y>+(s^_1Xxv=^|+FP1qTC z{VQ8iVUCz&qQ5#bN>8}Lcbs10oI>BfjCq8M;Aey~EQVdaetG>eMpd@K9U#`DC=wTP zKHZeG*2!YE-%pI|15xuC);a&+98P4C@jW^G!8Mx7`7lk`hI>ctT|x;&aphK%hFBxER-_Ktv0BT-IQGRiJfsU zM$qKF)YMLUSjl6G2OxP1dx<)4iMHMB{r+B#^>9JGj$zb@$J~=WC4iL2D=r&vC^CV3+hY2e{NBC>&yRHQ5n#Q|w%2W%>1sXb!UHKv` zJ1a2oU|Mc%+Ab<7q2@8ccfu``UFJfLykF%--rijoAB?c5zYusl6>=@ou@$c%YCltI zB+_+MGk;W3<)Faa`D0w+?)%@%FJ5*2p_FC=U0q$@?FEsYc=SM+L&f?@gu2CA2qbUB z2C1|a#fRqPEX9Wz-MYm`Sfl*KTb@caSB&uFhf0hK_(6Ya;0dL`q*8zmt*Xj^SW7}V zy>ITYphWGBNcc&BLUZU21iC<~codU%`4>=SX?~3R-ah%5~Jgm&30A%547kmX(^&Qahucjl360 zB5eKa7VQ|E=zYGKX%Q~BFH1o=w?a>cP?gAd%n$JN0Jeeq)wMnHU!HhAuh1&Az^EKD zbphH-^E6sH%;=^sx# zBw*MS&;P2FuBB>7WZNxncAFDEz(1T~?UU%J$OZd|9lqC0731p8#j?ZSFEieh9GHP% z{a!VqL@1ULdc%b%J{Un#H>Wb4m2%KjYY(%&rfDb1ZU$6TTAKR^Q%kN%qID^ma+Zizc26;^&%~!oJ&MNYcF^%qq((E$U{J9_Hr&~ z&c8siUO=xi_`iamt$7Mx1@v<-|HDxS@R4x&arR^bT^!W0V+K+z6YV|ImE%8-ZE$J>Ix8-eV28sM{7+!hk>1oR?H-9!O9*VnTZ4e3aZgV6Qi14^= z-UULXTd9}KrU?~t`(5pc2I0VwaS0W}jjgte^*T_OV5Q|O)4ed+pf;HBG>%2Y#S>VGqfYGDMpT+UQ%XH_9_T5!oO=*$LQKMIkSbpxt( zA{htZ4H>n9q}!Z4B-B1CIeROXy}V|eL>)!BwM=M*U!2nei>E+y_Z}#tpTS1tEJCE; zp6>zUyI9uYJO^n4i6N}LT$o>69Wv|Pw4Qv3P$X*kL6 zD($dia-GtedPJleiw{}8OTSaZrfP1wIA>y``7}i1ZEmc#o5c>(e7R_Jb#@D({;91b zwWehGlFq8!LCC_yA^xpeIO~ZGQPFR)3l%^DoX64nvlh>BY!M^g+2uc%~?&X9@u6$enxK=>6F?L^N@we3Yh z{jN3Krw9wabRR=Hy3`6N1FGK@xS=Vp7gLgalKgHyPgh03a6VBRwrbxEio4WiJc9;> z{*vhyZcugb4qem!uFGf z1j<3JO9f-UK1wOpSXaC`9Z|dC1m`5}^XXYqA-Q1wnHucoK4f#$n}021h6XR6-Au*+ zU_`fu+NsWsy|B0dG;Aq)#8OuzPZ?DknAR)7&X7fP@^<}Ftv_@MJ)A_ z{ql$-6I*MuG9#4UtS&5kiCQNYMUZP@miUb+)lE@I+=K?gFvmJ>_jbG@D2y=_o}1Mw zc)h=C>{ZcIUuk<`XZ_D~hltmcUcG0cm7bz)OPS~eSacw$0#gnw5hyfk!WsS2S&iPj zgbECU>pcL?Brkf~++AG>${?g-0VIhz3~}s)+t&OUTS2%jpo$}#n*-#HQ-eht*b}i) zquJ78^W!M~``RmI4f6T@5mOPVCfmz24&`APQtPm}I9tHlK>IUosn#iWHS`1Mpci!3ceg4>WV>{NmMeRgQ-TsH%2raSH1J!Ei|S^CRSga< z&qtvbK}b8PpI|EVb_^Zb*k#bjXwcAqjJ}r{=*^vW=91B}`@oGrjqU*McsrSp9ZE;8 zJI2s^>yvVNP|0#TxvAurOLj6>TcYwNTTt{JCn@ylkQG(Zh9%CCqNo`<>qxTYwHD zcaJ^NkkhBh?t`pK`dctV5ckt)d*NgZF&Zo`1{~+?!oLBRiO@?k9#m@b5w=*^kWhQ| zNx7Py%U3`+LzVYpLaYi7461jysG3QznuSwZjaOJP6}(!DYjtXui}~mC(=c;m^cx{lIz5k!6!I`!7Bc%l0ViwBL9Oah6K~x;ShCxhP3M z7^D_69bLZluBNa#@(Wt$gku&b4ndy|0TzLO0!K}(&Ztw4?0(Y(V}Wd=Lk>zvjB?4% zB8Qqew7~V~1f%EumK(UKP~(q$Nrya8T6n1Lq<-V_ZM3pv-O7As3#Ye*>FXyKLvxPi zC;RwqrbM5k*F!W$X?5&(LU+%JcrfHV7_ z&ZCQHFo!9WhdV0Cbui}DgOJMOJaiz9*GDLc7Y>ORp?Yz$h6PTp!O|2 zxCSMX9Zf~9ir>N|7>e;L^-;0ns6Y1~AO z^0uMOL8q%?iIGGa56TlC_D~M=w0-&A?KTC(Wk=MiS)a5g7rYBE5T&>LNt?Hm>e5X) z(495e*KFoZ35=LWj2H`##(>*g-PGo7y#6`vkO-l=g?+Y9e2#(Eq)6(zc{NOAaJcaY zSy%N7VH0}v3@>DMSSN7*gpm@NF0eGtlw*4=^g#dEsP-=ZL%y`H8a>b$0bOsyGE@dwlD1zRS+}6YIjuK%QODalhiNrdTX#LO z6PyQjJ-D7i_eisLJvFBkg-w(3TR~7H!2Rgx2ib1{&m|4gy{v}vQ?kQ&&rdnJAdD!b za`s7{(8lRXNHX*wnd8_OdNTjbujx^=(mv|M(_+^1DdTtFJyG=x_lGwP79w6=M>Q|D zIfBm{e5sIMq`j;dS$^~!SQTa-$MgRtKu^nh>0Yd0!@dJ>u&&^j1F+YRsYeH*qB>Fy z&D`i*xo;lH@m~X855IhyX+00T2F{Q+&tA6^U^sK z(@`t!0Gj+3nMqz?hz9Zf40h%>xw zq6rpTzz%Ld{N%MTF$`R zin?C7i3);&-3d!q%Mk2g@|>>sdBsBT`{v*zli*I@U<%|5kJE>}3<&VPIvV!|ycW*0 z$fw2akC3z#^V0%cHDrJ3rWS`jIel1;rrS;AYE%K)_lnSd0TgrE);``=$Z@^->DS?% z@--)+FDG#}Cw>iYx&&oZc=Ib|=~t@DB)Kb7R_=U{so zod25Oc}vz3W6|5?BHh-e-(PSX<|yYg4dLbVu?bb7)f%(bTAQ=V0Q1D)^p-KE8lE$a zKa8jkc~wdlts?o^x|Iz-^4@?fj8gN9)6Qbz&Va?U`s!Vs7O8gz`ETX&@?2IP+(GKS zT+vIp)wQ&$9qQWZL1|7@uW|WZD#Kb2R;oQbG?E>uPkuZk{;dXMxmFf@k7}LZe*3OO zGO7VOmRqptusR&DDiUfrT5maSwrW+oYHeY;VK8_)reo^{oxWTp__l7#ZZ#*udL79A z`ETTBn?iYceDODdN!yRsd-6LQ)mEEt*K*EBxIVJ3p-8{Ev^gXME>o=y9R+MfEPr{z zhBhB`i#p#u-uD`k#)-V* zAT_pi^c{=7FU#e~;iYgvVVpxbo_+W3Lui&Udl7=_DF@1)BWC&;&9WG)x(&m(x+L~< z^|KDv5IjddW(!VP%E-jY*}O%b$9WZI1E1QWZ?oqIyU&)RnH$_|`=t%3!>q8{O}Y0`~0SFSH$BAjip{ zG2wygu`W%hQ=21C7CB%TvSj%5TAxrpHj(FP-fb60g?V#@MgN{J^u}t+q5M-tuY#S2 z_3fG&I<9pg?wGd+|>x- z8;yEBk#hs*Roba|J#`1YZiFE`?Ozp`e2yU+Vu+c36Ui2H^J_?B2Nz(z5!!#hIc)oN zvB~=nWFbyr&T*9`(c3O7F8qLZ74|9&TXeV~6pq!yD3%^)t11_ILX&IulC=afYw)hA z=O0t)kG8^V#6+tFrH<0i`BSud_PwgJRBH;tt8;qRTpq+HQZM9EG90$JcsDD@RtTmp zA4MNm;(iLuybLXH-72C!F6R_P@w?7RJG`N0&XUe45AQEAc0IKSMmP(;4iQX?QO=O~ z;`d6hrPy`f;s_`J5D0zJRWK_*eQo7?8&kpJ_3(LxUMVOTa;)Y}FDUyMiqzLKwneH$ z5fR-QajenA&LC1~VsXksQZG5y$SJOoZ4bzH(MWxzpC; z_irvVU`k4jKm(t|o{_f&vRd3i#}5b0~>ZJt{( zzn7mQlPrQ>(YM~-B&5@xEbAx1JkEKJ^HN^mp0HUt2nwZnD!ci8c=Dmb%i+=g`1F(E zI67}oEhgiM#b5Azkbuk zos)rS9I}z|&Ooy3$11eNx6vwEN75tbTJ6N2(MiBgzSkhL4}QX6gf;kNc7#2Ge2y#c zDfKMwCats%L3l@^Su?2s<2pu|E@d$G>JujdfZW;sh!Z9`O)D28i~o7A=W5*d<3F$w zbtkwZRHRzAR#Brq@tah&xb&FOX_?HCyPu{U!EfhB>43xIvIoyTJ~F`QK?DqviM?CL z9&pX6%_jhVl_V!5N~+4btJg<%pkQQI%p`}jlezM=Wgjsm4}ff?L7Oo$T3O|Uh9ZjXky4nv*CC3q!(}YP4I?fz&?uM9|Bspz;Hblz52A$ zpabzf;ILl{%1N zih5{yngCSTFc8?3ka@r}=zF{YncXd*6dCQJ?-G)yntbO$DWp=E8&<5psj@4rlBqKt z$L<42N%#)EbeT1=#E_)vY@-kcudJ-4G)dq1gKb;iAdGTmX)H3U{l%N&->Gsww3QuT zI@gbt-Q58Ka~>eCsIaQs+uJ{PBkU)ffy5g;mOAB=nk+qcybNaY(_)Y9MQ=*NK}hp2dVlHbp;`0AsP_jwzTL3a^$UH@FS5Pv9=i)ouwSN zCmhA4V{fY_!alkS1p5dVBp&1p);TXrm7nH;5V0(oFHoo92M5hKNyUm!zOdyffWVSUDMP4PTOHu9tg#AV*;4_O zWl;R?YwW2Uoh2nQdu9MF#V<}C#k)huqVigP$z>LO?#IPWaipQ07ooXXPN&9?>-!Wn z_N2>Nfot2u+h!VsT3aPHD0?~sB`xkZ%YqK(G+h73Qfl0i1 zoE??y&EnGnaosb;R&rh1Ny2oMyqV5ge>x2%=`iiOtbpMU+sAeL{?teGq^iS!Z8K|+!b3MJAD$}#WiVPlb+xW>e zn9FEpY5Pk7zj2**N4!C9l!q*hz?Q{}XvJECDO?-^IRiz8#}V>#{s3rn_86cB7!gwN zbad5ROPUlmlJR6S@@(Oe&{R2A-x5tIbEslc)1>JPqmcfzCq#g5j8)OeCvyrK5EXqK z$slY;$Gq8nWaXt@d>a!+?hu~Vo}}KJ*kHi({$BvRKtsREQ?7C<20(*75Tp;g1dAbX z<=kXofdC4ARYq7WA_HK#$YLh*MKI81Dl;z-(-`SAW z4z;_1#tMuOQ2#$##SoS-h2MOTIb%4>w(RhrgDheIkhq?P=CyX>tmPwfG|7-I$c+zz zZIa}8#|Y@Lpb0HxVi$P;(WZ8a&5K@BLs`mu2J@B2E$tTXR?lA!^O(hHW<;lX(QI~e zUo!2;>W=7?(eecmFyaWT;H4Fl6M%TjoZp2wBoK%zVJmL27uZ0&c;bC{zVrL>h>O=C zz=2evsmgJQZ^R)*pv!^nc?&aS=@un@2I~M&$;v*XCk>Ab;sO(+BGyq!U2t0HC z-4P6^eg8OY?*)Sx0s}Zq!%m?ngii=SuK{R)jT~uu*Le>2h2(wj1(^HVN60Y){QLl0 z4>4S?1k1mxMeu~j$kUTvQiapB;b9KS0507m4U_^vz*-k=EN}E&iM-=WI(we_fN_n} zKIAI*xbF{Q@-Cr#AF;>pVGM4>8a$e_z%S-Al5EzS7Gb z_V6R*`%$NQgU(-)t1|>m_r?Bw5pVsaA06rwhepNK_xQTEedqEYzen`%BHE5g^p5Yo z#P0yF^9oNvR`=wd3J2f{fe<-7kbZz80DM3g z?9K>xOZ=SUA=>Z_5#SP9p%LsL>`+dQ2%tdZF6_k6Anq^^_izB#unr?n@a~IRNG`-= zg^uc_4{7iZ#lsAxPEJ%(Z4U#V)@_8mwOk}VC{(~Pi zfiEJGelm><4r~ZqfiiA^lpF&v7~+9~%^(J`41bP-#0vm!VGHQO1&U52Nzx>d<2lCg z106&s4Z;Lw;7Wv2iZmh=49z8#3jt!XC9P3ON>V%W1R)iYdo<)dn#Cy*(I?{&IKLpYT zaAKc$;SRPU1xkSv0B;lpPcDxHFL$LPF@h==asY;*Ap_tcH?klpax*(pGUqQqGV((< z(jk43BR(=DN7CtZ5&=+h08|osT9Phdav^52CU0^zuMH@-@=AD;HKnpYiV`7)vm}s` zN@#Ncm~tVs@;7%gIBh5)E3+%zLps>8CnxAF;Ug@`kSqa2Knmg>5mPbMM;}!p0!at} zoFE#WYyeIG5VY`1TwoeXzyLyFAvHjx@-v=pVGk;W2C{dy{>%WdU^g}j6tKa4oH7nzp@e>cLjOWR6)J=mR_Qe^ zG%Yf8LpwB|n1KtxsPb%7P83W=Npb)ls0BG zKL>9Z;wkM4zy}17gszhuYc!OCPwlYO?f?KO3qlbFs{qifI~WHoHKIx7#3{*i(6H$7 z!gLWQq9S$)NyX_zVRWO0?>j58KnNg4p_DS-Q?crzeYT1Xh$NVME5jJUQ|~U zltA%QKdJQE{1X5G)Ib-sKrfX+M^!?t5mFYXK^#H@sX$&jA^<4VLj815HMCIu<3mFf z4iQ36OAtj>GzC~xRxz|zIkYd_6giHS+IZCIfK=O1!v%(P1pke60C<&8wK7?sv{MdiHL6N0D+6U!b~5~f}=W*V@nUh zWarQ;r6WDALx4t8K)}PSI-)#UvH*~FJ+w3MgV=GWug_y`yQlL^lj#LExqW zEyO6}C=mFdEn=Yr93^BUBaQ}kXM2NRiNYu{BPy0iZ~qxqDr~7Lt|BY8VqufQ38D&P zR_0;<5^fJsfX{p3(2SiZM_FW0iRYE69z$n-hYq*$q zQM55h6lJ)SM@s_bRlr1YJz`bjr~M+9dfDX7CWTPopFE=~37CUH2Gd;`qq4xJLqcZ0~p^4*8FRrHvW+k(=gaAbFB0xss{Iku5osHF=Xc zxsyG)M=<%5MR}A-xs*+LlSBEGRe6ASZ3?RU|40 zB#==iemQ$NXJGtzM2=Y_M#qDa`6Px}IsXBtka;GUcUf}GvzmMPmbKYwV8VW6hjzlL ze_n@o{D(wI z@TYc0MxF_)c-A?HR{{al(IAF`7iN}{#MqY(f_)fzM7((;)R~>P`J;&?mp|f;HX@IF zY>)0JrTgfIf@p|f&WH{|jof)7Ajt_GC|5@W)HtG(KFMBs8dZKeB{(=Ff;vZZdNv*v zjSRx1X{e3fh+=fEh@?3tK429Jz!40>5!j#rGT;^np&(q~gfv2?Gg>A{`hNNtq}6&@ zMA}6FtFAVxqj<@e005X?xp>N_pzEtLg0^JqsAej;5b?Ep>#3qj6syXxvzoWL%D1Dd zw!#YQ3L>xS`h);rx=3kQL`ihKs#%B5>xQ)m70^EY^!;9_zU@XH4 zeBJ1+-RuqCKs?2{%*Wx!&hiZ-&Wzs}?cWS<%fv0njl9epuip5~&j2mNk*&}gB*_(R z$sJ9{BkhNv8Pysj;G9L-n9bKXTufw*8(D%cgy0SYK&-n&)`Y+pTA>v%!Le* z!Q~F=`NmG^Zf+r{Ph%6$=iX1~w$CQJFXm1?>SXZ2=`ZxsB7@V9B-StM+>h(b!~bNk z{Ok|^nk4|mwEvp#*8jZ~^6riR_pa|YFYxTf^EyHX->yNVeHt8(?y^+!D9`fX&#E#H z0Xgs5*~r*OkKwd~(lu^u8<1FrPSyt?)pHJ~JH44rf(eqK0b08t0QBWjU=J7o1}Nbo z2q0cSJx6+7BQ!nIkv%DhPweV%(J|h-ULqAckQGIc1WgbCIKCETapXfY@EC^^Ju%dk zSqFu&j>=I8$Abu4^me~-8J7^}o{f}T4)Jgv5rPue)M?Yw!a{@=R@=hY5q%c(MS5=7Fq!ml0g*?B0p0WQba)QEOX^`B%4Dl7FE%p zd=lm5q#XG@<24^48hs-`lQOmAG8rT@xymy;lOs=`GcA(SM{@uKGoYfWFf}GMA5%Af zGB{mxHV~TC#q}kXGbV2n0AxL}AoKSB@+q-1+jvvEhLSj&-#C*pIhPXvm>w#56ZCzy zcC#|JI7Kl!IMC|zAJ?-?AcN*dlP8maxQ&;`tQ>A(}Mi13=sXYjs?;( zG4l}tB1I`q1qcurfH0xLg$x@ydi>vP11~lJU@F9rtbh+*!+7yx#_P^Olthan45X<*0TCbv?0{7&lg*JO6W-#8 z(4f(+T)TSx3O20Rv1H4dJ&QIiQ3YfPxR_SLKq#pLGz_adEQ!QD1OU*02EZ*`xl#qk zog4V=VY&!0KwDS?=ys<9Gc1K(x(v~V8*56(BsJm9LzG@wCe%4E-$P;ooE3U$v7SuQ)0mE z+P6!`X2@Lm0O+Kp5O%}#&gJLyQQv(4gz^bOiaeH30ftl+SxE#m z5dTd85b<}AehU$3;A8UvXkRap!7>8_iqt0_Z3EZ_lV_?aq#%PxIR{|?qP15XLMH%H z(_Vf3)lm(;aG{l2VG)qqZoQ$V8f&gKIGK~l$ zlq3`0LxvI7kzMd5C|Op*(U_f+a?VL-op#=dXP$aG#1>fvc)=4V357C9K|2r=6DY0l z(ux%b{TXP2fDR+*qmx!TKpMl`0u(PL9Ms@Y3q^wx00Cf;6#)o}_oltHVu`sx6&wlZr%HQaKmqXq5W(5}-pV$&8`|U<#4+XdtN^6k|jMn+I9L4@V3D!U_W%$ZErAiBP-41go5?thy>H z#UfdZafGn?1nqPITv?Z*j5@lTNPB8W?8(O}RqL&}DmAkv0oQ4OGy^bU1x(fPQr$2e zo!b*9B{WH@oTV@$B}9-?I_SOmR_t_E&f?td&~ndBcind1jrT)+k|m)+>WLI5&ja+m z5a9|Lo*-pYkvpV80m#VWbOss3v{5P{gt&7`xie}C+Kg2p$vCr;M?#{Jr_R|sVE_`ReClo;Lp)TaQ;hpo&V(|&a`OuZO z=k1V6Oi!-;^wQHQJ3|NUoNAMK8nT5+h}%A0vJG92ef;vzPk;UN?`?nnCndnlFw8K+ zsq}qMe+=QD{{YC0EjZwR2fW1{=4QbE1(0+Ki68<6h!P7P^_lOaQB7#hquhl6&)q55{%6(2fDh-nEG4{eCVB%Z_r zv6#UTl?cTsN^y#EiXj!Rh{Y_b(0y9$q8Gmi#xRO8iB=q=8PABuH2T!=r% z3z4K@7YG?>K^uxFf*RB*5q&5^qCkadOh%ke8;S>#!3Knc^#3F}z?3*YkxqtylAB~X zX-W~|00b!{rYxi>-fU`?zdeKsA${pTL+GF+eqa?VNeDs}%Fv%Gb*Xp?C`yQQhl+Rr z8X-x8YO+8gA?%_eACMYUrApPW0${2I2}M-LO4S{HK&DgsNl%6$p+I~hB)?>cuY6Gi zt%x%f*@+cD`*5o~U?ZIdNQMC>(ZBGhjAa90Mtoc_SjvnuA$~QEPx{A`036`5Z|z%U z74og8g|;g?VAU`p(UHZz%dm(YMhQ0S*32U0vzfXoi5h|dX;9)W4!c2qSesbbVivCQ z(JgP2;oA&Mf+wvY0zw=?EoNu}CV}7rVv_+R0A!#PjQ?e-Q7Y*ZEp^1P2!SjBBC`rm z{0vXv<%M_$5QsIX7q45{0WXr#id(dzBUP1ec~q|lW*N3er1Z!kb&t;=t6wFz{lxr1$VBn`iq zfdTrUD~1>#8lZ^815AJr8iz*_wm=AZ0kDC{a-_uxd2s<^3=i2ydjXby8k1keb?D~HmD{9=Rv zzdjKxINa=DZzS5!-iV+}-J+oYfEleA00T0bPGT3KA}r>sRm?ECTln@FdI7*n^Ye^C z*@PKH7>c#YyPc-&bvm~|w?WkXXNE}m-0Ai$>3F7XTrVULtLVt)8e4B8pE=&-UURAY zUGQNS``G^BSBJ0(8+j{a;QGo*!aklO7{Fl~sS8CR3PJ!8)^=v8JF{{YrWSdVa5%Z77d$} zBCQCa#2^500NYf=>tG-6*FzHa#=d<3aSwRvW+{EX2tjOLM+GSa5tcJk9m}POoWvjy--T@vZLjNAiM1%@aimB)xYLbT~M;{$>i3?GRxb+_a(hCDpAZ5l@ zEy6a%Cm}upPjiS6V}KRJmOMq`i8Es&YIi5n*b&tDAt5p%(=jGx0ufMBHq^m~JOYjD z=w0n+HkXn%hJq+PvnY*XDUouIXp@haqA8r>6MeTlkJ5a+&_q0BDyR~HFVigbQW>^_ zD>)`GwU{!$vNFO_G{%A~Ft;o)^ER?F9UF5k)G{yI0yon!E&+3ruEsU$!Y&laST{HT z1w$_-IRJzrk4FfE3p0cc0g{`SlK|r}5Mxd?=^__1g*KA{LbHwsaS4p#3n4Wu#d0)} z!3V4o3tyv31JIPM@c)!EV>0|CE@TrCQ8P7FlPL9QFzl$7{^X90xDf2~mXi@^bNN1s z<2`-@LR;}Xrqdad^G(C!8kwU#oZ~pWb34QnIoVPhQnwcluH$o@`gUTtL0hXJCL_|^~L_=|%aB*k$NkClWp7EJ$>nWf0X`lCrpZN(9^r@fy>7V}z zpzFw=0ZO0+YX6`Iic15EpbN^N4eFqHq@eUuNtcvKqUR8!BzlV{O8!GilPNwebbUe+ zqJHN}%=w@vilXgk7RppT`=k&oIsh=rqRiw>O%hE6FirZzC}3Gi+GK7hQGsX?PLl-@ z?Q~8QEEq5Jxp;NtLD$H2@L?r*e8x;KpJdRbC0zrYKQT`6PHJ@osA2QYL{@2~nsebf|Qb zsA8d05Rn2_FddG-1_h7-w?GI8!BY@urY7;GTQO8cr4T1T3|c0slxnGC(Wwqms+D@F zo9e0xD*skwRa?)IRa*rBUF95R1y*HsR%8{cX=M;3#{{uOT>W*cv-S{ol~)I`Zwf(M zClXjnwkyEpT5;-!QZYLn+;!~kP&HUMm9cUC61Pe!-Y zL30w(w?yG(U?yf{W@e?qXKHr0ZI-uKW&m-OY2Ako>14pFte+qg?RXKa!bh^A=H#lTA zA-@23a5e#YM!|3n$23MKapoa%7H7a2x4p*ZnDcRR0y2aXe6_r|!Nr(zE7x)_XAm-{ z0oLINK5;Air4WCSegR-}IQLT;OpF+4Z{;No5)ucTk#s&cqGOrADPz8578E1H&CL!YpUK3>u+q2X|s;gl30!YPW537k6GvcW&2q6nu9< zH2`9Ke($Rge-|uYOL>ELd6fyfx|1_y#E{o++d8Ote0iCNdzzOJotMW@_e(cx zHlzp0kC#3-sK}Mq$V2gZ5aAfQ=Zk+_geK9+v*(Mw_j|#&5X09X%ot7*EB`olVU{nN zd`dOSyJvbvwgnw=6$Wq@Ki7P>vVbQmk#e`jMFD=TAb#XG0K-588NtLcF$}Q`5s++T zW(>x00?ROA%D{(vTTGx4nt$;J6^!wQ_g8@b7l!-?fZ<#@0!S4KQO|aU4>6AHB$fEj=4TjK-KDEV3ZW_#nnN z05@_aRAMDI;fzmOOPuYCj*Z0*Fb#o#ZqYc6&>*m4F))E>6XR$S=J=LiauBHf)Nf2C zI$PG09UsJP6BvSwS&g6(dN!D1llU@^QHqxPC@J_T67U#go6;!&*>qIxGxlf_2bn4x zxsWBHoV0u#)S-Z12i34bk8A zQj=;kk0L!X(gTw{S%g2yFb*S>lOZ$QS2KjOGcg+tSQ8Ce6EsBK+BU6(ADa+?fDL`a zlukKP!fd?Woe*Plj;|p#RAW9&d^K4E$u=Gl(;bi2?YAPXH3blqAOqb78lh8`PnQ`u za_OB@ZoGC$JH=DnDN&eWI*D1C%+r`h=Q~BMLz{W#(?c0h zZW3)?6o_dyH2s;(iFGal(jnvO@|iA33{ zL#$0FwvIfYxfQ%F>)2zStIid}9_+`?C&;es%g*f0ju5o&?9ndm)9#+oPVLu@?b$Ae z)~@Z{?(N^MRNM~k<4*47zDVM3Hxr6US^f|h`bnRN7Vch3DFLF`L+o>0qW37DL*tCb7?Eq46xs zq)bXcA}=>29~Mq}bX)r60A})dqwpw^6LW&4L-3*#uQ;X9=LavIXj)Nf+7N8IP;+Wg zotml`rBQdP>kiSUaQp5nZxn?L5sA8}`ZM)IQ~&i}(WnpcsBt2yT9&C6`cGGHH>qkA zPAn&%>Iexz_62YYPOtOO2&=k^R#jzNAz`ezy0UpM_p%zSY&ERIB_YbiTp0)v%BojH zTCWKKSgjyfgcYyW>MrB;SW4Ecl=ZDx60pM6S>Ebi3vsSBp0ixBuI&o>6$!5?E3e_o z`NMRtJ)5rt+gtwHTB#5C#kH@oFZc-iT+zj_@a3=&n-H#i9WyH-+68!E|41e49RXV! zk8dX^JNrAk6*0faitw!+5nuB~8b;x=`L$otFA>Q9_H$^oyt`n|+q6%cVMwcB%e(#< z#>QA1y&ciH)Z4YZK&DG}$Lq1UZw3%)e*eud(1%!o0s|B-RA?X?s7Mb?C=^&?fFfH8 znI#zjU;{P)3IPQA6jEUpVkHXf*kV*!WjJRndqECf&@?C4`v&@`D4L<{OA85_2lHE-tJ+4E=6p*J&u zH6Wpt!g8%beJk4aLe^3lFdN{7(*R~BK|=#NfVAlWs0~jma+RuQghK8Jkfv~U?c2E- zmID0R`gQEtwQuL%-TQa&;l+<#YZ`?R`skO2At>S^QGKY%~1 z^~O3*p#lagtfP=%fMc4>+AE>}-8xbWs9Vg4kAPcl7~mO>00;q_-hwjVnL!|2h#4XZ zqYXEX3UJ||ghon*LJQ-|Fs=b{464ElZyca70CWQ~!p;I&B_xCrLQ%zrNHlS(9c#o; zLjc-)FFvs_NXA9KhUkSz*qn?|uFSOLvH&lGOmIPl2neMRzz9$)Bn@~Pz!6?}8K#w1 zLZX2fg(zbv01ZmfggitO6%T+Nrop141IcW%L@*H@ky3^J3lOv|7h=>=NKFfbFaiu% z5;P`~XuvQ3(gScs0&fXXQU6+Nwe?n9bJca%@XXtenHw03Mz>OUTZocD063tJUmyfl z*pQx0Xjt5WomMwVe;oBkg%G%;l}7ByF(?E|5k;txN>b>6XYzEH2nV8(selhN8!;#c zV8v|<7CUkQB#}rmiCuT|OmP4V#!Z+Zf(t$vL3AtKtbkkYh%jG5WH0veDvJ^&mGQlVjpC}1+7?C_;H zUYp)EFegCSlZ%C3Zc^8lPqcObYC|(tA*iKph3W$4)M$$XIqTz=RzOKc6^*v;TA^Dc zcKU9-^VWNBzIF9gyZ=!U5&^~mc7UanOiUUELI46-G4KKgM+kDs%hp72!UxEIK`Kl_LB=SAI_OJa3tjj^7{<_Pf5Q#|TriCi7$6jh8-NCe z(ZgYIBzb!v0RI4J01W`x5QjQUoDy@m!zAADi98fgP%Nh#>M2AK;}S{$2$+e55P&p= z5hF2*V*o59Bowd+3@AXvvIsIH4yDP@FOnDvRS+Nryud~n#|SninDHrTTo*IA5R$^! z?vUI<$r%YrH#_+xlAm#r1C=!fi_B3W%-TvE8D>aCUPX{-Oo$JGD8!+d$p>9pnOM}P z$WW$oORH2F0J3!|K{&6gO>2X_|&Ul%M`wNE(K53jp$>1cBiofpk!c zWQ;-yNZd^09>qKaNX-`v2uwc{suZL+Voy$}AOwXX06XaETmUG*3G_4y4@d(c@k!}0 zR@%}$wL&QislhGwv`~dK^{D`0fJ>DMlv4PjIt$6_R*_QxCzN6s$%w>{aH`YQO;f50 zrK(kz8c@IxlrFq+0W8XQK395@A!~(d$LMO;_kA>^BaKk!>X(YZ_%bHK42q!i2poJy z7Hk8!L_&Rm8Kyc_p-<6kt+Y2$hX$n-%xLFkF37FQw&Jp)8i3SBAzIRE#sJM>f)z{x z+W&{@#BP%1ZEt=1Tk_}=JNXj{w@ecv#?GaSLm_TFMWUObwh56e?97D%5P-C$ka_XZ zu4h76lc0n!h1SI`L%Lg-0+iRd>CJA4k{L$oa%QM$`>t{`gI@I#s3)=Pu2|+P8vMpL zo(KHVbTi{s(exL%%1mMi0}!DABe1y)F$BN336ut>_qL;oZ-wRC+zE3P!3!pFiA{Xs z%m^37yh;EwhQSPGV3c11u4jv19Ak~;%f&FR7>jp|;~5LZ#yr-TaW^w$ARBqbOJ*`? z{xr{pMB@^pD64{#|u-IRg=B^WiZ1~#bK_MOy0X-Gn26e6XCotj87A~hy~3kxW`l4jd2S)KZ)I)JAmA48!S=7e(`|bKU=*Xc z1Q>Uls4b7%+v^5)cXG-LQ6Sq>Ic>#j7xM0Sn*`s&-1k-*0Sb~bgfuKw$#sA>p=Q@q zI|rZ9W)KkYg*^P+2$Xo{~S;@$2rh(K7c4tVHyz{38}23gNLRIT8%{X9OTDK?H^XB#L2s$$c01gkBP!!(s#)^-mn7|7c z>&YrQklHXJac-P04NEfWjZ5vl$vx!(V6IGt0Vd&Mi=1^BJMGs1{FU0lh`rj}_ ze}LkPULnJujxW%c)r~KN^usWH26MXmwATLi!zcmrL2vwFScUlmNdJ6bvS7}RNrMtU z1gVB-Z+qN(KlI_xlKR=73=L&q5_)O{5ftJGr(y<8I3X^$y<|Wp{yCD*`Ggn=2>{3d zb6K8s8@4=oEoDhQ`ZECS!#&U1K<=x&%QLy&pg>-*K*LxAP~e5735^}#g=CQDLroae|=#Y;9zUmRBtjGz?p^E%b4V#EV z-S~+e;XBW$LZncN0!Txrhz+=yL-6`St#}F`1Pi(-i?c|Jwf}G>w~z`Qv9FGC#JgAv zz2FO0sScen48%|j!U>wAOP(XJgL=Dx1F;OuTDRXgjntsShM2@cq$XM%049V&uj!3f z435AE1mZ9b&`6HuXpV}K3_+QW5yL@d)HEH;3{6>)Dsc~P+a&t1k7#U_{CE{@?2qHI zqE9po1xymQaF8bnn1-VpDY+32>4>U>BTUhVhgp%0Fb&^{k>E?7b#%XMF+`u($H{`2 zfRs1QFcKtTj8nmlNjXP<)Cqu`#w&?0GpVau%By&+#4_0uicFKCQ4j_Rqc@2Yv#FDW zz!U!K698a_R=`E2(+xtQA8-4VM@gb643mqbl}mY&Z2uHNPid5y(}fBEM@!rgTQ`kQS~X7UMw{WnmU)k(+42%4&g@ngp9|;i!rMxpFy|puoD?X%~ly zmw9ovyuufKDYAM5fZq$4>H!&p35?Mx9)>~7ka@0%NwBbF2#h(Ajp;1C{20ExOBF&M zzmgf1fumI7BA1C7lzB{>36~tv8D8p{pD7}tF^O9c4#(N3ds{(o3!AC=Mw^nL&VtIh z`3&Ph&9M=cXww*QTbs6d8=^59q^ZHC{7p-H#>_yWga98ZTAUzp9EBiG=DeK9$(+qG ztc9SSwksVy3m%)K!VaTn$kjAq(lNGMjE9@N*+Gc!(l|yj!@D}q9ab?tf7b|^Fz~8`XL`erH+{; zR)S2Su+uE@(^|TvEi$jcs2`qrP+$_K_WuYLDmaJ%FeZ%)Id2+(XByK`nx;glrXU4S zbmEL|a;BIGr*SGLpa@mXSf|n&CZ0GDd74pKRkRxQuZWsXfs!kOLa5DxD2igJU-i|{ zqKJ#iDAMex;|i;>Dyuv*Db^{fqpFRhf++-$saBw=@9Zqy+o_)Vsi2xKXk{sBeJP;e ztIV3Js+v};(yFxSs*OVkWhE=Kx<1}hE6uX4bS2jTfUAKjr~s|2yNWM+eX1<^tAG_O zk%G8=-6ge1EE-%a=!zO%xU=|30mx#vz(}ptI@itOR7>eB+=8uE&5YB^Sj)nUvT3bh zcs&)0EqkFY+Y*Xo)U8>qSwgE-vHxf;{z9$@2{E4?iv5Bv1p6=7Ag}gDi{fwz)3!*I|<~gu#6T33> zwG4Z(HUZn{qZO|e3=7i?nNE!;1|*-t|<8B;RJQrsMau^a2L zU2)tX3ku3*T(-E}x;5N6%d*XoGAcvcD+>zG&5m?iO3qDP6hmB4lQR;7v%+xQU6Ebp zTH83YUDegJKf|^?vofb+h}{h|%*|cm^)1#dUgS;QFe6C)+Fa#*-Z?v7jes%gtzPTB zUhK_Y?cHAP?OyNwUhoZH@&6rP@-1KUJzw-qU-eyI_HAGHeP8&EU-_M1`mJC4y46rKH?<)-XShx_{HKNwqh%8 z;wNSXF0S7h&JGwWD--YnD9&C?fPn#Uf?Ie79#&&ECSzu>V<^^RGLD8u-~tDrF#l*{?v(}+kY7b+WJku{WC&#Iz2q{^U`=7f#^mb#p zs5;039-d`b&;c0Bge-tDb!KOGPUm%IhIZ!Rd*t7Rb+~$USB8$E{^AUW@u5Mgm+$L1~%vk z{^x$?W|U?IdjGcPeV%EV&gY%x;Q?UjWFUfrW(Ht5fm(k0|x7CzUb{OX)YFMg2ril=HZpD>T>ps2aG_Ic!n(? zWoE#J7JG(F@BtWG1-@p6T@V5?)`krr0&r%A%D(JqC@UBcgA=G~>x~AV*#j1^9cCEqiMV6hU%2Z}7)R)3Q|gT{geoQm4dCG|Fa#GL>Cx6~aL#Qm zPVUzxZPV^>>|X8GhB4T#4RWS!X0UDC_Us}LZ{8+u`tEJ-K5o#)a^+_3GIs6?&)(>s z?&`j7Cuef*p6~BQZZNm$zee%wJ@52h@Ag*m*JgA1?(+J!bNtTp{qFBWE^ZSDa5DaI z1OG>GGFEUd=3#7z>=B=F2$ygQe{0ZSMU6-vQGkOV;^AlzYG^P4jA908n1McEhCvu= zPWSW;p^aPU^iPL%S%3AY*64TU;X4>=e?9?>V(R*aF<(dm>MrAF?)6`9Y7(J=ozR@^ zjRpf?13L)7Wq<8KZ~-#L5Ez4nNT%LnPiJ2TcQSs4NUvTxF8AtX>STxF9CGA#f9~u3 zg#!QuW=Mu&{{$Lvv1@noXrJ~QyX(ld=Wqx1*A{hB=VoGffKph645*kec;!uoc4-el z$W~~DXLyIthF`dZd3J#^z5`c=u|j|WdRGMxs9s0M<5N%dRj*!A&;WWba|^%UXa6V! z0%8VE*m3P0`I0|*{cZJG5A{G8^-^DXRcG~BcXgjm`dJ5hTi@YbhcN;GZ8(Sq^k9am zuXU&%_F~8FWJY#zU-o9dZS9qKe<$NX$oFX2_h-;{X5ea}RiMUw1ry zcP{38@s)Raw|6qecYVk9*Y0ZS_;EJ-#7Fn-P56bE{Dg{M`Wija+oJ$O#h6RJQT_x5f{jYl#J>OxC54^-a)_u259Qn z-jHjz{nriv+9!T_IsV(P_(zX=awg@a=jLC?01k&`t)>m=hxKT1`-z_AVgE^kAO`gk zDD^Fw;{lLzX7=`nF5-Q~rfEg?w%u+F#2=d{=h98+lRJk(b$dV^h zer(0b!Lyfl0)Z?vq$4x~9S6XOVd97mkb|%=II*&)FDpQ2V$G^`E7z`Gzk&@bcI-o; z4WkhtD6{NPW<_6;jT>#ivq3Jh0RTx%BS)uDr81ja_io;30S4m@eAsT{mA@ng9>9!o z;Q*5fbN!VeR7bd)nDnG*@#rnIYNdJToVBIHXeHDpE0AyitB2A=tpEHRnpwq*YGc9$ z4zf3Ckjd1pJRXMFJi%LkLI1L_z~a2`L03Z!CVeqK7Va#2;37v=tZtOPLS@3~F`9Ofp<} z5gk~ij$B+A5H zTtpZ`qCr?5#Tk`Zp{b*q$cf12RLp$mj0?}`rq!KT<%v~|BmW6XC}_#-p+qB?K<3d; zK}nH~TLQc!3}_CS_za3Ft`tcMrNFnGs;jcvs;gKPBHxy(%~VLHn+Ex6dN0lx;)tN0 zn4*j=4jUt{#DWCP45J;J3|+?>tJRQ2`iZ2Hdp;SZmxflk(v>7u^vtYX<_4yoOp^If zqJZ}Ik(lVNIT2iLk_D%n-)5;$w=?DWZj*fe8swtPG+O9t`>wR^pMegl8>Es_I@P6R z-TGvwpQ>h~Z@rd!s<;<|9J0tGlMJ$jA)m@g0R#{bpKaT!Lc<+tgee(ISWYaZ%gpGU z^R_Ta1Xrvp5tr+qm<#}lXK4^U+DEY^#B*xn={S=>u>W;P+e6O$tO?V@Ii2uGMt;|H zLER}gQ`%(B>`<7|3EAPHWRDH@*(=37Gp#cbBN=-bV&cV8$@I@PR4iWhR3l)f9Vj z2P!bb7cuz2ONJ;aBkC|PePJR4N)Z@`45N%^6k-^8sG1TS04s1@4lD*>#$hz#Ayd>N z4LpP}kfhO!(ZFFF`_jP=hR{zmAy~tlvzjQ*B#>tm7{eU(j3+2!8N-;v32i8!Ld2my z){zf0Mp(j5))5qnWF0G8>B{sJf{K0o$Q*+b%K!OLbSzXMQ9KAj5t8s3CPZNiU${#d z!myZ&^FdNh!UCTL?~iqkCU0@6|=ZSY<`iA+kA*5 z2icK!5^|j#spU%OIhH!!F^}WqqaU*ZPj!m1mxz>JchtkEMy3jLkX#8oDS1#!Vp5Zw zgv$*G z!Hy0Qg$Z6tBA)tmr#*#fK|W!E2LylxCa~e-ewvh*KBt<@P^Ckhg_NB>)eb#L1{+wQ zgi%b*Pzx1tQV7R0lWt*>tbyOQ6IrLc#EX=2;Ul0SMxzuoogafx}^^kvqOfg5Xr@B3N09d5Lx zJ?%@LQPJSGmbOMks!~DX-5=6+q$*x9ixE^(2^B!42)Y~kI!s%YaLtR z)vG?Wstv?yH&ZRv1zI(vC!*_G-x}7a-gVLV%oSt5I@!uTGqRWM>`P-lC?qygw)BCAYe^qwe;; z@!aov13cgYA2`8X`Ty^NFX!F|U-rI@w(xmBJl^h}IK>_GX^|mZ;OWlzvFW{WW_R02 z`Bpc_OK$R$qdeXpPr2t9EpKEjo%bWv?;rQyXW-Ze$6n_g>)6MhaqN*zLfNv(&a88e z9my&q*_%RSWgJ^bR(*)FXUHm=Zr}U9|Ah1Y;au12bv>VtM?=8|q(SkWk3(_FqUyHt zveNsg!_y+jwH-}f<>?gvvogMGyM~D?GtUmsszP`uGhZa9O?M@=J0z% z?6rLtUX_J${~yh~&%b&)sw^%Z{%9Mxb{JHo^7(q@k4}0#>wqF9z>fg?R@;1wehdd8 ztir2!3%8T+BLC->qgwmCOPCr2Sa^T3P^&S=Jf2#H^xk{N^ySF3EqcUm$Mwl zU!Nu2C>)M75x{&G5jgr~ow|l=c>H&tx+QAi^6tO$?*9Nxct)!J4$lwLdG|?_B}`h_-@iGQ9$)y&QeR$~=O8pu%=<>#y? zTx<@`n;QC5HlTAI5VH~F;J}H|0(8kqLqg;`jUq@J86{J%QA{E*nlU7;2#RsbiH8S8 zPlx;85KrgANQI75L?PT!+s?7f%5avk5<*gmK+x)pz00H@8uI=59x92ww1nf;DkIA% z=kO|5EgKc117=AB1mG#A>^Ml8t9L+R)!FcUu-JXPtW}|Cf2r6@8tm{|4Fe!Wwf;-0 zPvVSQnxjm{aE$=NB_K4&l#u5Up#9B4!dV}-MU%%VmWu-?%|_zKno};Ve5H-Wl)DHs zCsD__5^k>(-1sDY*Fhv6rd@krg->#49Sg+~=GNso^o1{oo9z4;?MKekwZ*|_XW zlk4&$SEWK%^?$CHI64xJ11A0nEg=CT*M|tu#BvJ^&|>v<^4GB>b2YjFwS*1*r*6Up z8-N>$f5%=F4uXH_|KioZMFwWgT~FsPq^}1bG)+*rtx5H`NcFprXZLH@u7N+SiXa?C zZ8Tz`n`X6+RZ@4blP=o_~LvfH|41f#M9oAn>l zW%4}w3in-AC6WvaU6l>pR0R7}z+0*uFg-dzw}&66ENJA<@5rOCiB7shq|s7#H;5GkcCd{WNSi zUTr5QT?j_IA99xM^$)BpLKH_Nseno3{O*X8st4d|Jd1u19nOzft@~s90XgR2NdmMI zGdhKnZ3JBbXTw<6YuJjJfO_>D0dJj%0wl}uCG2?RP5`T@e53&N6WKSjH2U%CV_hh!RY zdym?6-HY4?WF5lOJR%E)VqVphw0b<_fJKjOm$(QON$(L? zs_u`KC2)*BF2uxfV2Cb7#1+phHOwPAQk?aUvx8@X+L}$!PUQ)P^&zJImuFq1C!v0a zup|=SvXe6GnVL~s^Tjhwc{g!Pq%OZYk%Lr3=atRll_?=yP4zWRv&P%7rrCP;iTmzT zmckrjO>U+av9N}Rv5ufGauCt?tiJ|gupNtg3wYK<441%gTF7z-!kLEXQvY`b+?yWJ zyGNp8x!b+{b%ZHW4G2&AC3^SFCwA0_fwV@z2x{hn#>>eJ)gYI(*3O0>hX$-M3|u^f zc2`h4(7spk!bEI*iRe?ioAt3~(870&Wpq_(nR~K64%%;CiTEKEJ7VogU>iaP?6%sJbF<`L@aWMcWZv>-ugP$=(j2&Mq-5Z zan!GNimpkE$tsFn=8oTp81Q*QM-fLHHmQ(zYi?9*-3}xI|m;{ zJTT$n<8k7k%olvQexk)M2-Pp_c@9YlJB!0FmOed)NDa_B72yz9-YS>?4H)3U@%{me zJ^l9c$LBw_h+m(+0mXL-o+PYI-`1w&zx;21$53GHJ47=V0vAb!{*uJhM(~41NV5dE_mDU`%#C+^P>&$zjQ9Sy3yuwd z@j3`s-_>_WriW>r`~Z_JZtcf=u~5qb|1waLYUYB zQC8eOydC`gv!;kO52(OQ#BRAtaFpip$z%fWU7A4_lFLpl0;rZzc_Xt?^8(5u`;snX zEssQ_zoL11K#c3Jl^0Z_uDw)S3>FP`RJqHuAuJ;^?yMo{_dm>Y^%ou9Q4Ix{{s2)w zD+DPk1L#YGNPdJVKSFjBp;(w$FQ(+`I2Qc^J1~EiHb_vEHJ02pzQp)DiIFmjrl(Rq!8c}`i3P#R4b244kcs|NnbBaS|C()=W$vQ!YRh1C2wr`} z8J7~O$qF+x4boi#+ydN6w720-w+U7FPh(^AiH*J8&Tj1Ixw3gJa?N|BsHA4ZUPs!Ey;|?rT6;#DMxR zpWZN;Z>^U;1Fine@&O8=s z`sVg;Y{g~ai#`#FLBv6Z`-^L#%hl22VV57nW?1m_Hsax&qr^pp_*;r_2u_F=f8|jGAm@B3h3*BLrvDP&@Z!_wPP@mM68hkApu{t&l-J?DIpuMSdwvj{|M^7_ zQ(K0pg4c3?;N7zT(RL)1OLVMd{JTg=zCy!StRP22s3brTy-p7JH-CvFte zOD5&)CFNX*X9Yr8^&d7|SSWX-Sem7dhsTzO#UgO>bOTH*`WQeQG>-)Rk3sEdU5Thp ziLCqjx$Z$^{j#Fum7`?hOMPNwS!ZONwhT+U99Xto5{p~?;3^s?l&VZrPzKrYB^j{l zYrk~mVgc^cL2L}PQkzm6=^;&=D*Gsv?;micE)|5-HwynGAzV@6Eaj2`UT=C;Qkn5g z+5K29$4!IZ9$Ot9Sy7!0zr%8V)mXN=X0?>?jdtYxCN-S7eg%xvh>WD77enHgpIHh$ zC`c2PeONej|8LdHlwSrFbCncwys~a$*}wrie~v}8$93hZh^`l8(aXi1wL*W!XG0p6 zRbMQ6%g#s2t|$ZZs`cNL^1xS`KJ~;s1nDoesG}p^}5=3*S{Ap9KV|uY<}&5 zy7v&1dbSfWKc1<-HLKq}?Fq7||Dlrf^`08B{$Ew=<>_M2@f(Fp$RI#~P$Aeb=>Q=X z#w-zXk?ur@qo8Etf&*wB0vT9#$&-PEPau<_6H{#BAI#vkEcDe!@*5^GT1r6KEs(>p z{60UNRpBY5}Y6)bYgzB}rtV9a$n|K;unZQ(KA*7YliahH&~YZI-32E$&= zH(R444$UW?DQzPRI!A!wx(#k>9^AwB5Vp zxgUMBz4>3;1KtPs|Nj1Yo@27y3y^W8lfbm9aE&v-2wOd?9Gor#i^-gla40q;t0KVL z@1%FJ)l0&S(aqy2x{5VN{WK_%jADBGX!CBelvlB=4%#5xP&`oF^u(O5bXu2-41A(n zkc6;+n1ve%X7c)o1>yN~d}pMg#c!KrM4QgMl03zHE7Iy(#4f!j#u&lKbSp7sPlSYO z+;q1r*(vBLBl)~VaYLy~C6r2-DEv;&g9G{K#!(#8w02omU^RCVI}R|k7JeJ=2s3nL zC2+-CSs3#^3Yh`Plifh-&0~c{vYs6^EFA}bt?YBJNU1L?!<)qn6 z0|Q3@N9+tK6nN^00#lux%b8k~PVi1>}Un0N7!ysN5c6Yo*W(SHd!$6+> zj)N7CZdE}{Nqu%114k)q8o85u@zaQi2s{K4_;UeNeqU*)B*lcotD?>%BKHZ!QOAb! z{)AJeWHMXv*0bO*5qR83vsW$z)vG&R!IV`nQqP}Y$W#DcE!}WiLU{TRfYIXYp6SgA z|E`o#8A`&H8-~BGi5zEVjOfrgR%ig@U^En(iyN^fNAW_%{~WSHUn>M@FnbFvKfgCQ zNA?g@-L05$gMEy(O4p`J@P z6L=Hvzq1kbOJ+ZyEh(W*){_AY?KguI_dg+rlRzw3ej~h2O1+sRh;a%J-YxPVpXrOA zpCZZ@IQ{#f0C4R0nZ&fSlCxc{Uu%Wov+cK8{!pm@oPHlj(0^)xaDf@i^26Vfz#y;< zoT(qH<)EL?9Cf#3&aaPwD_wr^hqDMRT_6r_R6AffZdLTt&mvaqxaqdeDD+ zha&?7fJE_GWF5`!mACv?8!%%+e?R00dqPXKXm_HTPkQAN;pX)S0gTgGy}Y68x+5@)60>MLP6Wf-UChsM)`F9 zZ!vi4!y#E!vfx~i{8dd3#wLF-4rR}1CtB!~ehVps8s}e;Z49VND3Hk;7pv6jQA&@T zJVnm)SEf4wiCzc*GE?pj%4O+{V=K;~*x8h%XUF&_l)@Q*7VpV6_R*AD=BbV+lN+K+ zb@zsfp}177DlXXDf%Zw-WnmZz+gIm?jKgISn;Q`6kvi)>zrJ_z`i!HJlud$62mNyRh zb;NAVQ6)?UkWvQxh_`iv))=SxE?WG9)qa%%=LtJFAXX8Z1q2J=Sg9&i#+QA*SimL_C5w^IWkd_~miE%?9EvtIsb zK=Px9qA{Ypmz+I-;DgqkpyEeZawTal%L7mxE%4bMW=|HHQeWamar)w}uA6|j!NUpG?ly04kSXe|A9i?^*XljA+ zzvP=JKVS~Cq)M~w1$%kK0#feGfSs`LsegF~SeQ|n=!bOMWJrtMZ6dq(yalPeC3W2r z1;_qZf%d8n&g7NuIdabn6LEmah32?xRV`FsLtVxA%)yX2mqF)iK3mf56uI?`1*u`O zx7XtEk{s#2`SX7^$Xi-jg=B*aAV zIWhnXBDJ~EBJ$--Ig>_|#(W~?>_0-EGp*yVKKL-z?zN25_7vGgKcW*@%J%Bx)~pR`}mNASz#zhki+<8LDN`Om>?W7Wh=j zTEx9ZG}7VPW-x6Tyd_U#M~g%Z@RKS_p%1N(N|~+a_1`~m04kqt?Cx#FlP41Rs&4IU za}-3%*7Cgk)7p$Q!3<$@`7^r>BsL*6eJh!2*J@s`Mc`PKr)gD;G3O#fa9fp-Cp|ak zD+IhedHTz#iV5-FFLVQ{-U_52QhNw*90anCe#x4g>*eJ?@+#@NoUwEOxOaRJhV3(1 zrb~4=8lxvG98F- z;YG2&h5vcMh!40nD1c~!{gu;%Kh1?+ahcQH!SCW+1XS0I-PQ!~Gyt~{N)deha0fV` zlvQ;D-?%!@T$ZLLirc*|{|(;!_a5bm<_mWL-af`CAE89hlRQ)ZlzucW*<1g;y>an@bu^7fK9h=B~EO#%X245w7Me>gEX6HGR4}dg*E2S5NMV8wPO|tQF<^ zXzGu~*s%?{Y$?njWoLh!aIO@lJVSDFu!N=5*y&g(?l{{0So(}#E-3viCT|qyS1mB6RAJu~HhMw+Q zW9|XE9F+7-fxNA zzc9!@yfP@3@{jMz_Y2 z2{0#?iXStCc?O{RXY@2nB@)N^v@U~{aE#II+rDtmi2QAqLZQf)RgvvgvMECHZ#<&i zncSs8pwbe-ga*!8kC;zY$O2DbtLi>g&0}(>XCZ4TW;?Q3LUOFt1Re~raz-%Qfip}E3L1RA*Ga(MU4Evu)l};${G5}hSkYy9*QN^ zt^BFT+MS011}S@^DHAdYhx{2w@87d|q>|E;N>7tuI_k;I{@R08CQ}j@S21?V^f*mb z3cTujn7U4ub{2%+J~=agH&c3dS@CU_SxB}?a->rT%B|*!8{+9JGh|3JzjtzOkT5!2 zxE-HdMd$J?O}H@kZJy1g?81K9!pj={;G}0ZZYxj=(pc&Q$?0LXg5m;RS3mLEXYSDKAD{s| zu$jW;cXtL&krcSwQYj8KrP9|wxXP4u)9rV!i4N|J_Z(gC4KQ$H5+ikaxRIrj;si)x zBu$G1)v+z6R^YZc5&c({snDPcqIm=rr?vpb=TzUVK!!dqP6X)kYn2(;A0f1S- zoLNQIIoZocK?d*U0%$_?-US9_L1orH>|FnNxB+0|Tf@|&m`lP?L)U#OfGHnP_) z-XYysSKyi}zNIS{p&QC;5#7efE0%BEoe#P<54c|5rKL4_)3z{p^S{GEP$deUUL##j z4_Hs4Zqsc^6C<=F;5}`^sQ(C(>sAeu+iQM@JQX`>FHd0TJz2J|#V=zk()Q~8W9f-{ zU$Fq`B`}z_pTChsWIT8p3dp}dV3a&0nxJweX=IY<0=|8@J#9=4oD!liVGEj9iZOaHG%Nj?Pn=hOG+ofm|w?7%Si?~EUCi}oLj zt-yxqtqt_${w~DTpD#RJ2%bmI*P$W84!U|wTK`7lGxc0#jm%HeOahzD-e{x*)^tK= zD+Hje%ra+X^1`Mx@ZnH7OOyj#s}GwgioO;VArtwN{5rTmpIyi;FFmJ2(&Wj#^dn^P zPm#TQ$~#Z;X8EW;&-4E}%YXAzqM+m6*b#Ct0yjVPY>1C;+YB@DvtTh#n6&WR6#`$s zv{m2AEBuy+Sz#|a&nx=90RO&F1hFfoi2MWO7t?c;Fy&{^*p+a8D&hU4!kZId>Zy)_=Vm7ij#pZuN};{qZ>F)3N2uG0(RQ^uckH))0gv1Q@Lr{MBSlcPT2% zVlmB9hdcK{O;Q3ps5h^oRIJF6 zRMk!#PdkMa->6HSly@s*tT@q(jIWdVI>lW(6VadJN;|*ycQkNf+!ou7L-Or2%?yLw zPwqJW8glYJ5wH#F){}O2x9F-EMe0YP!e(3$U{~1$SL9H9;)%yxrC7#^=*TVifLf{T zR~|`>o*z%dUI%&QSBjP;VC%NL2N{J%Gy7&4PX`4_3l@ET+u|i({peIhzHa&NpEzyW z)SqVt&`@B>WQ1A!v3FrYQfq-9_uj-C+F5HDNVm5hMas-P>!vIaHa+ROc{$Tqg!G7iXW(EX<+`CoTl7#-*FcQuK*Q2Eu4qhYbZ>92 z$apk%h|zs?k+k`0u;Wi}-Heat@Z_%G;H{E=Xw}fAbRf(2f^?VoW%S_h0twSU62Da> zo9(84&kRv_qk2w;S%X#dnWBtN!wW141r(9x9`e__XH)c|=_cgwuEO?<_55*~;WAI> z5@VM16osv{Dy)=f&+xeCgF7;s0@cc)*Ho0MKjG(8#!ut=gX4#1$C}xlkS`s} zjg0imt6w8nWyu-*owE;)a+6t^wOZ&KP4whej5Yi?{k`?dDku;_PE$<3_X!nbuUP~O zJ}iH9GNb+Yvz76pPfKlH2iCG9{x+mJUiNnDf>f)zzg z3lc3#64Ua_dt4TGvAh_O4@Hr+Z3 zT2f(H7kbA;E6jxt5G0UKgS*rUashz1&YPak0?=s54~u37jrDZ@K0jI?-?oiG4T&>8 z*D6vks<(cVVmp{^X=bpwY)#>C+<2D2ZI2!v9` zBtH+6Ds(rG{Cd2-UBvYh>Zz%fj5D%UAz2s->eBKt1K!5ko}dIeIj@Qj%#k~~97p}S zemVYzm~x-jp;@eNTjb3#9ClC!hC9|!AdiV`cphlGJ!gI^S)!BI-+GjjplY0$LxTDJx@?U1$CyP!M2#Yg6|%$8W)Iws}nY*2aq#@_r1^ydbjg@!kIB zbY0UP6$$=Q_B!5(iCtm(dHa2zW$hK)4alYB0NXH)9|K=-D|1Z+Jmh1Dg1|9j>6p|x< zeB-XM+l&eTe)Z*5yp;skfsCsLz1U%g9JQ}V2Up-{hsjtat8evYGa;|r=1=TiO^#AQ z#~X`L_VORElmC`)+H-eb?~$3#8|!s8Nj_Z4e7X4YbHK=WlaGs}c-@G4@Zh~(b`TGa zGlNo*l^x&Q>}!5Z~dpX0pZr`-7cwug=o zuc6vxjHcqAt79M-l){e1F+gj+d?!!uPQ!v&m_+d*7?0;F-@iRrcb%XFrul$eA$~|T zL9%_S@A1WmheGIKdA&o6*Q(1B%Lxf7O7oekFnCgBaSq+b$!RFnW_<#twWC?0531MP4DlY zqbW0GJX{7B)CUayS`(K}E9XvZxDN!f81pfw--4$-`G9oH*F}CnL=jItb|*f>+j(V$ z)!?W35fjUx6GmbFDx?~aAt39@E?(N-6G3SNn&)G^0tfJ*j}uEyEkRv)tceXjA{O?R zF6o%rtcA$>qEWLqSBb{Mzd5gKqf#M|nbu+cE?b_hpyKZ*91epnmH4z~;A2LHbj^cIAj3(yES(cM+6#T#xg&YzKSa_C#c+qo5!eXiDh+;5&nnk#h^!P6OLq?%(i!VWt*J;74I zhtz)b-#=BCFIkAdhYKR8k}6(O2F<{lHis3sV+2IHWt>I}lg%kr+Kf>_8Pn6J&7H|Gqr07AHVj4JX`3HHV-MlWGk|JrxSjVu7gQ0;XuD$Yky{6?$KrptpP&jNbIy3#4X zRrUTkz_xq47|}_dU-#i|O!#`bN^#vE1u~N|4tTTvYYRH`gZ5SW&#d`)Pj>;O`^Qq0 z(ay+oSU-6yWwtoY^MBrKYWg1oAKYAX;$0u?Vd;}URPn9D7EJZ$l9&-#sWa`pY!^#`JRCJ*fTn%zxb7(6ey zGSBWHA`J-;V;=n)Z_u>j& z+iO?uLkxYr_0qHQD&;tU&e2r#URs6F_u1?HAHygn z#D&%Ls4Rv^#|6Etq{GidMMEX6`8=R*Whr_;3I8W#T67%;$|b5GG5Vh$-|%4UJ>J`sA@d8a7y@%w3;{vu-=FA<&w2k| zlk?(@)@v*Q*xSia;p)-L19}we8;>EWZo9j#c{ZQ`P4gdgh_53fzujV{@3Y>`K-dtZ z+TQ;?q`<{L3A+5wLY)!MWPJZ$w3BRFW72U(!=L1K9EU<6c+e?QgFIT9z(8gG;HV8R z#~P)+%5V?K%u~$o6`*Yk*VM#D0!JVKMqtcE@W22jHpUV-0SzNo_Cw#`7%v4b2%H(9 zEIjrFAgVlVy64QRT+A^5r{pI%e9EG^$__oV22&JcDP?GW#hba`BrG#&;!(8OPHL)~ zjQWr`O+^}Q&o~&wiA_$*exAW6NQt{F?qw+~A<#-!BjII9yneg6!w&U>!Gs)Fz*;d5 z0>nzgMA?9Ni(DEB2AB_UZ!gf{--hink%6P+0d$Ba-w8n=k!j|N_?0A(m#H&l5G?u# ztQnwyV{w{!-WLOC-D!%t3<_~+z=%ZstTle51rqolRofI*a~4tbg1eUFdId!M6e{I- zaYsCy$k`W1Kxo#qKlBT?d3>HcRvk_l(6Snq)msXL2!^L+_jjMIM66{p#p;}oVJJvP~8B$j;QC#V69jN zayV@#Y56 z83q^F-#N4TWw0|&->aRcGQ~3vzh%^Hg3RJfn_b0MlM7_DVjhKZE_ktipu9aB@f?DE zHfsjF+m+|c#?v0ddlsaUswH_*Qher&XIYG*gn~3DwmMYGJX5|58Pgx>yo11};1bpM z#b^Ne;4k`#%MjFH3OMn6Y@op?+(Z)!EPS6GWSki zv6X&_T5Zsi4kpSV^S9CfdQ;;l@ z*T0{Ol|e{ON~kwPDAe0+#hg>m4jI-bq)vtKpajG$06`1DNFnnh$~p#~Qk+gxOJXp$ zyMw;^j#!>eP+1{g*tkZ8o_YDjY)y)CO{-myMlF-rX_QZ}^y5-#F{XO#y0q=IaM4=Q zz(e7P0f~KErs`4=#nbFmt=LPWUBVeT2Zd4K5<<>S?fVcnd}EcM$1!Yraj4Tp-Q`k*uL#L;aRC? z>mF_SOePSy#FK_AL@K8_@bN}C*$PZx1*~((0#1VPKp34XaEpgZZ03fh#6G8((Vu(Y)w&l!*;WoFnqHv z=r-N=u!s+KL2@R&i#LPGGPe-4J5ZqSI`^`Uy~?r8_Yl)JL#FW;x0t@$HO1Que=u9B zZ5Zr-E!$_`azm``gE{XF0L9E%8)_l^+Tu9AyPw%|b(~?{$8z$Ce?yxJ}Fv5jwi6pxp(3-+zH0=29#LeR4fW|#1=V6zG zEj~I<4-Hk1xhD7PaxU!FgZmnu{^TxRAN|?F7zD@%nXR1+d_~ObJwtxn(elOJPw0D& z@_3^O7_{Csl=r%7fcf4Y$jq`?v2aOs4sermzUnA>S#}h;!lL{9*GC2;wn#Y?BMJ3) z6>dMBNbq558m-`Te$3_fK5R5QT1vuh^hv>6RL*E7`(1_Aw~`8X^Hs-ARzcqoZ_5kD zs7Kz`)(3?xjWu$Ozv2q6R~>JS9&axg?@SGTvozlGXPm?}acn-`e|us$dSXd|DnN{H(QuY_dL0ww2#f;kiTAOeGDNVp|TeM6)D4MA)?^$O5w(W5dNvTNIL1uTC(f4J6 zB9-BLFZeWj0sj7u-G1Sj*C$pV*a)F`#2~)gP2`oqGtE*oYd$lB@v7XcSI<*ke&?bK z%MigP2KGpX8!>zBnL8&+yGp2%nAnNqnIbdeJ#!hvhF76IF@wiq>rjKTyYTCjRLtd= z!(uAxdFSNTAg;lq@SuwZqs38|dR%RwT)swES2x>7dBVp$libx(!6j849rz;lxKf!B zxnw7B>y54FLw4`6>pBNl7b)0lL%`m?!gg(g!*J311$)#0jY7|&!-py&s2#L|$|0Mew4nxyZ5jkEhg^xsDNcy^{t0KeWjC-0*EYwO?WpbC;UMAW% zbKUid5riKD|1e+2#P7Q*q1K(eNUNj>rT~LepXCdADR^qv>C?ws__b!Ejn0a==Z&KGX$p<&))Q#daE8J+kk)nH3RM76Ln(gxIOlaH2*>}Oo)u#PmXL^;Kkk598HO9hq| z&l^T?H5T$oEC2x3(|itBF+v`%4F^VW8-MyxX9yN%oE(1szgmBH%q2O`?Te#00=XE^ zjhjc<{saaGk)0Id!1oXw1+wRvfyi^%od&UhuW9csCa5;8U*tBee-l-JUC+1w7yaw| z!SYCv8nju0>VzJ%Qa$?j!3qG-ye@k_r7v9cAG>BPw)L+Ku{i&{ETQa`DsY(9y-&Gr7opi_bo0*Z8#f)}pR}pER(w#~UrODxmh;&R1?;29S}jBZpU?4` z5l)d$*~6GkJ~$P{%iDQX#dunKHw?+&@@so;sq25)OQvu;aAb4VF6h0Ef_>-sC&nq9LEG(g@^IY$*kr$2TI_Xoxx#A{@uev zj~nqH4T@V$PJYT2A7}%O*PhXRZ+rbIQgp967eCa**gt422PnHTdOs1o{+2MFKn;35 z&_mv=US|9-ZxzRIr!p>eeOTq2rw93A4G3E7#=Lao8JBVgF(7>d&&Ex0!AHbGl4~yWaTgM3#k}ff%}fD7`ayD z9=N~=C+F!0q2Hn$`u=BePX!%qk~d4?z^sa?(jouMe% z=u6@QKQr`B!jVa171V5W?%B!qUZ!1HfE1N@&i1nUXv47VykaZZ6PVur{ADJ<3vu&-B0tJZRP(nhL&@02buOG!?5}zhCRyJ-5$>GaJYeAKs;F#?MJZy}= zR|FR1kHQBTLOSb<|T~8uJqJhvlBHo%(l{O9@T-|Y2I{wsTv4L z%uu^(M!3}&f;E!V)u29YO`3*asYmF2%V+XK-q!K1247&vEV3teOtgVVI2sq77|$Vb zGp(!!ckwI-Zop>w)5k`&IC3ixaC-YJ_B2<*xh2M=eNTFcHJcM1n2m}@bEs0 z@L?aTE}I7A$|EVp$NL8L@ITf9y$)J(J8aVPQmc>D-b*Qk3k{JWU9#^Mv>P` zOag5f?X=Jdm(9IkQJCTlzWw5NvEbq)JvYy}bfY)PYRA4d{_#(B^aQeRkND0Dlio5S z+~f2khMyZ zu%^m9<=ZNYTeNs7Q*tYbiv#%WK7NnKv~z8BYn>U+xh+kOOaYE2~bL;U|NT zj4i6RZ#z9zoHz8ox4L#q+@pNb#83*GHg7N%ULhNkje8B>{fuK2UtB<3PRK1+yel!M zI!}wGAl928$K|pc|sW(z0C=DXgB?87ie17Nq{6GK9nKSb`=brn%t~fRc9#QyJ z3E5Eqyz)}~BVPK}38A+zCZP!(k5G$GHz8~DC8K#o)`{)t93k%o62R6;+kJ?i?i7)i zDx>Z1#;3@7)>J1ellGVrXWXM^N!8|k6D}hXBljq#N$C@;Rgx+bzxMU4G`15>aLYc8 zQSFPSyrR-0OFprVJ(W#f#KLymiGQGzHXV|XbL!uxdzK1Qx>?hBpCJlN)On>+L>BqP zi&V05WDVC-Bu`!;=qgiiZ2mMX%WsV}9~^+SCpI9>BqdWQ87 z)`qS-SWByk&~0w;+Vsbutu6DNEv;BxExBuYvAdeO@L{{T*Df{;YfPE8Qp0Y8uk8be z-P5)GuLeBc4&%axAI=SXZ4Gu`_g^zU?*et&frA^Gd`YCzS1t26# zWFrOjg-aGG)>c{5+zkt^@R?y)QxI2z)D_XbZI9PmrPkrf^`Wa z;k{`(k8&7@n3`jRV`A@)6iuW@d%hW2XKO&R4XC{-Sz;UZW2@BE8;0eNkFrI^fCaOn zO=9&{jtOtBv)GkjoL~{dc_tKx*ph{Y6Yd0h0i++kC_Wj{R}Ss{-<0TUoyBV;uJ;! zqZE9m(;?Zy>3_C~7Ap?^qGtX%s!n zB*TL`rko=2&Z4!YA`Tk3pnN6hviXM|7j2Fqe|Yisn__$?m)l6O$SzkDxc((m$!96< zB~|X4jwo(G3Gn6SP9N6Xh|&r#%lj?y-a1O>fhziy@wI2E4vW<4LRcHN=8y##BO(B& zHWS3I>CuB_R$zV;8eTJrGO#M|?Xfaz+cK-CCikj&4OJ1NyC$|diH>G4XLUXz6FxV8 zKKE3$3t#W*9Gs#c?DUbi*<+Rl_c^hDW3b`fy8GSfMz)^_L7)Gn_PsYr zOlVQ!!-hi}d)o09DbMC2qG(H`#Gz;6q-hvUz_UOF{zSLDE)@_vuzt1G2-LQD}I@L5oBl$8@ob@NS-p`ugz{h zB~GMfvv1YK2)68NO5Xb2@R1BBqaPDiJ1(!^BrqVE?WL(~p5cfjgoA_33lMLs{f7;{ zN;&N{|K9qXj!f^{r)Z{+Gpf1G@~~RDJ<1A*axsY_iEo6}VBTvk^mbE~oVS){N$0ZS zZtukaDYp=u#?t*v{P zK`Qgw4Ehs_Wu&)b0d`A6xsZ>lowkf$lw3j2>}$AS>LzsDs3y- zxS1$G9N*_*6t6ShbMMu5KC|gMgN=`;Jf)f8qUbVXQk-jX@Y7J7_!~L&u&X6faa02~ z`-~J3T~_+3i($K~oENu8+MNy%&*Umj=Q`3oRv?{EoQGsjyVs{@b$`hn-ky#9ZXW+3 zzH7tXAtsSVfCCVuB>GX(6K2@+ZGd8KAq>4GR{$CPR!GPi>E+s2sr?Iw67`W8z`;bl9o)x>PKIiL7*T4O9J< zvbmfSL&!Jyoh(W!HgbzLGG*%oYc#00F4?gj?<7sR6{LPPLIFW@@&Rv;xnur%Zu+!Q zl^6^V*`#BLvLz&U={mH@og6Qm8NcO3bH?g zLXHNz6i0_*(7H=)I5{93toaE&_;e-t#i7>J91X7P73&F$K$E-K*6jvTEm~9c7^3f~ z9F%^c**2b9874ohCvr30zWR5q$i&_$=+jCY_K;We$PyS{-0|4C`thdx$J=`_mjsU@ z?FXw1KT6#mL{<%#NAIF-b}P$wtJ@yb~ zF1M>ogm`|=l$sP5E}Z>`3EMI3QE+RxHFUdeJL}zJw{W8Lds3QzD);*S?fs(v9&aEK zYY`hCxSt@LqZ7`0bUbov1uGjnDnGivqB>eVnwY_ZtMmA(^nMf+qq~3i^D4$JH(~y- zY}gPcc0hWF<3GBgQ1)TU(V{TV_@#sK^8Mtkyf@_A*9-e{0*A{xp6zW#wXlOso`ZR1 zFO%`fj#^#cPgHrZu|TP@`ZtLKifsSAVyhtcIjkRB@7Jp%&p7STTfWr0X3|#(KH`&8 zo-@r`bjZtYJ1Tx&TvEp#lBar$g$&ccJ&v%<@zS*4z0%UY6i#KY=?YD zV@+c(N5<;z!n)bamfU@&ept%K`mCV!uX|R0yJ@$DkiOeLn!8B!`E+!}0}~gVtV4fG}v(Z+~Aw^e>dVm&~@(ozRD^OYR!e~%Nygb zZwr^^3YWV|R_IC>T#QI~j24xQnDPF9Z6<|Y7k~G(`oUwn+kCp0e%Ru1#<^i6Jj2yY zW{i1wa9ZjwaS?Z}h&PtCTYYBS8>szf&ba9qE;JL+t(}beod5NW0rIv9_vdqzeaS}) z3hQpt3n`5ZcmKH%|9PoGBlx-8XB6ukp@4&PA!Ew$X0u*^`6&2q(rR@};>o=|)qvYi z1Q+Lu6kZzrPB`18yWp!nL;D*kHWVNJF;ey+7CQ~#1D#`3N(R(Pi7ZWig@_Zcs*^06 zQ2peAu~(QmS&)C5^7}kx6PJ^PFGsxmMe~i=i3OlDfbmwa zFIPPZpALF`e{{!}dU(-EV6MZ+&zrScG}Sq9?jk_Y@z#)N@%u_tUIL3zao8I9Ma)iY6y>!a82U*3+3(V69T zG0VFQ674nzQp_;LGe|AlxS5%F_>~xMu=uSj2_@;eUr&B}2M01y=5r!Eu4#Fph5a>?^seHUE zPHq{Cjp;&BG+I~bCDHDZ1t?+!_>M(|3HP>Q*0D1-lb=|AW9XrEjTQHeb*YtZ2lu}Q zYtF-)3h7`Rqonu#rTErJB0W}mVjnsSnzqgiXLtmZsR5MdSG=eG+y6mKK3e=|E2)1| zU>y+&Hk0-mB-M*ewO*TN@1V@mb!l_$`_REu4p%&$5Dnx~Rd``VDT1SNWPZkO6zYGq zRL4FvORg2ONtjfhEc%*p*orYBdTo2FS@LJ4I^%-2{9hi%5KSV?nWi44CU;86`J#?F z^7t0Q5P(8eXKko|%FI07*ZqFa2?|;p5ZqXH($WKA%)t(iFU>SvMLI9J62!-XtU(m& zE^H1xw_;`@XeTQd9#+FN?`ak#T7B`zY8^IBF%X2^(QN-TyaWs&OaU;-?r^S8A57=2 z<}m74!Xp}2rscqVR2$plFCKI3*{h}=MR(PHx^O&wSNZErv;cLZbI94JC-yeiCyX&K zXh7%`91*1hGCW+=x+DUjheb&;ngJ_d?+j=4$4E<)|bTwN$rf=9qZs)1;P2d`OO#69e0Sa93cn2Cp zZ0<-+Tr30tH?2^to-6dGiE8mg%<@iYIxdr}fygegigb<}yiSQwZ>GEBd5A?M<}$_5 zjPCBm3Y3|^`H5Ax1V1YMUV1RX1`{^zRZ_Yo5vd|n3v143zrB@&F;ekkF<`*9xg0Bq9t_u z=xDtrIi7+bmQMSNc*unZC^g7&gNBwNejN}GMc_lq3s18VED1tJ2W=eEu?$VVId`db z4Ffb~zIV0Ew0;eJ=F*7A{$B~z@U@O zO;M?8ySoM`j}+<|qUi+K0Q8hUp0#)|{Azq{i827J8uJpBRYbs>K}{g`zG08spK;Oo z-RAy90qA-LBg=x)rOI@vo;ol?PZFMxZ3!d?-z!~VF1fEHM!EKE=}vl7b7{+9g4peC zje_e19j(i{LmnZ|(Z8W1Dwyjd44nWDcn7KI_(P4M9Q@3EMAr|qIu5@+yB#5W38M-( zi6lZ6!Jdr_Y$H>mlEUWz2lRk2O`%Rzmm_6~IzR_@&CDb!2GL7uQor&GX0vgk{m3xn zNON|-Gr|sO)uar75p!dcV=e|s3>NNg zHqn%7X?bfy!^qhbJQ$AIR=T&Moom0`;vzU-)uQkcsnI`-LOEezr>1fE$WV$ny zDWM+d+q29%PP{Fb=LU=(jS6{bvXvwSU4Ca$+}tu`-;yHj=8)_OZn-^w}d zb3v$Ct!uaFW7j|frmGQk_(X!{n@{&*6J)r)QJ6Sbr!sV;ljcV^c_fh{GS2e196%$~ zL3=vJLwzz57sLs)NAt8HkBGV;Nn%eY`RtE(d4ON87RHCH4%)o!{P?-iaEJ?nWb<`j z#`F=cMQDRsGFsqW9qoYu+0g0k=f1}@q|co_vo1o2JPn4|#dHxyKx>Rp!s%V{1A;)ra1ybr#vP zneCi!>uEi(PTae9*7>>T->t?7k$p#61+Gp}>E^V$eOJl%i<6;kEy)xI9_H`A%=bvQ zwbXf8=|20q@=v;bK;+ObP2tnBs7&W<-QlB__sfUT6CJA}N5Ql2S1x*fHEbpxJwJQD z`tP4i-)Qv_j<#zJsv?W0RmO!0b*)prkR9Z<^CC9s+F^gUWJvj`d{5=-AADWg*AxSWj}4takPr!25rOTkYvwE(hJ2cZn3LT z^PbbpUd3(6hO7Sqdd~6*iaQ!&*VAb|=jAF&yXFnopIUl;*1u5NcNY7-INNj4)~j?F z(C~Zttmjt`LFqU`?9V!F@8z(H@@ZPbpKp@ASCcRPeY3#;P)t8d=<8^bd{FQY^VRDq zMrA2$1WAoM;rBK{Mdf<7;opo7giI2r#xYWGcbum&>wdjv23VuT*m0q)~1yi^e(CVSK)gqweE0U$*2={{D=zJ!I6&5o) z*6n+c`fw`6!VM2Ft&{_Np8dkZo`Wx+eFL@9y>3bBv`@{o!_2iY?a0}NS6AW#p$YKOW0JrEOmYy3+RpFEtTI?<$&M)l3J2*=h zbq4Dw!RBHii2)G_4WS3Cq8D6}nh;*wjU{?@Lod<7l-tc(VEcO-HFgoazoI*4Mp%C6DQcbvZ2y@Mx?!D`}(r8pAEI10;kAcD-sI zE$EgioLBp_L?y{Z_aCQj&erNsIL*!~Vx~l9gU3*s+e&j#m4#Q{Eu6|aifw~k(au>q z)Ddpw`VMEReCQ01E>o0uRO8rUwA(63vDXQ8!76jvZI{{Ab4c~AEqQQClYdy!8lc93 zA%(@OkBSM)eX#j{_OVh+;cr~Z0}OB0q^cJseamh?=9SzJM-&!HdjfE)a!Ut@Tk5uJ zQn_mm9}{$YX&LE|<*ZV?X{i|jY7ApXq>JRMT2|s=7>Kwcz`L&w1$3BPH29`eF_htC zg=>=SZi1)fqW}2JQ|h9C*F`6wbHmwPi^MCdY+~KJ8ojoAh+%-VLkfsS!V% z^2Qf3)v}f6wNdUJ9_g+?a2T}+9)_#se0kl(dLXynTCOD^>nWmcwS zuDX?m{mifz)GgZ3+>q>3zg-TdJswlB8e}x9){WjR`Qou0OHPLA1Jdy&`3|+I)m0LZ z>S{r?f6*5m)qnd1i-&(uS?vnkyc(EUB`~fc998{b)tKgGJ%^C5V9g55J*%8YQguKl za82cKnKOL1RnSN(1TDFbYJR+10dQ zGQVc&u#0;t)IRK<(<3}F?74cS4qeK7n7JzU$4!C|DYP-Y`!CNyYi+r2cR36fN#+6p z3?2;Gc@{Z=ASU;xCHQBc&H&TFoO;YBzPfZ8?yD-{K0RTkIO1imXqg58D zzsGAFXfXw)ak&_en&pJZ=09}vJo`Hd4HOoX)#i0oCc0WwO84||bw&NF zo+GjC&bP_sM;~NJ;D0bFCshtRyR+*j-^G-tG(B#!%)c0gSg$$IIm^; zhCO(LrFB@&kbna`L2|G{{!8BZ%)OKOwR`+m?4+kgH@QtUq5p@aL&G0xbpnM351JpGRtVaup*3qH z=JzGmUr)EIe#dh3{oi5U?t`n7-71MNJPi-p$oX4nUD?Jx=6sa+WkYLEBkZ>zd@~aM zqUc{|qu!T$SNUA1(te4?~ACxj|6 z#gZC}>h_Y>>_|Qa#d%`S(zL=XF-lM#K)i1F8!V8OdPuh^#&=(W@1ec@@Q+?RSWb_V zOiBzmTYl8D(Z9VuwVU^OvR(vwiX`EtG^?|G+Z^-7Uxc$-NW)W{qq^sTI8%Imptc6# z)$Y~5qDb4QNa-~U28Y#-xJ}tYwOu4ngQs*~6~qP%D-VdTI!Z7;V0>UNsS+Ud4QZbCnfta=Zh;eqA-(r=>twrv&y}ZU5pSB;Huf)fEtcLf)5K4&j!?UtOz$#G9 zc;lYp$7LC@Gsz_oJtjhIu#aS6RggSTZMDU6tA*~Vl;lDHCO-g1^wu){e61rHOR2$) zZXwb*=ddTn#!HC@oW4>Y01@VDfH+T?4^3RNc{&wH<7Y?g&1Vwi18m4XLcb5{{OsMo z7CxO;i(C&*9}%Y0^{cstmAunZ7NDrQLEtX2-T+G2#aPN$O&B6*^!Xvpc^F|R+$_1) zrlggS(F&PfcY$!#J2G2tD}d^RwyYoxI(_X7(}Odl*X9HyuW?5x`7 z8w>DnGuz$rm9KNd!41Wc0oz;TXavhsZ58xIX7PI(kL0Pn|`DeYH<3;X>&Lk2Hs2ZKGE79CvMl1vZPg z%sQ;ZgwkKiT~&Gi6}%D{_T!4U$`RW$lDv9lk3<^DrL%Tq35mII9Oo3sNt9pa)&$4p z%0=;a7T4JG5=yS#5M1BTa%7U^8xuN8;^o5b%U>M^rmf1A^%fVZ*yY!(P?)G=+QLIc zD&`kmAt$&(ErZ;%mg{0M zg%+}cQ=?mz?>d|9?Ml;DBrowS4q-GHzZ0!z$BvzEW;-iiDijz$%NP%Csd(Snpz!`3 zvN&F0-%{ZySfTUIvzYN`tLx9+A1UU*h)?Bkb)f|u|bE?;h_H?N?$6TCorj_0yR}EhcLBxgL9DGR)6T+ zh@kH$r^>(UsidG3P-s`M--q5 zHNf9{i~ow&oC%2MS{On*bbTpM-mT~ck-}E8qCp8Us-^v66S&*<|HUm(W!$U^c!t@S z@y3Au{{ZJpR6(O-9V7p)(cvOI(TgAciSZClsQ?O1jTR(MogSdr@4r3=X;%_0k`ciP zvGlQ}%2%&@XZdlfI)k|YjxHvlBs1z0359VlXrx+5RI>xMD$|UngQ}XF zCMl(ZRf^+4H~=ma>^pYAM?t`KU`(Ov2M{2Q$4MrlG-Iir7z~_>7iqi}a~w{WOLH^K zmGRr2>qxtAT%ZyLrI$~4H!ab5#b?=>?qObGT%?^LpCM>KwE)KOs1AHi1PvhM+pUpA z(n(=Z8ke>**Gdsu4>*rCZ~%ZQid|dpye?dbe(0+H=dB;kKF-Hy88w0EFSAPAR~2G* z_f-r3e;fR=2lqb~sJ&!R$_ezCtuU>y>dtxeuz27u&3mc1Gk}OpCK@?U5Z@SvGLkX0 zf6L$tGs_9r5sta-6J|0(#vyBceDNU*h;9!T$|HTWRCM#nNQd!2N2yu{{*V|A-h$pqlP4@r8?@a_aAJmV)&6K1YxwRa<4;A|{P9%aY-(`Mf$ zStho={vkUqTr7lnqp{!q#+4oQ3y^h4pn(DnNG%?^SA*fhjYdT7r28K~aE6haji6$M zXGXYVh5JaX*96xh?+3;Dr}KCQXiD-wE{RW`a1wBAl9KT7DF2?SABEf&_S6Lwf|#}G z_8hoy0FlI56bL-;dG;S2nQw8rV`^+9H@lv$8y#=qBGyR$Wm6iVP(R3Hh*3JFc5X&r z{6C9XL%9PBWiWa)5@phk#sN?|(;*2trQHz=uxe=-Q@%k|6}LDHSMx#k8#xjP_ZNxj zyW?j`_u1}6hU8~J^?Yve{{IVjtLo-^z?4AL7|7c(A`C>@U4nCKrJ0qsmuTTDaV+uQt;Km=hYV=O6#>SFR*}63aOFxdK9zw!um^8lJ!QcK>fl- zy!fQ`W}@8T!e+7>rOnnWUD3s@G*cs+Zy7e;i{G-oxbV^YKZ^_Ae(g7D^F1&4@d1M) zpZ}WDn=L61`+~Tnot^w_?=L?piY|U+t^ zfwV?h32x{EC9)Y*izC+}Izso0XW9Fg>25oKR_R@Z{eGC((s7KBT=;9&NKtg6y@SPI zW_J5ywo?#~*#Ch>vGT=^R7}4eQjdi2ieCcHTe6)hC+_GaQm3A+H0@0M7hNP|y$tcU0LB{fJS*`D(U z$)pA|LZIK~k`@lTa#0mw`J^AjLRCt+7ziDt+7kG?iQ03iY+f!pM4ehv99T8x$&_fx zE)F0I^Y$HV5*1%PoA-ExUj?LRLX1o=LbwWR%RK;6Qt8T=%;5HO)zOx3H|W1r2#@bn z%Z`8<7$eTAqx;50KXBn1(pziYMq%+@ft#%BOgeItuaX|U-DE$>(os5mmHhmClM}>@ zRijKziIUnvQD?2%uIz;Ht<1tk`!Xc8z7&Q>9VHv?G&mvI$}0RZ5X^U!poBfG3!vFx|(g8 z$Rz<<79K%7J)ssT^#?u{(g6OtuXC9Txrik+9CAL;L<`TM-eDq|qcvW?ZKMlg{rSWA zR}eket4zoqHf}9iWiDM`CgKx2dM#z13(BfMk>JeIi#3TdXrilBf9~GiBV~O#5-q*b zG%4^-9=9r`DLdGa1b!)P>Aqi680koFyY<$p@i3#o>yI%Gx`lwCuxf!nQX-H%23fVf zfXF!~BOSeoQ{yaPO|YIKH*xLk@y=|au}F2x3$(N|sM5QA`aLsxx=bvpD#9>fu9W2_ zBX%FYL8sv4xQXRE$&r$myZb(2zm-j5tC6J=^wk!Y!*>k_EwvuT)HV(ax+RLs_BuUG zJ7&3i;4SlXVa7_W^JkrJV*KrI8n?-#Qmqc_Uw22AyzUOEY0WBpg4X-#^6VtnzMOd? zsG=hy6vXBLqRQ$cmOc)ne&awamUUB1K8`?5RNY`G+3MR}4L8*63k+`NDj_2}}ZKR59HuQg!F28ES7yLM;z$@HMa#M3ku>+j?+6kwP+sGhyq!rU#U+4Mi zH`%(J+j5f=cZvz~ewrfJWWLT{Nm5N&18`QIJh{q{P5W}@=a2KpciOWTQ| zCapwSSBnA&&jeak&TpUl%SRi@!uPY$tmujQSe;55VnG9EafPf50NoU)yVnGSHcG{~ zQ&ZY1hM|J8FdQ4=X+es8OFvey?|a#j_z^tN!W*p;E6t%FBM~EAl1{J8%n=Oo26fH% zqH=CFu7&R%zm_F0Hua~`6L~?}2ykx$nD9JJj z!T-6C>DRG4O{)k25KOop=4MiO$>r<#_J~nqWhWPTmt2mh(dEb9yZ=9;D-9Sa7^G5@cVK#Z;OnPjl8%o|+OhV3=qy$)29Eaj9>46ItMYzStMP2_ zo{#P~*v8A656E4%;6D2DKDWKQdBa)`n{?j3k8ZKuIdkjnVktLb5@^#jl4_lJF-%`X zF!jle{?&6%zX6&SbqN}MEC6&W9MJe}hLKW{J|BUtkt9>8uKZ|z_b$2MM)GmPI15t6 zk3R}UpzNh#}K zJoJ4Zl&lzbyas{;Wc(XPV4vgE6jbJv#hXUU<|Iqm4RV+hd9Lq55aQ5jim*)@0k6X> z`eW>wHYg%|fy`r2dEdgC_k}FpqP(yT?gaPs;i@*`+etw=n0%h!j!GK-1;v3F@MN-T zC}`qk^~fa?m=WO7^6eMxYycz-ACe;3%tjBl42|@VY~D!vQ3^mpM7HmUR^t`G9KMwl z&BzL-Q&DI9ya`$m2#a~e&!EO=9iC|W3b30NGV`OqgJ<*;XVRG#-Swh|s`Jeppymph zIM6KP?u^D>-}E+E$9;f>(ZBl}kgwD94qAV=YDyfq=P|dvdQA?+^L86laljX- z1KgkDMvb(uw?PF3NV^PBWZ^`5YoNkI?!tP~_!JE35$Yd6U?J-xi9j5u9?T1$a*=_N z#HUA0cvSZkUBB;vR4VuL6y%r@lEi`UkuP_i7#|rJHDrQd$MbxOK;Ah(GKw$CQUW*! zC29QjpS4Wjh6AukH9EeiCxOhE;;*Xdg@;$e)d7a$K;c)k0{_vHtkH`nG295Q>`pQf z#~`gkZaZ<5nW?yK6S7cP%ezUKM3iI7--pkaak>FH(Y$(1L&feyd(TNUjM!>pMgk8~ zZ9ftL1&Bk_#Aat$B#rpyl8MwQ*`2xAcmnt#l6=xFlKo9ccM#Q-$h{S6A`xLNeE*@H zdgV>FIW-=F)KG8t=i|d4?{5VE!^Kff|Fpvspq&olY|Ui1d98suZqeF>m0HsKo)_|` z&`$$$2ZFM9isj8`gsjYtb9l)0&E%=6jncgqwnTTw%>PR=&xQ8MI7R_)TTtaV*?V|d zMrZ17VF7a&t{+CjCEwjOTe@%uL7K1{+`qTGATsUb|@R&nCC=S7hR0+kY^{}J=*H}iC>Q@4&z(tv?2 zi+Ep855UlbGu`ArI?a)}kBVkOQ|FwcBk0vM;Iai4ePTi!h=fUL?Y`w3+A{s%&NcQH z$>L5E1rw21Cu-JI|L#r}agItkQX|1p8n{xYqqrC;=@rgV&0@C;e3TeKZ1KQaOa;v8 zC%4-pF3(%{IA*Q+Hf+Y-Z5~=GZ8f0kGu%6I|5m&pVV}n7%5E>4+kT6*&CU4jy+&Vv zbDN+7$+ZwEN@@|@k!Yb zeMky@1|I2hhWmN+`+dt~h0+lM<9FNpPk10a<=p4WKR^l3 z47s?~64;Zu<=#g`7)hu!p)8w*sCAyFl7nosm{NN zM$vwKoa7F585-dx_tz+R>7c)XTANQZYVQd0>3DG2JC+(fFftZ>)T!vH=DZL!PW;l; z+QuRY=|ZQaW%STC>z>zw)yTtGLt^e)e&gsH30p16btrrQgTQm6!d&hgf5Pf>Q5o_!Jk>%c4@)@oxFdZqaIP(9S$+mOlJM`k&ZRHm3~fSJLN; zDD5qy6>mb4xbm%4ei@j?1K$2xYF<>n0iQg#j}tcVwvghf%^{t40m;iLYK@# zedh4m2M`<-s583L5MH7mzbQNBA}0~aJu>*>0@}S;{sM|qm=MI7=md>G3@EU@i4{s4 zdR^3PmH*;UL@u|X@$cY52+BP*Ca_~co%-a4df}Z4X&1c4M1wwn?Q$x(kc@&cZ}}t#o8&3OFoE?udy)Vwajd>jN!HFY#&jCy?lqY?%W|H)CyTc z9jphjLYF~u(^wn)Wnru0M@HD|{_#6~WpsnY^#5tSwlV)maj$E&hW>B}=zP zyH}HCMxc8}92Syeyp=O|>&I@QX{EBg+XF@{M!w^jBUn&z(Y+)2|B*YuE{Ob7%brlG`mPyw) z&59?nP?NRKf<=ZED;KrFG)OJj0cD3p)(xWa5epm@5Sd(NpruucA_zTg(6+@t=F3}= z%b%eGz|veG9%M8d%FIr`C$f)`j-5889oZAbNG|TTzh>t&E$s~oq${FSW}aKxax_9BUg!4K?%ixCi2N2 zK1=D1d{QnT3v`TjMA#BF(Ycb~qPaK%xemWCvu~P^zW@?AT3>=5{ti7q8zELy0lO7% z-C5sy2!_bp7fotdf^a8}TcPAo*)1C-i;Uxzt;`Tr!n)%sN(#p)fB#6AaLJ7Y(5l4c zJzeE?J(Pew>DuD&=YV!t9#Nkf+)0Nc9sbxEutwdil#UqBms&SGXCHgP287J|%1K>h zNDBY{aM*m+`|m#K&HaOm?f6L@Vt{bQ3K{^A1>H(`UCDftrpb;7&69&6Qn&|0H5nNo zHnOG8fu<5hy8&50B8&ugZi+|rveR(}!-F^S`FI>8Ip~>b64vowJ!lD&EQIg^=wtzV0N72HF zC-;F8zXShLCY;D(eet z)}UnWiK>BW>f6tNz`d$f7Q<^Z%_M?beym&0gElu+sRC1L9O#{5_OO^F)6|Cuy;M0> z2FYl;J`oLZP`n1jTJ1B*i^ti-;=FW?1JV@45{B8K^|2o1Io@Le)-Y_xETVgkKPnQU zn5Ow0EtsvR0mW!U;)=4U=>P?T2(9N}!LeBpw@R%(5gBwa7mwyK(Q(ffR4~r) zb-?u6I0b~9wgxg07+Ik4yJKjAq1pS@ZDTri3m!(4<1xPui6X6emuBObRlAUUyid>d zVeT46i8^2uy-SJfYom}Ob*zC%H6Gl_2Oy zLh;j&__8rjhrjQkYc;8?St;#PD4s;U2{CBK_v=)1nwR;i*$jJY$*hzfz@(T7y;7A0 z)E%_{d$Jlz_>TpY?m|O@zDuX7I#d0pOx!jI)iZA-g^;8JSV-orbU?M0+3$wHluvhx zlP9e~B)C*TL(iLEr<7$&>x;(TSKs4{Y^L>}9(Ep0zPBtW^VJoq!+C5N8`!s=EOv{1 z3^|)TvY4_96^VX*`q4i5`NbEXkI#Rtyr2rb+(Qb{nq2E6hpj0%b=)78eEA- z!u?`&7fq8x=}J-bKd`#CW@%FXuhA4k)V|Kqd2jtiE^=3TH9!@ia#B zRSQc_3b!i{jAgMH5=qWYLowRNgPpnYFY`oJjgV#3rfYM-{ZlACXLGSU{PK%1WBbk7 zGJqFdg!BfYFWLU4{?92_9q?OCUt?E_R%=21rRo+bngpqr^uNXZ4RYhq>PAiF$oIU` z_W5H1nn|4*ZW_5?CieN4Kz>Y|MY(!LwO#ak=g~MT4U{Sx4(cGir3smi(FX|%Ag!m% z93SjEW8aRxiWaFtCD#~hH~=Ij%QLw@J1pyke~kx?1%yncGJq;wMLelh?<|cOpF$lP zQX<3eYWA0Z-=4v_2gU*zHbAAfBg%F?m79u!zeAaejfkk>M$GYUmKY;|%t6Ry&2 z`7V|Z7&!crTmTT!?~~*vZ2uD`e$nMx9r?HlC~E%!gp})qcbxMBOyNQs;JM6se!4Pp zU8IC5;_<Ra&-5%8~=VZJP>tU9z()I&jZv3a|#Kj5Y4jQmdvAVLl@vUwh`o@_*#k#jSX3*z=t z#o@dzFGXc=T z(p~&B#*tQDcX12-;4s&F6Sto9oG-urVe;YCo!a_G4e@!#64)CMeq#@j=&|z)#;*0z zZ)k{gV+3cyr=4tCJY9Wblvu(#`NN9Y;ln-0a3rHYn+ClvcK}-?ieO&P{p% z#9)W)$^J}k-)N>R{_L9tJ7}!5zO^pzZ0CAT6};gE&+~AieKU1EKPdXx@2MeuU*wmC z3GcR+0*V87{byh1>f2fy5)V8dKKr_K*!HfM;?Ot#*%Dz(^j*itx+(+)f}L0(w#9uP z#ufmmE-z9bq;$=<`PnjWVN5bzahl4t6P2I1Dz~cmT}By!;T`Xw)_cgrd=tk2kmr)usjbz>DKQ!v#Upz6_WLKsoRX2$QeLW4=%>v3Fdqw67vibul>kFR$xF?k+$~rwYI;^+1{_3I3+K^c2~& z4q4MadgS0nH;ONmk*^rt$jL;!H~fynS)YHXXytlI`TJy5#h#IT4ML3=`y#ZgL0hINbLH0iujFL~Gz#6EGA3HyNUyr+Mgx6v2O> zZjyd@csood%MvIAS1`~MrQCrL#1X_{qVRqMC5W14+JkYOhtgbvOO1xll=D%^U6B(S zadFyPezemmwAwL|UQEVr(*c}xa^4pVmRcH9XUx@5bci2KL6N67X^gcibCw@-PCxSy zV@zz2cO!~5ZYM^Lku4b=n}&_eaF2Z*6PsNbn>!Mlw-d_&Q4vk&gkm)=&*dE#@)! z{{p-QL;13WP@+!$Qzg7`C4i+R2{A?-@g+_rCcMBW!w8NjWJo&!Cy8WAiw;3G&BQHG)I#(wxpC}={=KWO_r541fyA;r6isOT4jTZ$W>{|H2`lD zH*-@ruoMV+GYoq}mVe1T&s8{wlQ@d7I4ojaj#E8zs7l*aE0^Pkn!`EP^eupCncZ_= zsiR-4<2taTApnK|0;Ux$|9P3Ei9HA=Jj8<(arhMfWSXy;Jrb6Sgz;UlX`8o+o4Ki* zyUClq>6^a^oVpo`Loq)M6%wXc6#BzIzxY1^G@Cn-K(yEs(HWf8*&eLuLJ|avp@u=f zxIrDnnk>Rc;VBd)#7@?Up5}pzJ+zB7BttLqi#ZdYAyh2!Sr-!}6(5zJ`$-~DeM?YYDEzSbxE1DNpaChIde*>BvDP(qoXtctJF%b zgcq_zOSYt+9HC3_|7f2l3Z=vmOrbI=0$EI{Vvu8nkl>^;3u#x+6io_4P1a@|AkYi^;VuEAQ@^RYEfLr<*&G0ah#U96T~85B7vJF@Uq0P-cVFMAuAnP2Q=fFQ>I(J03)Kc}Er%O2%XY;$+V$wrx8dRd!`r1{C*aX+iO2zjhZp>b85! z9ci{^vC1MQTRa?#x2kk!e2ci*(Pw`q6@o@+J7H*umbjB!9gX&Ak>(S0duf>#Mw`}Y z5?Hj9|0}w;VQQ$Rd;_+D7UW9RL=i;DwxbKXzL9GHuxrS+6u*Xs!WO!*%e$;$yJ_(j zyW6|NOT5KvyvK{Y$*a7}%e>9&yw3~0(JQ^vOTE=w8da-sFm`&@%e}k7wQ=FKH`l%6 zTNpdX7Ro0TXuEZ43wGq|zG6{!XJ>hm#%1_tx0&#`I}v&B%fDYyd1!%ovh!wu+n94U ze23e=3A`1_w|vbPX!DZ4F4leuOuB?+DI{~7c=S;o(#$fJf$HKkOO%t zSbC7I!lhv4rJW4Mc-+eUtEbaaEgZQ|9to0xDw1GDl0Cu7z0AL!$`POntD>4Pq*{Qc z3KYxC%luLB@jIfTvUMclm< zn>Q9~nAMfBkh8Il$;jpG$o5RWGOIeA* Date: Thu, 4 Feb 2021 10:38:52 -0800 Subject: [PATCH 140/313] Changes from review by @eldruin Thanks for the review. --- src/05-led-roulette/the-challenge.md | 4 +- .../the-led-and-delay-abstractions.md | 48 +++++++++---------- 2 files changed, 26 insertions(+), 26 deletions(-) diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index 361f1774f..d31057dce 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -59,8 +59,8 @@ the program and jump to the beginning of `main`: ``` console $ cargo run --target thumbv7em-none-eabihf Finished dev [unoptimized + debuginfo] target(s) in 0.01s - Running `arm-none-eabi-gdb -q -x openocd.gdb /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` -Reading symbols from /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... + Running `arm-none-eabi-gdb -q -x openocd.gdb ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` +Reading symbols from ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:8 8 #[entry] Loading section .vector_table, size 0x194 lma 0x8000000 diff --git a/src/05-led-roulette/the-led-and-delay-abstractions.md b/src/05-led-roulette/the-led-and-delay-abstractions.md index 1bc9a788c..7081772ef 100644 --- a/src/05-led-roulette/the-led-and-delay-abstractions.md +++ b/src/05-led-roulette/the-led-and-delay-abstractions.md @@ -53,8 +53,8 @@ Now, we'll repeat the flashing procedure that we did in the previous section: ``` console $ cargo run --target thumbv7em-none-eabihf Finished dev [unoptimized + debuginfo] target(s) in 0.01s - Running `arm-none-eabi-gdb -q /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` -Reading symbols from /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... + Running `arm-none-eabi-gdb -q ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` +Reading symbols from ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... (gdb) target remote :3333 Remote debugging using :3333 led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 @@ -133,7 +133,7 @@ First, let's stop the infinite loop by hitting `Ctrl+C`. You'll probably end up ^C Program received signal SIGINT, Interrupt. 0x08003434 in core::ptr::read_volatile (src=0xe000e010) - at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1053 + at ~/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1053 ``` In my case, the program stopped its execution inside a `read_volatile` function. GDB output shows @@ -155,17 +155,17 @@ Regardless of where your program may have stopped you can always look at the out ``` (gdb) backtrace #0 0x08003434 in core::ptr::read_volatile (src=0xe000e010) - at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1053 -#1 0x08002d66 in vcell::VolatileCell::get (self=0xe000e010) at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/vcell-0.1.3/src/lib.rs:33 -#2 volatile_register::RW::read (self=0xe000e010) at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/volatile-register-0.2.0/src/lib.rs:75 + at ~/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1053 +#1 0x08002d66 in vcell::VolatileCell::get (self=0xe000e010) at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/vcell-0.1.3/src/lib.rs:33 +#2 volatile_register::RW::read (self=0xe000e010) at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/volatile-register-0.2.0/src/lib.rs:75 #3 cortex_m::peripheral::SYST::has_wrapped (self=0x20009fa4) - at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-0.6.4/src/peripheral/syst.rs:136 + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-0.6.4/src/peripheral/syst.rs:136 #4 0x08003004 in stm32f3xx_hal::delay::{{impl}}::delay_us (self=0x20009fa4, us=500000) - at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:58 + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:58 #5 0x08002f3e in stm32f3xx_hal::delay::{{impl}}::delay_ms (self=0x20009fa4, ms=500) - at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:32 + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:32 #6 0x08002f80 in stm32f3xx_hal::delay::{{impl}}::delay_ms (self=0x20009fa4, ms=500) - at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:38 + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:38 #7 0x0800024c in led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:15 #8 0x08000206 in led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 ``` @@ -179,9 +179,9 @@ right after the program returns from the current function. We'll have to call it ``` (gdb) finish Run till exit from #0 0x08003434 in core::ptr::read_volatile (src=0xe000e010) - at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1053 + at ~/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1053 cortex_m::peripheral::SYST::has_wrapped (self=0x20009fa4) - at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-0.6.4/src/peripheral/syst.rs:136 + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-0.6.4/src/peripheral/syst.rs:136 136 self.csr.read() & SYST_CSR_COUNTFLAG != 0 Value returned is $1 = 5 @@ -189,14 +189,14 @@ Value returned is $1 = 5 (gdb) finish Run till exit from #0 0x08002f3e in stm32f3xx_hal::delay::{{impl}}::delay_ms (self=0x20009fa4, ms=500) - at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:32 + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:32 0x08002f80 in stm32f3xx_hal::delay::{{impl}}::delay_ms (self=0x20009fa4, ms=500) - at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:38 + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:38 38 self.delay_ms(u32(ms)); (gdb) finish Run till exit from #0 0x08002f80 in stm32f3xx_hal::delay::{{impl}}::delay_ms (self=0x20009fa4, ms=500) - at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:38 + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/stm32f3xx-hal-0.5.0/src/delay.rs:38 0x0800024c in led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:15 15 delay.delay_ms(half_period); ``` @@ -227,7 +227,7 @@ Continuing. ^C Program received signal SIGINT, Interrupt. core::cell::UnsafeCell::get (self=0x20009fa4) - at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/cell.rs:1711 + at ~/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/cell.rs:1711 1711 pub const fn get(&self) -> *mut T { ``` @@ -365,7 +365,7 @@ aux5 = { path = "auxiliary" } volatile = "0.4.3" ``` -With the above code using Volatile you can now change `half_period` and +With the above code using `Volatile` you can now change `half_period` and you'll be able to experiment with different values. Here is the list of commands followed by an explanation; `# xxxx` to demonstrate. @@ -398,10 +398,10 @@ the led will now be on for 2 seconds then off for 2 seconds. ``` console $ cargo run --target thumbv7em-none-eabihf - Compiling led-roulette v0.2.0 (/home/wink/prgs/rust/tutorial/embedded-discovery/src/05-led-roulette) + Compiling led-roulette v0.2.0 (~/prgs/rust/tutorial/embedded-discovery/src/05-led-roulette) Finished dev [unoptimized + debuginfo] target(s) in 0.18s - Running `arm-none-eabi-gdb -q /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` -Reading symbols from /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... + Running `arm-none-eabi-gdb -q ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` +Reading symbols from ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... (gdb) target remote :3333 Remote debugging using :3333 @@ -506,7 +506,7 @@ End of assembler dump. Continuing. ^C Program received signal SIGINT, Interrupt. -0x080037b2 in core::cell::UnsafeCell::get (self=0x20009fa0) at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/cell.rs:1716 +0x080037b2 in core::cell::UnsafeCell::get (self=0x20009fa0) at ~/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/cell.rs:1716 1716 } (gdb) enable 1 @@ -526,7 +526,7 @@ $2 = 500 Continuing. ^C Program received signal SIGINT, Interrupt. -0x08003498 in core::ptr::read_volatile (src=0xe000e010) at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1052 +0x08003498 in core::ptr::read_volatile (src=0xe000e010) at ~/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1052 1052 unsafe { intrinsics::volatile_load(src) } (gdb) enable 1 @@ -551,11 +551,11 @@ $4 = 2000 Continuing. ^C Program received signal SIGINT, Interrupt. -0x0800348e in core::ptr::read_volatile (src=0xe000e010) at /home/wink/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1046 +0x0800348e in core::ptr::read_volatile (src=0xe000e010) at ~/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1046 1046 pub unsafe fn read_volatile(src: *const T) -> T { (gdb) q -Detaching from program: /home/wink/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette, Remote target +Detaching from program: ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette, Remote target Ending remote debugging. [Inferior 1 (Remote target) detached] ``` From 56f03a1dc1bf89616c0c52c49c93f3f7651f2ee3 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Thu, 4 Feb 2021 14:16:53 -0800 Subject: [PATCH 141/313] Fix Travis CI error Travis CI complained that the `extern crate panic_itm` should be a `use` so changed it to `pub use panic_itm`. --- src/05-led-roulette/auxiliary/src/lib.rs | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/auxiliary/src/lib.rs b/src/05-led-roulette/auxiliary/src/lib.rs index bc9da9411..8ef93c87d 100644 --- a/src/05-led-roulette/auxiliary/src/lib.rs +++ b/src/05-led-roulette/auxiliary/src/lib.rs @@ -2,7 +2,7 @@ #![no_std] -extern crate panic_itm; // panic handler +pub use panic_itm; // panic handler pub use cortex_m_rt::entry; From 3acc2b2e88d6e8e64b9612624cbc10769e410724 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Sun, 7 Feb 2021 17:47:31 -0800 Subject: [PATCH 142/313] Tweak testing cargo-binutils While following the instructions for cargo-binutils in 03-setup the `cargo size -- -version` caused an error when following the instructions: ``` console $ cargo size -- -version error: Error during execution of `cargo metadata`: error: could not find `Cargo.toml` in `C:\Users\wink` or any parent directory ``` The cause of the error is that you're not told to be in a rust project directory. The solution I've chosen is to create a rust bin project, cd into the project, run it and then do the `cargo size -- -version`. --- src/03-setup/README.md | 17 ++++++++++++++--- 1 file changed, 14 insertions(+), 3 deletions(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index db1787b4e..6943ab75e 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -90,13 +90,24 @@ itmdump 0.3.1 ``` console $ rustup component add llvm-tools-preview -$ cargo install cargo-binutils --vers 0.3.0 +$ cargo install cargo-binutils + +$ cargo new test-size + Created binary (application) `test-size` package + +$ cd test-size + +$ cargo run + Finished dev [unoptimized + debuginfo] target(s) in 0.01s + Running `target\debug\test-size.exe` +Hello, world! $ cargo size -- -version + Finished dev [unoptimized + debuginfo] target(s) in 0.50s LLVM (http://llvm.org/): - LLVM version 8.0.0svn + LLVM version 11.0.0-rust-1.49.0-stable Optimized build. - Default target: x86_64-unknown-linux-gnu + Default target: x86_64-pc-windows-msvc Host CPU: skylake ``` From 2874f357997454dd7cca7167e3c90435487e84c9 Mon Sep 17 00:00:00 2001 From: Diego Barrios Romero Date: Mon, 8 Feb 2021 11:50:40 +0100 Subject: [PATCH 143/313] Fix installing dateutil since it is now a dependency of the GHP import script --- ci/install.sh | 1 + 1 file changed, 1 insertion(+) diff --git a/ci/install.sh b/ci/install.sh index f5596e4ef..139424084 100644 --- a/ci/install.sh +++ b/ci/install.sh @@ -16,6 +16,7 @@ main() { rustup target add thumbv7em-none-eabihf + pip install python-dateutil --user pip install linkchecker --user } From e6e819ddf431749e11cdcf097ced7c42a15ca107 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Fri, 5 Feb 2021 14:50:41 -0800 Subject: [PATCH 144/313] New-06-hello-world Converted lib.rs, Cargo.toml to use stm32f3_discovery. .cargo/config: I also, found that I would forget to to use `--target` when building and running using cargo so set the default build target to thumbv7em-none-eabihf openocd.cfg: Since the monitor lines are quite long and also easy to mistype or forget to do I enhanced the file with the new lines and commented on each of the lines. README.md Update to reflect the above changes. --- src/06-hello-world/.cargo/config | 3 + src/06-hello-world/Cargo.toml | 7 +- src/06-hello-world/README.md | 129 +++++++++++++++------- src/06-hello-world/auxiliary/Cargo.toml | 16 +-- src/06-hello-world/auxiliary/src/lib.rs | 12 ++- src/06-hello-world/openocd.gdb | 22 +++- src/06-hello-world/panic.md | 138 ++++++++++++++++-------- 7 files changed, 230 insertions(+), 97 deletions(-) diff --git a/src/06-hello-world/.cargo/config b/src/06-hello-world/.cargo/config index 115130a23..f27a4a749 100644 --- a/src/06-hello-world/.cargo/config +++ b/src/06-hello-world/.cargo/config @@ -3,3 +3,6 @@ runner = "arm-none-eabi-gdb -q -x openocd.gdb" rustflags = [ "-C", "link-arg=-Tlink.x", ] + +[build] +target = "thumbv7em-none-eabihf" diff --git a/src/06-hello-world/Cargo.toml b/src/06-hello-world/Cargo.toml index f9260db58..1a19db077 100644 --- a/src/06-hello-world/Cargo.toml +++ b/src/06-hello-world/Cargo.toml @@ -1,8 +1,11 @@ [package] -authors = ["Jorge Aparicio "] +authors = [ + "Jorge Aparicio ", + "Wink Saville : push {r7, lr} + 0x080001ee <+2>: mov r7, sp +=> 0x080001f0 <+4>: bl 0x80001f6 + 0x080001f4 <+8>: udf #254 ; 0xfe ``` -(gdb) # globally enable the ITM and redirect all output to itm.txt -(gdb) monitor tpiu config internal itm.txt uart off 8000000 -(gdb) # enable the ITM port 0 -(gdb) monitor itm port 0 on +We need to initially `step` into the main function which will position us at line 10: + +``` text +(gdb) step +hello_world::__cortex_m_rt_main () at src/06-hello-world/src/main.rs:10 +10 let mut itm = aux6::init(); ``` -All should be ready! Now execute the `iprintln!` statement. +Now issue a `next` command which will exectue `aux6::init()` and +stop at he next executable statement in `main.rs`, which +positions us at line 12: -``` +``` text (gdb) next -12 iprintln!(&mut itm.stim[0], "Hello, world!"); +12 iprintln!(&mut itm.stim[0], "Hello, world!"); +``` + +Then issue another `next` command which will execute +line 12, executing the `iprintln` and stop at line 14: +``` text (gdb) next -14 loop {} +14 loop {} ``` -You should see some output in the `itmdump` terminal: +Now since `iprintln` has been executed the output on the `itmdump` +terminal window should be the `Hello, world!` string: ``` console $ itmdump -F -f itm.txt -(..) +(...) Hello, world! ``` diff --git a/src/06-hello-world/auxiliary/Cargo.toml b/src/06-hello-world/auxiliary/Cargo.toml index 0935a0938..18074447c 100644 --- a/src/06-hello-world/auxiliary/Cargo.toml +++ b/src/06-hello-world/auxiliary/Cargo.toml @@ -1,11 +1,15 @@ [package] -authors = ["Jorge Aparicio "] +authors = [ + "Jorge Aparicio ", + "Wink Saville ITM { let p = cortex_m::Peripherals::take().unwrap(); diff --git a/src/06-hello-world/openocd.gdb b/src/06-hello-world/openocd.gdb index 9143f177e..a2b5fdd89 100644 --- a/src/06-hello-world/openocd.gdb +++ b/src/06-hello-world/openocd.gdb @@ -1,6 +1,26 @@ +# Connect to gdb remote server target remote :3333 + +# Load will flash the code +load + +# Eanble demangling asm names on disassembly set print asm-demangle on + +# Enable pretty printing set print pretty on -load + +# Disable style sources as the default colors can be hard to read +set style sources off + +# Have the tpiu send the data to a file tim.txt +monitor tpiu config internal itm.txt uart off 8000000 + +# Turn on the itm port +monitor itm port 0 on + +# Set a breakpoint at main break main + +# Continue running and we'll hit the main breakpoint continue diff --git a/src/06-hello-world/panic.md b/src/06-hello-world/panic.md index 913866a70..694b192c4 100644 --- a/src/06-hello-world/panic.md +++ b/src/06-hello-world/panic.md @@ -11,32 +11,45 @@ fn main() -> ! { } ``` -Let's try this program. But before that let's update `openocd.gdb` to run that `monitor` stuff for -us during GDB startup: - -``` diff - target remote :3333 - set print asm-demangle on - set print pretty on - load -+monitor tpiu config internal itm.txt uart off 8000000 -+monitor itm port 0 on - break main - continue +Before running one other suggestion, I find it inconvenient to have to +confirm when quitting gdb. Add the following file in your home +directory ~/.gdbinit so that it quits immediately: + +``` console +$ cat ~/.gdbinit +define hook-quit + set confirm off +end ``` -OK, now run it. +OK, now use `cargo run` and it stops at `#[entry]`: ``` console $ cargo run -(..) -Breakpoint 1, main () at src/06-hello-world/src/main.rs:10 -10 panic!("Hello, world!"); + Finished dev [unoptimized + debuginfo] target(s) in 0.01s + Running `arm-none-eabi-gdb -q -x openocd.gdb ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world` +Reading symbols from ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world... +panic_itm::panic (info=0x20009fa0) at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs:57 +57 atomic::compiler_fence(Ordering::SeqCst); +Loading section .vector_table, size 0x194 lma 0x8000000 +Loading section .text, size 0x2198 lma 0x8000194 +Loading section .rodata, size 0x5d8 lma 0x800232c +Start address 0x08000194, load size 10500 +Transfer rate: 17 KB/sec, 3500 bytes/write. +Breakpoint 1 at 0x80001f0: file src/06-hello-world/src/main.rs, line 8. +Note: automatically using hardware breakpoints for read-only addresses. + +Breakpoint 1, hello_world::__cortex_m_rt_main_trampoline () at src/06-hello-world/src/main.rs:8 +8 #[entry] +``` -(gdb) next +We'll use short command names to save typing, enter `c` then the `Enter` or `Return` key: +``` +(gdb) c +Continuing. ``` -You'll see some new output in the `itmdump` terminal. +If all is well you'll see some new output in the `itmdump` terminal. ``` console $ # itmdump terminal @@ -44,45 +57,80 @@ $ # itmdump terminal panicked at 'Hello, world!', src/06-hello-world/src/main.rs:10:5 ``` - +Then type `Ctrl-c` which breaks out of a loop in the runtime: +``` text +^C +Program received signal SIGINT, Interrupt. +0x0800115c in panic_itm::panic (info=0x20009fa0) at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs:57 +57 atomic::compiler_fence(Ordering::SeqCst); +``` - - +Ultimately, `panic!` is just another function call so you can see it leaves behind +a trace of function calls. This allows you to use `backtrace` or just `bt` and to see +call stack that caused the panic: + +``` text +(gdb) bt +#0 panic_itm::panic (info=0x20009fa0) at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs:47 +#1 0x080005c2 in core::panicking::panic_fmt () at library/core/src/panicking.rs:92 +#2 0x0800055a in core::panicking::panic () at library/core/src/panicking.rs:50 +#3 0x08000210 in hello_world::__cortex_m_rt_main () at src/06-hello-world/src/main.rs:10 +#4 0x080001f4 in hello_world::__cortex_m_rt_main_trampoline () at src/06-hello-world/src/main.rs:8 +``` - - - - - - - - - - +Another thing we can do is catch the panic *before* it does the logging. +So we'll do several things; reset to the beginning, disable breakpoint 1, +set a new breakpoint at `rust_begin_unwind`, list the break points and then continue: - - +``` text +(gdb) monitor reset halt +Unable to match requested speed 1000 kHz, using 950 kHz +Unable to match requested speed 1000 kHz, using 950 kHz +adapter speed: 950 kHz +target halted due to debug-request, current mode: Thread +xPSR: 0x01000000 pc: 0x08000194 msp: 0x2000a000 -Another thing you can do is catch the panic *before* it does the logging by -putting a breakpoint on the `rust_begin_unwind` symbol. +(gdb) disable 1 -``` -(gdb) monitor reset halt -(..) -target halted due to debug-request, current mode: Thread -xPSR: 0x01000000 pc: 0x080026ba msp: 0x10002000 +(gdb) break rust_begin_unwind +Breakpoint 2 at 0x80010f0: file ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs, line 47. -(gdb) break rust_begin_unwind -Breakpoint 2 at 0x80011d2: file $REGISTRY/panic-itm-0.4.0/src/lib.rs, line 46. +(gdb) info break +Num Type Disp Enb Address What +1 breakpoint keep n 0x080001f0 in hello_world::__cortex_m_rt_main_trampoline at src/06-hello-world/src/main.rs:8 + breakpoint already hit 1 time +2 breakpoint keep y 0x080010f0 in panic_itm::panic + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs:47 -(gdb) continue +(gdb) c Continuing. -Breakpoint 2, rust_begin_unwind (info=0x10001fac) at $REGISTRY/panic-itm-0.4.0/src/lib.rs:46 -46 interrupt::disable(); +Breakpoint 2, panic_itm::panic (info=0x20009fa0) at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs:47 +47 interrupt::disable(); ``` You'll notice that nothing got printed on the `itmdump` console this time. If you resume the program using `continue` then a new line will be printed. In a later section we'll look into other simpler communication protocols. + +Finally, enter the `q` command to quit and it quits immediately without asking for confirmation: + +``` text +(gdb) q +Detaching from program: ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world, Remote target +Ending remote debugging. +[Inferior 1 (Remote target) detached] +``` + +As an even shorter sequence you can type `Ctrl-d`, which eliminates +one keystroke! + +> **NOTE** In this case the `(gdb)` prompt is overwritten with `quit)` + +``` text +quit) +Detaching from program: ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world, Remote target +Ending remote debugging. +[Inferior 1 (Remote target) detached] +``` From af8bb1a3d8322b4bf61a9bba956181617cd43ca1 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Tue, 9 Feb 2021 09:21:42 -0800 Subject: [PATCH 145/313] Tweak panic.md As suggested by @eldruin add backticks around ~/.gdbinit. --- src/06-hello-world/panic.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/06-hello-world/panic.md b/src/06-hello-world/panic.md index 694b192c4..6e88c3f16 100644 --- a/src/06-hello-world/panic.md +++ b/src/06-hello-world/panic.md @@ -13,7 +13,7 @@ fn main() -> ! { Before running one other suggestion, I find it inconvenient to have to confirm when quitting gdb. Add the following file in your home -directory ~/.gdbinit so that it quits immediately: +directory `~/.gdbinit` so that it quits immediately: ``` console $ cat ~/.gdbinit From ec78491872a1328b5197b78e9e1107f48e5c5c24 Mon Sep 17 00:00:00 2001 From: waalge Date: Thu, 11 Feb 2021 08:15:46 +0000 Subject: [PATCH 146/313] add two notes flagging lsm303agr --- src/03-setup/README.md | 10 ++++++++-- src/14-i2c/lsm303dlhc.md | 7 +++++++ 2 files changed, 15 insertions(+), 2 deletions(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 6943ab75e..7b1b2202f 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -16,8 +16,8 @@ several MBs in size. - [STM32F3DISCOVERY User Manual][um] - [STM32F303VC Datasheet][ds] - [STM32F303VC Reference Manual][rm] -- [LSM303DLHC] -- [L3GD20] +- [LSM303DLHC] \* +- [L3GD20] \* [L3GD20]: https://www.st.com/content/ccc/resource/technical/document/application_note/2c/d9/a7/f8/43/48/48/64/DM00119036.pdf/files/DM00119036.pdf/jcr:content/translations/en.DM00119036.pdf [LSM303DLHC]: http://www.st.com/resource/en/datasheet/lsm303dlhc.pdf @@ -25,6 +25,12 @@ several MBs in size. [rm]: http://www.st.com/resource/en/reference_manual/dm00043574.pdf [um]: http://www.st.com/resource/en/user_manual/dm00063382.pdf +\* **NOTE**: Newer (from around 2020/09) Discovery boards may have a different e-compass and gyroscope (see the user manual). +As such, much in chapters 14-16 will not work as is. +Checkout the github issues like [this][gh-issue-274]. + +[gh-issue-274]: https://github.com/rust-embedded/discovery/issues/274 + ## Tools We'll use all the tools listed below. Where a minimum version is not specified, any recent version diff --git a/src/14-i2c/lsm303dlhc.md b/src/14-i2c/lsm303dlhc.md index 60389c6c8..9fb1db854 100644 --- a/src/14-i2c/lsm303dlhc.md +++ b/src/14-i2c/lsm303dlhc.md @@ -1,5 +1,12 @@ # LSM303DLHC +\* **NOTE**: Newer (from around 2020/09) Discovery boards may have an [LSM303AGR][agr] +rather than a [LSM303DLHC][Data Sheet]. +Checkout the github issues like [this][gh-issue-274] for more details. + +[agr]: https://www.st.com/resource/en/datasheet/lsm303agr.pdf +[gh-issue-274]: https://github.com/rust-embedded/discovery/issues/274 + Two of the sensors in the F3, the magnetometer and the accelerometer, are packaged in a single component: the LSM303DLHC integrated circuit. These two sensors can be accessed via an I2C bus. Each sensor behaves like an I2C slave and has a *different* address. From c241deadb0ca1380866f26c4d5ca9d34fba62e66 Mon Sep 17 00:00:00 2001 From: Jesse Parsons <59420931+leetie@users.noreply.github.com> Date: Thu, 11 Feb 2021 22:54:15 -0500 Subject: [PATCH 147/313] fix typo, link Fix typo, link. --- src/06-hello-world/README.md | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index 3842b7787..eb705ea04 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -71,8 +71,9 @@ $ itmdump -F -f itm.txt This command will block as `itmdump` is now watching the `itm.txt` file. Leave this terminal open. Make sure that the STM32F3DISCOVERY board is connected to your computer. Open another terminal -from `/tmp` directory (on Windows `%TEMP%`) to launch OpenOCD similar as described in chapter -[First OpenOCD connection]: ../03-setup/verify.html#first-openocd-connection +from `/tmp` directory (on Windows `%TEMP%`) to launch OpenOCD similar as described in [chapter 3]. + +[chapter 3]: ../03-setup/verify.html#first-openocd-connection Alright. Now, let's build the starter code and flash it into the microcontroller. @@ -103,7 +104,7 @@ target remote :3333 # Load will flash the code load -# Eanble demangling asm names on disassembly +# Enable demangling asm names on disassembly set print asm-demangle on # Enable pretty printing From d5e17f5fe1d3f7a9706dca185e558dfb0aa8bbe1 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Fri, 5 Feb 2021 14:27:26 -0800 Subject: [PATCH 148/313] Tweak 05-led-roulette After doing 06-hello-world I felt some tweaks and cleanup were needed in debug-it.md and the-led-and-delay-abstractions.md. --- src/05-led-roulette/debug-it.md | 77 ++++++++++++------- .../the-led-and-delay-abstractions.md | 4 +- 2 files changed, 52 insertions(+), 29 deletions(-) diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 91cfc5317..308410006 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -20,34 +20,55 @@ Continuing. Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 7 #[entry] - -(gdb) step -led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:10 -10 let x = 42; ``` Breakpoints can be used to stop the normal flow of a program. The `continue` command will let the program run freely *until* it reaches a breakpoint. In this case, until it reaches `#[entry]` -which is a trampoline to to the main function and where `break main` set the breakpoint. +which is a trampoline to the main function and where `break main` sets the breakpoint. -Note that GDB output says "Breakpoint 1". Remember that our processor can only use six of these -breakpoints so it's a good idea to pay attention to these messages. +> **Note** that GDB output says "Breakpoint 1". Remember that our processor can only use six of these +> breakpoints so it's a good idea to pay attention to these messages. +OK. Since we are stopped at `#[entry]` and using the `disassemble /m` we see the code +for entry, which is a trampoline to main. What that means it sets up the stack and then +invokes a subroutine call to the `main` function using an ARM branch and link instruction, `bl`. +``` +(gdb) disassemble /m +Dump of assembler code for function main: +8 #[entry] + 0x080001ec <+0>: push {r7, lr} + 0x080001ee <+2>: mov r7, sp +=> 0x080001f0 <+4>: bl 0x80001f6 + 0x080001f4 <+8>: udf #254 ; 0xfe -OK. Since we are stopped at `#[entry]`. We can advance the program statement by statement using -the `step` command. So let's use that twice times to reach the `_y = x` statement. Once you've typed `step` -once you can just hit enter to run it again, but below we type step twice. +End of assembler dump. +``` +Next we need to issue a `step` gdb command which will advance the program statement +by statement stepping into functions/procedures. So after this first `step` command we're +inside `main` and are positioned at the first executable `rust` statement, line 10, but it is +**not** executed: ``` (gdb) step led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:10 10 let x = 42; +``` + +Next we'll issue a second `step` which executes line 10 and stops at +line `11 _y = x;`, again line 11 is **not** executed. + +> **Note** we could have pressed enter at the second ` ` prompt and +> it would have reissued the previous statement, `step`, but for clarity in this tutorial +> we'll generally retype the command. + +``` (gdb) step 11 _y = x; ``` -If you are not using the TUI mode, on each `step` call GDB will print back the current statement -along with its line number. +As you can see, in this mode, on each `step` command GDB will print the current statement along +along with its line number. As you'll see later in the TUI mode you'll not the see the statement +in the command area. We are now "on" the `_y = x` statement; that statement hasn't been executed yet. This means that `x` is initialized but `_y` is not. Let's inspect those stack/local variables using the `print` command: @@ -63,13 +84,13 @@ $3 = 536870912 $4 = (*mut i32) 0x20009fe4 ``` -As expected, `x` contains the value `42`. `_y`, however, contains the value `536870912` (?). Because -`_y` has not been initialized yet, it contains some garbage value. +As expected, `x` contains the value `42`. `_y`, however, contains the value `536870912` (?). This +is because `_y` has not been initialized yet, it contains some garbage value. The command `print &x` prints the address of the variable `x`. The interesting bit here is that GDB -output shows the type of the reference: `*mut i32`, a mutable pointer to an `i32` value. Another interesting -thing is that the addresses of `x` and `_y` are very close to each other: their addresses are just -`4` bytes apart. +output shows the type of the reference: `*mut i32`, a mutable pointer to an `i32` value. Another +interesting thing is that the addresses of `x` and `_y` are very close to each other: their +addresses are just `4` bytes apart. Instead of printing the local variables one by one, you can also use the `info locals` command: @@ -97,9 +118,9 @@ If we use `step` again on top of the `loop {}` statement, we'll get stuck becaus never pass that statement. > **NOTE** If you used the `step` or any other command by mistake and GDB gets stuck, you can get -it unstuck by hitting `Ctrl+C`. +> it unstuck by hitting `Ctrl+C`. -You can also use the `disassemble /m` command to disassemble the program around the +As introduced above the `disassemble /m` command can be used to disassemble the program around the line you are currently at. You might also want to `set print asm-demangle on` so the names are demangled, this only needs to be done once a debug session. Later this and other commands will be placed in an initialization file which will simplify @@ -131,11 +152,11 @@ End of assembler dump. See the fat arrow `=>` on the left side? It shows the instruction the processor will execute next. -As mentioned above if you were to execute the `step` command GDB gets stuck because it +Also, as mentioned above if you were to execute the `step` command GDB gets stuck because it is executing a branch instruction to itself and never gets past it. So you need to use -`Ctrl+C`. But you can use the `stepi` GDB command, which steps one instruction, and GDB will print -the address **and** line number of the statement the processor will execute next and -it won't get stuck. +`Ctrl+C` to regain control. An alternative is to use the `stepi` GDB command, which steps +one asm instruction, and GDB will print the address **and** line number of the statement +the processor will execute next and it won't get stuck. ``` (gdb) stepi @@ -154,11 +175,13 @@ Unable to match requested speed 1000 kHz, using 950 kHz adapter speed: 950 kHz target halted due to debug-request, current mode: Thread xPSR: 0x01000000 pc: 0x08000194 msp: 0x2000a000 + (gdb) continue Continuing. Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 7 #[entry] + (gdb) disassemble /m Dump of assembler code for function main: 7 #[entry] @@ -170,11 +193,11 @@ Dump of assembler code for function main: End of assembler dump. ``` -We are now back at the beginning of `main`! +We are now back at the beginning of `#[entry]`! -`monitor reset halt` will reset the microcontroller and stop it right at the program entry point. -The following `continue` command will let the program run freely until it reaches the `main` -function that has a breakpoint on it. +`monitor reset halt` will reset the microcontroller and stop it right at the beginning of the program. +The `continue` command will then let the program run freely until it reaches a breakpoint, in +this case it is the breakpoint at `#[entry]`. This combo is handy when you, by mistake, skipped over a part of the program that you were interested in inspecting. You can easily roll back the state of your program back to its very diff --git a/src/05-led-roulette/the-led-and-delay-abstractions.md b/src/05-led-roulette/the-led-and-delay-abstractions.md index 7081772ef..34adee50b 100644 --- a/src/05-led-roulette/the-led-and-delay-abstractions.md +++ b/src/05-led-roulette/the-led-and-delay-abstractions.md @@ -344,7 +344,7 @@ fn main() -> ! { let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); let mut half_period = 500_u16; - let v_half_period= Volatile::new(&mut half_period); + let v_half_period = Volatile::new(&mut half_period); loop { leds[0].on().ok(); @@ -455,7 +455,7 @@ Dump of assembler code for function _ZN12led_roulette18__cortex_m_rt_main17he1f2 0x08000234 <+38>: strh.w r0, [r7, #-6] 0x08000238 <+42>: subs r0, r7, #6 -13 let v_half_period= Volatile::new(&mut half_period); +13 let v_half_period = Volatile::new(&mut half_period); 0x0800023a <+44>: bl 0x800033e ::new<&mut u16>> 0x0800023e <+48>: str r0, [sp, #68] ; 0x44 0x08000240 <+50>: b.n 0x8000242 From cdf6be722d672e996893c52c793dae510b408566 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Wed, 24 Feb 2021 09:13:50 -0800 Subject: [PATCH 149/313] New 07 Registers Modified to run using `stm32f3-discovery` instead of `f3` and updated the `.md` files appropriately. --- src/07-registers/Cargo.toml | 7 +- src/07-registers/auxiliary/Cargo.toml | 21 +- src/07-registers/auxiliary/src/lib.rs | 42 +-- src/07-registers/bad-address.md | 82 +++--- src/07-registers/openocd.gdb | 3 +- src/07-registers/optimization.md | 245 +++++++++--------- .../spooky-action-at-a-distance.md | 72 ++--- src/07-registers/type-safe-manipulation.md | 143 +++++----- 8 files changed, 342 insertions(+), 273 deletions(-) diff --git a/src/07-registers/Cargo.toml b/src/07-registers/Cargo.toml index 2b4fbaca9..2a9d1f086 100644 --- a/src/07-registers/Cargo.toml +++ b/src/07-registers/Cargo.toml @@ -1,8 +1,11 @@ [package] -authors = ["Jorge Aparicio "] +authors = [ + "Jorge Aparicio ", + "Wink Saville "] +authors = [ + "Jorge Aparicio ", + "Wink Saville (ITM, &'static gpioc::RegisterBlock) { - let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); +pub use stm32f3::stm32f303::{self, gpioc::RegisterBlock}; +pub use stm32f3_discovery::stm32f3xx_hal::pac::GPIOE; +pub use stm32f3_discovery::{leds::Leds, stm32f3xx_hal}; + +use stm32f3xx_hal::prelude::*; +pub use stm32f3xx_hal::stm32; - let mut rcc = dp.RCC.constrain(); +#[inline(never)] +pub fn init() -> (ITM, &'static RegisterBlock) { + let device_periphs = stm32::Peripherals::take().unwrap(); + let mut reset_and_clock_control = device_periphs.RCC.constrain(); - Leds::new(dp.GPIOE.split(&mut rcc.ahb)); + // initialize user leds + let mut gpioe = device_periphs.GPIOE.split(&mut reset_and_clock_control.ahb); + let _leds = Leds::new( + gpioe.pe8, + gpioe.pe9, + gpioe.pe10, + gpioe.pe11, + gpioe.pe12, + gpioe.pe13, + gpioe.pe14, + gpioe.pe15, + &mut gpioe.moder, + &mut gpioe.otyper, + ); - (cp.ITM, unsafe { &*GPIOE::ptr() }) + let core_periphs = cortex_m::Peripherals::take().unwrap(); + (core_periphs.ITM, unsafe { &*stm32f303::GPIOE::ptr() }) } diff --git a/src/07-registers/bad-address.md b/src/07-registers/bad-address.md index 797debd92..d5c357b27 100644 --- a/src/07-registers/bad-address.md +++ b/src/07-registers/bad-address.md @@ -29,16 +29,20 @@ Invalid in the sense that there's no register at this address. Now, let's try it. ``` console + cargo run $ cargo run -Breakpoint 3, main () at src/07-registers/src/main.rs:9 -9 aux7::init(); +(..) +Breakpoint 1, registers::__cortex_m_rt_main_trampoline () at src/07-registers/src/main.rs:9 +9 #[entry] (gdb) continue Continuing. -Breakpoint 2, cortex_m_rt::HardFault_ (ef=0x10001fb0) - at $REGISTRY/cortex-m-rt-0.6.13/src/lib.rs:560 +Breakpoint 3, cortex_m_rt::HardFault_ (ef=0x20009fb0) + at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.13/src/lib.rs:560 560 loop { + +(gdb) ``` We tried to do an invalid operation, reading memory that doesn't exist, so the processor raised an @@ -77,13 +81,13 @@ We can get more information about the exception from the debugger. Let's see: (gdb) print/x *ef $1 = cortex_m_rt::ExceptionFrame { r0: 0x48001800, - r1: 0x48001800, - r2: 0xb, - r3: 0xc, - r12: 0xd, - lr: 0x800019f, - pc: 0x80028d6, - xpsr: 0x1000000 + r1: 0x80036b0, + r2: 0x1, + r3: 0x80000000, + r12: 0xb, + lr: 0x800020d, + pc: 0x8001750, + xpsr: 0xa1000200 } ``` @@ -93,25 +97,27 @@ disassemble the program around the bad instruction. ``` (gdb) disassemble /m ef.pc -Dump of assembler code for function core::ptr::read_volatile: -471 /checkout/src/libcore/ptr.rs: No such file or directory. - 0x080028ce <+0>: sub sp, #16 - 0x080028d0 <+2>: mov r1, r0 - 0x080028d2 <+4>: str r0, [sp, #8] - -472 in /checkout/src/libcore/ptr.rs - 0x080028d4 <+6>: ldr r0, [sp, #8] - 0x080028d6 <+8>: ldr r0, [r0, #0] - 0x080028d8 <+10>: str r0, [sp, #12] - 0x080028da <+12>: ldr r0, [sp, #12] - 0x080028dc <+14>: str r1, [sp, #4] - 0x080028de <+16>: str r0, [sp, #0] - 0x080028e0 <+18>: b.n 0x80028e2 - -473 in /checkout/src/libcore/ptr.rs - 0x080028e2 <+20>: ldr r0, [sp, #0] - 0x080028e4 <+22>: add sp, #16 - 0x080028e6 <+24>: bx lr +Dump of assembler code for function core::ptr::read_volatile: +1046 pub unsafe fn read_volatile(src: *const T) -> T { + 0x0800174c <+0>: sub sp, #12 + 0x0800174e <+2>: str r0, [sp, #4] + +1047 if cfg!(debug_assertions) && !is_aligned_and_not_null(src) { +1048 // Not panicking to keep codegen impact smaller. +1049 abort(); +1050 } +1051 // SAFETY: the caller must uphold the safety contract for `volatile_load`. +1052 unsafe { intrinsics::volatile_load(src) } + 0x08001750 <+4>: ldr r0, [r0, #0] + 0x08001752 <+6>: str r0, [sp, #8] + 0x08001754 <+8>: ldr r0, [sp, #8] + 0x08001756 <+10>: str r0, [sp, #0] + 0x08001758 <+12>: b.n 0x800175a +14> + +1053 } + 0x0800175a <+14>: ldr r0, [sp, #0] + 0x0800175c <+16>: add sp, #12 + 0x0800175e <+18>: bx lr End of assembler dump. ``` @@ -126,16 +132,16 @@ when the exception was raised? Well, we already did! The `r0` field in the `ef` before is the value of `r0` register had when the exception was raised. Here it is again: ``` -(gdb) p/x *ef +(gdb) print/x *ef $1 = cortex_m_rt::ExceptionFrame { r0: 0x48001800, - r1: 0x48001800, - r2: 0xb, - r3: 0xc, - r12: 0xd, - lr: 0x800019f, - pc: 0x80028d6, - xpsr: 0x1000000 + r1: 0x80036b0, + r2: 0x1, + r3: 0x80000000, + r12: 0xb, + lr: 0x800020d, + pc: 0x8001750, + xpsr: 0xa1000200 } ``` diff --git a/src/07-registers/openocd.gdb b/src/07-registers/openocd.gdb index df15d79d3..5db2c66f7 100644 --- a/src/07-registers/openocd.gdb +++ b/src/07-registers/openocd.gdb @@ -1,10 +1,11 @@ target remote :3333 set print asm-demangle on set print pretty on +set style sources off monitor tpiu config internal itm.txt uart off 8000000 monitor itm port 0 on load +break main break DefaultHandler break HardFault -break main continue diff --git a/src/07-registers/optimization.md b/src/07-registers/optimization.md index 1775593c2..c69847745 100644 --- a/src/07-registers/optimization.md +++ b/src/07-registers/optimization.md @@ -11,22 +11,27 @@ will merge the writes thus changing the behavior of our program. Let's check tha ``` console $ cargo run --release (..) -Breakpoint 1, main () at src/07-registers/src/main.rs:9 +Breakpoint 1, registers::__cortex_m_rt_main_trampoline () at src/07-registers/src/main.rs:7 +7 #[entry] + +(gdb) step +registers::__cortex_m_rt_main () at src/07-registers/src/main.rs:9 9 aux7::init(); (gdb) next 25 *(GPIOE_BSRR as *mut u32) = 1 << (11 + 16); (gdb) disassemble /m -Dump of assembler code for function main: -7 #[entry] - +Dump of assembler code for function _ZN9registers18__cortex_m_rt_main17h45b1ef53e18aa8d0E: 8 fn main() -> ! { + 0x08000248 <+0>: push {r7, lr} + 0x0800024a <+2>: mov r7, sp + 9 aux7::init(); - 0x08000188 <+0>: bl 0x800019c - 0x0800018c <+4>: movw r0, #4120 ; 0x1018 - 0x08000190 <+8>: mov.w r1, #134217728 ; 0x8000000 - 0x08000194 <+12>: movt r0, #18432 ; 0x4800 + 0x0800024c <+4>: bl 0x8000260 + 0x08000250 <+8>: movw r0, #4120 ; 0x1018 + 0x08000254 <+12>: mov.w r1, #134217728 ; 0x8000000 + 0x08000258 <+16>: movt r0, #18432 ; 0x4800 10 11 unsafe { @@ -44,12 +49,8 @@ Dump of assembler code for function main: 23 24 // Turn off the "East" LED 25 *(GPIOE_BSRR as *mut u32) = 1 << (11 + 16); -=> 0x08000198 <+16>: str r1, [r0, #0] - -26 } -27 -28 loop {} - 0x0800019a <+18>: b.n 0x800019a +=> 0x0800025c <+20>: str r1, [r0, #0] + 0x0800025e <+22>: b.n 0x800025e End of assembler dump. ``` @@ -58,94 +59,55 @@ The state of the LEDs didn't change this time! The `str` instruction is the one to the register. Our *debug* (unoptimized) program had four of them, one for each write to the register, but the *release* (optimized) program only has one. -We can check that using `objdump`: +We can check that using `objdump` and capture the output to `out.asm`: ``` console -$ # same as cargo objdump -- -d --no-show-raw-insn --print-imm-hex --source target/thumbv7em-none-eabihf/debug/registers -$ cargo objdump --bin registers -- -d --no-show-raw-insn --print-imm-hex --source -registers: file format ELF32-arm-little +# same as cargo objdump -- -d --no-show-raw-insn --print-imm-hex --source target/thumbv7em-none-eabihf/debug/registers +cargo objdump --bin registers -- -d --no-show-raw-insn --print-imm-hex --source > debug.txt +``` -Disassembly of section .text: -main: +Then examine `debug.txt` looking for `main` and we see the 4 `str` instructions: +``` +080001ec
    : ; #[entry] - 8000188: sub sp, #0x18 -; aux7::init(); - 800018a: bl #0xbc - 800018e: str r0, [sp, #0x14] - 8000190: b #-0x2 -; *(GPIOE_BSRR as *mut u32) = 1 << 9; - 8000192: b #-0x2 - 8000194: movw r0, #0x1018 - 8000198: movt r0, #0x4800 - 800019c: mov.w r1, #0x200 - 80001a0: str r1, [r0] -; *(GPIOE_BSRR as *mut u32) = 1 << 11; - 80001a2: b #-0x2 - 80001a4: movw r0, #0x1018 - 80001a8: movt r0, #0x4800 - 80001ac: mov.w r1, #0x800 - 80001b0: str r1, [r0] - 80001b2: movs r0, #0x19 -; *(GPIOE_BSRR as *mut u32) = 1 << (9 + 16); - 80001b4: mov r1, r0 - 80001b6: cmp r0, #0x9 - 80001b8: str r1, [sp, #0x10] - 80001ba: bvs #0x54 - 80001bc: b #-0x2 - 80001be: ldr r0, [sp, #0x10] - 80001c0: and r1, r0, #0x1f - 80001c4: movs r2, #0x1 - 80001c6: lsl.w r1, r2, r1 - 80001ca: lsrs r2, r0, #0x5 - 80001cc: cmp r2, #0x0 - 80001ce: str r1, [sp, #0xc] - 80001d0: bne #0x4c - 80001d2: b #-0x2 - 80001d4: movw r0, #0x1018 - 80001d8: movt r0, #0x4800 - 80001dc: ldr r1, [sp, #0xc] - 80001de: str r1, [r0] - 80001e0: movs r0, #0x1b -; *(GPIOE_BSRR as *mut u32) = 1 << (11 + 16); - 80001e2: mov r2, r0 - 80001e4: cmp r0, #0xb - 80001e6: str r2, [sp, #0x8] - 80001e8: bvs #0x42 - 80001ea: b #-0x2 - 80001ec: ldr r0, [sp, #0x8] - 80001ee: and r1, r0, #0x1f - 80001f2: movs r2, #0x1 - 80001f4: lsl.w r1, r2, r1 - 80001f8: lsrs r2, r0, #0x5 - 80001fa: cmp r2, #0x0 - 80001fc: str r1, [sp, #0x4] - 80001fe: bne #0x3a - 8000200: b #-0x2 - 8000202: movw r0, #0x1018 - 8000206: movt r0, #0x4800 - 800020a: ldr r1, [sp, #0x4] - 800020c: str r1, [r0] -; loop {} - 800020e: b #-0x2 - 8000210: b #-0x4 -; *(GPIOE_BSRR as *mut u32) = 1 << (9 + 16); - 8000212: movw r0, #0x41bc - 8000216: movt r0, #0x800 - 800021a: bl #0x3b28 - 800021e: trap - 8000220: movw r0, #0x4204 + 80001ec: push {r7, lr} + 80001ee: mov r7, sp + 80001f0: bl #0x2 + 80001f4: trap + +080001f6 : +; fn main() -> ! { + 80001f6: push {r7, lr} + 80001f8: mov r7, sp +; aux7::init(); + 80001fa: bl #0x3e + 80001fe: b #-0x2 +; *(GPIOE_BSRR as *mut u32) = 1 << 9; + 8000200: movw r0, #0x2640 + 8000204: movt r0, #0x800 + 8000208: ldr r0, [r0] + 800020a: movw r1, #0x1018 + 800020e: movt r1, #0x4800 + 8000212: str r0, [r1] +; *(GPIOE_BSRR as *mut u32) = 1 << 11; + 8000214: movw r0, #0x2648 + 8000218: movt r0, #0x800 + 800021c: ldr r0, [r0] + 800021e: str r0, [r1] +; *(GPIOE_BSRR as *mut u32) = 1 << (9 + 16); + 8000220: movw r0, #0x2650 8000224: movt r0, #0x800 - 8000228: bl #0x3b1a - 800022c: trap -; *(GPIOE_BSRR as *mut u32) = 1 << (11 + 16); - 800022e: movw r0, #0x421c - 8000232: movt r0, #0x800 - 8000236: bl #0x3b0c - 800023a: trap - 800023c: movw r0, #0x4234 - 8000240: movt r0, #0x800 - 8000244: bl #0x3afe - 8000248: trap + 8000228: ldr r0, [r0] + 800022a: str r0, [r1] +; *(GPIOE_BSRR as *mut u32) = 1 << (11 + 16); + 800022c: movw r0, #0x2638 + 8000230: movt r0, #0x800 + 8000234: ldr r0, [r0] + 8000236: str r0, [r1] +; loop {} + 8000238: b #-0x2 + 800023a: b #-0x4 + (..) ``` How do we prevent LLVM from misoptimizing our program? We use *volatile* operations instead of plain @@ -158,7 +120,7 @@ reads/writes: use core::ptr; #[allow(unused_imports)] -use aux7::{entry, iprint, iprintln}; +use aux7::entry; #[entry] fn main() -> ! { @@ -183,32 +145,77 @@ fn main() -> ! { loop {} } + ``` -If we look at the disassembly of this new program compiled in release mode: +Generate `release.txt` using with `--release` mode. ``` console -$ cargo objdump --bin registers --release -- -d --no-show-raw-insn --print-imm-hex --source -registers: file format ELF32-arm-little +cargo objdump --release --bin registers -- -d --no-show-raw-insn --print-imm-hex --source > release.txt +``` -Disassembly of section .text: -main: +Now find the `main` routine in `release.txt` and we see the 4 `str` instructions. +``` +0800023e
    : ; #[entry] - 8000188: bl #0x22 -; aux7::init(); - 800018c: movw r0, #0x1018 - 8000190: mov.w r1, #0x200 - 8000194: movt r0, #0x4800 - 8000198: str r1, [r0] - 800019a: mov.w r1, #0x800 - 800019e: str r1, [r0] - 80001a0: mov.w r1, #0x2000000 - 80001a4: str r1, [r0] - 80001a6: mov.w r1, #0x8000000 - 80001aa: str r1, [r0] -; loop {} - 80001ac: b #-0x4 + 800023e: push {r7, lr} + 8000240: mov r7, sp + 8000242: bl #0x2 + 8000246: trap + +08000248 : +; fn main() -> ! { + 8000248: push {r7, lr} + 800024a: mov r7, sp +; aux7::init(); + 800024c: bl #0x22 + 8000250: movw r0, #0x1018 + 8000254: mov.w r1, #0x200 + 8000258: movt r0, #0x4800 +; intrinsics::volatile_store(dst, src); + 800025c: str r1, [r0] + 800025e: mov.w r1, #0x800 + 8000262: str r1, [r0] + 8000264: mov.w r1, #0x2000000 + 8000268: str r1, [r0] + 800026a: mov.w r1, #0x8000000 + 800026e: str r1, [r0] + 8000270: b #-0x4 + (..) + ``` + +We see that the four writes (`str` instructions) are preserved. If you run it using +`gdb` you'll also see that we get the expected behavior. +> NB: The last `next` will endlessly execute `loop {}`, use `Ctrl-c` to get +> back to the `(gdb)` prompt. ``` +$ cargo run --release +(..) + +Breakpoint 1, registers::__cortex_m_rt_main_trampoline () at src/07-registers/src/main.rs:9 +9 #[entry] + +(gdb) step +registers::__cortex_m_rt_main () at src/07-registers/src/main.rs:11 +11 aux7::init(); + +(gdb) next +18 ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << 9); + +(gdb) next +21 ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << 11); + +(gdb) next +24 ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << (9 + 16)); -We see that the four writes (`str` instructions) are preserved. If you run it (use `stepi`), you'll -also see that behavior of the program is preserved. +(gdb) next +27 ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << (11 + 16)); + +(gdb) next +^C +Program received signal SIGINT, Interrupt. +0x08000270 in registers::__cortex_m_rt_main () + at ~/.rustup/toolchains/stable-x86_64-unknown-linux-gnu/lib/rustlib/src/rust/library/core/src/ptr/mod.rs:1124 +1124 intrinsics::volatile_store(dst, src); +(gdb) +``` \ No newline at end of file diff --git a/src/07-registers/spooky-action-at-a-distance.md b/src/07-registers/spooky-action-at-a-distance.md index d656be964..bfd81b775 100644 --- a/src/07-registers/spooky-action-at-a-distance.md +++ b/src/07-registers/spooky-action-at-a-distance.md @@ -8,7 +8,9 @@ status of Port E. > Section 11.4.6 GPIO port output data register - Page 239 -Let's try this program: +Let's look at this program. The key to this program +is `fn iprint_odr`. This function prints the current +value in `ODR` to the `ITM` console ``` rust #![no_main] @@ -17,58 +19,65 @@ Let's try this program: use core::ptr; #[allow(unused_imports)] -use aux7::{entry, iprint, iprintln}; +use aux7::{entry, iprintln, ITM}; -#[entry] -fn main() -> ! { - let mut itm = aux7::init().0; +// Print the current contents of odr +fn iprint_odr(itm: &mut ITM) { + const GPIOE_ODR: u32 = 0x4800_1014; unsafe { - const GPIOE_BSRR: u32 = 0x4800_1018; - const GPIOE_ODR: u32 = 0x4800_1014; - iprintln!( &mut itm.stim[0], "ODR = 0x{:04x}", ptr::read_volatile(GPIOE_ODR as *const u16) ); + } +} - // Turn on the NORTH LED (red) - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << 9); +#[entry] +fn main() -> ! { + let mut itm= aux7::init().0; - iprintln!( - &mut itm.stim[0], - "ODR = 0x{:04x}", - ptr::read_volatile(GPIOE_ODR as *const u16) - ); + unsafe { + // A magic addresses! + const GPIOE_BSRR: u32 = 0x4800_1018; - // Turn on the EAST LED (green) - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << 11); + // Print the initial contents of ODR + iprint_odr(&mut itm); - iprintln!( - &mut itm.stim[0], - "ODR = 0x{:04x}", - ptr::read_volatile(GPIOE_ODR as *const u16) - ); + // Turn on the "North" LED (red) + ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << 9); + iprint_odr(&mut itm); - // Turn off the NORTH LED - ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << (9 + 16)); + // Turn on the "East" LED (green) + ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << 11); + iprint_odr(&mut itm); - iprintln!( - &mut itm.stim[0], - "ODR = 0x{:04x}", - ptr::read_volatile(GPIOE_ODR as *const u16) - ); + // Turn off the "North" LED + ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << (9 + 16)); + iprint_odr(&mut itm); - // Turn off the EAST LED + // Turn off the "East" LED ptr::write_volatile(GPIOE_BSRR as *mut u32, 1 << (11 + 16)); + iprint_odr(&mut itm); } loop {} } ``` -If you run this program, you'll see: +If you run this program +``` +$ cargo run +(..) +Breakpoint 1, registers::__cortex_m_rt_main_trampoline () at src/07-registers/src/main.rs:22 +22 #[entry] + +(gdb) continue +Continuing. +``` + +You'll see on itmdump's console: ``` console $ # itmdump's console @@ -77,6 +86,7 @@ ODR = 0x0000 ODR = 0x0200 ODR = 0x0a00 ODR = 0x0800 +ODR = 0x0000 ``` Side effects! Although we are reading the same address multiple times without actually modifying it, diff --git a/src/07-registers/type-safe-manipulation.md b/src/07-registers/type-safe-manipulation.md index adae15dd7..0d2b33155 100644 --- a/src/07-registers/type-safe-manipulation.md +++ b/src/07-registers/type-safe-manipulation.md @@ -34,7 +34,7 @@ The best way to get familiar with this API is to port our running example to it. #![no_std] #[allow(unused_imports)] -use aux7::{entry, iprint, iprintln}; +use aux7::{entry, iprintln, ITM, RegisterBlock}; #[entry] fn main() -> ! { @@ -43,13 +43,13 @@ fn main() -> ! { // Turn on the North LED gpioe.bsrr.write(|w| w.bs9().set_bit()); - // Turn on the East LED + // Turn on the Eask LED gpioe.bsrr.write(|w| w.bs11().set_bit()); // Turn off the North LED gpioe.bsrr.write(|w| w.br9().set_bit()); - // Turn off the East LED + // Turn off the Eask LED gpioe.bsrr.write(|w| w.br11().set_bit()); loop {} @@ -72,14 +72,20 @@ the register block. ``` $ cargo run -Breakpoint 3, main () at src/07-registers/src/main.rs:9 +(..) + +Breakpoint 1, registers::__cortex_m_rt_main_trampoline () at src/07-registers/src/main.rs:7 +7 #[entry] + +(gdb) step +registers::__cortex_m_rt_main () at src/07-registers/src/main.rs:9 9 let gpioe = aux7::init().1; (gdb) next 12 gpioe.bsrr.write(|w| w.bs9().set_bit()); (gdb) print gpioe -$1 = (stm32f30x::gpioc::RegisterBlock *) 0x48001000 +$1 = (*mut stm32f3::stm32f303::gpioc::RegisterBlock) 0x48001000 ``` But if we instead `print *gpioe`, we'll get a *full view* of the register block: the value of each @@ -87,83 +93,94 @@ of its registers will be printed. ``` (gdb) print *gpioe -$2 = stm32f30x::gpioc::RegisterBlock { - moder: stm32f30x::gpioc::MODER { +$2 = stm32f3::stm32f303::gpioc::RegisterBlock { + moder: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0x55550000 + value: 1431633920 } - } + }, + _marker: core::marker::PhantomData }, - otyper: stm32f30x::gpioc::OTYPER { + otyper: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0x0 + value: 0 } - } + }, + _marker: core::marker::PhantomData }, - ospeedr: stm32f30x::gpioc::OSPEEDR { + ospeedr: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0x0 + value: 0 } - } + }, + _marker: core::marker::PhantomData }, - pupdr: stm32f30x::gpioc::PUPDR { + pupdr: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0x0 + value: 0 } - } + }, + _marker: core::marker::PhantomData }, - idr: stm32f30x::gpioc::IDR { + idr: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0xcc + value: 204 } - } + }, + _marker: core::marker::PhantomData }, - odr: stm32f30x::gpioc::ODR { + odr: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0x0 + value: 0 } - } + }, + _marker: core::marker::PhantomData }, - bsrr: stm32f30x::gpioc::BSRR { + bsrr: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0x0 + value: 0 } - } + }, + _marker: core::marker::PhantomData }, - lckr: stm32f30x::gpioc::LCKR { + lckr: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0x0 + value: 0 } - } + }, + _marker: core::marker::PhantomData }, - afrl: stm32f30x::gpioc::AFRL { + afrl: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0x0 + value: 0 } - } + }, + _marker: core::marker::PhantomData }, - afrh: stm32f30x::gpioc::AFRH { + afrh: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0x0 + value: 0 } - } + }, + _marker: core::marker::PhantomData }, - brr: stm32f30x::gpioc::BRR { + brr: stm32f3::generic::Reg { register: vcell::VolatileCell { value: core::cell::UnsafeCell { - value: 0x0 + value: 0 } - } + }, + _marker: core::marker::PhantomData } } ``` @@ -175,28 +192,38 @@ did! [LTO]: https://en.wikipedia.org/wiki/Interprocedural_optimization +Use `cargo objdump` to grab the assembler code to `release.txt`: ``` console -$ cargo objdump --bin registers --release -- -d --no-show-raw-insn --print-imm-hex -registers: file format ELF32-arm-little - -Disassembly of section .text: -main: - 8000188: bl #0x22 - 800018c: movw r0, #0x1018 - 8000190: mov.w r1, #0x200 - 8000194: movt r0, #0x4800 - 8000198: str r1, [r0] - 800019a: mov.w r1, #0x800 - 800019e: str r1, [r0] - 80001a0: mov.w r1, #0x2000000 - 80001a4: str r1, [r0] - 80001a6: mov.w r1, #0x8000000 - 80001aa: str r1, [r0] - 80001ac: b #-0x4 +cargo objdump --bin registers --release -- -d --no-show-raw-insn --print-imm-hex > release.txt +``` + +Then search for `main` in `release.txt` +``` +0800023e
    : + 800023e: push {r7, lr} + 8000240: mov r7, sp + 8000242: bl #0x2 + 8000246: trap + +08000248 : + 8000248: push {r7, lr} + 800024a: mov r7, sp + 800024c: bl #0x22 + 8000250: movw r0, #0x1018 + 8000254: mov.w r1, #0x200 + 8000258: movt r0, #0x4800 + 800025c: str r1, [r0] + 800025e: mov.w r1, #0x800 + 8000262: str r1, [r0] + 8000264: mov.w r1, #0x2000000 + 8000268: str r1, [r0] + 800026a: mov.w r1, #0x8000000 + 800026e: str r1, [r0] + 8000270: b #-0x4 ``` -The best part of all this is that I didn't have to write a single line of code to implement the -GPIOE API. All was automatically generated from a System View Description (SVD) file using the +The best part of all this is that nobody had to write a single line of code to implement the +GPIOE API. All the code was automatically generated from a System View Description (SVD) file using the [svd2rust] tool. This SVD file is actually an XML file that microcontroller vendors provide and that contains the register maps of their microcontrollers. The file contains the layout of register blocks, the base addresses, the read/write permissions of each register, the layout of the From f613e7aff60e008e130e029ea7c7c7b867f5a240 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Thu, 25 Feb 2021 11:43:06 -0800 Subject: [PATCH 150/313] Updates from eldruin first review Thanks --- src/07-registers/bad-address.md | 3 +-- src/07-registers/type-safe-manipulation.md | 4 ++-- 2 files changed, 3 insertions(+), 4 deletions(-) diff --git a/src/07-registers/bad-address.md b/src/07-registers/bad-address.md index d5c357b27..da57ed3d5 100644 --- a/src/07-registers/bad-address.md +++ b/src/07-registers/bad-address.md @@ -29,7 +29,6 @@ Invalid in the sense that there's no register at this address. Now, let's try it. ``` console - cargo run $ cargo run (..) Breakpoint 1, registers::__cortex_m_rt_main_trampoline () at src/07-registers/src/main.rs:9 @@ -39,7 +38,7 @@ Breakpoint 1, registers::__cortex_m_rt_main_trampoline () at src/07-registers/sr Continuing. Breakpoint 3, cortex_m_rt::HardFault_ (ef=0x20009fb0) - at /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.13/src/lib.rs:560 + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.13/src/lib.rs:560 560 loop { (gdb) diff --git a/src/07-registers/type-safe-manipulation.md b/src/07-registers/type-safe-manipulation.md index 0d2b33155..d14cf5a06 100644 --- a/src/07-registers/type-safe-manipulation.md +++ b/src/07-registers/type-safe-manipulation.md @@ -43,13 +43,13 @@ fn main() -> ! { // Turn on the North LED gpioe.bsrr.write(|w| w.bs9().set_bit()); - // Turn on the Eask LED + // Turn on the East LED gpioe.bsrr.write(|w| w.bs11().set_bit()); // Turn off the North LED gpioe.bsrr.write(|w| w.br9().set_bit()); - // Turn off the Eask LED + // Turn off the East LED gpioe.bsrr.write(|w| w.br11().set_bit()); loop {} From 33aa012001a4094670fd3f19c485e28fe282487a Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Fri, 26 Feb 2021 11:19:44 -0800 Subject: [PATCH 151/313] Fix broken ci build This is the solution @eldurin had suggested. --- src/07-registers/auxiliary/src/lib.rs | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/07-registers/auxiliary/src/lib.rs b/src/07-registers/auxiliary/src/lib.rs index 9b5c35aba..bbc9e57e2 100644 --- a/src/07-registers/auxiliary/src/lib.rs +++ b/src/07-registers/auxiliary/src/lib.rs @@ -3,7 +3,7 @@ #![deny(warnings)] #![no_std] -extern crate panic_itm; // panic handler +use panic_itm as _; // panic handler pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; pub use cortex_m_rt::entry; From 53b0081472402bfbad6788863e28f31714d99cff Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Sun, 28 Feb 2021 15:09:34 -0800 Subject: [PATCH 152/313] Update-03-setting-up-a-dev-env Update `*.md` files so that copy-to-clipboard button in code blocks works so that commands can be copied and pasted into terminal windows. Some reorganization of the text for clarity. --- src/03-setup/README.md | 53 ++++++++++++++++++++++------ src/03-setup/linux.md | 66 +++++++++++++++++++++-------------- src/03-setup/macos.md | 16 +++++---- src/03-setup/verify.md | 76 +++++++++++++++++++++++++---------------- src/03-setup/windows.md | 14 ++++++-- 5 files changed, 151 insertions(+), 74 deletions(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 7b1b2202f..01cdf1289 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -38,7 +38,7 @@ should work but we have listed the version we have tested. - Rust 1.31 or a newer toolchain. -- [`itmdump`] v0.3.1 (`cargo install itm`) +- [`itmdump`] >=0.3.1 (`cargo install itm`). Tested versions: 0.3.1. - OpenOCD >=0.8. Tested versions: v0.9.0 and v0.10.0 @@ -84,37 +84,70 @@ rustc 1.31.0 (abe02cefd 2018-12-04) ### `itmdump` + ``` console -$ cargo install itm --vers 0.3.1 +cargo install itm +``` +Verify the version is >=0.3.1 +``` $ itmdump -V itmdump 0.3.1 ``` ### `cargo-binutils` +Install `llvm-tools-preview` + ``` console -$ rustup component add llvm-tools-preview +rustup component add llvm-tools-preview +``` + +Install `cargo-binutils` +``` +cargo install cargo-binutils +``` + +#### Verify tools are installed -$ cargo install cargo-binutils +Run the following commands at your terminal +``` console +cargo new test-size +``` +``` +cd test-size +``` +``` +cargo run +``` +``` +cargo size -- -version +``` +The results should be something like: +``` +~ $ cargo new test-size Created binary (application) `test-size` package +~ $ cd test-size +~/test-size (main) $ cargo run - Finished dev [unoptimized + debuginfo] target(s) in 0.01s - Running `target\debug\test-size.exe` + Compiling test-size v0.1.0 (~/test-size) + Finished dev [unoptimized + debuginfo] target(s) in 0.26s + Running `target/debug/test-size` Hello, world! +~/test-size (main) $ cargo size -- -version - Finished dev [unoptimized + debuginfo] target(s) in 0.50s + Finished dev [unoptimized + debuginfo] target(s) in 0.00s LLVM (http://llvm.org/): - LLVM version 11.0.0-rust-1.49.0-stable + LLVM version 11.0.0-rust-1.50.0-stable Optimized build. - Default target: x86_64-pc-windows-msvc - Host CPU: skylake + Default target: x86_64-unknown-linux-gnu + Host CPU: znver2 ``` ### OS specific instructions diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 0c32c66d2..7985ccb7a 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -18,7 +18,7 @@ Here are the installation commands for a few Linux distributions. ``` console -$ sudo apt-get install \ +sudo apt-get install \ gdb-multiarch \ minicom \ openocd @@ -34,7 +34,7 @@ $ sudo apt-get install \ ``` console -$ sudo apt-get install \ +sudo apt-get install \ gdb-arm-none-eabi \ minicom \ openocd @@ -46,7 +46,7 @@ $ sudo apt-get install \ > Cortex-M programs ``` console -$ sudo dnf install \ +sudo dnf install \ arm-none-eabi-gdb \ minicom \ openocd @@ -58,7 +58,7 @@ $ sudo dnf install \ > Cortex-M programs ``` console -$ sudo pacman -S \ +sudo pacman -S \ arm-none-eabi-gdb \ minicom \ openocd @@ -75,15 +75,17 @@ download the "Linux 64-bit" file and put its `bin` directory on your path. Here's one way to do it: ``` console -$ mkdir -p ~/local && cd ~/local -$ tar xjf /path/to/downloaded/file/gcc-arm-none-eabi-7-2017-q4-major-linux.tar.bz2.tbz +mkdir -p ~/local && cd ~/local +``` +``` console +tar xjf /path/to/downloaded/file/gcc-arm-none-eabi-10-2020-q4-major-x86_64-linux.tar.bz2 ``` Then, use your editor of choice to append to your `PATH` in the appropriate shell init file (e.g. `~/.zshrc` or `~/.bashrc`): ``` -PATH=$PATH:$HOME/local/gcc-arm-none-eabi-7-2017-q4-major/bin +PATH=$PATH:$HOME/local/gcc-arm-none-eabi-10-2020-q4-major-x86_64-linux/bin ``` ## Optional packages @@ -91,7 +93,7 @@ PATH=$PATH:$HOME/local/gcc-arm-none-eabi-7-2017-q4-major/bin ### Ubuntu / Debian ``` console -$ sudo apt-get install \ +sudo apt-get install \ bluez \ rfkill ``` @@ -99,7 +101,7 @@ $ sudo apt-get install \ ### Fedora ``` console -$ sudo dnf install \ +sudo dnf install \ bluez \ rfkill ``` @@ -107,7 +109,7 @@ $ sudo dnf install \ ### Arch Linux ``` console -$ sudo pacman -S \ +sudo pacman -S \ bluez \ bluez-utils \ rfkill @@ -118,35 +120,49 @@ $ sudo pacman -S \ These rules let you use USB devices like the F3 and the Serial module without root privilege, i.e. `sudo`. -Create these two files in `/etc/udev/rules.d` with the contents shown below. +Create `99-openocd.rules` in `/etc/udev/rules.d` using the `idVendor` and `idProduct` +from the `lsusb` output. +For example, connect the STM32F3DISCOVERY to your computer using a USB cable. +Be sure to connect the cable to the "USB ST-LINK" port, the USB port in the +center of the edge of the board. + +Execute `lsusb`: ``` console -$ cat /etc/udev/rules.d/99-ftdi.rules +lsusb | grep ST-LINK +``` +It should result in something like: ``` +$ lsusb | grep ST-LINK +Bus 003 Device 003: ID 0483:374b STMicroelectronics ST-LINK/V2.1 +``` +So the `idProduct` is `0483` and `idVendor` is `374b`. +### Create `/etc/udev/rules.d/99-openocd.rules`: +``` console +sudo vi /etc/udev/rules.d/99-openocd.rules +``` +With the contents: ``` text -# FT232 - USB <-> Serial Converter -ATTRS{idVendor}=="0403", ATTRS{idProduct}=="6001", MODE:="0666" +# STM32F3DISCOVERY - ST-LINK/V2.1 +ATTRS{idVendor}=="0483", ATTRS{idProduct}=="374b", MODE:="0666" ``` +#### For older devices with OPTIONAL USB <-> FT232 based Serial Module -If you have a different USB <-> Serial converter, get its vendor and product ids from `lsusb` output. - +Create `/etc/udev/rules.d/99-ftdi.rules`: ``` console -$ cat /etc/udev/rules.d/99-openocd.rules +sudo vi /etc/udev/rules.d/99-openocd.rules ``` - +With the contents: ``` text -# STM32F3DISCOVERY rev A/B - ST-LINK/V2 -ATTRS{idVendor}=="0483", ATTRS{idProduct}=="3748", MODE:="0666" - -# STM32F3DISCOVERY rev C+ - ST-LINK/V2-1 -ATTRS{idVendor}=="0483", ATTRS{idProduct}=="374b", MODE:="0666" +# FT232 - USB <-> Serial Converter +ATTRS{idVendor}=="0403", ATTRS{idProduct}=="6001", MODE:="0666" ``` -Then reload the udev rules with: +### Reload the udev rules with: ``` console -$ sudo udevadm control --reload-rules +sudo udevadm control --reload-rules ``` If you had any board plugged to your computer, unplug them and then plug them in again. diff --git a/src/03-setup/macos.md b/src/03-setup/macos.md index 0d0c67f3a..62672902c 100644 --- a/src/03-setup/macos.md +++ b/src/03-setup/macos.md @@ -4,13 +4,17 @@ All the tools can be install using [Homebrew]: [Homebrew]: http://brew.sh/ +Install ArmMbed ``` console -$ # Arm GCC toolchain -$ brew tap ArmMbed/homebrew-formulae -$ brew install arm-none-eabi-gcc - -$ # Minicom and OpenOCD -$ brew install minicom openocd +brew tap ArmMbed/homebrew-formulae +``` +Install the ARM GCC toolchain +``` console +brew install arm-none-eabi-gcc +``` +Install minicom and OpenOCD +``` console +brew install minicom openocd ``` That's all! Go to the [next section]. diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index 59996e065..b69d48999 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -6,24 +6,27 @@ Let's verify that all the tools were installed correctly. ### Verify permissions -Connect the F3 to your computer using an USB cable. Be sure to connect the cable to the "USB ST-LINK" +Connect the STM32F3DISCOVERY to your computer using an USB cable. Be sure to connect the cable to the "USB ST-LINK" port, the USB port in the center of the edge of the board. -The F3 should now appear as a USB device (file) in `/dev/bus/usb`. Let's find out how it got +The STM32F3DISCOVERY should now appear as a USB device (file) in `/dev/bus/usb`. Let's find out how it got enumerated: +``` console +lsusb | grep -i stm +``` +This should result in: ``` console $ lsusb | grep -i stm Bus 003 Device 004: ID 0483:374b STMicroelectronics ST-LINK/V2.1 $ # ^^^ ^^^ ``` -In my case, the F3 got connected to the bus #3 and got enumerated as the device #4. This means the -file `/dev/bus/usb/003/004` *is* the F3. Let's check its permissions: - +In my case, the STM32F3DISCOVERY got connected to the bus #3 and got enumerated as the device #4. This means the +file `/dev/bus/usb/003/004` *is* the STM32F3DISCOVERY. Let's check its permissions: ``` console -$ ls -l /dev/bus/usb/003/004 -crw-rw-rw- 1 root root 189, 20 Sep 13 00:00 /dev/bus/usb/003/004 +$ ls -la /dev/bus/usb/003/004 +crw-rw-rw-+ 1 root root 189, 259 Feb 28 13:32 /dev/bus/usb/003/00 ``` The permissions should be `crw-rw-rw-`. If it's not ... then check your [udev @@ -32,12 +35,12 @@ rules] and try re-loading them with: [udev rules]: linux.md#udev-rules ``` console -$ sudo udevadm control --reload-rules +sudo udevadm control --reload-rules ``` -Now let's repeat the procedure for the Serial module. +#### For older devices with OPTIONAL USB <-> FT232 based Serial Module -Unplug the F3 and plug the Serial module. Now, figure out what's its associated file: +Unplug the STM32F3DISCOVERY and plug the Serial module. Now, figure out what's its associated file: ``` console $ lsusb | grep -i ft232 @@ -53,36 +56,51 @@ crw-rw-rw- 1 root root 189, 21 Sep 13 00:00 /dev/bus/usb/003/005 As before, the permissions should be `crw-rw-rw-`. -## All +## Verify OpenOCD connection -### First OpenOCD connection - -First, connect the F3 to your computer using an USB cable. Connect the cable to the USB port in the +Connect the STM32F3DISCOVERY using the USB cable to the USB port in the center of edge of the board, the one that's labeled "USB ST-LINK". Two *red* LEDs should turn on right after connecting the USB cable to the board. -Next, run this command: +> **IMPORTANT** There is more than one hardware revision of the STM32F3DISCOVERY board. For older +> revisions, you'll need to change the "interface" argument to `-f interface/stlink-v2.cfg` (note: +> no `-1` at the end). Alternatively, older revisions can use `-f board/stm32f3discovery.cfg` +> instead of `-f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg`. + +### *Nix + +> **FYI:** The `interface` directory is typically located in `/usr/share/openocd/scripts/`, +> which is the default location OpenOCD expects these files. If you've installed them +> somewhere else use the `-s /path/to/scripts/` option to specify your install directory. ``` console -$ # *nix -$ openocd -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg +openocd -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg +``` -$ # Windows -$ # NOTE cygwin users have reported problems with the -s flag. If you run into -$ # that you can call openocd from the `C:\OpenOCD\share\scripts` directory -$ openocd -s C:\OpenOCD\share\scripts -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg +### Windows + +Below the references to `C:\OpenOCD` is the directory where OpenOCD is installed. + +``` console +openocd -s C:\OpenOCD\share\scripts -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg ``` -> **NOTE** Windows users: `C:\OpenOCD` is the directory where you installed OpenOCD to. +> **NOTE** cygwin users have reported problems with the -s flag. If you run into +> that problem you can add `C:\OpenOCD\share\scripts\` directory to the parameters. -> **IMPORTANT** There is more than one hardware revision of the STM32F3DISCOVERY board. For older -> revisions, you'll need to change the "interface" argument to `-f interface/stlink-v2.cfg` (note: -> no `-1` at the end). Alternatively, older revisions can use `-f board/stm32f3discovery.cfg` -> instead of `-f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg`. +cygwin users: +``` console +openocd -f C:\OpenOCD\share\scripts\interface\stlink-v2-1.cfg -f C:\OpenOCD\share\scripts\target\stm32f3x.cfg +``` -You should see output like this: +### All +OpenOCD is a service which forwards debug information from the ITM channel +to a file, `itm.txt`, as such it runs forever and does **not** return to the +terminal prompt. + +The initial output of OpenOCD is something like: ``` console Open On-Chip Debugger 0.10.0 Licensed under GNU GPL v2 @@ -106,9 +124,7 @@ Info : stm32f3x.cpu: hardware has 6 breakpoints, 4 watchpoints [general troubleshooting]: ../appendix/1-general-troubleshooting/index.html -`openocd` will block the terminal. That's fine. - Also, one of the red LEDs, the one closest to the USB port, should start oscillating between red light and green light. -That's it! It works. You can now close/kill `openocd`. +That's it! It works. You can now use `Ctrl-c` to stop OpenOCD or close/kill the terminal. diff --git a/src/03-setup/windows.md b/src/03-setup/windows.md index 4760b714c..df6ad9b19 100644 --- a/src/03-setup/windows.md +++ b/src/03-setup/windows.md @@ -6,9 +6,14 @@ ARM provides `.exe` installers for Windows. Grab one from [here][gcc], and follo Just before the installation process finishes tick/select the "Add path to environment variable" option. Then verify that the tools are in your `%PATH%`: +Verify gcc is installed: ``` console -$ arm-none-eabi-gcc -v +arm-none-eabi-gcc -v +``` +The results should be something like: +``` (..) +$ arm-none-eabi-gcc -v gcc version 5.4.1 20160919 (release) (..) ``` @@ -24,8 +29,11 @@ before). [openocd]: https://github.com/xpack-dev-tools/openocd-xpack/releases -Verify that OpenOCD is in yout `%PATH%` with: - +Verify OpenOCD is installed and in your `%PATH%` with: +``` console +openocd -v +``` +The results should be something like: ``` console $ openocd -v Open On-Chip Debugger 0.10.0 From 243db155cb390d0a753a3a771370453199fa3eed Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Mon, 1 Mar 2021 14:35:53 -0800 Subject: [PATCH 153/313] Update 05 led-roulette Cargo.toml to use stm32f3-discovery v0.6.0 crate. Update `.md` files with additional information and to make console commands amenable to copy/paste operations. --- src/05-led-roulette/auxiliary/Cargo.toml | 2 +- src/05-led-roulette/build-it.md | 78 ++++++++++--- src/05-led-roulette/debug-it.md | 79 ++++++++----- src/05-led-roulette/flash-it.md | 110 +++++++++++------- src/05-led-roulette/the-challenge.md | 62 ++++++---- .../the-led-and-delay-abstractions.md | 45 ++++--- 6 files changed, 251 insertions(+), 125 deletions(-) diff --git a/src/05-led-roulette/auxiliary/Cargo.toml b/src/05-led-roulette/auxiliary/Cargo.toml index 1bbf9d3ca..83f4f31a8 100644 --- a/src/05-led-roulette/auxiliary/Cargo.toml +++ b/src/05-led-roulette/auxiliary/Cargo.toml @@ -11,6 +11,6 @@ version = "0.2.0" [dependencies] cortex-m = "0.6.4" cortex-m-rt = "0.6.13" -stm32f3-discovery = "0.5.0" +stm32f3-discovery = "0.6.0" panic-halt = "0.2.0" panic-itm = "0.4.2" diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index b2cb659ed..2a04e9c25 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -19,31 +19,74 @@ download pre-compiled version of the standard library (a reduced version of it a target. That's done using `rustup`: ``` console -$ rustup target add thumbv7em-none-eabihf +rustup target add thumbv7em-none-eabihf ``` You only need to do the above step once; `rustup` will re-install a new standard library (`rust-std` component) whenever you update your toolchain. -With the `rust-std` component in place you can now cross compile the program using Cargo: +With the `rust-std` component in place you can now cross compile the program using Cargo. +> Note: make sure you are in the `src/05-led-roulette` directory +> and run `cargo build` command below to create the executable: +``` console +cargo build --target thumbv7em-none-eabihf +``` +On your console you should see something like: ``` console -$ # make sure you are in the `src/05-led-roulette` directory - $ cargo build --target thumbv7em-none-eabihf Compiling typenum v1.12.0 Compiling semver-parser v0.7.0 Compiling version_check v0.9.2 - Compiling cortex-m v0.6.4 + Compiling nb v1.0.0 + Compiling void v1.0.2 + Compiling autocfg v1.0.1 + Compiling cortex-m v0.7.1 + Compiling proc-macro2 v1.0.24 + Compiling vcell v0.1.3 + Compiling unicode-xid v0.2.1 + Compiling stable_deref_trait v1.2.0 + Compiling syn v1.0.60 + Compiling bitfield v0.13.2 + Compiling cortex-m v0.6.7 Compiling cortex-m-rt v0.6.13 - Compiling stm32f3-discovery v0.5.0 - ... + Compiling r0 v0.2.2 + Compiling stm32-usbd v0.5.1 + Compiling stm32f3 v0.12.1 + Compiling usb-device v0.2.7 + Compiling cfg-if v1.0.0 + Compiling paste v1.0.4 + Compiling stm32f3-discovery v0.6.0 + Compiling panic-halt v0.2.0 + Compiling embedded-dma v0.1.2 + Compiling volatile-register v0.2.0 + Compiling nb v0.1.3 + Compiling embedded-hal v0.2.4 + Compiling semver v0.9.0 + Compiling generic-array v0.14.4 + Compiling switch-hal v0.3.2 + Compiling num-traits v0.2.14 + Compiling num-integer v0.1.44 + Compiling rustc_version v0.2.3 + Compiling bare-metal v0.2.5 + Compiling cast v0.2.3 + Compiling quote v1.0.9 + Compiling generic-array v0.13.2 + Compiling generic-array v0.12.3 + Compiling generic-array v0.11.1 Compiling panic-itm v0.4.2 + Compiling lsm303dlhc v0.2.0 + Compiling as-slice v0.1.4 + Compiling micromath v1.1.0 + Compiling accelerometer v0.12.0 + Compiling chrono v0.4.19 + Compiling aligned v0.3.4 + Compiling rtcc v0.2.0 Compiling cortex-m-rt-macros v0.1.8 - Compiling stm32f3xx-hal v0.5.0 - Compiling aux5 v0.2.0 (file://$PWD/auxiliary) - Compiling led-roulette v0.2.0 (file://$PWD/) - Finished dev [unoptimized + debuginfo] target(s) in 19.45s + Compiling stm32f3xx-hal v0.6.1 + Compiling aux5 v0.2.0 (~/embedded-discovery/src/05-led-roulette/auxiliary) + Compiling led-roulette v0.2.0 (~/embedded-discovery/src/05-led-roulette) + Finished dev [unoptimized + debuginfo] target(s) in 17.91s ``` > **NOTE** Be sure to compile this crate *without* optimizations. The provided Cargo.toml file and build command above will ensure optimizations are off. @@ -51,9 +94,14 @@ $ cargo build --target thumbv7em-none-eabihf OK, now we have produced an executable. This executable won't blink any leds, it's just a simplified version that we will build upon later in the chapter. As a sanity check, let's verify that the produced executable is actually an ARM binary: ``` console -$ # equivalent to `readelf -h target/thumbv7em-none-eabihf/debug/led-roulette` -$ cargo readobj --target thumbv7em-none-eabihf --bin led-roulette -- -file-headers - Finished dev [unoptimized + debuginfo] target(s) in 0.03s +cargo readobj --target thumbv7em-none-eabihf --bin led-roulette -- --file-header +``` +The `cargo readobj ..` above is equivalent to +`readelf -h target/thumbv7em-none-eabihf/debug/led-roulette` +and should produce something similar to: +``` console +$ cargo readobj --target thumbv7em-none-eabihf --bin led-roulette -- --file-header + Finished dev [unoptimized + debuginfo] target(s) in 0.02s ELF Header: Magic: 7f 45 4c 46 01 01 01 00 00 00 00 00 00 00 00 00 Class: ELF32 @@ -66,7 +114,7 @@ ELF Header: Version: 0x1 Entry point address: 0x8000195 Start of program headers: 52 (bytes into file) - Start of section headers: 797192 (bytes into file) + Start of section headers: 818328 (bytes into file) Flags: 0x5000400 Size of this header: 52 (bytes) Size of program headers: 32 (bytes) diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 308410006..7dbe750c9 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -8,13 +8,32 @@ processor / CPU will execute first. The starter project I've provided to you has some extra code that runs *before* the `main` function. At this time, we are not interested in that "pre-main" part so let's skip right to the beginning of -the `main` function. We'll do that using a breakpoint: - +the `main` function. We'll do that using a breakpoint. Issue `break main` at the `(gdb)` prompt: + +> **Note** for these gdb commands I generally won't provide a copyable code block +> as these are short and it's faster just to type them yourself. In addition most +> can be shortend. For instance `b` for `break` or `s` for `step`, see [gdb quick ref] +> for more info or use Google to find your others. In addition, you can use tab completion +> by typing the first few letters than one tab to complete or two tabs to +> see all possible commands. +> +>> Finally, `help xxxx` where xxxx is the comand will provide short names and other info: +>> ``` +>> (gdb) help s +>> step, s +>> Step program until it reaches a different source line. +>> Usage: step [N] +>> Argument N means step N times (or till program stops for another reason). +>> ``` + +[gdb quick ref]: https://users.ece.utexas.edu/~adnan/gdb-refcard.pdf ``` (gdb) break main Breakpoint 1 at 0x80001f0: file src/05-led-roulette/src/main.rs, line 7. Note: automatically using hardware breakpoints for read-only addresses. - +``` +Next issue a `continue` command: +``` (gdb) continue Continuing. @@ -57,9 +76,9 @@ led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:10 Next we'll issue a second `step` which executes line 10 and stops at line `11 _y = x;`, again line 11 is **not** executed. -> **Note** we could have pressed enter at the second ` ` prompt and -> it would have reissued the previous statement, `step`, but for clarity in this tutorial -> we'll generally retype the command. +> **Note** we could have pressed enter at the second `(gdb) ` prompt and +> it would have reissued the previous statement, `step`, but for clarity +> in this tutorial we'll generally retype the command. ``` (gdb) step @@ -71,16 +90,17 @@ along with its line number. As you'll see later in the TUI mode you'll not the s in the command area. We are now "on" the `_y = x` statement; that statement hasn't been executed yet. This means that `x` -is initialized but `_y` is not. Let's inspect those stack/local variables using the `print` command: +is initialized but `_y` is not. Let's inspect those stack/local variables using the `print` +command, `p` for short: ``` (gdb) print x $1 = 42 -(gdb) print &x +(gdb) p &x $2 = (*mut i32) 0x20009fe0 -(gdb) print _y +(gdb) p _y $3 = 536870912 -(gdb) print &_y +(gdb) p &_y $4 = (*mut i32) 0x20009fe4 ``` @@ -154,7 +174,7 @@ See the fat arrow `=>` on the left side? It shows the instruction the processor Also, as mentioned above if you were to execute the `step` command GDB gets stuck because it is executing a branch instruction to itself and never gets past it. So you need to use -`Ctrl+C` to regain control. An alternative is to use the `stepi` GDB command, which steps +`Ctrl+C` to regain control. An alternative is to use the `stepi`(`si`) GDB command, which steps one asm instruction, and GDB will print the address **and** line number of the statement the processor will execute next and it won't get stuck. @@ -162,7 +182,7 @@ the processor will execute next and it won't get stuck. (gdb) stepi 0x08000194 14 loop {} -(gdb) stepi +(gdb) si 0x08000194 14 loop {} ``` @@ -233,35 +253,40 @@ mode enter one of the following commands in the GDB shell: > **NOTE** Apologies to Windows users, the GDB shipped with the GNU ARM Embedded Toolchain > may not support this TUI mode `:-(`. -Below is an example of setting up for a layout split by executing the follow commands: +Below is an example of setting up for a `layout split` by executing the follow commands: ``` console $ cargo run --target thumbv7em-none-eabihf - target remote :3333 - load - set print asm-demangle on - set style sources off - break main - continue - layout split +(gdb) target remote :3333 +(gdb) load +(gdb) set print asm-demangle on +(gdb) set style sources off +(gdb) break main +(gdb) continue ``` -And below the result after `layout split` command is executed: +Here is a command line with the above commands as `-ex` parameters to save you some typing: + +``` +cargo run --target thumbv7em-none-eabihf -- -q -ex 'target remote :3333' -ex 'load' -ex 'set print asm-demangle on' -ex 'set style sources off' -ex 'b main' -ex 'c' target/thumbv7em-none-eabihf/debug/led-roulette +``` + +And below is the result: ![GDB session layout split](../assets/gdb-layout-split-1.png "GDB TUI layout split 1") -Now we'll scroll the top source window down so we see the entire file and execute `step`: +Now we'll scroll the top source window down so we see the entire file and execute `layout split` and then `step`: ![GDB session layout split](../assets/gdb-layout-split-2.png "GDB TUI layout split 2") Then we'll execute a few `info locals` and `step`'s: ``` console - info locals - step - info locals - step - info locals +(gdb) info locals +(gdb) step +(gdb) info locals +(gdb) step +(gdb) info locals ``` ![GDB session layout split](../assets/gdb-layout-split-3.png "GDB TUI layout split 3") diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index b956175c5..e5da85247 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -11,19 +11,18 @@ Onto the actual flashing. First thing we need is to do is launch OpenOCD. We did previous section but this time we'll run the command inside a temporary directory (`/tmp` on \*nix; `%TEMP%` on Windows). -Make sure the F3 is connected to your computer and run the following commands on a new terminal. +Make sure the F3 is connected to your computer and run the following commands on a **new terminal**. +## For *nix & MacOS: ``` console -$ # *nix -$ cd /tmp - -$ # Windows -$ cd %TEMP% +cd /tmp +openocd -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg +``` -$ # Windows: remember that you need an extra `-s %PATH_TO_OPENOCD%\share\scripts` -$ openocd \ - -f interface/stlink-v2-1.cfg \ - -f target/stm32f3x.cfg +## For Windows **Note**: substitute `C:` for the actual OpenOCD path: +``` +cd %TEMP% +openocd -s C:\share\scripts -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg ``` > **NOTE** Older revisions of the board need to pass slightly different arguments to @@ -33,9 +32,9 @@ $ openocd \ The program will block; leave that terminal open. -Now it's a good time to explain what this command is actually doing. +Now it's a good time to explain what the `openocd` command is actually doing. -I mentioned that the F3 actually has two microcontrollers. One of them is used as a +I mentioned that the STM32F3DISCOVERY (aka F3) actually has two microcontrollers. One of them is used as a programmer/debugger. The part of the board that's used as a programmer is called ST-LINK (that's what STMicroelectronics decided to call it). This ST-LINK is connected to the target microcontroller using a Serial Wire Debug (SWD) interface (this interface is an ARM standard so you'll run into it @@ -56,12 +55,12 @@ device (`interface/stlink-v2-1.cfg`) and to expect a STM32F3XX microcontroller (`target/stm32f3x.cfg`) to be connected to the ST-LINK. The OpenOCD output looks like this: - ``` console -Open On-Chip Debugger 0.9.0 (2016-04-27-23:18) +$ openocd -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg +Open On-Chip Debugger 0.10.0 Licensed under GNU GPL v2 For bug reports, read - http://openocd.org/doc/doxygen/bugs.html + http://openocd.org/doc/doxygen/bugs.html Info : auto-selecting first available session transport "hla_swd". To override use 'transport select '. adapter speed: 1000 kHz adapter_nsrst_delay: 100 @@ -70,47 +69,65 @@ none separate Info : Unable to match requested speed 1000 kHz, using 950 kHz Info : Unable to match requested speed 1000 kHz, using 950 kHz Info : clock speed 950 kHz -Info : STLINK v2 JTAG v27 API v2 SWIM v15 VID 0x0483 PID 0x374B +Info : STLINK v2 JTAG v37 API v2 SWIM v26 VID 0x0483 PID 0x374B Info : using stlink api v2 -Info : Target voltage: 2.919073 +Info : Target voltage: 2.888183 Info : stm32f3x.cpu: hardware has 6 breakpoints, 4 watchpoints ``` The "6 breakpoints, 4 watchpoints" part indicates the debugging features the processor has available. -Leave that `openocd` process running, and open a new terminal. Make sure that you are inside the project's `src/05-led-roulette/` directory. +Leave that `openocd` process running, and in the previous terminal or a new terminal +**make sure that you are inside the project's `src/05-led-roulette/` directory**. I mentioned that OpenOCD provides a GDB server so let's connect to that right now: +## Execute GDB + +First we need to determine what version of GDB you have that is capable of debugging ARM binaries. + +This could be any one of the commands below, try each one: +``` console +arm-none-eabi-gdb -q -ex "target remote :3333" target/thumbv7em-none-eabihf/debug/led-roulette +``` +``` console +gdb-multiarch -q -ex "target remote :3333" target/thumbv7em-none-eabihf/debug/led-roulette +``` ``` console -$ -q target/thumbv7em-none-eabihf/debug/led-roulette +gdb -q -ex "target remote :3333" target/thumbv7em-none-eabihf/debug/led-roulette +``` +### **Failing case** + +You can detect a failing case if there is a `warning` or `error` after the `Remote debugging using :3333` line: +``` +$ gdb -q -ex "target remote :3333" target/thumbv7em-none-eabihf/debug/led-roulette Reading symbols from target/thumbv7em-none-eabihf/debug/led-roulette... +Remote debugging using :3333 +warning: Architecture rejected target-supplied description +Truncated register 16 in remote 'g' packet (gdb) ``` - -**NOTE**: `` represents a GDB program capable of debugging ARM binaries. -This could be `arm-none-eabi-gdb`, `gdb-multiarch` or `gdb` depending on your -system -- you may have to try all three. - -This only opens a GDB shell. To actually connect to the OpenOCD GDB server, use the following -command within the GDB shell: - +### **Successful case** +Successful case 1: +``` +$ arm-none-eabi-gdb -q -ex "target remote :3333" target/thumbv7em-none-eabihf/debug/led-roulette +Reading symbols from target/thumbv7em-none-eabihf/debug/led-roulette... +Remote debugging using :3333 +cortex_m_rt::Reset () at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.13/src/lib.rs:497 +497 pub unsafe extern "C" fn Reset() -> ! { +(gdb) ``` -(gdb) target remote :3333 +Successful case 2: +``` +~/embedded-discovery/src/05-led-roulette (master) +$ arm-none-eabi-gdb -q -ex "target remote :3333" target/thumbv7em-none-eabihf/debug/led-roulette +Reading symbols from target/thumbv7em-none-eabihf/debug/led-roulette... Remote debugging using :3333 0x00000000 in ?? () +(gdb) ``` - -**NOTE**: If you are getting errors like `undefined debug reason 7 - target needs reset`, you can try running `monitor reset halt` as described [here](https://stackoverflow.com/questions/38994596/reason-7-target-needs-reset-unreliable-debugging-setup). - -**NOTE**: If the debugger is still not connecting to the OpenOCD server, then you may need to try using `arm-none-eabi-gdb` instead of the `gdb` command, as described above. - -By default OpenOCD's GDB server listens on TCP port 3333 (localhost). This command is connecting to -that port. - -After entering this command, you'll see new output in the OpenOCD terminal: - +In both failing and successful cases you should see new output in the **OpenOCD terminal**, something like the following: ``` diff Info : stm32f3x.cpu: hardware has 6 breakpoints, 4 watchpoints +Info : accepting 'gdb' connection on tcp/3333 @@ -118,18 +135,25 @@ After entering this command, you'll see new output in the OpenOCD terminal: +Info : flash size = 256kbytes ``` +**NOTE**: If you are getting an error like `undefined debug reason 7 - target needs reset`, you can try running `monitor reset halt` as described [here](https://stackoverflow.com/questions/38994596/reason-7-target-needs-reset-unreliable-debugging-setup). + +By default OpenOCD's GDB server listens on TCP port 3333 (localhost). This command is connecting to +that port. + +## Flash the device + Almost there. To flash the device, we'll use the `load` command inside the GDB shell: ``` (gdb) load Loading section .vector_table, size 0x194 lma 0x8000000 -Loading section .text, size 0x21cc lma 0x8000194 -Loading section .rodata, size 0x594 lma 0x8002360 -Start address 0x08000194, load size 10484 -Transfer rate: 16 KB/sec, 3494 bytes/write. +Loading section .text, size 0x20ec lma 0x8000194 +Loading section .rodata, size 0x514 lma 0x8002280 +Start address 0x08000194, load size 10132 +Transfer rate: 17 KB/sec, 3377 bytes/write. ``` -And that's it. You'll also see new output in the OpenOCD terminal. +You'll also see new output in the OpenOCD terminal, something like: ``` diff Info : flash size = 256kbytes diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index d31057dce..0cd0ae431 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -21,57 +21,77 @@ single period. This pattern will repeat itself every 800 ms. The Y axis labels e cardinal point: North, East, etc. As part of the challenge you'll have to figure out how each element in the `Leds` array maps to these cardinal points (hint: `cargo doc --open` `;-)`). -Before you attempt this challenge, let me give you one last tip. Our GDB sessions always involve +Before you attempt this challenge, let me give you one additonal tip. Our GDB sessions always involve entering the same commands at the beginning. We can use a `.gdb` file to execute some commands right after GDB is started. This way you can save yourself the effort of having to enter them manually on each GDB session. -Place this `openocd.gdb` file in the root of the Cargo project, right next to the `Cargo.toml`: +Using an editor create `openocd.gdb` in the root of the Cargo project, right next to the `Cargo.toml`: ``` console -$ cat openocd.gdb +vi openocd.gdb ``` +And add the following text: + ``` text target remote :3333 load break main continue +step + ``` -Then modify the second line of the `.cargo/config` file: +Next modify the `.cargo/config` file to execute openocd.gdb and we'll +also add a `[build]` section with `thumbv7em-none-eabihf` so we don't +have to specify the `--target` when using `cargo build` or `cargo run`: ``` console -$ cat .cargo/config +vi .cargo/config ``` +Replacing the contents with the text below. This adds `-x openocd.gdb` to +the `runner =` line and appends `[build]` and `target = "thumbv7em-none-eabihf` lines: ``` toml [target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" # <- +runner = "arm-none-eabi-gdb -q -x openocd.gdb" rustflags = [ "-C", "link-arg=-Tlink.x", ] + +[build] +target = "thumbv7em-none-eabihf" ``` -With that in place, you should now be able to start a `gdb` session that will automatically flash -the program and jump to the beginning of `main`: +With that in place, you can now use a simple `cargo run` command which will build +the ARM version of the code and run the `gdb` session. The `gdb` session will +automatically flash the program and jump to the beginning of `main` as it `step`'s +through the entry trampoline: + +``` console +cargo run +``` ``` console -$ cargo run --target thumbv7em-none-eabihf +~/embedded-discovery/src/05-led-roulette (Update-05-led-roulette-WIP) +$ cargo run Finished dev [unoptimized + debuginfo] target(s) in 0.01s - Running `arm-none-eabi-gdb -q -x openocd.gdb ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` -Reading symbols from ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... -led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:8 -8 #[entry] + Running `arm-none-eabi-gdb -q -x openocd.gdb ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` +Reading symbols from ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... +led_roulette::__cortex_m_rt_main_trampoline () at ~/embedded-discovery/src/05-led-roulette/src/main.rs:7 +7 #[entry] Loading section .vector_table, size 0x194 lma 0x8000000 -Loading section .text, size 0x5258 lma 0x8000194 -Loading section .rodata, size 0xbd8 lma 0x80053ec -Start address 0x08000194, load size 24516 -Transfer rate: 21 KB/sec, 6129 bytes/write. -Breakpoint 1 at 0x8000208: file src/05-led-roulette/src/main.rs, line 8. +Loading section .text, size 0x52c0 lma 0x8000194 +Loading section .rodata, size 0xb50 lma 0x8005454 +Start address 0x08000194, load size 24484 +Transfer rate: 21 KB/sec, 6121 bytes/write. +Breakpoint 1 at 0x8000202: file ~/embedded-discovery/src/05-led-roulette/src/main.rs, line 7. Note: automatically using hardware breakpoints for read-only addresses. -Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:8 -8 #[entry] -(gdb) +Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () + at ~/embedded-discovery/src/05-led-roulette/src/main.rs:7 +7 #[entry] +led_roulette::__cortex_m_rt_main () at ~/embedded-discovery/src/05-led-roulette/src/main.rs:9 +9 let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); ``` diff --git a/src/05-led-roulette/the-led-and-delay-abstractions.md b/src/05-led-roulette/the-led-and-delay-abstractions.md index 34adee50b..98d0c1761 100644 --- a/src/05-led-roulette/the-led-and-delay-abstractions.md +++ b/src/05-led-roulette/the-led-and-delay-abstractions.md @@ -40,46 +40,55 @@ fn main() -> ! { Now build it: ``` console -$ cargo build --target thumbv7em-none-eabihf +cargo build --target thumbv7em-none-eabihf ``` > **NOTE** It's possible to forget to rebuild the program *before* starting a GDB session; this -> omission can lead to very confusing debug sessions. To avoid this problem you can call `cargo run` -> instead of `cargo build`; `cargo run` will build *and* start a debug session ensuring you never -> forget to recompile your program. +> omission can lead to very confusing debug sessions. To avoid this problem you can call just `cargo run` +> instead of `cargo build`; `cargo run`. The `cargo run` command will build *and* start a debug +> session ensuring you never forget to recompile your program. Now, we'll repeat the flashing procedure that we did in the previous section: +``` console +cargo run --target thumbv7em-none-eabihf +``` + +Which results in something like: ``` console $ cargo run --target thumbv7em-none-eabihf Finished dev [unoptimized + debuginfo] target(s) in 0.01s - Running `arm-none-eabi-gdb -q ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` -Reading symbols from ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... + Running `arm-none-eabi-gdb -q ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` +Reading symbols from ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... + (gdb) target remote :3333 Remote debugging using :3333 -led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 +led_roulette::__cortex_m_rt_main_trampoline () at ~/embedded-discovery/src/05-led-roulette/src/main.rs:7 7 #[entry] (gdb) load Loading section .vector_table, size 0x194 lma 0x8000000 -Loading section .text, size 0x51f0 lma 0x8000194 -Loading section .rodata, size 0xbd0 lma 0x8005384 -Start address 0x08000194, load size 24404 -Transfer rate: 21 KB/sec, 6101 bytes/write. +Loading section .text, size 0x52c0 lma 0x8000194 +Loading section .rodata, size 0xb50 lma 0x8005454 +Start address 0x08000194, load size 24484 +Transfer rate: 21 KB/sec, 6121 bytes/write. (gdb) break main -Breakpoint 1 at 0x8000202: file src/05-led-roulette/src/main.rs, line 7. +Breakpoint 1 at 0x8000202: file ~/embedded-discovery/src/05-led-roulette/src/main.rs, line 7. Note: automatically using hardware breakpoints for read-only addresses. (gdb) continue Continuing. -Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:7 +Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () + at ~/embedded-discovery/src/05-led-roulette/src/main.rs:7 7 #[entry] (gdb) step -led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:9 +led_roulette::__cortex_m_rt_main () at ~/embedded-discovery/src/05-led-roulette/src/main.rs:9 9 let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); + +(gdb) ``` OK. Let's step through the code. This time, we'll use the `next` command instead of `step`. The @@ -398,10 +407,10 @@ the led will now be on for 2 seconds then off for 2 seconds. ``` console $ cargo run --target thumbv7em-none-eabihf - Compiling led-roulette v0.2.0 (~/prgs/rust/tutorial/embedded-discovery/src/05-led-roulette) + Compiling led-roulette v0.2.0 (~/embedded-discovery/src/05-led-roulette) Finished dev [unoptimized + debuginfo] target(s) in 0.18s - Running `arm-none-eabi-gdb -q ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` -Reading symbols from ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... + Running `arm-none-eabi-gdb -q ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` +Reading symbols from ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... (gdb) target remote :3333 Remote debugging using :3333 @@ -555,7 +564,7 @@ Program received signal SIGINT, Interrupt. 1046 pub unsafe fn read_volatile(src: *const T) -> T { (gdb) q -Detaching from program: ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette, Remote target +Detaching from program: ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette, Remote target Ending remote debugging. [Inferior 1 (Remote target) detached] ``` From bf1049e3557eaa087b246b320f91add29516f9e0 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Tue, 2 Mar 2021 08:51:02 -0800 Subject: [PATCH 154/313] Use nano as the editor As suggested by reviewers @eldruin and @therealprof, txs! --- src/05-led-roulette/the-challenge.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index 0cd0ae431..87f97406d 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -29,7 +29,7 @@ manually on each GDB session. Using an editor create `openocd.gdb` in the root of the Cargo project, right next to the `Cargo.toml`: ``` console -vi openocd.gdb +nano openocd.gdb ``` And add the following text: @@ -48,7 +48,7 @@ also add a `[build]` section with `thumbv7em-none-eabihf` so we don't have to specify the `--target` when using `cargo build` or `cargo run`: ``` console -vi .cargo/config +nano .cargo/config ``` Replacing the contents with the text below. This adds `-x openocd.gdb` to From ce4cf5d98c818ec9c5d70050e3fd062eb220afb0 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Tue, 2 Mar 2021 08:55:52 -0800 Subject: [PATCH 155/313] Add Update .cargo/config sub-section Also, added a note that .cargo/config may need to be modified in every chapter. --- src/05-led-roulette/README.md | 3 +- src/05-led-roulette/flash-it.md | 72 ++++++++++++++++++++++++++++++++- 2 files changed, 73 insertions(+), 2 deletions(-) diff --git a/src/05-led-roulette/README.md b/src/05-led-roulette/README.md index 1f5ccdd56..132b42185 100644 --- a/src/05-led-roulette/README.md +++ b/src/05-led-roulette/README.md @@ -44,6 +44,7 @@ point function must have signature `fn() -> !`; this type indicates that the fun If you are a careful observer, you'll also notice there is a `.cargo` directory in the Cargo project as well. This directory contains a Cargo configuration file (`.cargo/config`) that tweaks the linking process to tailor the memory layout of the program to the requirements of the target device. -This modified linking process is a requirement of the `cortex-m-rt` crate. +This modified linking process is a requirement of the `cortex-m-rt` crate. You'll also be making +further tweaks to `.cargo/config` in future sections to make building and debugging easier. Alright, let's start by building this program. diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index e5da85247..9e99f64a1 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -140,10 +140,80 @@ In both failing and successful cases you should see new output in the **OpenOCD By default OpenOCD's GDB server listens on TCP port 3333 (localhost). This command is connecting to that port. +## Update .cargo/config + +Now that you've successfully determined which debugger you need to use +we need to change `.cargo/config` so that `cargo run` command can succeed. + +Get back to the terminal prompt and looking at `.cargo/config`: +``` console +$ cat .cargo/config +[target.thumbv7em-none-eabihf] +runner = "arm-none-eabi-gdb -q" +rustflags = [ + "-C", "link-arg=-Tlink.x", +] + +``` +Use your favorite editor to edit `.cargo/config` so that the +runner line contains the name of that debugger: +``` console +nano .cargo/config +``` +For example, if your debugger was `gdb-multiarch` then after +editing you should have: +``` console +$ cat .cargo/config +[target.thumbv7em-none-eabihf] +runner = "gdb-mulitarch -q" +rustflags = [ + "-C", "link-arg=-Tlink.x", +] +``` +And `git diff` should be: +``` diff +$ git diff .cargo/config +diff --git a/src/05-led-roulette/.cargo/config b/src/05-led-roulette/.cargo/config +index 01d25c8..c23dc80 100644 +--- a/src/05-led-roulette/.cargo/config ++++ b/src/05-led-roulette/.cargo/config +@@ -1,5 +1,5 @@ + [target.thumbv7em-none-eabihf] +-runner = "arm-none-eabi-gdb -q" ++runner = "gdb-multiarch -q" + rustflags = [ + "-C", "link-arg=-Tlink.x", + ] +``` + +Now that you have `.cargo/config` setup to let's test it and use `cargo run` to +start the debug session: +``` +~/embedded-discovery/src/05-led-roulette +$ cargo run --target thumbv7em-none-eabihf + Finished dev [unoptimized + debuginfo] target(s) in 0.01s + Running `arm-none-eabi-gdb -q ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` +Reading symbols from ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... + +(gdb) target remote :3333 +Remote debugging using :3333 +0x00000000 in ?? () + +(gdb) +``` + +Bravo, you'll be making additional changes to `.cargo/config` in future +sections to make building and debugging easier. + +> **Note** the default `.cargo/config` in every chapter assumes +> the debugger is `arm-none-eabi-gdb`. So the first thing you should +> do when you start a new chapter is edit `.cargo/config`! + ## Flash the device -Almost there. To flash the device, we'll use the `load` command inside the GDB shell: +Assuming you have gdb running, if not start it as suggested in the previous section. +Now use the `load` command in `gdb` to actually flash the program into the device: ``` (gdb) load Loading section .vector_table, size 0x194 lma 0x8000000 From 58d8c30e88b10876ec0cefd181fe72edf0452c3d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Thu, 4 Mar 2021 22:11:33 +0100 Subject: [PATCH 156/313] temporary fix for CI until we rewrite this chapter --- src/13-serial-over-bluetooth/README.md | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/src/13-serial-over-bluetooth/README.md b/src/13-serial-over-bluetooth/README.md index 51038044a..68e7a14b3 100644 --- a/src/13-serial-over-bluetooth/README.md +++ b/src/13-serial-over-bluetooth/README.md @@ -19,9 +19,7 @@ Recommended steps to wire this up: - Now connect the F3 and your computer using an USB cable. - Re-launch OpenOCD and `itmdump`. -And that's it! You should be able to run all the programs you wrote in [section 11] without +And that's it! You should be able to run all the programs you wrote in section 11 without modification! Just make sure you open the right serial device / COM port. **NOTE** If you are having trouble communicating with the bluetooth device, you may need to initialize USART1 with a lower baud rate. Lowering it from 115,200 bps to 9,600 bps might help, as described [here](https://github.com/rust-embedded/discovery/blob/master/src/11-usart/auxiliary/src/lib.rs#L31) - -[section 11]: ../11-usart/index.html From a663f8ec96e2dc9f2c5dad37886207278429a194 Mon Sep 17 00:00:00 2001 From: Diego Barrios Romero Date: Mon, 8 Mar 2021 09:17:59 +0100 Subject: [PATCH 157/313] Increase Travis no-output waiting time --- .travis.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.travis.yml b/.travis.yml index 3fc021a01..2060aa849 100644 --- a/.travis.yml +++ b/.travis.yml @@ -5,7 +5,7 @@ install: - export PATH="$PATH:$PWD/gcc/bin" script: - - bash ci/script.sh + - travis_wait 30 bash ci/script.sh after_success: - bash ci/after-success.sh From 4d57c9a591098d0745db37dfe16e545a6db27c82 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Thu, 4 Mar 2021 15:24:38 -0800 Subject: [PATCH 158/313] Add shareable instances of config.toml and openocd.gdb Instead of every chapter having its own copy of the cargo configuration and openocd.gdb files this change creates a shared set. This will make it much easier for the user of the discovery book to handle the situation where their Arm gdb is NOT arm-none-eabi-gdb. As only the shared copy of .cargo/config.toml will have to be modified. Also src/05-led-roulette is updated to take advantage of this and I will go through each of the other chapters changing them to use the shared set. I also needed to comment out the rustflags variable in all of the config files as I'd get an error executing ci/script.sh: ry.x:5: region 'FLASH' already defined >>> FLASH : ORIGIN = 0x08000000, LENGTH = 256K >>> --- .../.cargo/config => .cargo/config.toml} | 6 ++ src/05-led-roulette/debug-it.md | 11 +-- src/05-led-roulette/flash-it.md | 85 +++++++++++-------- src/05-led-roulette/the-challenge.md | 85 +++++++++++++++---- .../the-led-and-delay-abstractions.md | 15 +--- src/06-hello-world/.cargo/config | 6 +- src/07-registers/.cargo/config | 8 +- src/08-leds-again/.cargo/config | 8 +- src/09-clocks-and-timers/.cargo/config | 8 +- src/11-usart/.cargo/config | 6 +- src/14-i2c/.cargo/config | 6 +- src/15-led-compass/.cargo/config | 6 +- src/16-punch-o-meter/.cargo/config | 6 +- src/openocd.gdb | 37 ++++++++ 14 files changed, 199 insertions(+), 94 deletions(-) rename src/{05-led-roulette/.cargo/config => .cargo/config.toml} (53%) create mode 100644 src/openocd.gdb diff --git a/src/05-led-roulette/.cargo/config b/src/.cargo/config.toml similarity index 53% rename from src/05-led-roulette/.cargo/config rename to src/.cargo/config.toml index 01d25c8b3..ddff17f3b 100644 --- a/src/05-led-roulette/.cargo/config +++ b/src/.cargo/config.toml @@ -1,5 +1,11 @@ [target.thumbv7em-none-eabihf] runner = "arm-none-eabi-gdb -q" +# runner = "gdb-multiarch -q" +# runner = "gdb -q" rustflags = [ "-C", "link-arg=-Tlink.x", ] + +[build] +target = "thumbv7em-none-eabihf" + diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 7dbe750c9..fa35a5c58 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -253,10 +253,11 @@ mode enter one of the following commands in the GDB shell: > **NOTE** Apologies to Windows users, the GDB shipped with the GNU ARM Embedded Toolchain > may not support this TUI mode `:-(`. -Below is an example of setting up for a `layout split` by executing the follow commands: +Below is an example of setting up for a `layout split` by executing the follow commands. +As you can see we've dropped passing the `--target` parameter: ``` console -$ cargo run --target thumbv7em-none-eabihf +$ cargo run (gdb) target remote :3333 (gdb) load (gdb) set print asm-demangle on @@ -265,10 +266,10 @@ $ cargo run --target thumbv7em-none-eabihf (gdb) continue ``` -Here is a command line with the above commands as `-ex` parameters to save you some typing: - +Here is a command line with the above commands as `-ex` parameters to save you some typing, +shortly we'll be providing an easier way to execute the initial set of commands: ``` -cargo run --target thumbv7em-none-eabihf -- -q -ex 'target remote :3333' -ex 'load' -ex 'set print asm-demangle on' -ex 'set style sources off' -ex 'b main' -ex 'c' target/thumbv7em-none-eabihf/debug/led-roulette +cargo run -- -q -ex 'target remote :3333' -ex 'load' -ex 'set print asm-demangle on' -ex 'set style sources off' -ex 'b main' -ex 'c' target/thumbv7em-none-eabihf/debug/led-roulette ``` And below is the result: diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index 9e99f64a1..e64ba5555 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -11,7 +11,7 @@ Onto the actual flashing. First thing we need is to do is launch OpenOCD. We did previous section but this time we'll run the command inside a temporary directory (`/tmp` on \*nix; `%TEMP%` on Windows). -Make sure the F3 is connected to your computer and run the following commands on a **new terminal**. +Make sure the F3 is connected to your computer and run the following commands in a **new terminal**. ## For *nix & MacOS: ``` console @@ -85,7 +85,7 @@ I mentioned that OpenOCD provides a GDB server so let's connect to that right no ## Execute GDB -First we need to determine what version of GDB you have that is capable of debugging ARM binaries. +First, we need to determine what version of `gdb` you have that is capable of debugging ARM binaries. This could be any one of the commands below, try each one: ``` console @@ -140,74 +140,87 @@ In both failing and successful cases you should see new output in the **OpenOCD By default OpenOCD's GDB server listens on TCP port 3333 (localhost). This command is connecting to that port. -## Update .cargo/config +## Update ../.cargo/config.toml Now that you've successfully determined which debugger you need to use -we need to change `.cargo/config` so that `cargo run` command can succeed. +we need to change `../cargo/config.toml` so that `cargo run` command will succeed. +Note: `cargo` is the rust package manager and you can read about it +[here](https://doc.rust-lang.org/cargo/). -Get back to the terminal prompt and looking at `.cargo/config`: +Get back to the terminal prompt and look at `../cargo/config.toml`: ``` console -$ cat .cargo/config +~/embedded-discovery/src/05-led-roulette +$ cat ../.cargo/config.toml [target.thumbv7em-none-eabihf] runner = "arm-none-eabi-gdb -q" +# runner = "gdb-multiarch -q" +# runner = "gdb -q" rustflags = [ "-C", "link-arg=-Tlink.x", ] +[build] +target = "thumbv7em-none-eabihf" + ``` -Use your favorite editor to edit `.cargo/config` so that the -runner line contains the name of that debugger: +Use your favorite editor to edit `../.cargo/config.toml` so that the +`runner` line contains the correct name of that debugger: ``` console -nano .cargo/config +nano ../.cargo/config.toml ``` For example, if your debugger was `gdb-multiarch` then after -editing you should have: -``` console -$ cat .cargo/config -[target.thumbv7em-none-eabihf] -runner = "gdb-mulitarch -q" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] -``` -And `git diff` should be: +editing the `git diff` should be: ``` diff -$ git diff .cargo/config -diff --git a/src/05-led-roulette/.cargo/config b/src/05-led-roulette/.cargo/config -index 01d25c8..c23dc80 100644 ---- a/src/05-led-roulette/.cargo/config -+++ b/src/05-led-roulette/.cargo/config -@@ -1,5 +1,5 @@ +$ git diff ../.cargo/config.toml +diff --git a/src/.cargo/config.toml b/src/.cargo/config.toml +index ddff17f..8512cfe 100644 +--- a/src/.cargo/config.toml ++++ b/src/.cargo/config.toml +@@ -1,6 +1,6 @@ [target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q" +-# runner = "gdb-multiarch -q" ++# runner = "arm-none-eabi-gdb -q" +runner = "gdb-multiarch -q" + # runner = "gdb -q" rustflags = [ "-C", "link-arg=-Tlink.x", - ] ``` -Now that you have `.cargo/config` setup to let's test it and use `cargo run` to -start the debug session: +Now that you have `../.cargo/config.toml` setup let's test it using `cargo run` to +start the debug session. + +> Note the `--target thumbv7em-none-eabihf` defines which architecture +> to build and run. In our `../.cargo/config.toml` file we have +> `target = "thumbv7em-none-eabihf"` so it is actually not necessary +> to specify `--target` we do it here just so you know that parameters on +> the command line can be used and they override those in `config.toml` files + +``` +cargo run --target thumbv7em-none-eabihf +``` +Results in: ``` ~/embedded-discovery/src/05-led-roulette $ cargo run --target thumbv7em-none-eabihf Finished dev [unoptimized + debuginfo] target(s) in 0.01s Running `arm-none-eabi-gdb -q ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` Reading symbols from ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... +``` +Now issue the `target remote :3333` to connect to the OpenOCD server +and connect to the F3: +``` (gdb) target remote :3333 Remote debugging using :3333 0x00000000 in ?? () - -(gdb) ``` -Bravo, you'll be making additional changes to `.cargo/config` in future -sections to make building and debugging easier. - -> **Note** the default `.cargo/config` in every chapter assumes -> the debugger is `arm-none-eabi-gdb`. So the first thing you should -> do when you start a new chapter is edit `.cargo/config`! +Bravo, we will be modifying `../.cargo/config.toml` in future. **But**, since +this file is shared with all of the chapters those changes should be made with +that in mind. If you want or we need to make changes that only pertain to +a particular chapter then create a `.cargo/config.toml` local to that chapter +directory. ## Flash the device diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index 87f97406d..e7ef424fb 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -26,42 +26,88 @@ entering the same commands at the beginning. We can use a `.gdb` file to execute right after GDB is started. This way you can save yourself the effort of having to enter them manually on each GDB session. -Using an editor create `openocd.gdb` in the root of the Cargo project, right next to the `Cargo.toml`: +As it turns out we've already created `../openocd.gdb` and you can see it's doing +pretty much what we did in the previous section plus a few other commands. Look at +the comments for additional information: ``` console -nano openocd.gdb -``` - -And add the following text: - -``` text +$ cat ../openocd.gdb +# Connect to gdb remote server target remote :3333 + +# Load will flash the code load + +# Eanble demangling asm names on disassembly +set print asm-demangle on + +# Enable pretty printing +set print pretty on + +# Disable style sources as the default colors can be hard to read +set style sources off + +# Initialize monitoring so iprintln! macro output +# is sent from the itm port to itm.txt +monitor tpiu config internal itm.txt uart off 8000000 + +# Turn on the itm port +monitor itm port 0 on + +# Set a breakpoint at main, aka entry break main + +# Set a breakpiont at DefaultHandler +break DefaultHandler + +# Set a breakpiont at HardFault +break HardFault + +# Continue running and unill we hit the main breakpoint continue + +# Step from the trampoline code in entry into main step ``` -Next modify the `.cargo/config` file to execute openocd.gdb and we'll -also add a `[build]` section with `thumbv7em-none-eabihf` so we don't -have to specify the `--target` when using `cargo build` or `cargo run`: - +Now we need to modify the `../.cargo/config.toml` file to execute `../openocd.gdb` ``` console -nano .cargo/config +nano ../openocd.gdb ``` -Replacing the contents with the text below. This adds `-x openocd.gdb` to -the `runner =` line and appends `[build]` and `target = "thumbv7em-none-eabihf` lines: +Edit your `runner` command ` -x ../openocd.gdb`. +Assuming you're using `arm-none-eabi-gdb` the diff is: +``` diff +~/embedded-discovery/src/05-led-roulette +$ git diff ../.cargo/config.toml +diff --git a/src/.cargo/config.toml b/src/.cargo/config.toml +index ddff17f..02ac952 100644 +--- a/src/.cargo/config.toml ++++ b/src/.cargo/config.toml +@@ -1,5 +1,5 @@ + [target.thumbv7em-none-eabihf] +-runner = "arm-none-eabi-gdb -q" ++runner = "arm-none-eabi-gdb -q -x ../openocd.gdb" + # runner = "gdb-multiarch -q" + # runner = "gdb -q" + rustflags = [ +``` + +And the full contents of `../.cargo/config.toml`, again +assuming `arm-none-eabi-gdb`, is: ``` toml [target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" +runner = "arm-none-eabi-gdb -q -x ../openocd.gdb" +# runner = "gdb-multiarch -q" +# runner = "gdb -q" rustflags = [ "-C", "link-arg=-Tlink.x", ] [build] target = "thumbv7em-none-eabihf" + ``` With that in place, you can now use a simple `cargo run` command which will build @@ -95,3 +141,12 @@ Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () led_roulette::__cortex_m_rt_main () at ~/embedded-discovery/src/05-led-roulette/src/main.rs:9 9 let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); ``` + +## Fork the discovery book + +If you haven't already ready, it's probably a good idea to fork +the [embedded discovery book](https://github.com/rust-embedded/discovery) so you +can save your changes in your own branch of your fork. We suggest creating +your own branch and leaving the `master` branch alone so the `master` branch +of your fork can stay in sync with the upstream repo. Also, it allows you to +more easily create PR's and improve this book, **thank you in advance**! \ No newline at end of file diff --git a/src/05-led-roulette/the-led-and-delay-abstractions.md b/src/05-led-roulette/the-led-and-delay-abstractions.md index 98d0c1761..507ca6d7f 100644 --- a/src/05-led-roulette/the-led-and-delay-abstractions.md +++ b/src/05-led-roulette/the-led-and-delay-abstractions.md @@ -38,9 +38,8 @@ fn main() -> ! { ``` Now build it: - ``` console -cargo build --target thumbv7em-none-eabihf +cargo build ``` > **NOTE** It's possible to forget to rebuild the program *before* starting a GDB session; this @@ -48,15 +47,10 @@ cargo build --target thumbv7em-none-eabihf > instead of `cargo build`; `cargo run`. The `cargo run` command will build *and* start a debug > session ensuring you never forget to recompile your program. -Now, we'll repeat the flashing procedure that we did in the previous section: - +Now we'll run and repeat the flashing procedure as we did in the previous section +but with the new program. I'll let you type in the `cargo run`, *this will get easier shortly* :) ``` console -cargo run --target thumbv7em-none-eabihf -``` - -Which results in something like: -``` console -$ cargo run --target thumbv7em-none-eabihf +$ cargo run Finished dev [unoptimized + debuginfo] target(s) in 0.01s Running `arm-none-eabi-gdb -q ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette` Reading symbols from ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/led-roulette... @@ -93,7 +87,6 @@ led_roulette::__cortex_m_rt_main () at ~/embedded-discovery/src/05-led-roulette/ OK. Let's step through the code. This time, we'll use the `next` command instead of `step`. The difference is that the `next` command will step *over* function calls instead of going inside them. - ``` (gdb) next 11 let half_period = 500_u16; diff --git a/src/06-hello-world/.cargo/config b/src/06-hello-world/.cargo/config index f27a4a749..f5a1d9520 100644 --- a/src/06-hello-world/.cargo/config +++ b/src/06-hello-world/.cargo/config @@ -1,8 +1,8 @@ [target.thumbv7em-none-eabihf] runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] +#rustflags = [ +# "-C", "link-arg=-Tlink.x", +#] [build] target = "thumbv7em-none-eabihf" diff --git a/src/07-registers/.cargo/config b/src/07-registers/.cargo/config index b17774193..f5a1d9520 100644 --- a/src/07-registers/.cargo/config +++ b/src/07-registers/.cargo/config @@ -1,8 +1,8 @@ [target.thumbv7em-none-eabihf] runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] +#rustflags = [ +# "-C", "link-arg=-Tlink.x", +#] [build] -target = "thumbv7em-none-eabihf" \ No newline at end of file +target = "thumbv7em-none-eabihf" diff --git a/src/08-leds-again/.cargo/config b/src/08-leds-again/.cargo/config index b17774193..f5a1d9520 100644 --- a/src/08-leds-again/.cargo/config +++ b/src/08-leds-again/.cargo/config @@ -1,8 +1,8 @@ [target.thumbv7em-none-eabihf] runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] +#rustflags = [ +# "-C", "link-arg=-Tlink.x", +#] [build] -target = "thumbv7em-none-eabihf" \ No newline at end of file +target = "thumbv7em-none-eabihf" diff --git a/src/09-clocks-and-timers/.cargo/config b/src/09-clocks-and-timers/.cargo/config index b17774193..f5a1d9520 100644 --- a/src/09-clocks-and-timers/.cargo/config +++ b/src/09-clocks-and-timers/.cargo/config @@ -1,8 +1,8 @@ [target.thumbv7em-none-eabihf] runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] +#rustflags = [ +# "-C", "link-arg=-Tlink.x", +#] [build] -target = "thumbv7em-none-eabihf" \ No newline at end of file +target = "thumbv7em-none-eabihf" diff --git a/src/11-usart/.cargo/config b/src/11-usart/.cargo/config index f27a4a749..f5a1d9520 100644 --- a/src/11-usart/.cargo/config +++ b/src/11-usart/.cargo/config @@ -1,8 +1,8 @@ [target.thumbv7em-none-eabihf] runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] +#rustflags = [ +# "-C", "link-arg=-Tlink.x", +#] [build] target = "thumbv7em-none-eabihf" diff --git a/src/14-i2c/.cargo/config b/src/14-i2c/.cargo/config index f27a4a749..f5a1d9520 100644 --- a/src/14-i2c/.cargo/config +++ b/src/14-i2c/.cargo/config @@ -1,8 +1,8 @@ [target.thumbv7em-none-eabihf] runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] +#rustflags = [ +# "-C", "link-arg=-Tlink.x", +#] [build] target = "thumbv7em-none-eabihf" diff --git a/src/15-led-compass/.cargo/config b/src/15-led-compass/.cargo/config index f27a4a749..f5a1d9520 100644 --- a/src/15-led-compass/.cargo/config +++ b/src/15-led-compass/.cargo/config @@ -1,8 +1,8 @@ [target.thumbv7em-none-eabihf] runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] +#rustflags = [ +# "-C", "link-arg=-Tlink.x", +#] [build] target = "thumbv7em-none-eabihf" diff --git a/src/16-punch-o-meter/.cargo/config b/src/16-punch-o-meter/.cargo/config index f27a4a749..f5a1d9520 100644 --- a/src/16-punch-o-meter/.cargo/config +++ b/src/16-punch-o-meter/.cargo/config @@ -1,8 +1,8 @@ [target.thumbv7em-none-eabihf] runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] +#rustflags = [ +# "-C", "link-arg=-Tlink.x", +#] [build] target = "thumbv7em-none-eabihf" diff --git a/src/openocd.gdb b/src/openocd.gdb new file mode 100644 index 000000000..cb3b3926a --- /dev/null +++ b/src/openocd.gdb @@ -0,0 +1,37 @@ +# Connect to gdb remote server +target remote :3333 + +# Load will flash the code +load + +# Eanble demangling asm names on disassembly +set print asm-demangle on + +# Enable pretty printing +set print pretty on + +# Disable style sources as the default colors can be hard to read +set style sources off + +# Initialize monitoring so iprintln! macro output +# is sent from the itm port to itm.txt +monitor tpiu config internal itm.txt uart off 8000000 + +# Turn on the itm port +monitor itm port 0 on + +# Set a breakpoint at main, aka entry +break main + +# Set a breakpiont at DefaultHandler +break DefaultHandler + +# Set a breakpiont at HardFault +break HardFault + +# Continue running and unill we hit the main breakpoint +continue + +# Step from the trampoline code in entry into main +step + From 1fdb1c97d4254e85def79220f336101c39aba990 Mon Sep 17 00:00:00 2001 From: Wink Saville Date: Fri, 5 Mar 2021 12:50:56 -0800 Subject: [PATCH 159/313] Update 06-hello-world to use shared cargo config - Updated `*.md` files. - Deleted the local .cargo/config and openocd.gdb files. --- src/06-hello-world/.cargo/config | 8 --- src/06-hello-world/README.md | 101 +++++++------------------------ src/06-hello-world/openocd.gdb | 26 -------- src/06-hello-world/panic.md | 47 ++++++++------ 4 files changed, 50 insertions(+), 132 deletions(-) delete mode 100644 src/06-hello-world/.cargo/config delete mode 100644 src/06-hello-world/openocd.gdb diff --git a/src/06-hello-world/.cargo/config b/src/06-hello-world/.cargo/config deleted file mode 100644 index f5a1d9520..000000000 --- a/src/06-hello-world/.cargo/config +++ /dev/null @@ -1,8 +0,0 @@ -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" -#rustflags = [ -# "-C", "link-arg=-Tlink.x", -#] - -[build] -target = "thumbv7em-none-eabihf" diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index eb705ea04..a3738d80f 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -77,95 +77,36 @@ from `/tmp` directory (on Windows `%TEMP%`) to launch OpenOCD similar as describ Alright. Now, let's build the starter code and flash it into the microcontroller. -To avoid passing the `--target thumbv7em-none-eabihf` flag to every Cargo invocation we -have added `[build]` with a default target, `target = "thumbv7em-none-eabihf"`, to .cargo/config. -Now if `--target` is not specified `cargo` will assume that the target is `thumbv7em-none-eabihf`. - -``` -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] - -[build] -target = "thumbv7em-none-eabihf" -``` - -In addition, our `opendocd.gdb` has some additional lines. Compared to the previous -section `set`'s and initialize `monitor`ing so `iprint!` and `iprintln!` -macros work and output is visible on a console. Below the contents with comments: - -``` console -$ cat openocd.gdb -# Connect to gdb remote server -target remote :3333 - -# Load will flash the code -load - -# Enable demangling asm names on disassembly -set print asm-demangle on - -# Enable pretty printing -set print pretty on - -# Disable style sources as the default colors can be hard to read -set style sources off - -# Have the tpiu send the data to a file itm.txt -monitor tpiu config internal itm.txt uart off 8000000 - -# Turn on the itm port -monitor itm port 0 on - -# Set a breakpoint at main -break main - -# Continue running and we'll hit the main breakpoint -continue -``` - -We will now run the application and single step through it. Since we've added -the `monitor` commands in `openocd.gdb` OpenOCD will redirect the ITM output to -itm.txt and `itmdump` will write it to its terminal window. +We will now build and run the application, `cargo run`. And step through it using `next`. +Since `openocd.gdb` contains the `monitor` commands in `openocd.gdb` OpenOCD will redirect +the ITM output to itm.txt and `itmdump` will write it to its terminal window. Also, it setup +break points and stepped through the trampoline we are at the first executable +statement in `fn main()`: ``` console +~/embedded-discovery/src/06-hello-world $ cargo run Finished dev [unoptimized + debuginfo] target(s) in 0.01s - Running `arm-none-eabi-gdb -q -x openocd.gdb ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world` -Reading symbols from ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world... -0x00000000 in ?? () + Running `arm-none-eabi-gdb -q -x ../openocd.gdb ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world` +Reading symbols from ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world... +hello_world::__cortex_m_rt_main () at ~/embedded-discovery/src/06-hello-world/src/main.rs:14 +14 loop {} Loading section .vector_table, size 0x194 lma 0x8000000 -Loading section .text, size 0x28d8 lma 0x8000194 -Loading section .rodata, size 0x6b8 lma 0x8002a6c -Start address 0x08000194, load size 12580 -Transfer rate: 18 KB/sec, 4193 bytes/write. -Breakpoint 1 at 0x80001f0: file src/06-hello-world/src/main.rs, line 8. +Loading section .text, size 0x2828 lma 0x8000194 +Loading section .rodata, size 0x638 lma 0x80029bc +Start address 0x08000194, load size 12276 +Transfer rate: 18 KB/sec, 4092 bytes/write. +Breakpoint 1 at 0x80001f0: file ~/embedded-discovery/src/06-hello-world/src/main.rs, line 8. Note: automatically using hardware breakpoints for read-only addresses. +Breakpoint 2 at 0x800092a: file /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.13/src/lib.rs, line 570. +Breakpoint 3 at 0x80029a8: file /home/wink/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.13/src/lib.rs, line 560. -Breakpoint 1, hello_world::__cortex_m_rt_main_trampoline () at src/06-hello-world/src/main.rs:8 +Breakpoint 1, hello_world::__cortex_m_rt_main_trampoline () at ~/embedded-discovery/src/06-hello-world/src/main.rs:8 8 #[entry] -``` - -We are now stopped at `#[entry]` and since, as before, it's a trampoline: +hello_world::__cortex_m_rt_main () at ~/embedded-discovery/src/06-hello-world/src/main.rs:10 +10 let mut itm = aux6::init(); -``` console -(gdb) disassemble /m -Dump of assembler code for function main: -8 #[entry] - 0x080001ec <+0>: push {r7, lr} - 0x080001ee <+2>: mov r7, sp -=> 0x080001f0 <+4>: bl 0x80001f6 - 0x080001f4 <+8>: udf #254 ; 0xfe -``` - -We need to initially `step` into the main function which will position us at line 10: - -``` text -(gdb) step -hello_world::__cortex_m_rt_main () at src/06-hello-world/src/main.rs:10 -10 let mut itm = aux6::init(); +(gdb) ``` Now issue a `next` command which will exectue `aux6::init()` and diff --git a/src/06-hello-world/openocd.gdb b/src/06-hello-world/openocd.gdb deleted file mode 100644 index a2b5fdd89..000000000 --- a/src/06-hello-world/openocd.gdb +++ /dev/null @@ -1,26 +0,0 @@ -# Connect to gdb remote server -target remote :3333 - -# Load will flash the code -load - -# Eanble demangling asm names on disassembly -set print asm-demangle on - -# Enable pretty printing -set print pretty on - -# Disable style sources as the default colors can be hard to read -set style sources off - -# Have the tpiu send the data to a file tim.txt -monitor tpiu config internal itm.txt uart off 8000000 - -# Turn on the itm port -monitor itm port 0 on - -# Set a breakpoint at main -break main - -# Continue running and we'll hit the main breakpoint -continue diff --git a/src/06-hello-world/panic.md b/src/06-hello-world/panic.md index 6e88c3f16..02ae1ff41 100644 --- a/src/06-hello-world/panic.md +++ b/src/06-hello-world/panic.md @@ -22,25 +22,31 @@ define hook-quit end ``` -OK, now use `cargo run` and it stops at `#[entry]`: +OK, now use `cargo run` and it stops at the first line of `fn main()`: ``` console $ cargo run - Finished dev [unoptimized + debuginfo] target(s) in 0.01s - Running `arm-none-eabi-gdb -q -x openocd.gdb ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world` -Reading symbols from ~/prgs/rust/tutorial/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world... -panic_itm::panic (info=0x20009fa0) at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs:57 -57 atomic::compiler_fence(Ordering::SeqCst); + Compiling hello-world v0.2.0 (~/embedded-discovery/src/06-hello-world) + Finished dev [unoptimized + debuginfo] target(s) in 0.11s + Running `arm-none-eabi-gdb -q -x ../openocd.gdb ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world` +Reading symbols from ~/embedded-discovery/target/thumbv7em-none-eabihf/debug/hello-world... +hello_world::__cortex_m_rt_main () at ~/embedded-discovery/src/06-hello-world/src/main.rs:10 +10 panic!("Hello, world!"); Loading section .vector_table, size 0x194 lma 0x8000000 -Loading section .text, size 0x2198 lma 0x8000194 -Loading section .rodata, size 0x5d8 lma 0x800232c -Start address 0x08000194, load size 10500 -Transfer rate: 17 KB/sec, 3500 bytes/write. -Breakpoint 1 at 0x80001f0: file src/06-hello-world/src/main.rs, line 8. +Loading section .text, size 0x20fc lma 0x8000194 +Loading section .rodata, size 0x554 lma 0x8002290 +Start address 0x08000194, load size 10212 +Transfer rate: 17 KB/sec, 3404 bytes/write. +Breakpoint 1 at 0x80001f0: file ~/embedded-discovery/src/06-hello-world/src/main.rs, line 8. Note: automatically using hardware breakpoints for read-only addresses. - -Breakpoint 1, hello_world::__cortex_m_rt_main_trampoline () at src/06-hello-world/src/main.rs:8 -8 #[entry] +Breakpoint 2 at 0x8000222: file ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.13/src/lib.rs, line 570. +Breakpoint 3 at 0x800227a: file ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.13/src/lib.rs, line 560. + +Breakpoint 1, hello_world::__cortex_m_rt_main_trampoline () at ~/embedded-discovery/src/06-hello-world/src/main.rs:8 +8 #[entry] +hello_world::__cortex_m_rt_main () at ~/embedded-discovery/src/06-hello-world/src/main.rs:10 +10 panic!("Hello, world!"); +(gdb) ``` We'll use short command names to save typing, enter `c` then the `Enter` or `Return` key: @@ -93,19 +99,24 @@ xPSR: 0x01000000 pc: 0x08000194 msp: 0x2000a000 (gdb) disable 1 (gdb) break rust_begin_unwind -Breakpoint 2 at 0x80010f0: file ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs, line 47. +Breakpoint 4 at 0x800106c: file ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs, line 47. (gdb) info break Num Type Disp Enb Address What -1 breakpoint keep n 0x080001f0 in hello_world::__cortex_m_rt_main_trampoline at src/06-hello-world/src/main.rs:8 +1 breakpoint keep n 0x080001f0 in hello_world::__cortex_m_rt_main_trampoline + at ~/prgs/rust/tutorial/embedded-discovery/src/06-hello-world/src/main.rs:8 breakpoint already hit 1 time -2 breakpoint keep y 0x080010f0 in panic_itm::panic +2 breakpoint keep y 0x08000222 in cortex_m_rt::DefaultHandler_ + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.13/src/lib.rs:570 +3 breakpoint keep y 0x0800227a in cortex_m_rt::HardFault_ + at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.13/src/lib.rs:560 +4 breakpoint keep y 0x0800106c in panic_itm::panic at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs:47 (gdb) c Continuing. -Breakpoint 2, panic_itm::panic (info=0x20009fa0) at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs:47 +Breakpoint 4, panic_itm::panic (info=0x20009fa0) at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/panic-itm-0.4.2/src/lib.rs:47 47 interrupt::disable(); ``` From 681b95a65f19661440667f24d7fd917534982e6e Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Wed, 10 Mar 2021 20:36:20 +0000 Subject: [PATCH 160/313] Swap to GHA. --- .github/bors.toml | 13 ++++++- .github/workflows/ci.yml | 83 ++++++++++++++++++++++++++++++++++++++++ .travis.yml | 27 ------------- ci/after-success.sh | 21 ---------- ci/install.sh | 23 ----------- ci/script.sh | 69 --------------------------------- 6 files changed, 95 insertions(+), 141 deletions(-) create mode 100644 .github/workflows/ci.yml delete mode 100644 .travis.yml delete mode 100644 ci/after-success.sh delete mode 100644 ci/install.sh delete mode 100644 ci/script.sh diff --git a/.github/bors.toml b/.github/bors.toml index ca42be0a5..c9d118cb7 100644 --- a/.github/bors.toml +++ b/.github/bors.toml @@ -1,4 +1,15 @@ block_labels = ["needs-decision"] delete_merged_branches = true required_approvals = 1 -status = ["continuous-integration/travis-ci/push"] +status = [ + "build-book", + "build-chapter (05-led-roulette)", + "build-chapter (06-hello-world)", + "build-chapter (07-registers)", + "build-chapter (08-leds-again)", + "build-chapter (09-clocks-and-timers)", + "build-chapter (11-usart)", + "build-chapter (14-i2c)", + "build-chapter (15-led-compass)", + "build-chapter (16-punch-o-meter)", +] diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml new file mode 100644 index 000000000..8ea334652 --- /dev/null +++ b/.github/workflows/ci.yml @@ -0,0 +1,83 @@ +name: CI + +on: + push: + branches: [ staging, trying, master ] + pull_request: + +jobs: + # Check a build succeeds for each chapter that contains example code. + build-chapter: + runs-on: ubuntu-20.04 + strategy: + matrix: + chapter: + - 05-led-roulette + - 06-hello-world + - 07-registers + - 08-leds-again + - 09-clocks-and-timers + - 11-usart + - 14-i2c + - 15-led-compass + - 16-punch-o-meter + steps: + - uses: actions/checkout@v2 + - uses: actions-rs/toolchain@v1 + with: + profile: minimal + toolchain: stable + target: thumbv7em-none-eabihf + - name: Build chapter + working-directory: src/${{ matrix.chapter }} + run: cargo build + + # Build the book HTML itself and optionally publish it. + build-book: + runs-on: ubuntu-20.04 + steps: + - uses: actions/checkout@v2 + - uses: actions-rs/toolchain@v1 + with: + profile: minimal + toolchain: stable + target: thumbv7em-none-eabihf + + - name: Install Python dependencies + run: | + pip3 install --user python-dateutil linkchecker + - name: Put pip binary directory into path + run: echo "~/.local/bin" >> $GITHUB_PATH + + - name: Cache Cargo installed binaries + uses: actions/cache@v1 + id: cache-cargo + with: + path: ~/cargo-bin + key: cache-cargo + - name: Install mdbook + if: steps.cache-cargo.outputs.cache-hit != 'true' + uses: actions-rs/install@v0.1 + with: + crate: mdbook + version: latest + - name: Copy mdbook to cache directory + if: steps.cache-cargo.outputs.cache-hit != 'true' + run: | + mkdir ~/cargo-bin + cp ~/.cargo/bin/mdbook ~/cargo-bin + - name: Put new cargo binary directory into path + run: echo "~/cargo-bin" >> $GITHUB_PATH + + - name: Build book + run: mkdir target && mdbook build + - name: Check links + run: linkchecker --ignore-url "print.html" book + + - name: Deploy book + if: ${{ github.event_name == 'push' && github.ref == 'refs/heads/master' }} + uses: peaceiris/actions-gh-pages@v3 + with: + github_token: ${{ secrets.GITHUB_TOKEN }} + publish_dir: book + force_orphan: true diff --git a/.travis.yml b/.travis.yml deleted file mode 100644 index 2060aa849..000000000 --- a/.travis.yml +++ /dev/null @@ -1,27 +0,0 @@ -language: rust - -install: - - bash ci/install.sh - - export PATH="$PATH:$PWD/gcc/bin" - -script: - - travis_wait 30 bash ci/script.sh - -after_success: - - bash ci/after-success.sh - -cache: cargo - -before_cache: - # Travis can't cache files that are not readable by "others" - - chmod -R a+r $HOME/.cargo - -branches: - only: - - master - - staging - - trying - -notifications: - email: - on_success: never diff --git a/ci/after-success.sh b/ci/after-success.sh deleted file mode 100644 index cee45e0ae..000000000 --- a/ci/after-success.sh +++ /dev/null @@ -1,21 +0,0 @@ -set -euxo pipefail - -main() { - mdbook build - - bash ga.sh - - mkdir ghp-import - - curl -Ls https://github.com/davisp/ghp-import/archive/master.tar.gz | - tar --strip-components 1 -C ghp-import -xz - - ./ghp-import/ghp_import.py book - - set +x - git push -fq https://$GH_TOKEN@github.com/$TRAVIS_REPO_SLUG.git gh-pages && echo OK -} - -if [ $TRAVIS_BRANCH = master ]; then - main -fi diff --git a/ci/install.sh b/ci/install.sh deleted file mode 100644 index 139424084..000000000 --- a/ci/install.sh +++ /dev/null @@ -1,23 +0,0 @@ -set -euxo pipefail - -main() { - local tag=$(git ls-remote --tags --refs --exit-code \ - https://github.com/rust-lang/mdbook \ - | cut -d/ -f3 \ - | grep -E '^v[0-9\.]+$' \ - | sort --version-sort \ - | tail -n1) - - curl -LSfs https://japaric.github.io/trust/install.sh | \ - sh -s -- \ - --force \ - --git rust-lang/mdbook \ - --tag $tag - - rustup target add thumbv7em-none-eabihf - - pip install python-dateutil --user - pip install linkchecker --user -} - -main diff --git a/ci/script.sh b/ci/script.sh deleted file mode 100644 index acfe2d2d0..000000000 --- a/ci/script.sh +++ /dev/null @@ -1,69 +0,0 @@ -set -euxo pipefail - -main() { - # test that building the book works - mdbook build - - # mdbook doesn't handle relative links correctly in print.html so skip it. - linkchecker --ignore-url "print.html" book - - # now check this as a directory of the bookshelf - rm -rf shelf - mkdir shelf - mv book shelf - # Skipping bad relative link errors in print.html again here. - linkchecker --ignore-url "print.html" shelf - - mv shelf/book . - rmdir shelf - - # first (fast) pass: check that examples compile - for chapter in $(echo src/*); do - if [ ! -f $chapter/Cargo.toml ]; then - continue - fi - - pushd $chapter - case $(basename $chapter) in - 05-led-roulette | 06-hello-world) - RUSTFLAGS="-D rust_2018_compatibility -D rust_2018_idioms" cargo check --target thumbv7em-none-eabihf - ;; - WIP-async-io-the-future) - popd - continue - ;; - *) - RUSTFLAGS="-D rust_2018_compatibility -D rust_2018_idioms" cargo check - ;; - esac - popd - done - - # second (slow) pass: check that examples link - for chapter in $(echo src/*); do - if [ ! -f $chapter/Cargo.toml ]; then - continue - fi - - pushd $chapter - case $(basename $chapter) in - 05-led-roulette | 06-hello-world) - cargo build --target thumbv7em-none-eabihf - cargo build --target thumbv7em-none-eabihf --release - ;; - WIP-async-io-the-future) - popd - continue - ;; - *) - cargo build - cargo build --release - ;; - esac - popd - done -} - -if [ $TRAVIS_BRANCH != master ]; then - main -fi From c8f6af452fe54fe635389a6b1055fa2b1fff4f0b Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Wed, 10 Mar 2021 20:36:20 +0000 Subject: [PATCH 161/313] Swap to GHA. (cherry picked from commit 681b95a65f19661440667f24d7fd917534982e6e) --- .github/bors.toml | 13 ++++++- .github/workflows/ci.yml | 83 ++++++++++++++++++++++++++++++++++++++++ .travis.yml | 27 ------------- ci/after-success.sh | 21 ---------- ci/install.sh | 23 ----------- ci/script.sh | 69 --------------------------------- 6 files changed, 95 insertions(+), 141 deletions(-) create mode 100644 .github/workflows/ci.yml delete mode 100644 .travis.yml delete mode 100644 ci/after-success.sh delete mode 100644 ci/install.sh delete mode 100644 ci/script.sh diff --git a/.github/bors.toml b/.github/bors.toml index ca42be0a5..c9d118cb7 100644 --- a/.github/bors.toml +++ b/.github/bors.toml @@ -1,4 +1,15 @@ block_labels = ["needs-decision"] delete_merged_branches = true required_approvals = 1 -status = ["continuous-integration/travis-ci/push"] +status = [ + "build-book", + "build-chapter (05-led-roulette)", + "build-chapter (06-hello-world)", + "build-chapter (07-registers)", + "build-chapter (08-leds-again)", + "build-chapter (09-clocks-and-timers)", + "build-chapter (11-usart)", + "build-chapter (14-i2c)", + "build-chapter (15-led-compass)", + "build-chapter (16-punch-o-meter)", +] diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml new file mode 100644 index 000000000..8ea334652 --- /dev/null +++ b/.github/workflows/ci.yml @@ -0,0 +1,83 @@ +name: CI + +on: + push: + branches: [ staging, trying, master ] + pull_request: + +jobs: + # Check a build succeeds for each chapter that contains example code. + build-chapter: + runs-on: ubuntu-20.04 + strategy: + matrix: + chapter: + - 05-led-roulette + - 06-hello-world + - 07-registers + - 08-leds-again + - 09-clocks-and-timers + - 11-usart + - 14-i2c + - 15-led-compass + - 16-punch-o-meter + steps: + - uses: actions/checkout@v2 + - uses: actions-rs/toolchain@v1 + with: + profile: minimal + toolchain: stable + target: thumbv7em-none-eabihf + - name: Build chapter + working-directory: src/${{ matrix.chapter }} + run: cargo build + + # Build the book HTML itself and optionally publish it. + build-book: + runs-on: ubuntu-20.04 + steps: + - uses: actions/checkout@v2 + - uses: actions-rs/toolchain@v1 + with: + profile: minimal + toolchain: stable + target: thumbv7em-none-eabihf + + - name: Install Python dependencies + run: | + pip3 install --user python-dateutil linkchecker + - name: Put pip binary directory into path + run: echo "~/.local/bin" >> $GITHUB_PATH + + - name: Cache Cargo installed binaries + uses: actions/cache@v1 + id: cache-cargo + with: + path: ~/cargo-bin + key: cache-cargo + - name: Install mdbook + if: steps.cache-cargo.outputs.cache-hit != 'true' + uses: actions-rs/install@v0.1 + with: + crate: mdbook + version: latest + - name: Copy mdbook to cache directory + if: steps.cache-cargo.outputs.cache-hit != 'true' + run: | + mkdir ~/cargo-bin + cp ~/.cargo/bin/mdbook ~/cargo-bin + - name: Put new cargo binary directory into path + run: echo "~/cargo-bin" >> $GITHUB_PATH + + - name: Build book + run: mkdir target && mdbook build + - name: Check links + run: linkchecker --ignore-url "print.html" book + + - name: Deploy book + if: ${{ github.event_name == 'push' && github.ref == 'refs/heads/master' }} + uses: peaceiris/actions-gh-pages@v3 + with: + github_token: ${{ secrets.GITHUB_TOKEN }} + publish_dir: book + force_orphan: true diff --git a/.travis.yml b/.travis.yml deleted file mode 100644 index 3fc021a01..000000000 --- a/.travis.yml +++ /dev/null @@ -1,27 +0,0 @@ -language: rust - -install: - - bash ci/install.sh - - export PATH="$PATH:$PWD/gcc/bin" - -script: - - bash ci/script.sh - -after_success: - - bash ci/after-success.sh - -cache: cargo - -before_cache: - # Travis can't cache files that are not readable by "others" - - chmod -R a+r $HOME/.cargo - -branches: - only: - - master - - staging - - trying - -notifications: - email: - on_success: never diff --git a/ci/after-success.sh b/ci/after-success.sh deleted file mode 100644 index cee45e0ae..000000000 --- a/ci/after-success.sh +++ /dev/null @@ -1,21 +0,0 @@ -set -euxo pipefail - -main() { - mdbook build - - bash ga.sh - - mkdir ghp-import - - curl -Ls https://github.com/davisp/ghp-import/archive/master.tar.gz | - tar --strip-components 1 -C ghp-import -xz - - ./ghp-import/ghp_import.py book - - set +x - git push -fq https://$GH_TOKEN@github.com/$TRAVIS_REPO_SLUG.git gh-pages && echo OK -} - -if [ $TRAVIS_BRANCH = master ]; then - main -fi diff --git a/ci/install.sh b/ci/install.sh deleted file mode 100644 index 15606dd38..000000000 --- a/ci/install.sh +++ /dev/null @@ -1,23 +0,0 @@ -set -euxo pipefail - -main() { - local tag=$(git ls-remote --tags --refs --exit-code \ - https://github.com/rust-lang/mdbook \ - | cut -d/ -f3 \ - | grep -E '^v[0-9\.]+$' \ - | sort --version-sort \ - | tail -n1) - - curl -LSfs https://japaric.github.io/trust/install.sh | \ - sh -s -- \ - --force \ - --git rust-lang/mdbook \ - --tag $tag - - rustup target add thumbv7em-none-eabihf - rustup target add thumbv6m-none-eabi - - pip install linkchecker --user -} - -main diff --git a/ci/script.sh b/ci/script.sh deleted file mode 100644 index acfe2d2d0..000000000 --- a/ci/script.sh +++ /dev/null @@ -1,69 +0,0 @@ -set -euxo pipefail - -main() { - # test that building the book works - mdbook build - - # mdbook doesn't handle relative links correctly in print.html so skip it. - linkchecker --ignore-url "print.html" book - - # now check this as a directory of the bookshelf - rm -rf shelf - mkdir shelf - mv book shelf - # Skipping bad relative link errors in print.html again here. - linkchecker --ignore-url "print.html" shelf - - mv shelf/book . - rmdir shelf - - # first (fast) pass: check that examples compile - for chapter in $(echo src/*); do - if [ ! -f $chapter/Cargo.toml ]; then - continue - fi - - pushd $chapter - case $(basename $chapter) in - 05-led-roulette | 06-hello-world) - RUSTFLAGS="-D rust_2018_compatibility -D rust_2018_idioms" cargo check --target thumbv7em-none-eabihf - ;; - WIP-async-io-the-future) - popd - continue - ;; - *) - RUSTFLAGS="-D rust_2018_compatibility -D rust_2018_idioms" cargo check - ;; - esac - popd - done - - # second (slow) pass: check that examples link - for chapter in $(echo src/*); do - if [ ! -f $chapter/Cargo.toml ]; then - continue - fi - - pushd $chapter - case $(basename $chapter) in - 05-led-roulette | 06-hello-world) - cargo build --target thumbv7em-none-eabihf - cargo build --target thumbv7em-none-eabihf --release - ;; - WIP-async-io-the-future) - popd - continue - ;; - *) - cargo build - cargo build --release - ;; - esac - popd - done -} - -if [ $TRAVIS_BRANCH != master ]; then - main -fi From 925caa410789da5143ca7e2c0e40d0595ffeb28b Mon Sep 17 00:00:00 2001 From: Diego Barrios Romero Date: Thu, 11 Mar 2021 09:04:48 +0100 Subject: [PATCH 162/313] Adapt to rewrite --- .github/bors.toml | 4 +--- .github/workflows/ci.yml | 14 +++++++++++--- 2 files changed, 12 insertions(+), 6 deletions(-) diff --git a/.github/bors.toml b/.github/bors.toml index c9d118cb7..b1c039555 100644 --- a/.github/bors.toml +++ b/.github/bors.toml @@ -4,11 +4,9 @@ required_approvals = 1 status = [ "build-book", "build-chapter (05-led-roulette)", - "build-chapter (06-hello-world)", - "build-chapter (07-registers)", + "build-chapter (07-uart)", "build-chapter (08-leds-again)", "build-chapter (09-clocks-and-timers)", - "build-chapter (11-usart)", "build-chapter (14-i2c)", "build-chapter (15-led-compass)", "build-chapter (16-punch-o-meter)", diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index 8ea334652..1365b0a08 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -13,17 +13,20 @@ jobs: matrix: chapter: - 05-led-roulette - - 06-hello-world - - 07-registers + - 07-uart - 08-leds-again - 09-clocks-and-timers - - 11-usart - 14-i2c - 15-led-compass - 16-punch-o-meter steps: - uses: actions/checkout@v2 - uses: actions-rs/toolchain@v1 + with: + profile: minimal + toolchain: stable + target: thumbv6m-none-eabi + - uses: actions-rs/toolchain@v1 # Until everything has been rewritten with: profile: minimal toolchain: stable @@ -38,6 +41,11 @@ jobs: steps: - uses: actions/checkout@v2 - uses: actions-rs/toolchain@v1 + with: + profile: minimal + toolchain: stable + target: thumbv6m-none-eabi + - uses: actions-rs/toolchain@v1 # Until everything has been rewritten with: profile: minimal toolchain: stable From 961f6aaa848698e6d6228ffdbc52eebabb155164 Mon Sep 17 00:00:00 2001 From: NisarAbbasi1978 <66704815+NisarAbbasi1978@users.noreply.github.com> Date: Mon, 22 Mar 2021 21:40:31 +0300 Subject: [PATCH 163/313] Update send-a-string.md --- src/11-usart/send-a-string.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/11-usart/send-a-string.md b/src/11-usart/send-a-string.md index e853c01e7..9f6e1e294 100644 --- a/src/11-usart/send-a-string.md +++ b/src/11-usart/send-a-string.md @@ -11,4 +11,4 @@ Execute your program inside the debugger, statement by statement. What do you se Then execute the program again but in *one go* using the `continue` command. What happens this time? -Finally, build the program in *release* mode and, again, run it one go. What happens this time? +Finally, build the program in *release* mode and, again, run it in one go. What happens this time? From ae4bd854cf85641abc8ffb5ebbbf65fe2355a92f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 27 Mar 2021 13:12:01 +0100 Subject: [PATCH 164/313] Remove several chapters as decided with @eldruin * Remove LEDs again since its only really a deeper look into LEDs on register level which we don't need in the discovery book * Remove Clock and Timers basically for the same reason as LEDs again * Remove the two bluetooth chapters since the NRF51 chip has onboard bluetooth, however using it in a beginner scenario is presumably not a good idea until rubble has matured more. --- src/08-leds-again/.cargo/config | 8 -- src/08-leds-again/Cargo.toml | 8 -- src/08-leds-again/README.md | 117 ------------------ src/08-leds-again/auxiliary/Cargo.toml | 14 --- src/08-leds-again/auxiliary/src/lib.rs | 19 --- src/08-leds-again/configuration.md | 21 ---- src/08-leds-again/openocd.gdb | 10 -- src/08-leds-again/power.md | 32 ----- src/08-leds-again/src/main.rs | 28 ----- src/08-leds-again/target | 1 - src/08-leds-again/the-solution.md | 45 ------- src/09-clocks-and-timers/.cargo/config | 8 -- src/09-clocks-and-timers/Cargo.toml | 9 -- src/09-clocks-and-timers/README.md | 11 -- src/09-clocks-and-timers/auxiliary/Cargo.toml | 14 --- src/09-clocks-and-timers/auxiliary/src/lib.rs | 32 ----- src/09-clocks-and-timers/busy-waiting.md | 42 ------- src/09-clocks-and-timers/for-loop-delays.md | 25 ---- src/09-clocks-and-timers/initialization.md | 35 ------ src/09-clocks-and-timers/nop.md | 45 ------- src/09-clocks-and-timers/one-shot-timer.md | 48 ------- src/09-clocks-and-timers/openocd.gdb | 10 -- .../putting-it-all-together.md | 55 -------- src/09-clocks-and-timers/src/main.rs | 28 ----- src/09-clocks-and-timers/target | 1 - src/12-bluetooth-setup/README.md | 36 ------ src/12-bluetooth-setup/at-commands.md | 62 ---------- src/12-bluetooth-setup/linux.md | 101 --------------- src/12-bluetooth-setup/loopback.md | 26 ---- src/13-serial-over-bluetooth/README.md | 25 ---- src/SUMMARY.md | 16 --- 31 files changed, 932 deletions(-) delete mode 100644 src/08-leds-again/.cargo/config delete mode 100644 src/08-leds-again/Cargo.toml delete mode 100644 src/08-leds-again/README.md delete mode 100644 src/08-leds-again/auxiliary/Cargo.toml delete mode 100644 src/08-leds-again/auxiliary/src/lib.rs delete mode 100644 src/08-leds-again/configuration.md delete mode 100644 src/08-leds-again/openocd.gdb delete mode 100644 src/08-leds-again/power.md delete mode 100644 src/08-leds-again/src/main.rs delete mode 120000 src/08-leds-again/target delete mode 100644 src/08-leds-again/the-solution.md delete mode 100644 src/09-clocks-and-timers/.cargo/config delete mode 100644 src/09-clocks-and-timers/Cargo.toml delete mode 100644 src/09-clocks-and-timers/README.md delete mode 100644 src/09-clocks-and-timers/auxiliary/Cargo.toml delete mode 100644 src/09-clocks-and-timers/auxiliary/src/lib.rs delete mode 100644 src/09-clocks-and-timers/busy-waiting.md delete mode 100644 src/09-clocks-and-timers/for-loop-delays.md delete mode 100644 src/09-clocks-and-timers/initialization.md delete mode 100644 src/09-clocks-and-timers/nop.md delete mode 100644 src/09-clocks-and-timers/one-shot-timer.md delete mode 100644 src/09-clocks-and-timers/openocd.gdb delete mode 100644 src/09-clocks-and-timers/putting-it-all-together.md delete mode 100644 src/09-clocks-and-timers/src/main.rs delete mode 120000 src/09-clocks-and-timers/target delete mode 100644 src/12-bluetooth-setup/README.md delete mode 100644 src/12-bluetooth-setup/at-commands.md delete mode 100644 src/12-bluetooth-setup/linux.md delete mode 100644 src/12-bluetooth-setup/loopback.md delete mode 100644 src/13-serial-over-bluetooth/README.md diff --git a/src/08-leds-again/.cargo/config b/src/08-leds-again/.cargo/config deleted file mode 100644 index b17774193..000000000 --- a/src/08-leds-again/.cargo/config +++ /dev/null @@ -1,8 +0,0 @@ -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] - -[build] -target = "thumbv7em-none-eabihf" \ No newline at end of file diff --git a/src/08-leds-again/Cargo.toml b/src/08-leds-again/Cargo.toml deleted file mode 100644 index a93003bfd..000000000 --- a/src/08-leds-again/Cargo.toml +++ /dev/null @@ -1,8 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "leds-again" -version = "0.1.0" - -[dependencies] -aux8 = { path = "auxiliary" } diff --git a/src/08-leds-again/README.md b/src/08-leds-again/README.md deleted file mode 100644 index 89acde05e..000000000 --- a/src/08-leds-again/README.md +++ /dev/null @@ -1,117 +0,0 @@ -# LEDs, again - -In the last section, I gave you *initialized* (configured) peripherals (I initialized them in -`aux7::init`). That's why just writing to `BSRR` was enough to control the LEDs. But, peripherals -are not *initialized* right after the microcontroller boots. - -In this section, you'll have more fun with registers. I won't do any initialization and you'll have -to initialize configure `GPIOE` pins as digital outputs pins so that you'll be able to drive LEDs -again. - -This is the starter code. - -``` rust -{{#include src/main.rs}} -``` - -If you run the starter code, you'll see that nothing happens this time. Furthermore, if you print -the `GPIOE` register block, you'll see that every register reads as zero even after the -`gpioe.odr.write` statement was executed! - -``` -$ cargo run -Breakpoint 1, main () at src/08-leds-again/src/main.rs:9 -9 let (gpioe, rcc) = aux8::init(); - -(gdb) continue -Continuing. - -Program received signal SIGTRAP, Trace/breakpoint trap. -0x08000f3c in __bkpt () - -(gdb) finish -Run till exit from #0 0x08000f3c in __bkpt () -main () at src/08-leds-again/src/main.rs:25 -25 aux8::bkpt(); - -(gdb) p/x *gpioe -$1 = stm32f30x::gpioc::RegisterBlock { - moder: stm32f30x::gpioc::MODER { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - otyper: stm32f30x::gpioc::OTYPER { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - ospeedr: stm32f30x::gpioc::OSPEEDR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - pupdr: stm32f30x::gpioc::PUPDR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - idr: stm32f30x::gpioc::IDR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - odr: stm32f30x::gpioc::ODR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - bsrr: stm32f30x::gpioc::BSRR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - lckr: stm32f30x::gpioc::LCKR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - afrl: stm32f30x::gpioc::AFRL { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - afrh: stm32f30x::gpioc::AFRH { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - }, - brr: stm32f30x::gpioc::BRR { - register: vcell::VolatileCell { - value: core::cell::UnsafeCell { - value: 0x0 - } - } - } -} -``` diff --git a/src/08-leds-again/auxiliary/Cargo.toml b/src/08-leds-again/auxiliary/Cargo.toml deleted file mode 100644 index a2c67f3b4..000000000 --- a/src/08-leds-again/auxiliary/Cargo.toml +++ /dev/null @@ -1,14 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "aux8" -version = "0.1.0" - -[dependencies] -cortex-m = "0.6.3" -cortex-m-rt = "0.6.3" -panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" diff --git a/src/08-leds-again/auxiliary/src/lib.rs b/src/08-leds-again/auxiliary/src/lib.rs deleted file mode 100644 index a159cd107..000000000 --- a/src/08-leds-again/auxiliary/src/lib.rs +++ /dev/null @@ -1,19 +0,0 @@ -//! Initialization code - -#![no_std] - -#[allow(unused_extern_crates)] // NOTE(allow) bug rust-lang/rust#53964 -extern crate panic_itm; // panic handler - -pub use cortex_m::asm::bkpt; -pub use cortex_m_rt::entry; -pub use f3::hal::stm32f30x::{gpioc, rcc}; - -use f3::hal::stm32f30x::{self, GPIOE, RCC}; - -pub fn init() -> (&'static gpioc::RegisterBlock, &'static rcc::RegisterBlock) { - // restrict access to the other peripherals - (stm32f30x::Peripherals::take().unwrap()); - - unsafe { (&*GPIOE::ptr(), &*RCC::ptr()) } -} diff --git a/src/08-leds-again/configuration.md b/src/08-leds-again/configuration.md deleted file mode 100644 index bcb18a136..000000000 --- a/src/08-leds-again/configuration.md +++ /dev/null @@ -1,21 +0,0 @@ -# Configuration - -After turning on the GPIOE peripheral, it still needs to be configured. In this case, we -want the pins to be configured as digital *outputs* so they can drive the LEDs; by default, most -pins are configured as digital *inputs*. - -You can find the list of registers in the `GPIOE` register block in: - -> Section 11.4.12 - GPIO registers - Page 243 - Reference Manual - -The register we'll have to deal with is: `MODER`. - -Your task for this section is to further update the starter code to configure the *right* `GPIOE` -pins as digital outputs. You'll have to: - -- Figure out *which* pins you need to configure as digital outputs. (hint: check Section 6.4 LEDs of - the *User Manual* (page 18)). -- Read the documentation to understand what the bits in the `MODER` register do. -- Modify the `MODER` register to configure the pins as digital outputs. - -If successful, you'll see the 8 LEDs turn on when you run the program. diff --git a/src/08-leds-again/openocd.gdb b/src/08-leds-again/openocd.gdb deleted file mode 100644 index cafe49b1b..000000000 --- a/src/08-leds-again/openocd.gdb +++ /dev/null @@ -1,10 +0,0 @@ -target remote :3333 -set print asm-demangle on -set print pretty on -monitor tpiu config internal itm.txt uart off 8000000 -monitor itm port 0 on -load -break DefaultHandler -break UserHardFault -break main -continue diff --git a/src/08-leds-again/power.md b/src/08-leds-again/power.md deleted file mode 100644 index 560321a39..000000000 --- a/src/08-leds-again/power.md +++ /dev/null @@ -1,32 +0,0 @@ -# Power - -Turns out that, to save power, most peripherals start in a powered off state -- that's their state -right after the microcontroller boots. - -The Reset and Clock Control (`RCC`) peripheral can be used to power on or off every other -peripheral. - -You can find the list of registers in the `RCC` register block in: - -> Section 9.4.14 - RCC register map - Page 166 - Reference Manual - -The registers that control the power status of other peripherals are: - -- `AHBENR` -- `APB1ENR` -- `APB2ENR` - -Each bit in these registers controls the power status of a single peripheral, including `GPIOE`. - -Your task in this section is to power on the `GPIOE` peripheral. You'll have to: - -- Figure out which of the three registers I mentioned before has the bit that controls the power - status. -- Figure out what value that bit must be set to,`0` or `1`, to power on the `GPIOE` peripheral. -- Finally, you'll have to change the starter code to *modify* the right register to turn on the - `GPIOE` peripheral. - -If you are successful, you'll see that the `gpioe.odr.write` statement will now be able to modify -the value of the `ODR` register. - -Note that this won't be enough to actually turn on the LEDs. diff --git a/src/08-leds-again/src/main.rs b/src/08-leds-again/src/main.rs deleted file mode 100644 index cdb7c8e3a..000000000 --- a/src/08-leds-again/src/main.rs +++ /dev/null @@ -1,28 +0,0 @@ -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -use aux8::entry; - -#[entry] -fn main() -> ! { - let (gpioe, rcc) = aux8::init(); - - // TODO initialize GPIOE - - // Turn on all the LEDs in the compass - gpioe.odr.write(|w| { - w.odr8().set_bit(); - w.odr9().set_bit(); - w.odr10().set_bit(); - w.odr11().set_bit(); - w.odr12().set_bit(); - w.odr13().set_bit(); - w.odr14().set_bit(); - w.odr15().set_bit() - }); - - aux8::bkpt(); - - loop {} -} diff --git a/src/08-leds-again/target b/src/08-leds-again/target deleted file mode 120000 index 6bcd2fc5d..000000000 --- a/src/08-leds-again/target +++ /dev/null @@ -1 +0,0 @@ -../../target \ No newline at end of file diff --git a/src/08-leds-again/the-solution.md b/src/08-leds-again/the-solution.md deleted file mode 100644 index 9e1eb0bb6..000000000 --- a/src/08-leds-again/the-solution.md +++ /dev/null @@ -1,45 +0,0 @@ -# The solution - -``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -use aux8::entry; - -#[entry] -fn main() -> ! { - let (gpioe, rcc) = aux8::init(); - - // enable the GPIOE peripheral - rcc.ahbenr.modify(|_, w| w.iopeen().set_bit()); - - // configure the pins as outputs - gpioe.moder.modify(|_, w| { - w.moder8().output(); - w.moder9().output(); - w.moder10().output(); - w.moder11().output(); - w.moder12().output(); - w.moder13().output(); - w.moder14().output(); - w.moder15().output() - }); - - // Turn on all the LEDs in the compass - gpioe.odr.write(|w| { - w.odr8().set_bit(); - w.odr9().set_bit(); - w.odr10().set_bit(); - w.odr11().set_bit(); - w.odr12().set_bit(); - w.odr13().set_bit(); - w.odr14().set_bit(); - w.odr15().set_bit() - }); - - aux8::bkpt(); - - loop {} -} -``` diff --git a/src/09-clocks-and-timers/.cargo/config b/src/09-clocks-and-timers/.cargo/config deleted file mode 100644 index b17774193..000000000 --- a/src/09-clocks-and-timers/.cargo/config +++ /dev/null @@ -1,8 +0,0 @@ -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] - -[build] -target = "thumbv7em-none-eabihf" \ No newline at end of file diff --git a/src/09-clocks-and-timers/Cargo.toml b/src/09-clocks-and-timers/Cargo.toml deleted file mode 100644 index 5444b4ffb..000000000 --- a/src/09-clocks-and-timers/Cargo.toml +++ /dev/null @@ -1,9 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "clocks-and-timers" -version = "0.1.0" - -[dependencies] -aux9 = { path = "auxiliary" } -cortex-m-rt = "0.6.3" diff --git a/src/09-clocks-and-timers/README.md b/src/09-clocks-and-timers/README.md deleted file mode 100644 index 6e0f35b2c..000000000 --- a/src/09-clocks-and-timers/README.md +++ /dev/null @@ -1,11 +0,0 @@ -# Clocks and timers - -In this section, we'll re-implement the LED roulette application. I'm going to give you back the -`Led` abstraction but this time I'm going to take away the `Delay` abstraction `:-)`. - -Here's the starter code. The `delay` function is unimplemented so if you run this program the LEDs -will blink so fast that they'll appear to always be on. - -``` rust -{{#include src/main.rs}} -``` diff --git a/src/09-clocks-and-timers/auxiliary/Cargo.toml b/src/09-clocks-and-timers/auxiliary/Cargo.toml deleted file mode 100644 index 2b16bbc2f..000000000 --- a/src/09-clocks-and-timers/auxiliary/Cargo.toml +++ /dev/null @@ -1,14 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "aux9" -version = "0.1.0" - -[dependencies] -cortex-m = "0.6.3" -cortex-m-rt = "0.6.3" -panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" diff --git a/src/09-clocks-and-timers/auxiliary/src/lib.rs b/src/09-clocks-and-timers/auxiliary/src/lib.rs deleted file mode 100644 index e52f0032d..000000000 --- a/src/09-clocks-and-timers/auxiliary/src/lib.rs +++ /dev/null @@ -1,32 +0,0 @@ -//! Initialization code - -#![no_std] - -#[allow(unused_extern_crates)] // NOTE(allow) rust-lang/rust#53964 -extern crate panic_itm; // panic handler - -pub use cortex_m::asm::{bkpt, nop}; -pub use cortex_m_rt::entry; -pub use f3::{ - hal::stm32f30x::{rcc, tim6}, - led::Leds, -}; - -use f3::hal::{ - prelude::*, - stm32f30x::{self, RCC, TIM6}, -}; - -pub fn init() -> ( - Leds, - &'static rcc::RegisterBlock, - &'static tim6::RegisterBlock, -) { - let p = stm32f30x::Peripherals::take().unwrap(); - - let mut rcc = p.RCC.constrain(); - - let leds = Leds::new(p.GPIOE.split(&mut rcc.ahb)); - - (leds, unsafe { &*RCC::ptr() }, unsafe { &*TIM6::ptr() }) -} diff --git a/src/09-clocks-and-timers/busy-waiting.md b/src/09-clocks-and-timers/busy-waiting.md deleted file mode 100644 index b98dc5590..000000000 --- a/src/09-clocks-and-timers/busy-waiting.md +++ /dev/null @@ -1,42 +0,0 @@ -# Busy waiting - -The timer should now be properly initialized. All that's left is to implement the `delay` function -using the timer. - -First thing we have to do is set the autoreload register (`ARR`) to make the timer go off in `ms` -milliseconds. Because the counter operates at 1 KHz, the autoreload value will be the same as `ms`. - -``` rust - // Set the timer to go off in `ms` ticks - // 1 tick = 1 ms - tim6.arr.write(|w| w.arr().bits(ms)); -``` - -Next, we need to enable the counter. It will immediately start counting. - -``` rust - // CEN: Enable the counter - tim6.cr1.modify(|_, w| w.cen().set_bit()); -``` - -Now we need to wait until the counter reaches the value of the autoreload register, `ms`, then we'll -know that `ms` milliseconds have passed. That condition is known as an *update event* and its -indicated by the `UIF` bit of the status register (`SR`). - -``` rust - // Wait until the alarm goes off (until the update event occurs) - while !tim6.sr.read().uif().bit_is_set() {} -``` - -This pattern of just waiting until some condition is met, in this case that `UIF` becomes `1`, is -known as *busy waiting* and you'll see it a few more times in this text `:-)`. - -Finally, we must clear (set to `0`) this `UIF` bit. If we don't, next time we enter the `delay` -function we'll think the update event has already happened and skip over the busy waiting part. - -``` rust - // Clear the update event flag - tim6.sr.modify(|_, w| w.uif().clear_bit()); -``` - -Now, put this all together and check if it works as expected. diff --git a/src/09-clocks-and-timers/for-loop-delays.md b/src/09-clocks-and-timers/for-loop-delays.md deleted file mode 100644 index 339dd6686..000000000 --- a/src/09-clocks-and-timers/for-loop-delays.md +++ /dev/null @@ -1,25 +0,0 @@ -# `for` loop delays - -The first challenge is to implement the `delay` function without using any peripheral and the -obvious solution is to implement it as a `for` loop delay: - -``` rust -#[inline(never)] -fn delay(tim6: &tim6::RegisterBlock, ms: u16) { - for _ in 0..1_000 {} -} -``` - -Of course, the above implementation is wrong because it always generates the same delay for any -value of `ms`. - -In this section, you'll have to: - -- Fix the `delay` function to generate delays proportional to its input `ms`. -- Tweak the `delay` function to make the LED roulette spin at a rate of approximately 5 cycles in 4 - seconds (800 milliseconds period). -- The processor inside the microcontroller is clocked at 72 MHz and executes most instructions in one - "tick", a cycle of its clock. How many (`for`) loops do you *think* the `delay` function must do - to generate a delay of 1 second? -- How many `for` loops does `delay(1000)` actually do? -- What happens if compile your program in release mode and run it? diff --git a/src/09-clocks-and-timers/initialization.md b/src/09-clocks-and-timers/initialization.md deleted file mode 100644 index 0e691eeb4..000000000 --- a/src/09-clocks-and-timers/initialization.md +++ /dev/null @@ -1,35 +0,0 @@ -# Initialization - -As with every other peripheral, we'll have to initialize this timer before we can use it. And just -as in the previous section, initialization is going to involve two steps: powering up the timer and -then configuring it. - -Powering up the timer is easy: We just have to set `TIM6EN` bit to 1. This bit is in the `APB1ENR` -register of the `RCC` register block. - -``` rust - // Power on the TIM6 timer - rcc.apb1enr.modify(|_, w| w.tim6en().set_bit()); -``` - -The configuration part is slightly more elaborate. - -First, we'll have to configure the timer to operate in one pulse mode. - -``` rust - // OPM Select one pulse mode - // CEN Keep the counter disabled for now - tim6.cr1.write(|w| w.opm().set_bit().cen().clear_bit()); -``` - -Then, we'll like to have the `CNT` counter operate at a frequency of 1 KHz because our `delay` -function takes a number of milliseconds as arguments and 1 KHz produces a 1 millisecond period. For -that we'll have to configure the prescaler. - -``` rust - // Configure the prescaler to have the counter operate at 1 KHz - tim6.psc.write(|w| w.psc().bits(psc)); -``` - -I'm going to let you figure out the value of the prescaler, `psc`. Remember that the frequency of -the counter is `apb1 / (psc + 1)` and that `apb1` is 8 MHz. diff --git a/src/09-clocks-and-timers/nop.md b/src/09-clocks-and-timers/nop.md deleted file mode 100644 index c331ed86e..000000000 --- a/src/09-clocks-and-timers/nop.md +++ /dev/null @@ -1,45 +0,0 @@ -# NOP - -If in the previous section you compiled the program in release mode and actually looked at the -disassembly, you probably noticed that the `delay` function is optimized away and never gets called -from within `main`. - -LLVM decided that the function wasn't doing anything worthwhile and just removed it. - -There is a way to prevent LLVM from optimizing the `for` loop delay: add a *volatile* assembly -instruction. Any instruction will do but NOP (No OPeration) is a particular good choice in this case -because it has no side effect. - -Your `for` loop delay would become: - -``` rust -#[inline(never)] -fn delay(_tim6: &tim6::RegisterBlock, ms: u16) { - const K: u16 = 3; // this value needs to be tweaked - for _ in 0..(K * ms) { - aux9::nop() - } -} -``` - -And this time `delay` won't be compiled away by LLVM when you compile your program in release mode: - -``` console -$ cargo objdump --bin clocks-and-timers --release -- -d -no-show-raw-insn -clocks-and-timers: file format ELF32-arm-little - -Disassembly of section .text: -clocks_and_timers::delay::h711ce9bd68a6328f: - 8000188: push {r4, r5, r7, lr} - 800018a: movs r4, #0 - 800018c: adds r4, #1 - 800018e: uxth r5, r4 - 8000190: bl #4666 - 8000194: cmp r5, #150 - 8000196: blo #-14 - 8000198: pop {r4, r5, r7, pc} -``` - -Now, test this: Compile the program in debug mode and run it, then compile the program in release -mode and run it. What's the difference between them? What do you think is the main cause of the -difference? Can you think of a way to make them equivalent or at least more similar again? diff --git a/src/09-clocks-and-timers/one-shot-timer.md b/src/09-clocks-and-timers/one-shot-timer.md deleted file mode 100644 index 9ffbdd361..000000000 --- a/src/09-clocks-and-timers/one-shot-timer.md +++ /dev/null @@ -1,48 +0,0 @@ -# One-shot timer - -I hope that, by now, I have convinced you that `for` loop delays are a poor way to implement delays. - -Now, we'll implement delays using a *hardware timer*. The basic function of a (hardware) timer is -... to keep precise track of time. A timer is yet another peripheral that's available to the -microcontroller; thus it can be controlled using registers. - -The microcontroller we are using has several (in fact, more than 10) timers of different kinds -(basic, general purpose, and advanced timers) available to it. Some timers have more *resolution* -(number of bits) than others and some can be used for more than just keeping track of time. - -We'll be using one of the *basic* timers: `TIM6`. This is one of the simplest timers available in -our microcontroller. The documentation for basic timers is in the following section: - -> Section 22 Timers - Page 670 - Reference Manual - -Its registers are documented in: - -> Section 22.4.9 TIM6/TIM7 register map - Page 682 - Reference Manual - -The registers we'll be using in this section are: - -- `SR`, the status register. -- `EGR`, the event generation register. -- `CNT`, the counter register. -- `PSC`, the prescaler register. -- `ARR`, the autoreload register. - -We'll be using the timer as a *one-shot* timer. It will sort of work like an alarm clock. We'll set -the timer to go off after some amount of time and then we'll wait until the timer goes off. The -documentation refers to this mode of operation as *one pulse mode*. - -Here's a description of how a basic timer works when configured in one pulse mode: - -- The counter is enabled by the user (`CR1.CEN = 1`). -- The `CNT` register resets its value to zero and, on each tick, its value gets incremented by one. -- Once the `CNT` register has reached the value of the `ARR` register, the counter will be disabled - by hardware (`CR1.CEN = 0`) and an *update event* will be raised (`SR.UIF = 1`). - -`TIM6` is driven by the APB1 clock, whose frequency doesn't have to necessarily match the processor -frequency. That is, the APB1 clock could be running faster or slower. The default, however, is that -both APB1 and the processor are clocked at 8 MHz. - -The tick mentioned in the functional description of the one pulse mode is *not* the same as one -tick of the APB1 clock. The `CNT` register increases at a frequency of `apb1 / (psc + 1)` -times per second, where `apb1` is the frequency of the APB1 clock and `psc` is the value of the -prescaler register, `PSC`. diff --git a/src/09-clocks-and-timers/openocd.gdb b/src/09-clocks-and-timers/openocd.gdb deleted file mode 100644 index cafe49b1b..000000000 --- a/src/09-clocks-and-timers/openocd.gdb +++ /dev/null @@ -1,10 +0,0 @@ -target remote :3333 -set print asm-demangle on -set print pretty on -monitor tpiu config internal itm.txt uart off 8000000 -monitor itm port 0 on -load -break DefaultHandler -break UserHardFault -break main -continue diff --git a/src/09-clocks-and-timers/putting-it-all-together.md b/src/09-clocks-and-timers/putting-it-all-together.md deleted file mode 100644 index 3d1969e02..000000000 --- a/src/09-clocks-and-timers/putting-it-all-together.md +++ /dev/null @@ -1,55 +0,0 @@ -# Putting it all together - -``` rust -#![no_main] -#![no_std] - -use aux9::{entry, tim6}; - -#[inline(never)] -fn delay(tim6: &tim6::RegisterBlock, ms: u16) { - // Set the timer to go off in `ms` ticks - // 1 tick = 1 ms - tim6.arr.write(|w| w.arr().bits(ms)); - - // CEN: Enable the counter - tim6.cr1.modify(|_, w| w.cen().set_bit()); - - // Wait until the alarm goes off (until the update event occurs) - while !tim6.sr.read().uif().bit_is_set() {} - - // Clear the update event flag - tim6.sr.modify(|_, w| w.uif().clear_bit()); -} - -#[entry] -fn main() -> ! { - let (mut leds, rcc, tim6) = aux9::init(); - - // Power on the TIM6 timer - rcc.apb1enr.modify(|_, w| w.tim6en().set_bit()); - - // OPM Select one pulse mode - // CEN Keep the counter disabled for now - tim6.cr1.write(|w| w.opm().set_bit().cen().clear_bit()); - - // Configure the prescaler to have the counter operate at 1 KHz - // APB1_CLOCK = 8 MHz - // PSC = 7999 - // 8 MHz / (7999 + 1) = 1 KHz - // The counter (CNT) will increase on every millisecond - tim6.psc.write(|w| w.psc().bits(7_999)); - - let ms = 50; - loop { - for curr in 0..8 { - let next = (curr + 1) % 8; - - leds[next].on(); - delay(tim6, ms); - leds[curr].off(); - delay(tim6, ms); - } - } -} -``` diff --git a/src/09-clocks-and-timers/src/main.rs b/src/09-clocks-and-timers/src/main.rs deleted file mode 100644 index 1c434aa7a..000000000 --- a/src/09-clocks-and-timers/src/main.rs +++ /dev/null @@ -1,28 +0,0 @@ -#![no_main] -#![no_std] - -use aux9::{entry, tim6}; - -#[inline(never)] -fn delay(tim6: &tim6::RegisterBlock, ms: u16) { - // TODO implement this -} - -#[entry] -fn main() -> ! { - let (mut leds, rcc, tim6) = aux9::init(); - - // TODO initialize TIM6 - - let ms = 50; - loop { - for curr in 0..8 { - let next = (curr + 1) % 8; - - leds[next].on(); - delay(tim6, ms); - leds[curr].off(); - delay(tim6, ms); - } - } -} diff --git a/src/09-clocks-and-timers/target b/src/09-clocks-and-timers/target deleted file mode 120000 index 6bcd2fc5d..000000000 --- a/src/09-clocks-and-timers/target +++ /dev/null @@ -1 +0,0 @@ -../../target \ No newline at end of file diff --git a/src/12-bluetooth-setup/README.md b/src/12-bluetooth-setup/README.md deleted file mode 100644 index 0b8f63258..000000000 --- a/src/12-bluetooth-setup/README.md +++ /dev/null @@ -1,36 +0,0 @@ -# Bluetooth setup - -It's time to get rid of some wires. Serial communication can not only be emulated on top of the USB -protocol; it can also be emulated on top of the Bluetooth protocol. This serial over Bluetooth -protocol is known as RFCOMM. - -Before we use the Bluetooth module with the microcontroller, let's first interact with it using -minicom/PuTTY. - -The first thing we'll need to do is: turn on the Bluetooth module. We'll have to share some of the -F3 power to it using the following connection: - -

    - -

    - -The recommend steps to wire this up are: - -- Close OpenOCD and `itmdump` -- Disconnect the USB cables from the F3 and the serial module. -- Connect F3's GND pin to the Bluetooth's GND pin using a female to female (F/F) wire. Preferably, a - black one. -- Connect F3's 5V pin to the Bluetooth's VCC pin using a F/F wire. Preferably, a red one. -- Then, connect the USB cable back to the F3. -- Re-launch OpenOCD and `itmdump` - -Two LEDs, a blue one and a red one, on the Bluetooth module should start blinking right after you -power on the F3 board. - -Next thing to do is pair your computer and the Bluetooth module. AFAIK, Windows and mac users can -simply use their OS default Bluetooth manager to do the pairing. The Bluetooth module default pin -is 1234. - -Linux users will have to follow (some of) [these instructions]. - -[these instructions]: linux.md diff --git a/src/12-bluetooth-setup/at-commands.md b/src/12-bluetooth-setup/at-commands.md deleted file mode 100644 index 4a295784d..000000000 --- a/src/12-bluetooth-setup/at-commands.md +++ /dev/null @@ -1,62 +0,0 @@ -## AT commands - -The Bluetooth module and the F3 need to be configured to communicate at the same baud rate. The tutorial code initializes the UART1 serial device to a baud rate of 115200. The HC-05 Bluetooth module is configured at a baud rate of 9600 by default. - -The Bluetooth module supports an AT mode that allows you to examine and change its configuration and settings. To utilize the AT mode, connect the Bluetooth module to the F3 and FTDI as shown in the following diagram. - -

    - -

    - -Recommended steps to enter AT mode: - -- Disconnect the F3 and FTDI from your computer. -- Connect F3's GND pin to the Bluetooth's GND pin using a Female/Female (F/F) wire - (preferably, a black one). -- Connect F3's 5V pin to the Bluetooth's VCC pin using a F/F wire (preferably, a - red one). -- Connect the FTDI RXI pin to the Bluetooth's TXD pin using a Female/Male (F/M) wire. -- Connect the FTDI TXO pin to the Bluetooth's RXD pin using a Female/Male (F/M) wire. -- Now connect the FTDI to your computer via USB cable. -- Next connect the F3 to your computer via USB cable while simultaneously pressing and holding the button on the Bluetooth module (kinda tricky). -- Now, release the button and the Bluetooth module will enter AT mode. You can confirm this by observing that the red LED on the Bluetooth module is blinking in a slow pattern (approx 1-2 seconds on/off). - -The AT mode always operates at a baud rate of 38400, so configure your terminal program for that baud rate and connect to the FTDI device. - -When your serial connection is established, you may get a bunch of `ERROR: (0)` repeatedly being displayed. If this happens, just hit ENTER to stop the errors. - -### Sanity check - -``` -$ at -OK -OK -(etc...) -``` - -Answers `OK` repeatedly until you hit ENTER again. - -### Rename the device - -``` -$ at+name=ferris -OK -``` - -### Query for the current baud rate of the Bluetooth module - -``` -at+uart? -+UART:9600,0,0 -OK -+UART:9600,0,0 -OK -(etc ...) -``` - -### Change the baud rate - -``` -$ at+uart=115200,0,0 -OK -``` diff --git a/src/12-bluetooth-setup/linux.md b/src/12-bluetooth-setup/linux.md deleted file mode 100644 index 73bf33c26..000000000 --- a/src/12-bluetooth-setup/linux.md +++ /dev/null @@ -1,101 +0,0 @@ -# Linux - -If you have a graphical Bluetooth manager, you can use that to pair your computer to the Bluetooth -module and skip most of these steps. You'll probably still have to [this step] though. - -[this step]: #rfcomm-device - -## Power up - -First, your computer's Bluetooth transceiver may be OFF. Check its status with `hciconfig` and turn it -ON if necessary: - -``` console -$ hciconfig -hci0: Type: Primary Bus: USB - BD Address: 68:17:29:XX:XX:XX ACL MTU: 310:10 SCO MTU: 64:8 - DOWN <-- - RX bytes:580 acl:0 sco:0 events:31 errors:0 - TX bytes:368 acl:0 sco:0 commands:30 errors:0 - -$ sudo hciconfig hci0 up - -$ hciconfig -hci0: Type: Primary Bus: USB - BD Address: 68:17:29:XX:XX:XX ACL MTU: 310:10 SCO MTU: 64:8 - UP RUNNING <-- - RX bytes:1190 acl:0 sco:0 events:67 errors:0 - TX bytes:1072 acl:0 sco:0 commands:66 errors:0 -``` - -Then you need to launch the BlueZ (Bluetooth) daemon: - -- On systemd based Linux distributions, use: - -``` console -$ sudo systemctl start bluetooth -``` - -- On Ubuntu (or upstart based Linux distributions), use: - -``` console -$ sudo /etc/init.d/bluetooth start -``` - -You may also need to unblock your Bluetooth, depending on what `rfkill list` says: - -``` console -$ rfkill list -9: hci0: Bluetooth - Soft blocked: yes # <-- - Hard blocked: no - -$ sudo rfkill unblock bluetooth - -$ rfkill list -9: hci0: Bluetooth - Soft blocked: no # <-- - Hard blocked: no - -``` - -## Scan - -``` console -$ hcitool scan -Scanning ... - 20:16:05:XX:XX:XX Ferris -$ # ^^^^^^ -``` - -## Pair - -``` console -$ bluetoothctl -[bluetooth]# scan on -[bluetooth]# agent on -[bluetooth]# pair 20:16:05:XX:XX:XX -Attempting to pair with 20:16:05:XX:XX:XX -[CHG] Device 20:16:05:XX:XX:XX Connected: yes -Request PIN code -[agent] Enter PIN code: 1234 -``` - -## rfcomm device - -We'll create a device file for our Bluetooth module in `/dev`. Then we'll be able to use it just -like we used `/dev/ttyUSB0`. - -``` console -$ sudo rfcomm bind 0 20:16:05:XX:XX:XX -``` - -Because we used `0` as an argument to `bind`, `/dev/rfcomm0` will be the device file assigned to our -Bluetooth module. - -You can release (destroy) the device file at any time with the following command: - -``` console -$ # Don't actually run this command right now! -$ sudo rfcomm release 0 -``` diff --git a/src/12-bluetooth-setup/loopback.md b/src/12-bluetooth-setup/loopback.md deleted file mode 100644 index ddbd10d1f..000000000 --- a/src/12-bluetooth-setup/loopback.md +++ /dev/null @@ -1,26 +0,0 @@ -# Loopback, again - -After pairing your computer to the Bluetooth module, your OS should have created a device file / COM -port for you. On Linux, it should be `/dev/rfcomm*`; on mac, it should be `/dev/cu.*`; and on -Windows, it should be a new COM port. - -We can now test the Bluetooth module with minicom/PuTTY. Because this module doesn't have LED -indicators for the transmission and reception events like the serial module did, we'll test the -module using a loopback connection: - -

    - -

    - -Just connect the module's TXD pin to its RXD pin using a F/F wire. - -Now, connect to the device using `minicom`/`PuTTY`: - -``` console -$ minicom -D /dev/rfcomm0 -``` - -Upon connecting, the blinking pattern of the Bluetooth module should change to: long pause then -blink twice quickly. - -Typing inside minicom/PuTTY terminal should echo back what you type. diff --git a/src/13-serial-over-bluetooth/README.md b/src/13-serial-over-bluetooth/README.md deleted file mode 100644 index 68e7a14b3..000000000 --- a/src/13-serial-over-bluetooth/README.md +++ /dev/null @@ -1,25 +0,0 @@ -# Serial over Bluetooth - -Now that we verify that the Bluetooth module works with minicom/PuTTY, let's connect it to the -microcontroller: - -

    - -

    - -Recommended steps to wire this up: - -- Close OpenOCD and `itmdump`. -- Disconnect the F3 from your computer. -- Connect F3's GND pin to the module's GND pin using a female to female (F/F) wire (preferably, a - black one). -- Connect F3's 5V pin to the module's VCC pin using a F/F wire (preferably, a red one). -- Connect the PA9 (TX) pin on the back of the F3 to the Bluetooth's RXD pin using a F/F wire. -- Connect the PA10 (RX) pin on the back of the F3 to the Bluetooth's TXD pin using a F/F wire. -- Now connect the F3 and your computer using an USB cable. -- Re-launch OpenOCD and `itmdump`. - -And that's it! You should be able to run all the programs you wrote in section 11 without -modification! Just make sure you open the right serial device / COM port. - -**NOTE** If you are having trouble communicating with the bluetooth device, you may need to initialize USART1 with a lower baud rate. Lowering it from 115,200 bps to 9,600 bps might help, as described [here](https://github.com/rust-embedded/discovery/blob/master/src/11-usart/auxiliary/src/lib.rs#L31) diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 4fbb3c6d2..213f5b25d 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -26,22 +26,6 @@ - [Echo server](07-uart/echo-server.md) - [Reverse a string](07-uart/reverse-a-string.md) - [My solution](07-uart/my-solution.md) -- [LEDs, again](08-leds-again/README.md) - - [Power](08-leds-again/power.md) - - [Configuration](08-leds-again/configuration.md) - - [The solution](08-leds-again/the-solution.md) -- [Clocks and timers](09-clocks-and-timers/README.md) - - [`for` loop delays](09-clocks-and-timers/for-loop-delays.md) - - [NOP](09-clocks-and-timers/nop.md) - - [One-shot timer](09-clocks-and-timers/one-shot-timer.md) - - [Initialization](09-clocks-and-timers/initialization.md) - - [Busy waiting](09-clocks-and-timers/busy-waiting.md) - - [Putting it all together](09-clocks-and-timers/putting-it-all-together.md) -- [Bluetooth setup](12-bluetooth-setup/README.md) - - [Linux](12-bluetooth-setup/linux.md) - - [Loopback](12-bluetooth-setup/loopback.md) - - [AT commands](12-bluetooth-setup/at-commands.md) -- [Serial over Bluetooth](13-serial-over-bluetooth/README.md) - [I2C](14-i2c/README.md) - [The general protocol](14-i2c/the-general-protocol.md) - [LSM303DLHC](14-i2c/lsm303dlhc.md) From 94c77db53a84b1a66291c72707cd97477d8dc772 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 27 Mar 2021 15:35:05 +0100 Subject: [PATCH 165/313] remove removed chapters from Cargo.toml --- Cargo.toml | 2 -- 1 file changed, 2 deletions(-) diff --git a/Cargo.toml b/Cargo.toml index 54dc13908..2e2500fdf 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -3,8 +3,6 @@ members = [ "src/03-setup", "src/05-led-roulette", "src/07-uart", - "src/08-leds-again", - "src/09-clocks-and-timers", "src/14-i2c", "src/15-led-compass", "src/16-punch-o-meter", From 46a1aa3a837d819183c26972930075a0531c04be Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 27 Mar 2021 15:48:23 +0100 Subject: [PATCH 166/313] Change the introduction of I2C to match the micro:bit --- src/14-i2c/README.md | 5 ++--- 1 file changed, 2 insertions(+), 3 deletions(-) diff --git a/src/14-i2c/README.md b/src/14-i2c/README.md index a29d22fe0..52f980e96 100644 --- a/src/14-i2c/README.md +++ b/src/14-i2c/README.md @@ -10,9 +10,8 @@ sensor, would require the sensor vendor to come up with another protocol on top (Un)Luckily for us, there are *plenty* of other communication protocols in the embedded space. Some of them are widely used in digital sensors. -The F3 board we are using has three motion sensors in it: an accelerometer, a magnetometer and -gyroscope. The accelerometer and magnetometer are packaged in a single component and can be accessed -via an I2C bus. +The micro:bit board we are using has two motion sensors in it: an accelerometer and a magnetometer. +Both of these sensors are packaged into a single component and can be accessed via an I2C bus. I2C stands for Inter-Integrated Circuit and is a *synchronous* *serial* communication protocol. It uses two lines to exchange data: a data line (SDA) and a clock line (SCL). Because a clock line is From 2c429b36e9b983fc72546fb1dbe0dbe431283dbe Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 27 Mar 2021 16:56:50 +0100 Subject: [PATCH 167/313] Modify the LSM303AGR section of the I2C chapter for micro:bit --- src/14-i2c/{lsm303dlhc.md => lsm303agr.md} | 14 +++++++------- src/SUMMARY.md | 2 +- 2 files changed, 8 insertions(+), 8 deletions(-) rename src/14-i2c/{lsm303dlhc.md => lsm303agr.md} (61%) diff --git a/src/14-i2c/lsm303dlhc.md b/src/14-i2c/lsm303agr.md similarity index 61% rename from src/14-i2c/lsm303dlhc.md rename to src/14-i2c/lsm303agr.md index 60389c6c8..35e90c2c4 100644 --- a/src/14-i2c/lsm303dlhc.md +++ b/src/14-i2c/lsm303agr.md @@ -1,7 +1,7 @@ -# LSM303DLHC +# LSM303AGR -Two of the sensors in the F3, the magnetometer and the accelerometer, are packaged in a single -component: the LSM303DLHC integrated circuit. These two sensors can be accessed via an I2C bus. Each +Both of the motion sensors on the micro:bit, the magnetometer and the accelerometer, are packaged in a single +component: the LSM303AGR integrated circuit. These two sensors can be accessed via an I2C bus. Each sensor behaves like an I2C slave and has a *different* address. Each sensor has its own memory where it stores the results of sensing its environment. Our @@ -13,14 +13,14 @@ similar to the peripherals *inside* the microcontroller. The difference is that not mapped into the microcontrollers' memory. Instead, their registers have to be accessed via the I2C bus. -The main source of information about the LSM303DLHC is its [Data Sheet]. Read through it to see how +The main source of information about the LSM303AGR is its [Data Sheet]. Read through it to see how one can read the sensors' registers. That part is in: -[Data Sheet]: http://www.st.com/resource/en/datasheet/lsm303dlhc.pdf +[Data Sheet]: https://www.st.com/resource/en/datasheet/lsm303agr.pdf -> Section 5.1.1 I2C Operation - Page 20 - LSM303DLHC Data Sheet +> Section 6.1.1 I2C Operation - Page 38 - LSM303AGR Data Sheet The other part of the documentation relevant to this book is the description of the registers. That part is in: -> Section 7 Register description - Page 25 - LSM303DLHC Data Sheet +> Section 8 Register description - Page 46 - LSM303AGR Data Sheet diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 213f5b25d..f1ee10585 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -28,7 +28,7 @@ - [My solution](07-uart/my-solution.md) - [I2C](14-i2c/README.md) - [The general protocol](14-i2c/the-general-protocol.md) - - [LSM303DLHC](14-i2c/lsm303dlhc.md) + - [LSM303AGR](14-i2c/lsm303agr.md) - [Read a single register](14-i2c/read-a-single-register.md) - [The solution](14-i2c/the-solution.md) - [Read several registers](14-i2c/read-several-registers.md) From 22775ae4c84ba26ee5dfcea8fb8be3a3387b9834 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 27 Mar 2021 16:57:55 +0100 Subject: [PATCH 168/313] move 14-i2c to 08-i2c --- Cargo.toml | 2 +- src/{14-i2c => 08-i2c}/.cargo/config | 0 src/{14-i2c => 08-i2c}/Cargo.toml | 0 src/{14-i2c => 08-i2c}/README.md | 0 src/{14-i2c => 08-i2c}/auxiliary/Cargo.toml | 0 src/{14-i2c => 08-i2c}/auxiliary/src/lib.rs | 0 src/{14-i2c => 08-i2c}/lsm303agr.md | 0 src/{14-i2c => 08-i2c}/openocd.gdb | 0 src/{14-i2c => 08-i2c}/read-a-single-register.md | 0 src/{14-i2c => 08-i2c}/read-several-registers.md | 0 src/{14-i2c => 08-i2c}/src/main.rs | 0 src/{14-i2c => 08-i2c}/target | 0 src/{14-i2c => 08-i2c}/the-general-protocol.md | 0 src/{14-i2c => 08-i2c}/the-solution.md | 0 src/SUMMARY.md | 12 ++++++------ 15 files changed, 7 insertions(+), 7 deletions(-) rename src/{14-i2c => 08-i2c}/.cargo/config (100%) rename src/{14-i2c => 08-i2c}/Cargo.toml (100%) rename src/{14-i2c => 08-i2c}/README.md (100%) rename src/{14-i2c => 08-i2c}/auxiliary/Cargo.toml (100%) rename src/{14-i2c => 08-i2c}/auxiliary/src/lib.rs (100%) rename src/{14-i2c => 08-i2c}/lsm303agr.md (100%) rename src/{14-i2c => 08-i2c}/openocd.gdb (100%) rename src/{14-i2c => 08-i2c}/read-a-single-register.md (100%) rename src/{14-i2c => 08-i2c}/read-several-registers.md (100%) rename src/{14-i2c => 08-i2c}/src/main.rs (100%) rename src/{14-i2c => 08-i2c}/target (100%) rename src/{14-i2c => 08-i2c}/the-general-protocol.md (100%) rename src/{14-i2c => 08-i2c}/the-solution.md (100%) diff --git a/Cargo.toml b/Cargo.toml index 2e2500fdf..5f74a4a05 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -3,7 +3,7 @@ members = [ "src/03-setup", "src/05-led-roulette", "src/07-uart", - "src/14-i2c", + "src/08-i2c", "src/15-led-compass", "src/16-punch-o-meter", ] diff --git a/src/14-i2c/.cargo/config b/src/08-i2c/.cargo/config similarity index 100% rename from src/14-i2c/.cargo/config rename to src/08-i2c/.cargo/config diff --git a/src/14-i2c/Cargo.toml b/src/08-i2c/Cargo.toml similarity index 100% rename from src/14-i2c/Cargo.toml rename to src/08-i2c/Cargo.toml diff --git a/src/14-i2c/README.md b/src/08-i2c/README.md similarity index 100% rename from src/14-i2c/README.md rename to src/08-i2c/README.md diff --git a/src/14-i2c/auxiliary/Cargo.toml b/src/08-i2c/auxiliary/Cargo.toml similarity index 100% rename from src/14-i2c/auxiliary/Cargo.toml rename to src/08-i2c/auxiliary/Cargo.toml diff --git a/src/14-i2c/auxiliary/src/lib.rs b/src/08-i2c/auxiliary/src/lib.rs similarity index 100% rename from src/14-i2c/auxiliary/src/lib.rs rename to src/08-i2c/auxiliary/src/lib.rs diff --git a/src/14-i2c/lsm303agr.md b/src/08-i2c/lsm303agr.md similarity index 100% rename from src/14-i2c/lsm303agr.md rename to src/08-i2c/lsm303agr.md diff --git a/src/14-i2c/openocd.gdb b/src/08-i2c/openocd.gdb similarity index 100% rename from src/14-i2c/openocd.gdb rename to src/08-i2c/openocd.gdb diff --git a/src/14-i2c/read-a-single-register.md b/src/08-i2c/read-a-single-register.md similarity index 100% rename from src/14-i2c/read-a-single-register.md rename to src/08-i2c/read-a-single-register.md diff --git a/src/14-i2c/read-several-registers.md b/src/08-i2c/read-several-registers.md similarity index 100% rename from src/14-i2c/read-several-registers.md rename to src/08-i2c/read-several-registers.md diff --git a/src/14-i2c/src/main.rs b/src/08-i2c/src/main.rs similarity index 100% rename from src/14-i2c/src/main.rs rename to src/08-i2c/src/main.rs diff --git a/src/14-i2c/target b/src/08-i2c/target similarity index 100% rename from src/14-i2c/target rename to src/08-i2c/target diff --git a/src/14-i2c/the-general-protocol.md b/src/08-i2c/the-general-protocol.md similarity index 100% rename from src/14-i2c/the-general-protocol.md rename to src/08-i2c/the-general-protocol.md diff --git a/src/14-i2c/the-solution.md b/src/08-i2c/the-solution.md similarity index 100% rename from src/14-i2c/the-solution.md rename to src/08-i2c/the-solution.md diff --git a/src/SUMMARY.md b/src/SUMMARY.md index f1ee10585..6724aada5 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -26,12 +26,12 @@ - [Echo server](07-uart/echo-server.md) - [Reverse a string](07-uart/reverse-a-string.md) - [My solution](07-uart/my-solution.md) -- [I2C](14-i2c/README.md) - - [The general protocol](14-i2c/the-general-protocol.md) - - [LSM303AGR](14-i2c/lsm303agr.md) - - [Read a single register](14-i2c/read-a-single-register.md) - - [The solution](14-i2c/the-solution.md) - - [Read several registers](14-i2c/read-several-registers.md) +- [I2C](08-i2c/README.md) + - [The general protocol](08-i2c/the-general-protocol.md) + - [LSM303AGR](08-i2c/lsm303agr.md) + - [Read a single register](08-i2c/read-a-single-register.md) + - [The solution](08-i2c/the-solution.md) + - [Read several registers](08-i2c/read-several-registers.md) - [LED compass](15-led-compass/README.md) - [Take 1](15-led-compass/take-1.md) - [Solution 1](15-led-compass/solution-1.md) From 33c508a6c234708a14d4e81e7a8203889520f66f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 27 Mar 2021 17:10:04 +0100 Subject: [PATCH 169/313] Fix the CI for I2C --- .github/workflows/ci.yml | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index 1365b0a08..b97083f6b 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -14,9 +14,7 @@ jobs: chapter: - 05-led-roulette - 07-uart - - 08-leds-again - - 09-clocks-and-timers - - 14-i2c + - 08-i2c - 15-led-compass - 16-punch-o-meter steps: From c7875f4122de1775b225d41a32f7ccc582ccfe45 Mon Sep 17 00:00:00 2001 From: D4ntin <9372835+D4ntin@users.noreply.github.com> Date: Mon, 29 Mar 2021 16:20:15 +0200 Subject: [PATCH 170/313] Update flash-it.md fixed typo "First thing we need ~is~ to do is launch OpenOCD." --- src/05-led-roulette/flash-it.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index e64ba5555..7b002d5c2 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -7,7 +7,7 @@ In this case, our `led-roulette` program will be the *only* program in the micro By this I mean that there's nothing else running on the microcontroller: no OS, no "daemon", nothing. `led-roulette` has full control over the device. -Onto the actual flashing. First thing we need is to do is launch OpenOCD. We did that in the +Onto the actual flashing. First thing we need to do is launch OpenOCD. We did that in the previous section but this time we'll run the command inside a temporary directory (`/tmp` on \*nix; `%TEMP%` on Windows). From 6b707dbf655fedc845eaf109ab59776b49bdc4f1 Mon Sep 17 00:00:00 2001 From: Jonathan Arnett Date: Tue, 6 Apr 2021 21:14:56 -0400 Subject: [PATCH 171/313] Fix small typo in Linux setup My device has identical `idProduct` and `idVendor` values to the one used for the documentation. `lsusb | grep STM` outputs: ``` Bus 003 Device 033: ID 0483:374b STMicroelectronics ST-LINK/V2.1 ``` The verbose version, `lsusb -v`, outputs ``` ... idVendor 0x0483 STMicroelectronics idProduct 0x374b ST-LINK/V2.1 ... ``` Which leads me to the belief that the first value in the short output is the vendor and the latter is the product. --- src/03-setup/linux.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 7985ccb7a..0835d28a2 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -136,7 +136,7 @@ It should result in something like: $ lsusb | grep ST-LINK Bus 003 Device 003: ID 0483:374b STMicroelectronics ST-LINK/V2.1 ``` -So the `idProduct` is `0483` and `idVendor` is `374b`. +So the `idVendor` is `0483` and `idProduct` is `374b`. ### Create `/etc/udev/rules.d/99-openocd.rules`: ``` console From fb2ec314298b5002c694e3874942d10a804be650 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 10 Apr 2021 19:28:40 +0200 Subject: [PATCH 172/313] the rest of I2C without my solution --- src/08-i2c/.cargo/config | 5 +- src/08-i2c/Cargo.toml | 12 ++- src/08-i2c/Embed.toml | 11 ++ src/08-i2c/auxiliary/Cargo.toml | 14 --- src/08-i2c/auxiliary/src/lib.rs | 42 -------- src/08-i2c/build.rs | 30 ++++++ src/08-i2c/memory.x | 6 ++ src/08-i2c/my-solution.md | 1 + src/08-i2c/openocd.gdb | 10 -- src/08-i2c/read-a-single-register.md | 83 +++++++++------ src/08-i2c/read-several-registers.md | 151 --------------------------- src/08-i2c/src/main.rs | 55 +++++----- src/08-i2c/target | 1 - src/08-i2c/the-challenge.md | 9 ++ src/08-i2c/the-solution.md | 69 ------------ src/08-i2c/using-a-driver.md | 86 +++++++++++++++ src/SUMMARY.md | 5 +- 17 files changed, 236 insertions(+), 354 deletions(-) create mode 100644 src/08-i2c/Embed.toml delete mode 100644 src/08-i2c/auxiliary/Cargo.toml delete mode 100644 src/08-i2c/auxiliary/src/lib.rs create mode 100644 src/08-i2c/build.rs create mode 100644 src/08-i2c/memory.x create mode 100644 src/08-i2c/my-solution.md delete mode 100644 src/08-i2c/openocd.gdb delete mode 100644 src/08-i2c/read-several-registers.md delete mode 120000 src/08-i2c/target create mode 100644 src/08-i2c/the-challenge.md delete mode 100644 src/08-i2c/the-solution.md create mode 100644 src/08-i2c/using-a-driver.md diff --git a/src/08-i2c/.cargo/config b/src/08-i2c/.cargo/config index f27a4a749..a0ec1777f 100644 --- a/src/08-i2c/.cargo/config +++ b/src/08-i2c/.cargo/config @@ -1,8 +1,7 @@ -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" +[target.'cfg(all(target_arch = "arm", target_os = "none"))'] rustflags = [ "-C", "link-arg=-Tlink.x", ] [build] -target = "thumbv7em-none-eabihf" +target = "thumbv6m-none-eabi" diff --git a/src/08-i2c/Cargo.toml b/src/08-i2c/Cargo.toml index 4f33f527a..e13c6548f 100644 --- a/src/08-i2c/Cargo.toml +++ b/src/08-i2c/Cargo.toml @@ -1,8 +1,16 @@ [package] -authors = ["Jorge Aparicio "] +authors = ["Henrik Böving "] edition = "2018" name = "i2c" version = "0.1.0" [dependencies] -aux14 = { path = "auxiliary" } +cortex-m = "0.6.0" +cortex-m-rt = "0.6.10" +panic-halt = "0.2.0" +nrf51-hal = "0.11.0" +rtt-target = { version = "0.2.2", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } +nb = "1.0.0" +heapless = "0.5.6" +lsm303agr = "0.1.1" \ No newline at end of file diff --git a/src/08-i2c/Embed.toml b/src/08-i2c/Embed.toml new file mode 100644 index 000000000..321db357b --- /dev/null +++ b/src/08-i2c/Embed.toml @@ -0,0 +1,11 @@ +[default.general] +chip = "nrf51822_xxAA" + +[default.reset] +halt_afterwards = false + +[default.rtt] +enabled = true + +[default.gdb] +enabled = false diff --git a/src/08-i2c/auxiliary/Cargo.toml b/src/08-i2c/auxiliary/Cargo.toml deleted file mode 100644 index 0a582ddba..000000000 --- a/src/08-i2c/auxiliary/Cargo.toml +++ /dev/null @@ -1,14 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "aux14" -version = "0.1.0" - -[dependencies] -cortex-m = "0.6.3" -cortex-m-rt = "0.6.3" -panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" diff --git a/src/08-i2c/auxiliary/src/lib.rs b/src/08-i2c/auxiliary/src/lib.rs deleted file mode 100644 index c41b37f9e..000000000 --- a/src/08-i2c/auxiliary/src/lib.rs +++ /dev/null @@ -1,42 +0,0 @@ -//! Initialization code - -#![no_std] - -#[allow(unused_extern_crates)] // bug rust-lang/rust#53964 -extern crate panic_itm; // panic handler - -pub use cortex_m::{asm::bkpt, iprint, iprintln}; -pub use cortex_m_rt::entry; -pub use f3::hal::{delay::Delay, prelude, stm32f30x::i2c1}; - -use cortex_m::peripheral::ITM; -use f3::{ - hal::{ - i2c::I2c, - prelude::*, - stm32f30x::{self, I2C1}, - }, - Lsm303dlhc, -}; - -pub fn init() -> (&'static i2c1::RegisterBlock, Delay, ITM) { - let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); - - let mut flash = dp.FLASH.constrain(); - let mut rcc = dp.RCC.constrain(); - - let clocks = rcc.cfgr.freeze(&mut flash.acr); - - let mut gpiob = dp.GPIOB.split(&mut rcc.ahb); - let scl = gpiob.pb6.into_af4(&mut gpiob.moder, &mut gpiob.afrl); - let sda = gpiob.pb7.into_af4(&mut gpiob.moder, &mut gpiob.afrl); - - let i2c = I2c::i2c1(dp.I2C1, (scl, sda), 400.khz(), clocks, &mut rcc.apb1); - - Lsm303dlhc::new(i2c).unwrap(); - - let delay = Delay::new(cp.SYST, clocks); - - unsafe { (&mut *(I2C1::ptr() as *mut _), delay, cp.ITM) } -} diff --git a/src/08-i2c/build.rs b/src/08-i2c/build.rs new file mode 100644 index 000000000..c8d8c9141 --- /dev/null +++ b/src/08-i2c/build.rs @@ -0,0 +1,30 @@ +//! This build script copies the `memory.x` file from the crate root into +//! a directory where the linker can always find it at build time. +//! For many projects this is optional, as the linker always searches the +//! project root directory (wherever `Cargo.toml` is). However, if you +//! are using a workspace or have a more complicated build setup, this +//! build script becomes required. Additionally, by requesting that +//! Cargo re-run the build script whenever `memory.x` is changed, +//! a rebuild of the application with new memory settings is ensured after updating `memory.x`. + +use std::env; +use std::fs::File; +use std::io::Write; +use std::path::PathBuf; + +fn main() { + // Put `memory.x` in our output directory and ensure it's + // on the linker search path. + let out = &PathBuf::from(env::var_os("OUT_DIR").unwrap()); + File::create(out.join("memory.x")) + .unwrap() + .write_all(include_bytes!("memory.x")) + .unwrap(); + println!("cargo:rustc-link-search={}", out.display()); + + // By default, Cargo will re-run a build script whenever + // any file in the project changes. By specifying `memory.x` + // here, we ensure the build script is only re-run when + // `memory.x` is changed. + println!("cargo:rerun-if-changed=memory.x"); +} diff --git a/src/08-i2c/memory.x b/src/08-i2c/memory.x new file mode 100644 index 000000000..9e2ab65f6 --- /dev/null +++ b/src/08-i2c/memory.x @@ -0,0 +1,6 @@ +MEMORY +{ + /* NOTE K = KiBi = 1024 bytes */ + FLASH : ORIGIN = 0x00000000, LENGTH = 256K + RAM : ORIGIN = 0x20000000, LENGTH = 16K +} diff --git a/src/08-i2c/my-solution.md b/src/08-i2c/my-solution.md new file mode 100644 index 000000000..63845e651 --- /dev/null +++ b/src/08-i2c/my-solution.md @@ -0,0 +1 @@ +# My solution diff --git a/src/08-i2c/openocd.gdb b/src/08-i2c/openocd.gdb deleted file mode 100644 index cafe49b1b..000000000 --- a/src/08-i2c/openocd.gdb +++ /dev/null @@ -1,10 +0,0 @@ -target remote :3333 -set print asm-demangle on -set print pretty on -monitor tpiu config internal itm.txt uart off 8000000 -monitor itm port 0 on -load -break DefaultHandler -break UserHardFault -break main -continue diff --git a/src/08-i2c/read-a-single-register.md b/src/08-i2c/read-a-single-register.md index c1a34ae06..67a5b8402 100644 --- a/src/08-i2c/read-a-single-register.md +++ b/src/08-i2c/read-a-single-register.md @@ -2,40 +2,59 @@ Let's put all that theory into practice! -Just like with the USART peripheral, I've taken care of initializing everything before you reach -`main` so you'll only have to deal with the following registers: - -- `CR2`. Control register 2. -- `ISR`. Interrupt and status register. -- `TXDR`. Transmit data register. -- `RXDR`. Receive data register. - -These registers are documented in the following section of the Reference Manual: - -> Section 28.7 I2C registers - Page 868 - Reference Manual - -We'll be using the `I2C1` peripheral in conjunction with pins `PB6` (`SCL`) and `PB7` (`SDA`). - -You won't have to wire anything this time because the sensor is on the board and it's already -connected to the microcontroller. However, I would recommend that you disconnect the serial / -Bluetooth module from the F3 to make it easier to manipulate. Later on, we'll be moving the board -around quite a bit. - -Your task is to write a program that reads the contents of the magnetometer's `IRA_REG_M` register. -This register is read only and always contains the value `0b01001000`. - -The microcontroller will be taking the role of the I2C master and the magnetometer inside the -LSM303DLHC will be the I2C slave. - -Here's the starter code. You'll have to implement the `TODO`s. +First things first we need to know the slave addresses of both the accelerometer +and the magnetometer inside the chip, these can be found in the LSM303AGR's +datasheet on page 39 and are: + +- 0011001 for the accelerometer +- 0011110 for the magnetometer + +> **NOTE** Remember that these are only the 7 leading bits of the address, +> the 8th bit is going to be the bit that determines whether we are +> performing a read or write. + +Next up we'll need a register to read from. Lots of I2C chips out there will +provide some sort of device identification register for their masters to read. +This is done since considering the thousands (or even millions) of I2C chips +out there it is highly likely that at some point two chips with the same address +will end up being built (after all the address is "only" 7 bit wide). With +this device ID register a driver could then make sure that it is indeed talking +to a LSM303AGR and not some other chip that just happens to have the same address. +As you can read in the LSM303AGR's datasheet (specifically on page 46 and 61) +it does provide two registers called `WHO_AM_I_A` at address `0x0f` and `WHO_AM_I_M` +at address `0x4f` which contain some bit patterns that are unique to the device +(The A is as in accelerometer and the M is as in magnetometer). + +With these two things regarding the slave chip out of the way we'll now have +to take a look at the master. As always we'll have to figure out the way our +microcontroller is connected to the chip we want to communicate with. On page +3 of the [schematic] you'll find the LSM303AGR, as you already know from the +I2C protocol description we are particularly interested in where the SCL and SDA +lines are connected to. On page 5 of the schematic you'll see that SCL is connected +to P0.00 and SDA is connected to P0.30. + +[schematic]: https://github.com/bbcmicrobit/hardware/blob/master/V1.5/SCH_BBC-Microbit_V1.5.PDF + +The only thing missing now is the software part, i.e. which API of the nrf51-hal +we should use for this. However if you take a look at the index of the +[HAL's documentation] you'll notice that nothing named I2C is actually noted +there. This is because some manufacturers don't name their I2C peripheral +I2C but instead TWI (as in Two Wire Interface), meaning that the twi module +is the one we are interested in. + +[HAL's documentation]: https://docs.rs/nrf51-hal/0.12.1/nrf51_hal/index.html + +Now if we put the documentation of this module together will all the other information +we have gathered so far we'll end up with this piece of code to read out and +print the two device IDs: ``` rust {{#include src/main.rs}} ``` -To give you some extra help, these are the exact bitfields you'll be working with: - -- `CR2`: `SADD1`, `RD_WRN`, `NBYTES`, `START`, `AUTOEND` -- `ISR`: `TXIS`, `RXNE`, `TC` -- `TXDR`: `TXDATA` -- `RXDR`: `RXDATA` +## Testing it +As always you can just use +``` +$ carg-embed +``` +in order to test our little example program. diff --git a/src/08-i2c/read-several-registers.md b/src/08-i2c/read-several-registers.md deleted file mode 100644 index c162723c5..000000000 --- a/src/08-i2c/read-several-registers.md +++ /dev/null @@ -1,151 +0,0 @@ -# Read several registers - -Reading the `IRA_REG_M` register was a good test of our understanding of the I2C protocol but that -register contains uninteresting information. - -This time, we'll read the registers of the magnetometer that actually expose the sensor readings. -Six contiguous registers are involved and they start with `OUT_X_H_M` at address `0x03`. - -We'll modify our previous program to read these six registers. Only a few modifications are needed. - -We'll need to change the address we request from the magnetometer from `IRA_REG_M` to `OUT_X_H_M`. - -``` rust - // Send the address of the register that we want to read: OUT_X_H_M - i2c1.txdr.write(|w| w.txdata().bits(OUT_X_H_M)); -``` - -We'll have to request the slave for six bytes rather than just one. - -``` rust - // Broadcast RESTART - // Broadcast the MAGNETOMETER address with the R/W bit set to Read - i2c1.cr2.modify(|_, w| { - w.start().set_bit(); - w.nbytes().bits(6); - w.rd_wrn().set_bit(); - w.autoend().set_bit() - }); -``` - -And fill a buffer rather than read just one byte: - -``` rust - let mut buffer = [0u8; 6]; - for byte in &mut buffer { - // Wait until we have received the contents of the register - while i2c1.isr.read().rxne().bit_is_clear() {} - - *byte = i2c1.rxdr.read().rxdata().bits(); - } - - // Broadcast STOP (automatic because of `AUTOEND = 1`) -``` - -Putting it all together inside a loop alongside a delay to reduce the data throughput: - -``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux14::{entry, iprint, iprintln, prelude::*}; - -// Slave address -const MAGNETOMETER: u8 = 0b001_1110; - -// Addresses of the magnetometer's registers -const OUT_X_H_M: u8 = 0x03; -const IRA_REG_M: u8 = 0x0A; - -#[entry] -fn main() -> ! { - let (i2c1, mut delay, mut itm) = aux14::init(); - - loop { - // Broadcast START - // Broadcast the MAGNETOMETER address with the R/W bit set to Write - i2c1.cr2.write(|w| { - w.start().set_bit(); - w.sadd1().bits(MAGNETOMETER); - w.rd_wrn().clear_bit(); - w.nbytes().bits(1); - w.autoend().clear_bit() - }); - - // Wait until we can send more data - while i2c1.isr.read().txis().bit_is_clear() {} - - // Send the address of the register that we want to read: OUT_X_H_M - i2c1.txdr.write(|w| w.txdata().bits(OUT_X_H_M)); - - // Wait until the previous byte has been transmitted - while i2c1.isr.read().tc().bit_is_clear() {} - - // Broadcast RESTART - // Broadcast the MAGNETOMETER address with the R/W bit set to Read - i2c1.cr2.modify(|_, w| { - w.start().set_bit(); - w.nbytes().bits(6); - w.rd_wrn().set_bit(); - w.autoend().set_bit() - }); - - let mut buffer = [0u8; 6]; - for byte in &mut buffer { - // Wait until we have received something - while i2c1.isr.read().rxne().bit_is_clear() {} - - *byte = i2c1.rxdr.read().rxdata().bits(); - } - // Broadcast STOP (automatic because of `AUTOEND = 1`) - - iprintln!(&mut itm.stim[0], "{:?}", buffer); - - delay.delay_ms(1_000_u16); - } -} -``` - -If you run this, you should printed in the `itmdump`'s console a new array of six bytes every -second. The values within the array should change if you move around the board. - -``` console -$ # itmdump terminal -(..) -[0, 45, 255, 251, 0, 193] -[0, 44, 255, 249, 0, 193] -[0, 49, 255, 250, 0, 195] -``` - -But these bytes don't make much sense like that. Let's turn them into actual readings: - -``` rust - let x_h = u16::from(buffer[0]); - let x_l = u16::from(buffer[1]); - let z_h = u16::from(buffer[2]); - let z_l = u16::from(buffer[3]); - let y_h = u16::from(buffer[4]); - let y_l = u16::from(buffer[5]); - - let x = ((x_h << 8) + x_l) as i16; - let y = ((y_h << 8) + y_l) as i16; - let z = ((z_h << 8) + z_l) as i16; - - iprintln!(&mut itm.stim[0], "{:?}", (x, y, z)); -``` - -Now it should look better: - -``` console -$ # `itmdump terminal -(..) -(44, 196, -7) -(45, 195, -6) -(46, 196, -9) -``` - -This is the Earth's magnetic field decomposed alongside the XYZ axis of the magnetometer. - -In the next section, we'll learn how to make sense of these numbers. diff --git a/src/08-i2c/src/main.rs b/src/08-i2c/src/main.rs index 8da6b2bf6..2aa5b3e63 100644 --- a/src/08-i2c/src/main.rs +++ b/src/08-i2c/src/main.rs @@ -2,44 +2,43 @@ #![no_main] #![no_std] -#[allow(unused_imports)] -use aux14::{entry, iprint, iprintln, prelude::*}; +use cortex_m_rt::entry; +use rtt_target::{rtt_init_print, rprintln}; +use panic_rtt_target as _; +use nrf51_hal as hal; +use hal::prelude::*; -// Slave address -const MAGNETOMETER: u8 = 0b001_1110; +const ACCELEROMETER_ADDR: u8 = 0b0011001; +const MAGNETOMETER_ADDR: u8 = 0b0011110; -// Addresses of the magnetometer's registers -const OUT_X_H_M: u8 = 0x03; -const IRA_REG_M: u8 = 0x0A; +const ACCELEROMETER_ID_REG: u8 = 0x0f; +const MAGNETOMETER_ID_REG: u8 = 0x4f; #[entry] fn main() -> ! { - let (i2c1, _delay, mut itm) = aux14::init(); + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); - // Stage 1: Send the address of the register we want to read to the - // magnetometer - { - // TODO Broadcast START + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let scl = p0.p0_00.into_floating_input().degrade(); + let sda = p0.p0_30.into_floating_input().degrade(); - // TODO Broadcast the MAGNETOMETER address with the R/W bit set to Write - - // TODO Send the address of the register that we want to read: IRA_REG_M - } - - // Stage 2: Receive the contents of the register we asked for - let byte = { - // TODO Broadcast RESTART - - // TODO Broadcast the MAGNETOMETER address with the R/W bit set to Read + let pins = hal::twi::Pins { + scl, + sda, + }; - // TODO Receive the contents of the register + // Use a frequency of 100 khz for the bus + let mut i2c = hal::twi::Twi::new(p.TWI1, pins, hal::pac::twi0::frequency::FREQUENCY_A::K100); + let mut ac_data = [0]; + let mut ma_data = [0]; - // TODO Broadcast STOP - 0 - }; + // First write the address + register onto the bus, then read the chip's responses + i2c.write_read(ACCELEROMETER_ADDR, &[ACCELEROMETER_ID_REG], &mut ac_data).unwrap(); + i2c.write_read(MAGNETOMETER_ADDR, &[MAGNETOMETER_ID_REG], &mut ma_data).unwrap(); - // Expected output: 0x0A - 0b01001000 - iprintln!(&mut itm.stim[0], "0x{:02X} - 0b{:08b}", IRA_REG_M, byte); + rprintln!("The accelerometer chip's id is: {:#b}", ac_data[0]); + rprintln!("The magnetometer chip's id is: {:#b}", ma_data[0]); loop {} } diff --git a/src/08-i2c/target b/src/08-i2c/target deleted file mode 120000 index 6bcd2fc5d..000000000 --- a/src/08-i2c/target +++ /dev/null @@ -1 +0,0 @@ -../../target \ No newline at end of file diff --git a/src/08-i2c/the-challenge.md b/src/08-i2c/the-challenge.md new file mode 100644 index 000000000..26c9773df --- /dev/null +++ b/src/08-i2c/the-challenge.md @@ -0,0 +1,9 @@ +# The challenge + +The challenge for this chapter is, to build a small application that +communicates with the outside world via the serial interface introduced +in the last chapter. It should be able to receive the commands "magnetometer" +as well as "accelerometer" and then print the corresponding sensor data +in response. This time no template code will be provided since all you need +(apart from the magnetometer API which you will have to look up in the documentation) +is already provided in the UART and this chapter. diff --git a/src/08-i2c/the-solution.md b/src/08-i2c/the-solution.md deleted file mode 100644 index 6455c1200..000000000 --- a/src/08-i2c/the-solution.md +++ /dev/null @@ -1,69 +0,0 @@ -# The solution - -``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux14::{entry, iprint, iprintln, prelude::*}; - -// Slave address -const MAGNETOMETER: u8 = 0b001_1110; - -// Addresses of the magnetometer's registers -const OUT_X_H_M: u8 = 0x03; -const IRA_REG_M: u8 = 0x0A; - -#[entry] -fn main() -> ! { - let (i2c1, _delay, mut itm) = aux14::init(); - - // Stage 1: Send the address of the register we want to read to the - // magnetometer - { - // Broadcast START - // Broadcast the MAGNETOMETER address with the R/W bit set to Write - i2c1.cr2.write(|w| { - w.start().set_bit(); - w.sadd1().bits(MAGNETOMETER); - w.rd_wrn().clear_bit(); - w.nbytes().bits(1); - w.autoend().clear_bit() - }); - - // Wait until we can send more data - while i2c1.isr.read().txis().bit_is_clear() {} - - // Send the address of the register that we want to read: IRA_REG_M - i2c1.txdr.write(|w| w.txdata().bits(IRA_REG_M)); - - // Wait until the previous byte has been transmitted - while i2c1.isr.read().tc().bit_is_clear() {} - } - - // Stage 2: Receive the contents of the register we asked for - let byte = { - // Broadcast RESTART - // Broadcast the MAGNETOMETER address with the R/W bit set to Read - i2c1.cr2.modify(|_, w| { - w.start().set_bit(); - w.nbytes().bits(1); - w.rd_wrn().set_bit(); - w.autoend().set_bit() - }); - - // Wait until we have received the contents of the register - while i2c1.isr.read().rxne().bit_is_clear() {} - - // Broadcast STOP (automatic because of `AUTOEND = 1`) - - i2c1.rxdr.read().rxdata().bits() - }; - - // Expected output: 0x0A - 0b01001000 - iprintln!(&mut itm.stim[0], "0x{:02X} - 0b{:08b}", IRA_REG_M, byte); - - loop {} -} -``` diff --git a/src/08-i2c/using-a-driver.md b/src/08-i2c/using-a-driver.md new file mode 100644 index 000000000..82bbb4c2f --- /dev/null +++ b/src/08-i2c/using-a-driver.md @@ -0,0 +1,86 @@ +# Using a driver + +As we already discussed in chapter 5 `embedded-hal` provides abstractions +which can be used to write platform independent code that can interact with +hardware. In fact all the methods we have used to interact with hardware +in chapter 7 and up until now in chapter 8 were from traits, defined by `embedded-hal`. +Now we'll make actual use of the traits defined by `embedded-hal` provides for the first time. + +Since it would be pointless to just implement a driver for our LSM303AGR for every platform +embedded Rust supports (and new ones that might eventually pop up) one can write a driver +that simply consume generic types that implement `embedded-hal` traits in order to provide +a platform agnostic version of a driver. Luckily for us this has already been done in the +[lsm303agr] crate. Hence reading the actual accelerometer and magnetometer values will now +be basically a plug and play experience + reading a bit of documentation. In fact the crates.io +page already provides us with everything we need to know in order to read accelerometer data, we'll +just have to adapt it to our chip: + +[lsm303agr]: https://crates.io/crates/lsm303agr + +```rust +use linux_embedded_hal::I2cdev; +use lsm303agr::{AccelOutputDataRate, Lsm303agr}; + +fn main() { + let dev = I2cdev::new("/dev/i2c-1").unwrap(); + let mut sensor = Lsm303agr::new_with_i2c(dev); + sensor.init().unwrap(); + sensor.set_accel_odr(AccelOutputDataRate::Hz50).unwrap(); + loop { + if sensor.accel_status().unwrap().xyz_new_data { + let data = sensor.accel_data().unwrap(); + println!("Acceleration: x {} y {} z {}", data.x, data.y, data.z); + } + } +} +``` + +Because we already know how to create an instance of an object that implements +the `embedded-hal` I2C traits from the last page this is quite trivial: + +```rust +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::{rtt_init_print, rprintln}; +use panic_rtt_target as _; +use nrf51_hal as hal; +use hal::prelude::*; +use lsm303agr::{AccelOutputDataRate, Lsm303agr}; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); + + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let scl = p0.p0_00.into_floating_input().degrade(); + let sda = p0.p0_30.into_floating_input().degrade(); + + let pins = hal::twi::Pins { + scl, + sda, + }; + + // Use a frequency of 100 khz for the bus + let i2c = hal::twi::Twi::new(p.TWI1, pins, hal::pac::twi0::frequency::FREQUENCY_A::K100); + + // Code from documentation + let mut sensor = Lsm303agr::new_with_i2c(i2c); + sensor.init().unwrap(); + sensor.set_accel_odr(AccelOutputDataRate::Hz50).unwrap(); + loop { + if sensor.accel_status().unwrap().xyz_new_data { + let data = sensor.accel_data().unwrap(); + // RTT instead of normal print + rprintln!("Acceleration: x {} y {} z {}", data.x, data.y, data.z); + } + } +} +``` + +Just like the last snippet you should just be able to try this out with `cargo-embed`. +Furthermore if you (physically) move around your micro:bit a little bit you should see the +acceleration numbers that are being printed change. diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 6724aada5..990046216 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -30,8 +30,9 @@ - [The general protocol](08-i2c/the-general-protocol.md) - [LSM303AGR](08-i2c/lsm303agr.md) - [Read a single register](08-i2c/read-a-single-register.md) - - [The solution](08-i2c/the-solution.md) - - [Read several registers](08-i2c/read-several-registers.md) + - [Using a driver](08-i2c/using-a-driver.md) + - [The challenge](08-i2c/the-challenge.md) + - [My solution](08-i2c/my-solution.md) - [LED compass](15-led-compass/README.md) - [Take 1](15-led-compass/take-1.md) - [Solution 1](15-led-compass/solution-1.md) From e5f94a005815e443f35a1b36b3020e40f32bb9aa Mon Sep 17 00:00:00 2001 From: Patrick Doyle Date: Fri, 23 Apr 2021 12:05:11 -0400 Subject: [PATCH 173/313] Fix breaking change in cortex-m 0.5.11. Fix it by sticking with cortex-m 0.5.6. --- src/11-usart/auxiliary/Cargo.toml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/11-usart/auxiliary/Cargo.toml b/src/11-usart/auxiliary/Cargo.toml index 252253981..0a052ec44 100644 --- a/src/11-usart/auxiliary/Cargo.toml +++ b/src/11-usart/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux11" version = "0.1.0" [dependencies] -cortex-m = "0.5.6" +cortex-m = "=0.5.6" # 0.5.11 introduces a breaking change. Use 0.5.6, since we know it works for this example cortex-m-rt = "0.6.3" panic-itm = "0.4.0" From 574029e8cb4fa202b674e44a77b2aaeca919f987 Mon Sep 17 00:00:00 2001 From: Patrick Doyle Date: Sun, 25 Apr 2021 15:55:33 -0400 Subject: [PATCH 174/313] Cleanup from review comments -- use cortex-m 0.5.6 in chapters 14 & 15 --- src/14-i2c/auxiliary/Cargo.toml | 2 +- src/15-led-compass/auxiliary/Cargo.toml | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/src/14-i2c/auxiliary/Cargo.toml b/src/14-i2c/auxiliary/Cargo.toml index 0a582ddba..1de6af3b2 100644 --- a/src/14-i2c/auxiliary/Cargo.toml +++ b/src/14-i2c/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux14" version = "0.1.0" [dependencies] -cortex-m = "0.6.3" +cortex-m = "=0.5.6" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" diff --git a/src/15-led-compass/auxiliary/Cargo.toml b/src/15-led-compass/auxiliary/Cargo.toml index 8a7f25acd..13fbdcdc0 100644 --- a/src/15-led-compass/auxiliary/Cargo.toml +++ b/src/15-led-compass/auxiliary/Cargo.toml @@ -5,7 +5,7 @@ name = "aux15" version = "0.1.0" [dependencies] -cortex-m = "0.6.3" +cortex-m = "=0.5.6" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" From a4e2d71402f01065aff5736526f85b5cd42c5f6a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 5 May 2021 15:25:17 +0200 Subject: [PATCH 175/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/08-i2c/read-a-single-register.md | 6 +++--- src/08-i2c/using-a-driver.md | 2 +- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/src/08-i2c/read-a-single-register.md b/src/08-i2c/read-a-single-register.md index 67a5b8402..3859a07b4 100644 --- a/src/08-i2c/read-a-single-register.md +++ b/src/08-i2c/read-a-single-register.md @@ -35,11 +35,11 @@ to P0.00 and SDA is connected to P0.30. [schematic]: https://github.com/bbcmicrobit/hardware/blob/master/V1.5/SCH_BBC-Microbit_V1.5.PDF -The only thing missing now is the software part, i.e. which API of the nrf51-hal +The only thing missing now is the software part, i.e. which API of the `nrf51-hal` we should use for this. However if you take a look at the index of the [HAL's documentation] you'll notice that nothing named I2C is actually noted there. This is because some manufacturers don't name their I2C peripheral -I2C but instead TWI (as in Two Wire Interface), meaning that the twi module +"I2C" but instead "TWI" (as in Two Wire Interface), meaning that the TWI module is the one we are interested in. [HAL's documentation]: https://docs.rs/nrf51-hal/0.12.1/nrf51_hal/index.html @@ -55,6 +55,6 @@ print the two device IDs: ## Testing it As always you can just use ``` -$ carg-embed +$ cargo embed ``` in order to test our little example program. diff --git a/src/08-i2c/using-a-driver.md b/src/08-i2c/using-a-driver.md index 82bbb4c2f..613e0e91b 100644 --- a/src/08-i2c/using-a-driver.md +++ b/src/08-i2c/using-a-driver.md @@ -12,7 +12,7 @@ that simply consume generic types that implement `embedded-hal` traits in order a platform agnostic version of a driver. Luckily for us this has already been done in the [lsm303agr] crate. Hence reading the actual accelerometer and magnetometer values will now be basically a plug and play experience + reading a bit of documentation. In fact the crates.io -page already provides us with everything we need to know in order to read accelerometer data, we'll +page already provides us with everything we need to know in order to read accelerometer data but using a Raspberry Pi. We'll just have to adapt it to our chip: [lsm303agr]: https://crates.io/crates/lsm303agr From 8de244fcf199c922eccb77e9b3c1fd2e57cfb7d3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 5 May 2021 16:21:15 +0200 Subject: [PATCH 176/313] Fixes and I2C challenge solution --- src/08-i2c/my-solution.md | 84 ++++++++++++++++++++++++++++++++++++ src/08-i2c/src/main.rs | 12 +++--- src/08-i2c/the-challenge.md | 7 ++- src/08-i2c/using-a-driver.md | 8 ++-- 4 files changed, 99 insertions(+), 12 deletions(-) diff --git a/src/08-i2c/my-solution.md b/src/08-i2c/my-solution.md index 63845e651..f56e3feda 100644 --- a/src/08-i2c/my-solution.md +++ b/src/08-i2c/my-solution.md @@ -1 +1,85 @@ # My solution + +```rust +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; +use nrf51_hal as hal; +use hal::prelude::*; +use lsm303agr::{AccelOutputDataRate, MagOutputDataRate, Lsm303agr}; +use heapless::{consts, Vec, String}; +use nb::block; +use core::fmt::Write; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let p = hal::pac::Peripherals::take().unwrap(); + + let p0 = hal::gpio::p0::Parts::new(p.GPIO); + let scl = p0.p0_00.into_floating_input().degrade(); + let sda = p0.p0_30.into_floating_input().degrade(); + let rxd = p0.p0_25.into_floating_input().degrade(); + let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); + + let i2c_pins = hal::twi::Pins { + scl, + sda, + }; + + let uart_pins = hal::uart::Pins { + rxd, + txd, + cts: None, + rts: None + }; + + // Use a frequency of 100 khz for the bus + let i2c = hal::twi::Twi::new(p.TWI0, i2c_pins, hal::pac::twi0::frequency::FREQUENCY_A::K100); + let mut uart = hal::Uart::new(p.UART0, uart_pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); + + let mut sensor = Lsm303agr::new_with_i2c(i2c); + sensor.init().unwrap(); + sensor.set_accel_odr(AccelOutputDataRate::Hz50).unwrap(); + sensor.set_mag_odr(MagOutputDataRate::Hz50).unwrap(); + let mut sensor = sensor.into_mag_continuous().ok().unwrap(); + + loop { + let mut buffer: Vec = Vec::new(); + + loop { + let byte = block!(uart.read()).unwrap(); + + if buffer.push(byte).is_err() { + write!(&mut uart, "error: buffer full\r\n").unwrap(); + break; + } + + if byte == 13 { + break; + } + } + + let command_string = String::from_utf8(buffer).unwrap(); + if command_string.as_str().trim() == "accelerometer" { + while !sensor.accel_status().unwrap().xyz_new_data { + } + + let data = sensor.accel_data().unwrap(); + write!(&mut uart, "Accelerometer: x {} y {} z {}\r\n", data.x, data.y, data.z).unwrap(); + } else if command_string.as_str().trim() == "magnetometer" { + while !sensor.mag_status().unwrap().xyz_new_data { + } + + let data = sensor.mag_data().unwrap(); + write!(&mut uart, "Magnetometer: x {} y {} z {}\r\n", data.x, data.y, data.z).unwrap(); + } else { + write!(&mut uart, "error: command not detected\r\n").unwrap(); + } + } +} +``` diff --git a/src/08-i2c/src/main.rs b/src/08-i2c/src/main.rs index 2aa5b3e63..bec2c6cb9 100644 --- a/src/08-i2c/src/main.rs +++ b/src/08-i2c/src/main.rs @@ -30,15 +30,15 @@ fn main() -> ! { // Use a frequency of 100 khz for the bus let mut i2c = hal::twi::Twi::new(p.TWI1, pins, hal::pac::twi0::frequency::FREQUENCY_A::K100); - let mut ac_data = [0]; - let mut ma_data = [0]; + let mut acc = [0]; + let mut mag = [0]; // First write the address + register onto the bus, then read the chip's responses - i2c.write_read(ACCELEROMETER_ADDR, &[ACCELEROMETER_ID_REG], &mut ac_data).unwrap(); - i2c.write_read(MAGNETOMETER_ADDR, &[MAGNETOMETER_ID_REG], &mut ma_data).unwrap(); + i2c.write_read(ACCELEROMETER_ADDR, &[ACCELEROMETER_ID_REG], &mut acc).unwrap(); + i2c.write_read(MAGNETOMETER_ADDR, &[MAGNETOMETER_ID_REG], &mut mag).unwrap(); - rprintln!("The accelerometer chip's id is: {:#b}", ac_data[0]); - rprintln!("The magnetometer chip's id is: {:#b}", ma_data[0]); + rprintln!("The accelerometer chip's id is: {:#b}", acc[0]); + rprintln!("The magnetometer chip's id is: {:#b}", mag[0]); loop {} } diff --git a/src/08-i2c/the-challenge.md b/src/08-i2c/the-challenge.md index 26c9773df..e38887532 100644 --- a/src/08-i2c/the-challenge.md +++ b/src/08-i2c/the-challenge.md @@ -5,5 +5,8 @@ communicates with the outside world via the serial interface introduced in the last chapter. It should be able to receive the commands "magnetometer" as well as "accelerometer" and then print the corresponding sensor data in response. This time no template code will be provided since all you need -(apart from the magnetometer API which you will have to look up in the documentation) -is already provided in the UART and this chapter. +is already provided in the [UART](../07-uart/index.md) and this chapter. However here are a few clues: + +- You might be interested in `heapless::String` since we are working with strings now +- You will (obviously) have to read the documentation of the magnetometer API, however + it's more or less equivalent to the accelerometer one diff --git a/src/08-i2c/using-a-driver.md b/src/08-i2c/using-a-driver.md index 613e0e91b..3e88ae59c 100644 --- a/src/08-i2c/using-a-driver.md +++ b/src/08-i2c/using-a-driver.md @@ -36,7 +36,7 @@ fn main() { ``` Because we already know how to create an instance of an object that implements -the `embedded-hal` I2C traits from the last page this is quite trivial: +the `embedded-hal` I2C traits from the [last page](read-a-single-register.md) this is quite trivial: ```rust #![deny(unsafe_code)] @@ -65,16 +65,16 @@ fn main() -> ! { }; // Use a frequency of 100 khz for the bus - let i2c = hal::twi::Twi::new(p.TWI1, pins, hal::pac::twi0::frequency::FREQUENCY_A::K100); + let i2c = hal::twi::Twi::new(p.TWI0, pins, hal::pac::twi0::frequency::FREQUENCY_A::K100); - // Code from documentation + // Code from documentation let mut sensor = Lsm303agr::new_with_i2c(i2c); sensor.init().unwrap(); sensor.set_accel_odr(AccelOutputDataRate::Hz50).unwrap(); loop { if sensor.accel_status().unwrap().xyz_new_data { let data = sensor.accel_data().unwrap(); - // RTT instead of normal print + // RTT instead of normal print rprintln!("Acceleration: x {} y {} z {}", data.x, data.y, data.z); } } From 2f17e5aeff6e3da7dbf16d23ce03d08f58df4acf Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 5 May 2021 16:21:50 +0200 Subject: [PATCH 177/313] Update src/08-i2c/using-a-driver.md Co-authored-by: Diego Barrios Romero --- src/08-i2c/using-a-driver.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/08-i2c/using-a-driver.md b/src/08-i2c/using-a-driver.md index 3e88ae59c..4aec8b5bc 100644 --- a/src/08-i2c/using-a-driver.md +++ b/src/08-i2c/using-a-driver.md @@ -11,7 +11,7 @@ embedded Rust supports (and new ones that might eventually pop up) one can write that simply consume generic types that implement `embedded-hal` traits in order to provide a platform agnostic version of a driver. Luckily for us this has already been done in the [lsm303agr] crate. Hence reading the actual accelerometer and magnetometer values will now -be basically a plug and play experience + reading a bit of documentation. In fact the crates.io +be basically a plug and play experience + reading a bit of documentation. In fact the `crates.io` page already provides us with everything we need to know in order to read accelerometer data but using a Raspberry Pi. We'll just have to adapt it to our chip: From 6ee07a4c5ce5e83ac2a5dc680a6e7ad5d843d59e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Thu, 6 May 2021 14:01:35 +0200 Subject: [PATCH 178/313] Attempt to fix the build --- src/05-led-roulette/debug-it.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index fedee282b..6f6bd4726 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -235,6 +235,6 @@ Ending remote debugging. [gdb-dashboard]: https://github.com/cyrus-and/gdb-dashboard#gdb-dashboard -If you want to learn more about what GDB can do, check out the section [How to use GDB](../appendix/2-how-to-use-gdb). +If you want to learn more about what GDB can do, check out the section [How to use GDB](../appendix/2-how-to-use-gdb/). What's next? The high level API I promised. From ea250848152ce490fdf693d753e214d32711134a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Fri, 7 May 2021 09:36:38 +0200 Subject: [PATCH 179/313] change bors.toml to match the new chapters --- .github/bors.toml | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/.github/bors.toml b/.github/bors.toml index b1c039555..9fed1a7f4 100644 --- a/.github/bors.toml +++ b/.github/bors.toml @@ -5,9 +5,7 @@ status = [ "build-book", "build-chapter (05-led-roulette)", "build-chapter (07-uart)", - "build-chapter (08-leds-again)", - "build-chapter (09-clocks-and-timers)", - "build-chapter (14-i2c)", + "build-chapter (08-i2c)", "build-chapter (15-led-compass)", "build-chapter (16-punch-o-meter)", ] From eeee01fb7aa5f7f6a0289f5d2fd6f4f95364fde9 Mon Sep 17 00:00:00 2001 From: Ricardo Delfin Date: Tue, 11 May 2021 19:10:14 +0100 Subject: [PATCH 180/313] Fixed typos regarding `.cargo/config.toml` The file keeps on being referred to as either `cargo/config.toml` or `.cargo/config.toml`. This PR corrects all of them to `.cargo/config.toml` --- src/05-led-roulette/flash-it.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index 7b002d5c2..cb3f42d6c 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -143,11 +143,11 @@ that port. ## Update ../.cargo/config.toml Now that you've successfully determined which debugger you need to use -we need to change `../cargo/config.toml` so that `cargo run` command will succeed. +we need to change `../.cargo/config.toml` so that `cargo run` command will succeed. Note: `cargo` is the rust package manager and you can read about it [here](https://doc.rust-lang.org/cargo/). -Get back to the terminal prompt and look at `../cargo/config.toml`: +Get back to the terminal prompt and look at `../.cargo/config.toml`: ``` console ~/embedded-discovery/src/05-led-roulette $ cat ../.cargo/config.toml From 3bd8d7b99b0d7f1b42b9c3de2c65e25ca05b6f86 Mon Sep 17 00:00:00 2001 From: Dezhi Wu Date: Tue, 25 May 2021 10:40:18 +0800 Subject: [PATCH 181/313] fix typo --- src/05-led-roulette/the-challenge.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index e7ef424fb..77f0d3ba5 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -63,7 +63,7 @@ break DefaultHandler # Set a breakpiont at HardFault break HardFault -# Continue running and unill we hit the main breakpoint +# Continue running and until we hit the main breakpoint continue # Step from the trampoline code in entry into main @@ -149,4 +149,4 @@ the [embedded discovery book](https://github.com/rust-embedded/discovery) so you can save your changes in your own branch of your fork. We suggest creating your own branch and leaving the `master` branch alone so the `master` branch of your fork can stay in sync with the upstream repo. Also, it allows you to -more easily create PR's and improve this book, **thank you in advance**! \ No newline at end of file +more easily create PR's and improve this book, **thank you in advance**! From b877f286db359b5bd6de86593ccd9381866cca9c Mon Sep 17 00:00:00 2001 From: Dezhi Wu Date: Tue, 25 May 2021 12:38:20 +0800 Subject: [PATCH 182/313] Update README.md fix typo. --- src/06-hello-world/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index a3738d80f..2daae1bc6 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -110,7 +110,7 @@ hello_world::__cortex_m_rt_main () at ~/embedded-discovery/src/06-hello-world/sr ``` Now issue a `next` command which will exectue `aux6::init()` and -stop at he next executable statement in `main.rs`, which +stop at the next executable statement in `main.rs`, which positions us at line 12: ``` text From b6b90546a5e1b20041bf00417b7e8e081c420eec Mon Sep 17 00:00:00 2001 From: Persevere Von Date: Wed, 26 May 2021 11:10:13 +0800 Subject: [PATCH 183/313] Fix compile error fix(09-clocks-and-timers): Compile failed in release mode docs: Update putting-it-all-together.md fix: compile error in chapter 11 fix: compile error in chapter 14 fix: compile error in chapter 15 fix: compile error in chapter 16 chore: handle `Result` with unwrap rather than ok chore: remove useless dependencies fix: restore main.rs --- src/09-clocks-and-timers/auxiliary/Cargo.toml | 7 ++- src/09-clocks-and-timers/auxiliary/src/lib.rs | 29 ++++++--- .../putting-it-all-together.md | 9 +-- src/09-clocks-and-timers/src/main.rs | 9 +-- src/11-usart/auxiliary/Cargo.toml | 9 +-- src/11-usart/auxiliary/src/lib.rs | 10 ++-- src/11-usart/buffer-overrun.md | 10 ++-- src/11-usart/my-solution.md | 9 ++- src/11-usart/src/main.rs | 5 +- src/14-i2c/auxiliary/Cargo.toml | 7 +-- src/14-i2c/auxiliary/src/lib.rs | 14 ++--- src/14-i2c/read-several-registers.md | 4 +- src/14-i2c/src/main.rs | 2 +- src/14-i2c/the-solution.md | 4 +- src/15-led-compass/auxiliary/Cargo.toml | 7 +-- src/15-led-compass/auxiliary/src/lib.rs | 60 +++++++++++++++---- src/15-led-compass/solution-1.md | 9 +-- src/15-led-compass/solution-2.md | 9 +-- src/15-led-compass/take-1.md | 9 +-- src/15-led-compass/take-2.md | 9 +-- src/16-punch-o-meter/auxiliary/Cargo.toml | 7 +-- src/16-punch-o-meter/auxiliary/src/lib.rs | 21 ++++--- 22 files changed, 160 insertions(+), 99 deletions(-) diff --git a/src/09-clocks-and-timers/auxiliary/Cargo.toml b/src/09-clocks-and-timers/auxiliary/Cargo.toml index 2b16bbc2f..f928adb4b 100644 --- a/src/09-clocks-and-timers/auxiliary/Cargo.toml +++ b/src/09-clocks-and-timers/auxiliary/Cargo.toml @@ -8,7 +8,8 @@ version = "0.1.0" cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" +stm32f3-discovery = "0.6.0" -[dependencies.f3] -features = ["rt"] -version = "0.6.1" +[dependencies.stm32f3] +version = "0.12.1" +features = ["stm32f303", "rt"] diff --git a/src/09-clocks-and-timers/auxiliary/src/lib.rs b/src/09-clocks-and-timers/auxiliary/src/lib.rs index e52f0032d..3819f61f8 100644 --- a/src/09-clocks-and-timers/auxiliary/src/lib.rs +++ b/src/09-clocks-and-timers/auxiliary/src/lib.rs @@ -7,14 +7,12 @@ extern crate panic_itm; // panic handler pub use cortex_m::asm::{bkpt, nop}; pub use cortex_m_rt::entry; -pub use f3::{ - hal::stm32f30x::{rcc, tim6}, - led::Leds, -}; +pub use stm32f3::stm32f303::{rcc, tim6, RCC, TIM6}; +pub use stm32f3_discovery::switch_hal; -use f3::hal::{ - prelude::*, - stm32f30x::{self, RCC, TIM6}, +use stm32f3_discovery::{ + leds::Leds, + stm32f3xx_hal::{prelude::*, stm32}, }; pub fn init() -> ( @@ -22,11 +20,24 @@ pub fn init() -> ( &'static rcc::RegisterBlock, &'static tim6::RegisterBlock, ) { - let p = stm32f30x::Peripherals::take().unwrap(); + let p = stm32::Peripherals::take().unwrap(); let mut rcc = p.RCC.constrain(); - let leds = Leds::new(p.GPIOE.split(&mut rcc.ahb)); + let mut gpioe = p.GPIOE.split(&mut rcc.ahb); + + let leds = Leds::new( + gpioe.pe8, + gpioe.pe9, + gpioe.pe10, + gpioe.pe11, + gpioe.pe12, + gpioe.pe13, + gpioe.pe14, + gpioe.pe15, + &mut gpioe.moder, + &mut gpioe.otyper, + ); (leds, unsafe { &*RCC::ptr() }, unsafe { &*TIM6::ptr() }) } diff --git a/src/09-clocks-and-timers/putting-it-all-together.md b/src/09-clocks-and-timers/putting-it-all-together.md index 3d1969e02..f0fe5f65b 100644 --- a/src/09-clocks-and-timers/putting-it-all-together.md +++ b/src/09-clocks-and-timers/putting-it-all-together.md @@ -4,7 +4,7 @@ #![no_main] #![no_std] -use aux9::{entry, tim6}; +use aux9::{entry, switch_hal::OutputSwitch, tim6}; #[inline(never)] fn delay(tim6: &tim6::RegisterBlock, ms: u16) { @@ -24,7 +24,8 @@ fn delay(tim6: &tim6::RegisterBlock, ms: u16) { #[entry] fn main() -> ! { - let (mut leds, rcc, tim6) = aux9::init(); + let (leds, rcc, tim6) = aux9::init(); + let mut leds = leds.into_array(); // Power on the TIM6 timer rcc.apb1enr.modify(|_, w| w.tim6en().set_bit()); @@ -45,9 +46,9 @@ fn main() -> ! { for curr in 0..8 { let next = (curr + 1) % 8; - leds[next].on(); + leds[next].on().unwrap(); delay(tim6, ms); - leds[curr].off(); + leds[curr].off().unwrap(); delay(tim6, ms); } } diff --git a/src/09-clocks-and-timers/src/main.rs b/src/09-clocks-and-timers/src/main.rs index 1c434aa7a..9e3bec782 100644 --- a/src/09-clocks-and-timers/src/main.rs +++ b/src/09-clocks-and-timers/src/main.rs @@ -1,7 +1,7 @@ #![no_main] #![no_std] -use aux9::{entry, tim6}; +use aux9::{entry, switch_hal::OutputSwitch, tim6}; #[inline(never)] fn delay(tim6: &tim6::RegisterBlock, ms: u16) { @@ -10,7 +10,8 @@ fn delay(tim6: &tim6::RegisterBlock, ms: u16) { #[entry] fn main() -> ! { - let (mut leds, rcc, tim6) = aux9::init(); + let (leds, rcc, tim6) = aux9::init(); + let mut leds = leds.into_array(); // TODO initialize TIM6 @@ -19,9 +20,9 @@ fn main() -> ! { for curr in 0..8 { let next = (curr + 1) % 8; - leds[next].on(); + leds[next].on().unwrap(); delay(tim6, ms); - leds[curr].off(); + leds[curr].off().unwrap(); delay(tim6, ms); } } diff --git a/src/11-usart/auxiliary/Cargo.toml b/src/11-usart/auxiliary/Cargo.toml index 0a052ec44..e2d451870 100644 --- a/src/11-usart/auxiliary/Cargo.toml +++ b/src/11-usart/auxiliary/Cargo.toml @@ -5,13 +5,10 @@ name = "aux11" version = "0.1.0" [dependencies] -cortex-m = "=0.5.6" # 0.5.11 introduces a breaking change. Use 0.5.6, since we know it works for this example +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" +stm32f3-discovery = "0.6.0" [features] -adapter = [] \ No newline at end of file +adapter = [] diff --git a/src/11-usart/auxiliary/src/lib.rs b/src/11-usart/auxiliary/src/lib.rs index a4be7bc5a..0ccdecaa0 100644 --- a/src/11-usart/auxiliary/src/lib.rs +++ b/src/11-usart/auxiliary/src/lib.rs @@ -7,16 +7,18 @@ extern crate panic_itm; // panic handler pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; pub use cortex_m_rt::entry; -pub use f3::hal::{prelude, serial::Serial, stm32f30x::usart1, time::MonoTimer}; +pub use stm32f3_discovery::stm32f3xx_hal::stm32::usart1; -use f3::hal::{ +use stm32f3_discovery::stm32f3xx_hal::{ prelude::*, - stm32f30x::{self, USART1}, + serial::Serial, + stm32::{self, USART1}, + time::MonoTimer, }; pub fn init() -> (&'static mut usart1::RegisterBlock, MonoTimer, ITM) { let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); + let dp = stm32::Peripherals::take().unwrap(); let mut flash = dp.FLASH.constrain(); let mut rcc = dp.RCC.constrain(); diff --git a/src/11-usart/buffer-overrun.md b/src/11-usart/buffer-overrun.md index 59161a35a..f54377f0b 100644 --- a/src/11-usart/buffer-overrun.md +++ b/src/11-usart/buffer-overrun.md @@ -3,7 +3,6 @@ If you wrote your program like this: ``` rust -#![deny(unsafe_code)] #![no_main] #![no_std] @@ -16,7 +15,9 @@ fn main() -> ! { // Send a string for byte in b"The quick brown fox jumps over the lazy dog.".iter() { - usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); + usart1 + .tdr + .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); } loop {} @@ -108,7 +109,6 @@ to write to the `TDR` register without incurring in data loss. Let's use that to slowdown the processor. ``` rust -#![deny(unsafe_code)] #![no_main] #![no_std] @@ -125,7 +125,9 @@ fn main() -> ! { // wait until it's safe to write to TDR while usart1.isr.read().txe().bit_is_clear() {} // <- NEW! - usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); + usart1 + .tdr + .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); } let elapsed = instant.elapsed(); // in ticks diff --git a/src/11-usart/my-solution.md b/src/11-usart/my-solution.md index 11ae9fed3..e5cf967c1 100644 --- a/src/11-usart/my-solution.md +++ b/src/11-usart/my-solution.md @@ -1,7 +1,6 @@ # My solution ```rust -#![deny(unsafe_code)] #![no_main] #![no_std] @@ -27,7 +26,9 @@ fn main() -> ! { // buffer full for byte in b"error: buffer full\n\r" { while usart1.isr.read().txe().bit_is_clear() {} - usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); + usart1 + .tdr + .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); } break; @@ -38,7 +39,9 @@ fn main() -> ! { // Respond for byte in buffer.iter().rev().chain(&[b'\n', b'\r']) { while usart1.isr.read().txe().bit_is_clear() {} - usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); + usart1 + .tdr + .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); } break; diff --git a/src/11-usart/src/main.rs b/src/11-usart/src/main.rs index 16c26e893..3cc03e8de 100644 --- a/src/11-usart/src/main.rs +++ b/src/11-usart/src/main.rs @@ -1,4 +1,3 @@ -#![deny(unsafe_code)] #![no_main] #![no_std] @@ -10,7 +9,9 @@ fn main() -> ! { let (usart1, mono_timer, itm) = aux11::init(); // Send a single character - usart1.tdr.write(|w| w.tdr().bits(u16::from(b'X'))); + usart1 + .tdr + .write(|w| unsafe { w.tdr().bits(u16::from(b'X')) }); loop {} } diff --git a/src/14-i2c/auxiliary/Cargo.toml b/src/14-i2c/auxiliary/Cargo.toml index 1de6af3b2..8d9edbdbb 100644 --- a/src/14-i2c/auxiliary/Cargo.toml +++ b/src/14-i2c/auxiliary/Cargo.toml @@ -5,10 +5,7 @@ name = "aux14" version = "0.1.0" [dependencies] -cortex-m = "=0.5.6" +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" +stm32f3-discovery = "0.6.0" diff --git a/src/14-i2c/auxiliary/src/lib.rs b/src/14-i2c/auxiliary/src/lib.rs index c41b37f9e..e8808b128 100644 --- a/src/14-i2c/auxiliary/src/lib.rs +++ b/src/14-i2c/auxiliary/src/lib.rs @@ -7,21 +7,21 @@ extern crate panic_itm; // panic handler pub use cortex_m::{asm::bkpt, iprint, iprintln}; pub use cortex_m_rt::entry; -pub use f3::hal::{delay::Delay, prelude, stm32f30x::i2c1}; +pub use stm32f3_discovery::stm32f3xx_hal::{delay::Delay, prelude, stm32::i2c1}; use cortex_m::peripheral::ITM; -use f3::{ - hal::{ +use stm32f3_discovery::{ + lsm303dlhc::Lsm303dlhc, + stm32f3xx_hal::{ i2c::I2c, prelude::*, - stm32f30x::{self, I2C1}, + stm32::{self, I2C1}, }, - Lsm303dlhc, }; pub fn init() -> (&'static i2c1::RegisterBlock, Delay, ITM) { let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); + let dp = stm32::Peripherals::take().unwrap(); let mut flash = dp.FLASH.constrain(); let mut rcc = dp.RCC.constrain(); @@ -32,7 +32,7 @@ pub fn init() -> (&'static i2c1::RegisterBlock, Delay, ITM) { let scl = gpiob.pb6.into_af4(&mut gpiob.moder, &mut gpiob.afrl); let sda = gpiob.pb7.into_af4(&mut gpiob.moder, &mut gpiob.afrl); - let i2c = I2c::i2c1(dp.I2C1, (scl, sda), 400.khz(), clocks, &mut rcc.apb1); + let i2c = I2c::new(dp.I2C1, (scl, sda), 400.khz(), clocks, &mut rcc.apb1); Lsm303dlhc::new(i2c).unwrap(); diff --git a/src/14-i2c/read-several-registers.md b/src/14-i2c/read-several-registers.md index c162723c5..ffc761d3b 100644 --- a/src/14-i2c/read-several-registers.md +++ b/src/14-i2c/read-several-registers.md @@ -53,7 +53,7 @@ Putting it all together inside a loop alongside a delay to reduce the data throu use aux14::{entry, iprint, iprintln, prelude::*}; // Slave address -const MAGNETOMETER: u8 = 0b001_1110; +const MAGNETOMETER: u16 = 0b0011_1100; // Addresses of the magnetometer's registers const OUT_X_H_M: u8 = 0x03; @@ -68,7 +68,7 @@ fn main() -> ! { // Broadcast the MAGNETOMETER address with the R/W bit set to Write i2c1.cr2.write(|w| { w.start().set_bit(); - w.sadd1().bits(MAGNETOMETER); + w.sadd().bits(MAGNETOMETER); w.rd_wrn().clear_bit(); w.nbytes().bits(1); w.autoend().clear_bit() diff --git a/src/14-i2c/src/main.rs b/src/14-i2c/src/main.rs index 8da6b2bf6..f75f00732 100644 --- a/src/14-i2c/src/main.rs +++ b/src/14-i2c/src/main.rs @@ -6,7 +6,7 @@ use aux14::{entry, iprint, iprintln, prelude::*}; // Slave address -const MAGNETOMETER: u8 = 0b001_1110; +const MAGNETOMETER: u16 = 0b0011_1100; // Addresses of the magnetometer's registers const OUT_X_H_M: u8 = 0x03; diff --git a/src/14-i2c/the-solution.md b/src/14-i2c/the-solution.md index 6455c1200..8c2c908f2 100644 --- a/src/14-i2c/the-solution.md +++ b/src/14-i2c/the-solution.md @@ -9,7 +9,7 @@ use aux14::{entry, iprint, iprintln, prelude::*}; // Slave address -const MAGNETOMETER: u8 = 0b001_1110; +const MAGNETOMETER: u16 = 0b0011_1100; // Addresses of the magnetometer's registers const OUT_X_H_M: u8 = 0x03; @@ -26,7 +26,7 @@ fn main() -> ! { // Broadcast the MAGNETOMETER address with the R/W bit set to Write i2c1.cr2.write(|w| { w.start().set_bit(); - w.sadd1().bits(MAGNETOMETER); + w.sadd().bits(MAGNETOMETER); w.rd_wrn().clear_bit(); w.nbytes().bits(1); w.autoend().clear_bit() diff --git a/src/15-led-compass/auxiliary/Cargo.toml b/src/15-led-compass/auxiliary/Cargo.toml index 13fbdcdc0..f3ed40182 100644 --- a/src/15-led-compass/auxiliary/Cargo.toml +++ b/src/15-led-compass/auxiliary/Cargo.toml @@ -5,10 +5,7 @@ name = "aux15" version = "0.1.0" [dependencies] -cortex-m = "=0.5.6" +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" +stm32f3-discovery = "0.6.0" diff --git a/src/15-led-compass/auxiliary/src/lib.rs b/src/15-led-compass/auxiliary/src/lib.rs index efa6bcbe4..5c985673c 100644 --- a/src/15-led-compass/auxiliary/src/lib.rs +++ b/src/15-led-compass/auxiliary/src/lib.rs @@ -7,34 +7,74 @@ extern crate panic_itm; // panic handler pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; pub use cortex_m_rt::entry; -pub use f3::{ - hal::{delay::Delay, prelude, stm32f30x::i2c1}, - led::{Direction, Leds}, +pub use stm32f3_discovery::{ + leds::Leds, lsm303dlhc::I16x3, + stm32f3xx_hal::{delay::Delay, prelude, stm32::i2c1}, + switch_hal, }; -use f3::{ - hal::{i2c::I2c, prelude::*, stm32f30x}, - Lsm303dlhc, +use stm32f3_discovery::{ + lsm303dlhc, + stm32f3xx_hal::{ + gpio::gpiob::{PB6, PB7}, + gpio::AF4, + i2c::I2c, + prelude::*, + stm32::{self, I2C1}, + }, }; +pub type Lsm303dlhc = lsm303dlhc::Lsm303dlhc, PB7)>>; + +/// Cardinal directions. Each one matches one of the user LEDs. +pub enum Direction { + /// North / LD3 + North, + /// Northeast / LD5 + Northeast, + /// East / LD7 + East, + /// Southeast / LD9 + Southeast, + /// South / LD10 + South, + /// Southwest / LD8 + Southwest, + /// West / LD6 + West, + /// Northwest / LD4 + Northwest, +} + pub fn init() -> (Leds, Lsm303dlhc, Delay, ITM) { let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); + let dp = stm32::Peripherals::take().unwrap(); let mut flash = dp.FLASH.constrain(); let mut rcc = dp.RCC.constrain(); let clocks = rcc.cfgr.freeze(&mut flash.acr); - let gpioe = dp.GPIOE.split(&mut rcc.ahb); - let leds = Leds::new(gpioe); + let mut gpioe = dp.GPIOE.split(&mut rcc.ahb); + let leds = Leds::new( + gpioe.pe8, + gpioe.pe9, + gpioe.pe10, + gpioe.pe11, + gpioe.pe12, + gpioe.pe13, + gpioe.pe14, + gpioe.pe15, + &mut gpioe.moder, + &mut gpioe.otyper, + ); let mut gpiob = dp.GPIOB.split(&mut rcc.ahb); let scl = gpiob.pb6.into_af4(&mut gpiob.moder, &mut gpiob.afrl); let sda = gpiob.pb7.into_af4(&mut gpiob.moder, &mut gpiob.afrl); - let i2c = I2c::i2c1(dp.I2C1, (scl, sda), 400.khz(), clocks, &mut rcc.apb1); + let i2c = I2c::new(dp.I2C1, (scl, sda), 400.khz(), clocks, &mut rcc.apb1); let lsm303dlhc = Lsm303dlhc::new(i2c).unwrap(); diff --git a/src/15-led-compass/solution-1.md b/src/15-led-compass/solution-1.md index 45ff8b7f1..38f07d733 100644 --- a/src/15-led-compass/solution-1.md +++ b/src/15-led-compass/solution-1.md @@ -6,11 +6,12 @@ #![no_std] #[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*, Direction, I16x3}; +use aux15::{entry, iprint, iprintln, prelude::*, switch_hal::OutputSwitch, Direction, I16x3}; #[entry] fn main() -> ! { - let (mut leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + let (leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + let mut leds = leds.into_array(); loop { let I16x3 { x, y, .. } = lsm303dlhc.mag().unwrap(); @@ -28,8 +29,8 @@ fn main() -> ! { (true, false) => Direction::Southwest, }; - leds.iter_mut().for_each(|led| led.off()); - leds[dir].on(); + leds.iter_mut().for_each(|led| led.off().unwrap()); + leds[dir as usize].on().unwrap(); delay.delay_ms(1_000_u16); } diff --git a/src/15-led-compass/solution-2.md b/src/15-led-compass/solution-2.md index 523e61a7b..e18a7a4c7 100644 --- a/src/15-led-compass/solution-2.md +++ b/src/15-led-compass/solution-2.md @@ -9,12 +9,13 @@ use core::f32::consts::PI; #[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*, Direction, I16x3}; +use aux15::{entry, iprint, iprintln, prelude::*, switch_hal::OutputSwitch, Direction, I16x3}; use m::Float; #[entry] fn main() -> ! { - let (mut leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + let (leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + let mut leds = leds.into_array(); loop { let I16x3 { x, y, .. } = lsm303dlhc.mag().unwrap(); @@ -41,8 +42,8 @@ fn main() -> ! { Direction::North }; - leds.iter_mut().for_each(|led| led.off()); - leds[dir].on(); + leds.iter_mut().for_each(|led| led.off().unwrap()); + leds[dir as usize].on().unwrap(); delay.delay_ms(100_u8); } diff --git a/src/15-led-compass/take-1.md b/src/15-led-compass/take-1.md index a2ce6f8d7..0fabb406c 100644 --- a/src/15-led-compass/take-1.md +++ b/src/15-led-compass/take-1.md @@ -36,11 +36,12 @@ Let's try that logic. Here's the starter code: #![no_std] #[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*, Direction, I16x3}; +use aux15::{entry, iprint, iprintln, prelude::*, switch_hal::OutputSwitch, Direction, I16x3}; #[entry] fn main() -> ! { - let (mut leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + let (leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + let mut leds = leds.into_array(); loop { let I16x3 { x, y, .. } = lsm303dlhc.mag().unwrap(); @@ -58,8 +59,8 @@ fn main() -> ! { (true, false) => panic!("TODO"), }; - leds.iter_mut().for_each(|led| led.off()); - leds[dir].on(); + leds.iter_mut().for_each(|led| led.off().unwrap()); + leds[dir as usize].on().unwrap(); delay.delay_ms(1_000_u16); } diff --git a/src/15-led-compass/take-2.md b/src/15-led-compass/take-2.md index 51e7c6dd3..9a921bb31 100644 --- a/src/15-led-compass/take-2.md +++ b/src/15-led-compass/take-2.md @@ -24,13 +24,14 @@ to turn on based on the value of `theta`. use core::f32::consts::PI; #[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*, Direction, I16x3}; +use aux15::{entry, iprint, iprintln, prelude::*, switch_hal::OutputSwitch, Direction, I16x3}; // this trait provides the `atan2` method use m::Float; #[entry] fn main() -> ! { - let (mut leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + let (leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + let mut leds = leds.into_array(); loop { let I16x3 { x, y, .. } = lsm303dlhc.mag().unwrap(); @@ -40,8 +41,8 @@ fn main() -> ! { // FIXME pick a direction to point to based on `theta` let dir = Direction::Southeast; - leds.iter_mut().for_each(|led| led.off()); - leds[dir].on(); + leds.iter_mut().for_each(|led| led.off().unwrap()); + leds[dir as usize].on().unwrap(); delay.delay_ms(100_u8); } diff --git a/src/16-punch-o-meter/auxiliary/Cargo.toml b/src/16-punch-o-meter/auxiliary/Cargo.toml index 543848543..aceff4f13 100644 --- a/src/16-punch-o-meter/auxiliary/Cargo.toml +++ b/src/16-punch-o-meter/auxiliary/Cargo.toml @@ -5,10 +5,7 @@ name = "aux16" version = "0.1.0" [dependencies] -cortex-m = "0.5.6" +cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" +stm32f3-discovery = "0.6.0" diff --git a/src/16-punch-o-meter/auxiliary/src/lib.rs b/src/16-punch-o-meter/auxiliary/src/lib.rs index 1aad1f3e8..8a28550d7 100644 --- a/src/16-punch-o-meter/auxiliary/src/lib.rs +++ b/src/16-punch-o-meter/auxiliary/src/lib.rs @@ -7,17 +7,24 @@ extern crate panic_itm; // panic handler pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; pub use cortex_m_rt::entry; -pub use f3::{ - hal::{delay::Delay, prelude, time::MonoTimer}, - lsm303dlhc::{I16x3, Sensitivity}, - Lsm303dlhc, +pub use stm32f3_discovery::{ + lsm303dlhc::{self, I16x3, Sensitivity}, + stm32f3xx_hal::{delay::Delay, prelude, time::MonoTimer}, }; -use f3::hal::{i2c::I2c, prelude::*, stm32f30x}; +use stm32f3_discovery::stm32f3xx_hal::{ + gpio::gpiob::{PB6, PB7}, + gpio::AF4, + i2c::I2c, + prelude::*, + stm32::{self, I2C1}, +}; + +pub type Lsm303dlhc = lsm303dlhc::Lsm303dlhc, PB7)>>; pub fn init() -> (Lsm303dlhc, Delay, MonoTimer, ITM) { let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); + let dp = stm32::Peripherals::take().unwrap(); let mut flash = dp.FLASH.constrain(); let mut rcc = dp.RCC.constrain(); @@ -34,7 +41,7 @@ pub fn init() -> (Lsm303dlhc, Delay, MonoTimer, ITM) { let scl = gpiob.pb6.into_af4(&mut gpiob.moder, &mut gpiob.afrl); let sda = gpiob.pb7.into_af4(&mut gpiob.moder, &mut gpiob.afrl); - let i2c = I2c::i2c1(dp.I2C1, (scl, sda), 400.khz(), clocks, &mut rcc.apb1); + let i2c = I2c::new(dp.I2C1, (scl, sda), 400.khz(), clocks, &mut rcc.apb1); let lsm303dlhc = Lsm303dlhc::new(i2c).unwrap(); From df0e7e45e8fede54ebc6e620a20508aa356b4349 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Sun, 30 May 2021 13:10:23 +0200 Subject: [PATCH 184/313] Clean up warning on unused result --- src/16-punch-o-meter/auxiliary/src/lib.rs | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/16-punch-o-meter/auxiliary/src/lib.rs b/src/16-punch-o-meter/auxiliary/src/lib.rs index 8a28550d7..9211408b8 100644 --- a/src/16-punch-o-meter/auxiliary/src/lib.rs +++ b/src/16-punch-o-meter/auxiliary/src/lib.rs @@ -35,7 +35,7 @@ pub fn init() -> (Lsm303dlhc, Delay, MonoTimer, ITM) { let mut nss = gpioe .pe3 .into_push_pull_output(&mut gpioe.moder, &mut gpioe.otyper); - nss.set_high(); + nss.set_high().unwrap(); let mut gpiob = dp.GPIOB.split(&mut rcc.ahb); let scl = gpiob.pb6.into_af4(&mut gpiob.moder, &mut gpiob.afrl); From de5ff5526ba60a53f405806b30b7795a52cf4fe9 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Wed, 19 May 2021 23:24:47 +0200 Subject: [PATCH 185/313] Remove unused panic handlers (panic-halt) Declaring panic-halt as a depencency works fine for building the example code. But it makes 'cargo doc' fail due to the duplicate lang item 'panic_impl'. --- src/05-led-roulette/auxiliary/Cargo.toml | 1 - src/05-led-roulette/build-it.md | 1 - src/06-hello-world/auxiliary/Cargo.toml | 1 - src/07-registers/auxiliary/Cargo.toml | 1 - 4 files changed, 4 deletions(-) diff --git a/src/05-led-roulette/auxiliary/Cargo.toml b/src/05-led-roulette/auxiliary/Cargo.toml index 83f4f31a8..a8d04711f 100644 --- a/src/05-led-roulette/auxiliary/Cargo.toml +++ b/src/05-led-roulette/auxiliary/Cargo.toml @@ -12,5 +12,4 @@ version = "0.2.0" cortex-m = "0.6.4" cortex-m-rt = "0.6.13" stm32f3-discovery = "0.6.0" -panic-halt = "0.2.0" panic-itm = "0.4.2" diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index 2a04e9c25..11056c16b 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -57,7 +57,6 @@ $ cargo build --target thumbv7em-none-eabihf Compiling cfg-if v1.0.0 Compiling paste v1.0.4 Compiling stm32f3-discovery v0.6.0 - Compiling panic-halt v0.2.0 Compiling embedded-dma v0.1.2 Compiling volatile-register v0.2.0 Compiling nb v0.1.3 diff --git a/src/06-hello-world/auxiliary/Cargo.toml b/src/06-hello-world/auxiliary/Cargo.toml index 18074447c..478fd7a47 100644 --- a/src/06-hello-world/auxiliary/Cargo.toml +++ b/src/06-hello-world/auxiliary/Cargo.toml @@ -11,5 +11,4 @@ version = "0.2.0" cortex-m = "0.6.4" cortex-m-rt = "0.6.13" stm32f3-discovery = "0.5.0" -panic-halt = "0.2.0" panic-itm = "0.4.2" diff --git a/src/07-registers/auxiliary/Cargo.toml b/src/07-registers/auxiliary/Cargo.toml index 9ef9bd871..2eff8d338 100644 --- a/src/07-registers/auxiliary/Cargo.toml +++ b/src/07-registers/auxiliary/Cargo.toml @@ -11,7 +11,6 @@ version = "0.2.0" cortex-m = "0.6.4" cortex-m-rt = "0.6.13" stm32f3-discovery = "0.6.0" -panic-halt = "0.2.0" panic-itm = "0.4.2" [dependencies.stm32f3] From 180b40f47db422e17ab107878e55178047409be4 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Mon, 31 May 2021 15:29:11 +0200 Subject: [PATCH 186/313] Check building docs with CI too eldruin suggested to add doc generation to CI (https://github.com/rust-embedded/discovery/issues/322#issuecomment-822575561) which seems a great idea to me. --- .github/workflows/ci.yml | 13 +++++++++++++ 1 file changed, 13 insertions(+) diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index 8ea334652..9ba8483ee 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -32,6 +32,19 @@ jobs: working-directory: src/${{ matrix.chapter }} run: cargo build + # Check a build succeeds for docs. + build-doc: + runs-on: ubuntu-20.04 + steps: + - uses: actions/checkout@v2 + - uses: actions-rs/toolchain@v1 + with: + profile: minimal + toolchain: stable + target: thumbv7em-none-eabihf + - name: Build docs + run: cargo doc + # Build the book HTML itself and optionally publish it. build-book: runs-on: ubuntu-20.04 From 2ef23834a0a9ff7edea4bee327722ae687e913f7 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Mon, 31 May 2021 18:11:24 +0200 Subject: [PATCH 187/313] Remove exemption for print.html from link checking This is a remake of https://github.com/rust-embedded/discovery/pull/255 for the actual GitHub Actions. --- .github/workflows/ci.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index 8ea334652..0d50b3527 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -72,7 +72,7 @@ jobs: - name: Build book run: mkdir target && mdbook build - name: Check links - run: linkchecker --ignore-url "print.html" book + run: linkchecker book - name: Deploy book if: ${{ github.event_name == 'push' && github.ref == 'refs/heads/master' }} From 8d58e26257d6fe6bc1af5017f3989ea6753ada66 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Mon, 31 May 2021 22:45:36 +0200 Subject: [PATCH 188/313] Clean up warning from linkchecker Linkchecker warned about this link to a directory without a trailing slash. Seen while working on https://github.com/rust-embedded/discovery/pull/346. --- src/05-led-roulette/debug-it.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index fa35a5c58..0a8025d6b 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -307,7 +307,7 @@ At any point you can leave the TUI mode using the following command: [gdb-dashboard]: https://github.com/cyrus-and/gdb-dashboard#gdb-dashboard Don't close OpenOCD though! We'll use it again and again later on. It's better -just to leave it running. If you want to learn more about what GDB can do, check out the section [How to use GDB](../appendix/2-how-to-use-gdb). +just to leave it running. If you want to learn more about what GDB can do, check out the section [How to use GDB](../appendix/2-how-to-use-gdb/). What's next? The high level API I promised. From c6d363ee2a8a2b18b985af841564d7b960f10781 Mon Sep 17 00:00:00 2001 From: chirping78 Date: Tue, 8 Jun 2021 13:31:54 +0800 Subject: [PATCH 189/313] Update README.md: about the SB10 on old version --- src/06-hello-world/README.md | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index 2daae1bc6..86ff93067 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -3,11 +3,15 @@ > **HEADS UP** The "solder bridge" SB10 (see back of the board) on the STM32F3DISCOVERY, which is > required to use the ITM and the `iprint!` macros shown below, is **not** soldered by default > (see page 21 of the [User Manual][]). +> (To be more accurate: this actually depends on the board revision. If you have an old version of +> the board as the old [User Manual][User Manual v3] said, the SB10 was soldered. Check your board +> to decide where you need to fix it.) > **TL;DR** You have two options to fix this: Either **solder** the solder bridge SB10 or connect a > female to female jumper wire between SWO and PB3 as shown in the picture below. [User Manual]: http://www.st.com/resource/en/user_manual/dm00063382.pdf +[User Manual v3]: https://docs.rs-online.com/5192/0900766b814876f9.pdf

    From 93b0c11a1c3b239160285906bd2d19c34efb96c9 Mon Sep 17 00:00:00 2001 From: chirping78 Date: Tue, 8 Jun 2021 08:52:17 +0000 Subject: [PATCH 190/313] Update src/06-hello-world/README.md Co-authored-by: Diego Barrios Romero --- src/06-hello-world/README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index 86ff93067..1ebbbb33e 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -4,8 +4,8 @@ > required to use the ITM and the `iprint!` macros shown below, is **not** soldered by default > (see page 21 of the [User Manual][]). > (To be more accurate: this actually depends on the board revision. If you have an old version of -> the board as the old [User Manual][User Manual v3] said, the SB10 was soldered. Check your board -> to decide where you need to fix it.) +> the board as the [old User Manual][User Manual v3] said, the SB10 was soldered. Check your board +> to decide whether you need to fix it.) > **TL;DR** You have two options to fix this: Either **solder** the solder bridge SB10 or connect a > female to female jumper wire between SWO and PB3 as shown in the picture below. From 6f47539ce584462cebb449b4f2da8b1f06a5cbd6 Mon Sep 17 00:00:00 2001 From: Jonah Stiennon Date: Sun, 13 Jun 2021 10:58:14 -0700 Subject: [PATCH 191/313] fix typos in openocd.gdb comments --- src/openocd.gdb | 5 ++--- 1 file changed, 2 insertions(+), 3 deletions(-) diff --git a/src/openocd.gdb b/src/openocd.gdb index cb3b3926a..1ab63a618 100644 --- a/src/openocd.gdb +++ b/src/openocd.gdb @@ -4,7 +4,7 @@ target remote :3333 # Load will flash the code load -# Eanble demangling asm names on disassembly +# Enable demangling asm names on disassembly set print asm-demangle on # Enable pretty printing @@ -29,9 +29,8 @@ break DefaultHandler # Set a breakpiont at HardFault break HardFault -# Continue running and unill we hit the main breakpoint +# Continue running until we hit the main breakpoint continue # Step from the trampoline code in entry into main step - From ab8006fe3fcffd2bb93643c8b3ea52aa16b8fa44 Mon Sep 17 00:00:00 2001 From: Nitin Saxena Date: Mon, 21 Jun 2021 13:51:58 +0530 Subject: [PATCH 192/313] fix bsrr in rtrm.md --- src/07-registers/rtrm.md | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/src/07-registers/rtrm.md b/src/07-registers/rtrm.md index 54885aead..0d7421507 100644 --- a/src/07-registers/rtrm.md +++ b/src/07-registers/rtrm.md @@ -37,8 +37,9 @@ peripheral, that table is in: > Section 11.4.12 GPIO register map - Page 243 -We are interested in the register that's at an offset of `0x18` from the base address of the `GPIOE` -peripheral. According to the table, that would be the register `BSRR`. +'BSRR' is the register which we will be using to set/reset. It's offset value is '0x18' from the base address +of the 'GPIOE'. We can look up BSRR in the reference manual. +GPIO Registers -> GPIO port bit set/reset register (GPIOx_BSRR). Now we need to jump to the documentation of that particular register. It's a few pages above in: From 72ddb782c73eef030165928798ad904b708d6013 Mon Sep 17 00:00:00 2001 From: Diego Barrios Romero Date: Mon, 21 Jun 2021 13:22:04 +0200 Subject: [PATCH 193/313] Fix typo --- src/07-registers/rtrm.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/07-registers/rtrm.md b/src/07-registers/rtrm.md index 0d7421507..a2e528c76 100644 --- a/src/07-registers/rtrm.md +++ b/src/07-registers/rtrm.md @@ -37,7 +37,7 @@ peripheral, that table is in: > Section 11.4.12 GPIO register map - Page 243 -'BSRR' is the register which we will be using to set/reset. It's offset value is '0x18' from the base address +'BSRR' is the register which we will be using to set/reset. Its offset value is '0x18' from the base address of the 'GPIOE'. We can look up BSRR in the reference manual. GPIO Registers -> GPIO port bit set/reset register (GPIOx_BSRR). From 35a12c9960f443e6a509f38828f2a1ab25f4de35 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Sat, 5 Jun 2021 12:58:45 +0200 Subject: [PATCH 194/313] Clean up writing to USART TDR which is safe is again This issue got fixed with stm32f3 0.13.1 and writing to TDR is safe again. This prepares updating the dependencies to actually use this release. --- src/11-usart/src/main.rs | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/11-usart/src/main.rs b/src/11-usart/src/main.rs index 3cc03e8de..2201ce251 100644 --- a/src/11-usart/src/main.rs +++ b/src/11-usart/src/main.rs @@ -11,7 +11,7 @@ fn main() -> ! { // Send a single character usart1 .tdr - .write(|w| unsafe { w.tdr().bits(u16::from(b'X')) }); + .write(|w| w.tdr().bits(u16::from(b'X')) ); loop {} } From 7adf9b93f556df5e378695dffec6f9c274e46d62 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Sat, 5 Jun 2021 14:32:29 +0200 Subject: [PATCH 195/313] Update PAC and GPIO usage for upcomming PAC/HAL --- src/11-usart/auxiliary/src/lib.rs | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/src/11-usart/auxiliary/src/lib.rs b/src/11-usart/auxiliary/src/lib.rs index 0ccdecaa0..0f962c7f0 100644 --- a/src/11-usart/auxiliary/src/lib.rs +++ b/src/11-usart/auxiliary/src/lib.rs @@ -7,18 +7,18 @@ extern crate panic_itm; // panic handler pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; pub use cortex_m_rt::entry; -pub use stm32f3_discovery::stm32f3xx_hal::stm32::usart1; +pub use stm32f3_discovery::stm32f3xx_hal::pac::usart1; use stm32f3_discovery::stm32f3xx_hal::{ prelude::*, serial::Serial, - stm32::{self, USART1}, + pac::{self, USART1}, time::MonoTimer, }; pub fn init() -> (&'static mut usart1::RegisterBlock, MonoTimer, ITM) { let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32::Peripherals::take().unwrap(); + let dp = pac::Peripherals::take().unwrap(); let mut flash = dp.FLASH.constrain(); let mut rcc = dp.RCC.constrain(); @@ -30,8 +30,8 @@ pub fn init() -> (&'static mut usart1::RegisterBlock, MonoTimer, ITM) { () => { let mut gpioa = dp.GPIOA.split(&mut rcc.ahb); - let tx = gpioa.pa9.into_af7(&mut gpioa.moder, &mut gpioa.afrh); - let rx = gpioa.pa10.into_af7(&mut gpioa.moder, &mut gpioa.afrh); + let tx = gpioa.pa9.into_af7_push_pull(&mut gpioa.moder, &mut gpioa.otyper, &mut gpioa.afrh); + let rx = gpioa.pa10.into_af7_push_pull(&mut gpioa.moder, &mut gpioa.otyper, &mut gpioa.afrh); (tx, rx) } @@ -39,14 +39,14 @@ pub fn init() -> (&'static mut usart1::RegisterBlock, MonoTimer, ITM) { () => { let mut gpioc = dp.GPIOC.split(&mut rcc.ahb); - let tx = gpioc.pc4.into_af7(&mut gpioc.moder, &mut gpioc.afrl); - let rx = gpioc.pc5.into_af7(&mut gpioc.moder, &mut gpioc.afrl); + let tx = gpioc.pc4.into_af7_push_pull(&mut gpioc.moder, &mut gpioc.otyper, &mut gpioc.afrl); + let rx = gpioc.pc5.into_af7_push_pull(&mut gpioc.moder, &mut gpioc.otyper, &mut gpioc.afrl); (tx, rx) } }; - Serial::usart1(dp.USART1, (tx, rx), 115_200.bps(), clocks, &mut rcc.apb2); + Serial::usart1(dp.USART1, (tx, rx), 115_200.Bd(), clocks, &mut rcc.apb2); // If you are having trouble sending/receiving data to/from the // HC-05 bluetooth module, try this configuration instead: // Serial::usart1(dp.USART1, (tx, rx), 9600.bps(), clocks, &mut rcc.apb2); From ff0374ba00f6894804cbd7d81fc06e87c7bda8b6 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Mon, 7 Jun 2021 16:20:34 +0200 Subject: [PATCH 196/313] Update using Serial to upcoming changes from more-tests --- src/11-usart/auxiliary/src/lib.rs | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/11-usart/auxiliary/src/lib.rs b/src/11-usart/auxiliary/src/lib.rs index 0f962c7f0..972757685 100644 --- a/src/11-usart/auxiliary/src/lib.rs +++ b/src/11-usart/auxiliary/src/lib.rs @@ -46,7 +46,7 @@ pub fn init() -> (&'static mut usart1::RegisterBlock, MonoTimer, ITM) { } }; - Serial::usart1(dp.USART1, (tx, rx), 115_200.Bd(), clocks, &mut rcc.apb2); + Serial::new(dp.USART1, (tx, rx), 115_200.Bd(), clocks, &mut rcc.apb2); // If you are having trouble sending/receiving data to/from the // HC-05 bluetooth module, try this configuration instead: // Serial::usart1(dp.USART1, (tx, rx), 9600.bps(), clocks, &mut rcc.apb2); From 814c051eb1d16c83c0f4d62e3912e5f22a839240 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Sun, 13 Jun 2021 17:40:41 +0200 Subject: [PATCH 197/313] Add local MonoTimer replacement MonoTimer is used by 11-usart's aux11 module and the buffer-overrun solution. It got deprecated after stm32f3xx-hal release 0.6.1. This is a copy of https://github.com/stm32-rs/stm32f3xx-hal/blob/c68c36c03e0e33699b3b0c9acc3f8d80f5a25cd4/src/time.rs#L91 minus the time units which can be used from embedded-time. --- src/11-usart/auxiliary/src/lib.rs | 4 +- src/11-usart/auxiliary/src/monotimer.rs | 54 +++++++++++++++++++++++++ 2 files changed, 57 insertions(+), 1 deletion(-) create mode 100644 src/11-usart/auxiliary/src/monotimer.rs diff --git a/src/11-usart/auxiliary/src/lib.rs b/src/11-usart/auxiliary/src/lib.rs index 972757685..ac126c80d 100644 --- a/src/11-usart/auxiliary/src/lib.rs +++ b/src/11-usart/auxiliary/src/lib.rs @@ -9,12 +9,14 @@ pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; pub use cortex_m_rt::entry; pub use stm32f3_discovery::stm32f3xx_hal::pac::usart1; +pub mod monotimer; + use stm32f3_discovery::stm32f3xx_hal::{ prelude::*, serial::Serial, pac::{self, USART1}, - time::MonoTimer, }; +use monotimer::MonoTimer; pub fn init() -> (&'static mut usart1::RegisterBlock, MonoTimer, ITM) { let cp = cortex_m::Peripherals::take().unwrap(); diff --git a/src/11-usart/auxiliary/src/monotimer.rs b/src/11-usart/auxiliary/src/monotimer.rs new file mode 100644 index 000000000..be1d49fc3 --- /dev/null +++ b/src/11-usart/auxiliary/src/monotimer.rs @@ -0,0 +1,54 @@ +use stm32f3_discovery::stm32f3xx_hal as hal; + +use cortex_m::peripheral::DWT; +use hal::{ + rcc::Clocks, + time::rate::Hertz, +}; + +/// A monotonic nondecreasing timer. This is a resurrection of MonoTimer from +/// the stm32f3xx-hal where it got removed after 0.6.1. +#[derive(Clone, Copy)] +pub struct MonoTimer { + frequency: Hertz, +} + +// TODO: What about a refactoring to implement Clock from embedded-time? +impl MonoTimer { + /// Creates a new `Monotonic` timer + pub fn new(mut dwt: DWT, clocks: Clocks) -> Self { + dwt.enable_cycle_counter(); + + // now the CYCCNT counter can't be stopped or resetted + drop(dwt); + + MonoTimer { + frequency: clocks.hclk(), + } + } + + /// Returns the frequency at which the monotonic timer is operating at + pub fn frequency(self) -> Hertz { + self.frequency + } + + /// Returns an `Instant` corresponding to "now" + pub fn now(self) -> Instant { + Instant { + now: DWT::get_cycle_count(), + } + } +} + +/// A measurement of a monotonically nondecreasing clock +#[derive(Clone, Copy)] +pub struct Instant { + now: u32, +} + +impl Instant { + /// Ticks elapsed since the `Instant` was created + pub fn elapsed(self) -> u32 { + DWT::get_cycle_count().wrapping_sub(self.now) + } +} From aeab30394c18f9511ff55e973b47a4477d650b7b Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Sun, 20 Jun 2021 13:15:45 +0200 Subject: [PATCH 198/313] Bump BSP to latest release fixing TDR access --- src/11-usart/auxiliary/Cargo.toml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/11-usart/auxiliary/Cargo.toml b/src/11-usart/auxiliary/Cargo.toml index e2d451870..c5c1a9110 100644 --- a/src/11-usart/auxiliary/Cargo.toml +++ b/src/11-usart/auxiliary/Cargo.toml @@ -8,7 +8,7 @@ version = "0.1.0" cortex-m = "0.6.3" cortex-m-rt = "0.6.3" panic-itm = "0.4.0" -stm32f3-discovery = "0.6.0" +stm32f3-discovery = "0.7.0" [features] adapter = [] From 99b173b803e8600b713991fd6ab09a81cc221d4c Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Sun, 20 Jun 2021 13:30:08 +0200 Subject: [PATCH 199/313] Bump other dependencies of 11-usart --- src/11-usart/auxiliary/Cargo.toml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/11-usart/auxiliary/Cargo.toml b/src/11-usart/auxiliary/Cargo.toml index c5c1a9110..733c082ff 100644 --- a/src/11-usart/auxiliary/Cargo.toml +++ b/src/11-usart/auxiliary/Cargo.toml @@ -5,9 +5,9 @@ name = "aux11" version = "0.1.0" [dependencies] -cortex-m = "0.6.3" -cortex-m-rt = "0.6.3" -panic-itm = "0.4.0" +cortex-m = "0.7.2" +cortex-m-rt = "0.6.14" +panic-itm = "0.4.2" stm32f3-discovery = "0.7.0" [features] From b0f3510c24a6f8441b34f0bc762c8b615ccc0c48 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Mon, 21 Jun 2021 23:21:21 +0200 Subject: [PATCH 200/313] Factor out 11-usart example code to included files When running 'mdbook test' on the book the example code checking fails to handle for example macros like '#[entry]' and the local auxiliary crates. Having most code examples included from 'examples/' allows to check them at least with 'cargo build --target thumbv7em-none-eabihf --examples'. --- src/11-usart/buffer-overrun.md | 80 +------------------ src/11-usart/examples/buffer-overrun-timed.rs | 27 +++++++ src/11-usart/examples/buffer-overrun-txe.rs | 31 +++++++ src/11-usart/examples/buffer-overrun.rs | 19 +++++ src/11-usart/examples/echo.rs | 48 +++++++++++ .../examples/receive-a-single-byte.rs | 21 +++++ src/11-usart/examples/reverse-string.rs | 26 ++++++ src/11-usart/examples/the-answer.rs | 46 +++++++++++ src/11-usart/my-solution.md | 49 +----------- src/11-usart/receive-a-single-byte.md | 22 +---- src/11-usart/reverse-a-string.md | 26 +----- src/11-usart/uprintln.md | 47 +---------- 12 files changed, 225 insertions(+), 217 deletions(-) create mode 100644 src/11-usart/examples/buffer-overrun-timed.rs create mode 100644 src/11-usart/examples/buffer-overrun-txe.rs create mode 100644 src/11-usart/examples/buffer-overrun.rs create mode 100644 src/11-usart/examples/echo.rs create mode 100644 src/11-usart/examples/receive-a-single-byte.rs create mode 100644 src/11-usart/examples/reverse-string.rs create mode 100644 src/11-usart/examples/the-answer.rs diff --git a/src/11-usart/buffer-overrun.md b/src/11-usart/buffer-overrun.md index f54377f0b..f6a6f96c5 100644 --- a/src/11-usart/buffer-overrun.md +++ b/src/11-usart/buffer-overrun.md @@ -3,25 +3,7 @@ If you wrote your program like this: ``` rust -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); - - // Send a string - for byte in b"The quick brown fox jumps over the lazy dog.".iter() { - usart1 - .tdr - .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); - } - - loop {} -} +{{#include examples/buffer-overrun.rs}} ``` You probably received something like this on your computer when you executed the program compiled in @@ -60,33 +42,7 @@ We can actually time how long it takes to execute the `for` loop. `aux11::init() `std::time`. ``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, mut itm) = aux11::init(); - - let instant = mono_timer.now(); - // Send a string - for byte in b"The quick brown fox jumps over the lazy dog.".iter() { - usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); - } - let elapsed = instant.elapsed(); // in ticks - - iprintln!( - &mut itm.stim[0], - "`for` loop took {} ticks ({} us)", - elapsed, - elapsed as f32 / mono_timer.frequency().0 as f32 * 1e6 - ); - - loop {} -} +{{#include examples/buffer-overrun-timed.rs}} ``` In debug mode, I get: @@ -109,37 +65,7 @@ to write to the `TDR` register without incurring in data loss. Let's use that to slowdown the processor. ``` rust -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, mut itm) = aux11::init(); - - let instant = mono_timer.now(); - // Send a string - for byte in b"The quick brown fox jumps over the lazy dog.".iter() { - // wait until it's safe to write to TDR - while usart1.isr.read().txe().bit_is_clear() {} // <- NEW! - - usart1 - .tdr - .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); - } - let elapsed = instant.elapsed(); // in ticks - - iprintln!( - &mut itm.stim[0], - "`for` loop took {} ticks ({} us)", - elapsed, - elapsed as f32 / mono_timer.frequency().0 as f32 * 1e6 - ); - - loop {} -} +{{#include examples/buffer-overrun-txe.rs}} ``` This time, running the program in debug or release mode should result in a complete string on the diff --git a/src/11-usart/examples/buffer-overrun-timed.rs b/src/11-usart/examples/buffer-overrun-timed.rs new file mode 100644 index 000000000..4633756a8 --- /dev/null +++ b/src/11-usart/examples/buffer-overrun-timed.rs @@ -0,0 +1,27 @@ +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +#[allow(unused_imports)] +use aux11::{entry, iprint, iprintln}; + +#[entry] +fn main() -> ! { + let (usart1, mono_timer, mut itm) = aux11::init(); + + let instant = mono_timer.now(); + // Send a string + for byte in b"The quick brown fox jumps over the lazy dog.".iter() { + usart1.tdr.write(|w| w.tdr().bits(u16::from(*byte))); + } + let elapsed = instant.elapsed(); // in ticks + + iprintln!( + &mut itm.stim[0], + "`for` loop took {} ticks ({} us)", + elapsed, + elapsed as f32 / mono_timer.frequency().0 as f32 * 1e6 + ); + + loop {} +} diff --git a/src/11-usart/examples/buffer-overrun-txe.rs b/src/11-usart/examples/buffer-overrun-txe.rs new file mode 100644 index 000000000..ac1e8de9c --- /dev/null +++ b/src/11-usart/examples/buffer-overrun-txe.rs @@ -0,0 +1,31 @@ +#![no_main] +#![no_std] + +#[allow(unused_imports)] +use aux11::{entry, iprint, iprintln}; + +#[entry] +fn main() -> ! { + let (usart1, mono_timer, mut itm) = aux11::init(); + + let instant = mono_timer.now(); + // Send a string + for byte in b"The quick brown fox jumps over the lazy dog.".iter() { + // wait until it's safe to write to TDR + while usart1.isr.read().txe().bit_is_clear() {} // <- NEW! + + usart1 + .tdr + .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); + } + let elapsed = instant.elapsed(); // in ticks + + iprintln!( + &mut itm.stim[0], + "`for` loop took {} ticks ({} us)", + elapsed, + elapsed as f32 / mono_timer.frequency().0 as f32 * 1e6 + ); + + loop {} +} diff --git a/src/11-usart/examples/buffer-overrun.rs b/src/11-usart/examples/buffer-overrun.rs new file mode 100644 index 000000000..d1dd183a3 --- /dev/null +++ b/src/11-usart/examples/buffer-overrun.rs @@ -0,0 +1,19 @@ +#![no_main] +#![no_std] + +#[allow(unused_imports)] +use aux11::{entry, iprint, iprintln}; + +#[entry] +fn main() -> ! { + let (usart1, mono_timer, itm) = aux11::init(); + + // Send a string + for byte in b"The quick brown fox jumps over the lazy dog.".iter() { + usart1 + .tdr + .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); + } + + loop {} +} diff --git a/src/11-usart/examples/echo.rs b/src/11-usart/examples/echo.rs new file mode 100644 index 000000000..c0f068015 --- /dev/null +++ b/src/11-usart/examples/echo.rs @@ -0,0 +1,48 @@ +#![no_main] +#![no_std] + +#[allow(unused_imports)] +use aux11::{entry, iprint, iprintln}; +use heapless::{consts, Vec}; + +#[entry] +fn main() -> ! { + let (usart1, mono_timer, itm) = aux11::init(); + + // A buffer with 32 bytes of capacity + let mut buffer: Vec = Vec::new(); + + loop { + buffer.clear(); + + loop { + while usart1.isr.read().rxne().bit_is_clear() {} + let byte = usart1.rdr.read().rdr().bits() as u8; + + if buffer.push(byte).is_err() { + // buffer full + for byte in b"error: buffer full\n\r" { + while usart1.isr.read().txe().bit_is_clear() {} + usart1 + .tdr + .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); + } + + break; + } + + // Carriage return + if byte == 13 { + // Respond + for byte in buffer.iter().rev().chain(&[b'\n', b'\r']) { + while usart1.isr.read().txe().bit_is_clear() {} + usart1 + .tdr + .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); + } + + break; + } + } + } +} diff --git a/src/11-usart/examples/receive-a-single-byte.rs b/src/11-usart/examples/receive-a-single-byte.rs new file mode 100644 index 000000000..7acfdbfae --- /dev/null +++ b/src/11-usart/examples/receive-a-single-byte.rs @@ -0,0 +1,21 @@ +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +#[allow(unused_imports)] +use aux11::{entry, iprint, iprintln}; + +#[entry] +fn main() -> ! { + let (usart1, mono_timer, itm) = aux11::init(); + + loop { + // Wait until there's data available + while usart1.isr.read().rxne().bit_is_clear() {} + + // Retrieve the data + let _byte = usart1.rdr.read().rdr().bits() as u8; + + aux11::bkpt(); + } +} diff --git a/src/11-usart/examples/reverse-string.rs b/src/11-usart/examples/reverse-string.rs new file mode 100644 index 000000000..ad40ff7f0 --- /dev/null +++ b/src/11-usart/examples/reverse-string.rs @@ -0,0 +1,26 @@ +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +#[allow(unused_imports)] +use aux11::{entry, iprint, iprintln}; +use heapless::{consts, Vec}; + +#[entry] +fn main() -> ! { + let (usart1, mono_timer, itm) = aux11::init(); + + // A buffer with 32 bytes of capacity + let mut buffer: Vec = Vec::new(); + + loop { + buffer.clear(); + + // TODO Receive a user request. Each user request ends with ENTER + // NOTE `buffer.push` returns a `Result`. Handle the error by responding + // with an error message. + + // TODO Send back the reversed string + } +} + diff --git a/src/11-usart/examples/the-answer.rs b/src/11-usart/examples/the-answer.rs new file mode 100644 index 000000000..9da184225 --- /dev/null +++ b/src/11-usart/examples/the-answer.rs @@ -0,0 +1,46 @@ +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use core::fmt::{self, Write}; + +#[allow(unused_imports)] +use aux11::{entry, iprint, iprintln, usart1}; + +macro_rules! uprint { + ($serial:expr, $($arg:tt)*) => { + $serial.write_fmt(format_args!($($arg)*)).ok() + }; +} + +macro_rules! uprintln { + ($serial:expr, $fmt:expr) => { + uprint!($serial, concat!($fmt, "\n")) + }; + ($serial:expr, $fmt:expr, $($arg:tt)*) => { + uprint!($serial, concat!($fmt, "\n"), $($arg)*) + }; +} + +struct SerialPort { + usart1: &'static mut usart1::RegisterBlock, +} + +impl fmt::Write for SerialPort { + fn write_str(&mut self, s: &str) -> fmt::Result { + // TODO implement this + // hint: this will look very similar to the previous program + Ok(()) + } +} + +#[entry] +fn main() -> ! { + let (usart1, mono_timer, itm) = aux11::init(); + + let mut serial = SerialPort { usart1 }; + + uprintln!(serial, "The answer is {}", 40 + 2); + + loop {} +} diff --git a/src/11-usart/my-solution.md b/src/11-usart/my-solution.md index e5cf967c1..c88913176 100644 --- a/src/11-usart/my-solution.md +++ b/src/11-usart/my-solution.md @@ -1,52 +1,5 @@ # My solution ```rust -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; -use heapless::{consts, Vec}; - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); - - // A buffer with 32 bytes of capacity - let mut buffer: Vec = Vec::new(); - - loop { - buffer.clear(); - - loop { - while usart1.isr.read().rxne().bit_is_clear() {} - let byte = usart1.rdr.read().rdr().bits() as u8; - - if buffer.push(byte).is_err() { - // buffer full - for byte in b"error: buffer full\n\r" { - while usart1.isr.read().txe().bit_is_clear() {} - usart1 - .tdr - .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); - } - - break; - } - - // Carriage return - if byte == 13 { - // Respond - for byte in buffer.iter().rev().chain(&[b'\n', b'\r']) { - while usart1.isr.read().txe().bit_is_clear() {} - usart1 - .tdr - .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); - } - - break; - } - } - } -} +{{#include examples/echo.rs}} ``` diff --git a/src/11-usart/receive-a-single-byte.md b/src/11-usart/receive-a-single-byte.md index 9d977f5ef..02d1e6b6b 100644 --- a/src/11-usart/receive-a-single-byte.md +++ b/src/11-usart/receive-a-single-byte.md @@ -9,27 +9,7 @@ do we know that we have received (new) data? The status register, `ISR`, has a b `RXNE`. We can just busy wait on that flag. ``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); - - loop { - // Wait until there's data available - while usart1.isr.read().rxne().bit_is_clear() {} - - // Retrieve the data - let _byte = usart1.rdr.read().rdr().bits() as u8; - - aux11::bkpt(); - } -} +{{#include examples/receive-a-single-byte.rs}} ``` Let's try this program! Let it run free using `continue` and then type a single character in diff --git a/src/11-usart/reverse-a-string.md b/src/11-usart/reverse-a-string.md index 12a3dda7b..b962033ea 100644 --- a/src/11-usart/reverse-a-string.md +++ b/src/11-usart/reverse-a-string.md @@ -9,29 +9,5 @@ This time you'll need a buffer; you can use [`heapless::Vec`]. Here's the starte [`heapless::Vec`]: https://docs.rs/heapless/0.2.1/heapless/struct.Vec.html ``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln}; -use heapless::{consts, Vec}; - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); - - // A buffer with 32 bytes of capacity - let mut buffer: Vec = Vec::new(); - - loop { - buffer.clear(); - - // TODO Receive a user request. Each user request ends with ENTER - // NOTE `buffer.push` returns a `Result`. Handle the error by responding - // with an error message. - - // TODO Send back the reversed string - } -} +{{#include examples/reverse-string.rs}} ``` diff --git a/src/11-usart/uprintln.md b/src/11-usart/uprintln.md index 8d152ad2e..34032da2e 100644 --- a/src/11-usart/uprintln.md +++ b/src/11-usart/uprintln.md @@ -61,50 +61,5 @@ Above we saw that `Write` is in `std::fmt`. We don't have access to `std` but `W available in `core::fmt`. ``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -use core::fmt::{self, Write}; - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln, usart1}; - -macro_rules! uprint { - ($serial:expr, $($arg:tt)*) => { - $serial.write_fmt(format_args!($($arg)*)).ok() - }; -} - -macro_rules! uprintln { - ($serial:expr, $fmt:expr) => { - uprint!($serial, concat!($fmt, "\n")) - }; - ($serial:expr, $fmt:expr, $($arg:tt)*) => { - uprint!($serial, concat!($fmt, "\n"), $($arg)*) - }; -} - -struct SerialPort { - usart1: &'static mut usart1::RegisterBlock, -} - -impl fmt::Write for SerialPort { - fn write_str(&mut self, s: &str) -> fmt::Result { - // TODO implement this - // hint: this will look very similar to the previous program - Ok(()) - } -} - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); - - let mut serial = SerialPort { usart1 }; - - uprintln!(serial, "The answer is {}", 40 + 2); - - loop {} -} +{{#include examples/the-answer.rs}} ``` From 40ff11e9be47df89b52ddbf30c2a8668d805a842 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Mon, 21 Jun 2021 23:34:16 +0200 Subject: [PATCH 201/313] Make 11-usart example code safe again Updating stm32f3xx-hal makes accessing these registers safe as it should be. --- src/11-usart/examples/buffer-overrun-txe.rs | 2 +- src/11-usart/examples/buffer-overrun.rs | 2 +- src/11-usart/examples/echo.rs | 4 ++-- 3 files changed, 4 insertions(+), 4 deletions(-) diff --git a/src/11-usart/examples/buffer-overrun-txe.rs b/src/11-usart/examples/buffer-overrun-txe.rs index ac1e8de9c..f5a75d6c9 100644 --- a/src/11-usart/examples/buffer-overrun-txe.rs +++ b/src/11-usart/examples/buffer-overrun-txe.rs @@ -16,7 +16,7 @@ fn main() -> ! { usart1 .tdr - .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); + .write(|w| w.tdr().bits(u16::from(*byte))); } let elapsed = instant.elapsed(); // in ticks diff --git a/src/11-usart/examples/buffer-overrun.rs b/src/11-usart/examples/buffer-overrun.rs index d1dd183a3..14c98d33f 100644 --- a/src/11-usart/examples/buffer-overrun.rs +++ b/src/11-usart/examples/buffer-overrun.rs @@ -12,7 +12,7 @@ fn main() -> ! { for byte in b"The quick brown fox jumps over the lazy dog.".iter() { usart1 .tdr - .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); + .write(|w| w.tdr().bits(u16::from(*byte))); } loop {} diff --git a/src/11-usart/examples/echo.rs b/src/11-usart/examples/echo.rs index c0f068015..a4375eecb 100644 --- a/src/11-usart/examples/echo.rs +++ b/src/11-usart/examples/echo.rs @@ -25,7 +25,7 @@ fn main() -> ! { while usart1.isr.read().txe().bit_is_clear() {} usart1 .tdr - .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); + .write(|w| w.tdr().bits(u16::from(*byte))); } break; @@ -38,7 +38,7 @@ fn main() -> ! { while usart1.isr.read().txe().bit_is_clear() {} usart1 .tdr - .write(|w| unsafe { w.tdr().bits(u16::from(*byte)) }); + .write(|w| w.tdr().bits(u16::from(*byte))); } break; From d5d95e15964fc9f77c7f70e9e039f2ccba7497fd Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Mon, 21 Jun 2021 23:31:12 +0200 Subject: [PATCH 202/313] Clean up warnings about unused variables --- src/11-usart/examples/buffer-overrun.rs | 2 +- src/11-usart/examples/echo.rs | 2 +- src/11-usart/examples/receive-a-single-byte.rs | 2 +- src/11-usart/examples/reverse-string.rs | 2 +- src/11-usart/examples/the-answer.rs | 2 +- src/11-usart/src/main.rs | 2 +- 6 files changed, 6 insertions(+), 6 deletions(-) diff --git a/src/11-usart/examples/buffer-overrun.rs b/src/11-usart/examples/buffer-overrun.rs index 14c98d33f..26d0957ef 100644 --- a/src/11-usart/examples/buffer-overrun.rs +++ b/src/11-usart/examples/buffer-overrun.rs @@ -6,7 +6,7 @@ use aux11::{entry, iprint, iprintln}; #[entry] fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); + let (usart1, _mono_timer, _itm) = aux11::init(); // Send a string for byte in b"The quick brown fox jumps over the lazy dog.".iter() { diff --git a/src/11-usart/examples/echo.rs b/src/11-usart/examples/echo.rs index a4375eecb..e06517d57 100644 --- a/src/11-usart/examples/echo.rs +++ b/src/11-usart/examples/echo.rs @@ -7,7 +7,7 @@ use heapless::{consts, Vec}; #[entry] fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); + let (usart1, _mono_timer, _itm) = aux11::init(); // A buffer with 32 bytes of capacity let mut buffer: Vec = Vec::new(); diff --git a/src/11-usart/examples/receive-a-single-byte.rs b/src/11-usart/examples/receive-a-single-byte.rs index 7acfdbfae..333959042 100644 --- a/src/11-usart/examples/receive-a-single-byte.rs +++ b/src/11-usart/examples/receive-a-single-byte.rs @@ -7,7 +7,7 @@ use aux11::{entry, iprint, iprintln}; #[entry] fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); + let (usart1, _mono_timer, _itm) = aux11::init(); loop { // Wait until there's data available diff --git a/src/11-usart/examples/reverse-string.rs b/src/11-usart/examples/reverse-string.rs index ad40ff7f0..05910b6c6 100644 --- a/src/11-usart/examples/reverse-string.rs +++ b/src/11-usart/examples/reverse-string.rs @@ -8,7 +8,7 @@ use heapless::{consts, Vec}; #[entry] fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); + let (usart1, _mono_timer, _itm) = aux11::init(); // A buffer with 32 bytes of capacity let mut buffer: Vec = Vec::new(); diff --git a/src/11-usart/examples/the-answer.rs b/src/11-usart/examples/the-answer.rs index 9da184225..90fd2831f 100644 --- a/src/11-usart/examples/the-answer.rs +++ b/src/11-usart/examples/the-answer.rs @@ -36,7 +36,7 @@ impl fmt::Write for SerialPort { #[entry] fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); + let (usart1, _mono_timer, _itm) = aux11::init(); let mut serial = SerialPort { usart1 }; diff --git a/src/11-usart/src/main.rs b/src/11-usart/src/main.rs index 2201ce251..bc6ed0edf 100644 --- a/src/11-usart/src/main.rs +++ b/src/11-usart/src/main.rs @@ -6,7 +6,7 @@ use aux11::{entry, iprint, iprintln}; #[entry] fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); + let (usart1, _mono_timer, _itm) = aux11::init(); // Send a single character usart1 From 0aa730df7ef703577a06befee8498d20cbe9aabb Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Mon, 21 Jun 2021 23:40:03 +0200 Subject: [PATCH 203/313] Bump heapless to latest release --- src/11-usart/Cargo.toml | 2 +- src/11-usart/examples/echo.rs | 4 ++-- src/11-usart/examples/reverse-string.rs | 4 ++-- 3 files changed, 5 insertions(+), 5 deletions(-) diff --git a/src/11-usart/Cargo.toml b/src/11-usart/Cargo.toml index 956dc824f..1cb39274d 100644 --- a/src/11-usart/Cargo.toml +++ b/src/11-usart/Cargo.toml @@ -11,4 +11,4 @@ path = "auxiliary" [dependencies.heapless] default-features = false -version = "0.3.7" +version = "0.7.1" diff --git a/src/11-usart/examples/echo.rs b/src/11-usart/examples/echo.rs index e06517d57..7a2d9fff3 100644 --- a/src/11-usart/examples/echo.rs +++ b/src/11-usart/examples/echo.rs @@ -3,14 +3,14 @@ #[allow(unused_imports)] use aux11::{entry, iprint, iprintln}; -use heapless::{consts, Vec}; +use heapless::Vec; #[entry] fn main() -> ! { let (usart1, _mono_timer, _itm) = aux11::init(); // A buffer with 32 bytes of capacity - let mut buffer: Vec = Vec::new(); + let mut buffer: Vec = Vec::new(); loop { buffer.clear(); diff --git a/src/11-usart/examples/reverse-string.rs b/src/11-usart/examples/reverse-string.rs index 05910b6c6..181655290 100644 --- a/src/11-usart/examples/reverse-string.rs +++ b/src/11-usart/examples/reverse-string.rs @@ -4,14 +4,14 @@ #[allow(unused_imports)] use aux11::{entry, iprint, iprintln}; -use heapless::{consts, Vec}; +use heapless::Vec; #[entry] fn main() -> ! { let (usart1, _mono_timer, _itm) = aux11::init(); // A buffer with 32 bytes of capacity - let mut buffer: Vec = Vec::new(); + let mut buffer: Vec = Vec::new(); loop { buffer.clear(); From 9655eef856f6dd4fc5fa52abcd0687c1490a67d5 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Tue, 22 Jun 2021 00:02:01 +0200 Subject: [PATCH 204/313] Mention newer Rust version required by 11-usart --- src/03-setup/README.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 01cdf1289..92388cd24 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -36,7 +36,8 @@ Checkout the github issues like [this][gh-issue-274]. We'll use all the tools listed below. Where a minimum version is not specified, any recent version should work but we have listed the version we have tested. -- Rust 1.31 or a newer toolchain. +- Rust 1.31 or a newer toolchain. Chapter [USART](../11-usart/index.html) + requires 1.51 or newer. - [`itmdump`] >=0.3.1 (`cargo install itm`). Tested versions: 0.3.1. From 2bc7ccb89dcddb6c691013cb9cb04e6387fc32a8 Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Tue, 22 Jun 2021 00:59:00 +0100 Subject: [PATCH 205/313] Build examples in CI as well --- .github/workflows/ci.yml | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index c0bb394ba..5ca11e63e 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -30,7 +30,10 @@ jobs: target: thumbv7em-none-eabihf - name: Build chapter working-directory: src/${{ matrix.chapter }} - run: cargo build + run: cargo build --target thumbv7em-none-eabihf + - name: Build chapter examples + working-directory: src/${{ matrix.chapter }} + run: cargo build --target thumbv7em-none-eabihf --examples # Check a build succeeds for docs. build-doc: From 5607a83654f049bc85a6f0eb55fef24bc9c41d1d Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Tue, 22 Jun 2021 21:43:29 +0200 Subject: [PATCH 206/313] Factor out 05-led-roulette examples --- src/05-led-roulette/examples/my-solution.rs | 22 +++++++++++++++++ .../the-led-and-delay-abstractions.rs | 20 ++++++++++++++++ src/05-led-roulette/my-solution.md | 24 +------------------ .../the-led-and-delay-abstractions.md | 22 +---------------- 4 files changed, 44 insertions(+), 44 deletions(-) create mode 100644 src/05-led-roulette/examples/my-solution.rs create mode 100644 src/05-led-roulette/examples/the-led-and-delay-abstractions.rs diff --git a/src/05-led-roulette/examples/my-solution.rs b/src/05-led-roulette/examples/my-solution.rs new file mode 100644 index 000000000..80cc49f65 --- /dev/null +++ b/src/05-led-roulette/examples/my-solution.rs @@ -0,0 +1,22 @@ +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use aux5::{Delay, DelayMs, LedArray, OutputSwitch, entry}; + +#[entry] +fn main() -> ! { + let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); + + let ms = 50_u8; + loop { + for curr in 0..8 { + let next = (curr + 1) % 8; + + leds[next].on().ok(); + delay.delay_ms(ms); + leds[curr].off().ok(); + delay.delay_ms(ms); + } + } +} diff --git a/src/05-led-roulette/examples/the-led-and-delay-abstractions.rs b/src/05-led-roulette/examples/the-led-and-delay-abstractions.rs new file mode 100644 index 000000000..ec07d1ffd --- /dev/null +++ b/src/05-led-roulette/examples/the-led-and-delay-abstractions.rs @@ -0,0 +1,20 @@ +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use aux5::{entry, Delay, DelayMs, LedArray, OutputSwitch}; + +#[entry] +fn main() -> ! { + let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); + + let half_period = 500_u16; + + loop { + leds[0].on().ok(); + delay.delay_ms(half_period); + + leds[0].off().ok(); + delay.delay_ms(half_period); + } +} diff --git a/src/05-led-roulette/my-solution.md b/src/05-led-roulette/my-solution.md index 7176bcfac..16cfeae8e 100644 --- a/src/05-led-roulette/my-solution.md +++ b/src/05-led-roulette/my-solution.md @@ -5,29 +5,7 @@ What solution did you come up with? Here's mine: ``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -use aux5::{Delay, DelayMs, LedArray, OutputSwitch, entry}; - -#[entry] -fn main() -> ! { - let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); - - let ms = 50_u8; - loop { - for curr in 0..8 { - let next = (curr + 1) % 8; - - leds[next].on().ok(); - delay.delay_ms(ms); - leds[curr].off().ok(); - delay.delay_ms(ms); - } - } -} - +{{#include examples/my-solution.rs}} ``` One more thing! Check that your solution also works when compiled in "release" mode: diff --git a/src/05-led-roulette/the-led-and-delay-abstractions.md b/src/05-led-roulette/the-led-and-delay-abstractions.md index 507ca6d7f..061b8ba6f 100644 --- a/src/05-led-roulette/the-led-and-delay-abstractions.md +++ b/src/05-led-roulette/the-led-and-delay-abstractions.md @@ -14,27 +14,7 @@ and exposes two methods: `on` and `off` which can be used to turn the LED on or Let's try out these two abstractions by modifying the starter code to look like this: ``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -use aux5::{entry, Delay, DelayMs, LedArray, OutputSwitch}; - -#[entry] -fn main() -> ! { - let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); - - let half_period = 500_u16; - - loop { - leds[0].on().ok(); - delay.delay_ms(half_period); - - leds[0].off().ok(); - delay.delay_ms(half_period); - } -} - +{{#include examples/the-led-and-delay-abstractions.rs}} ``` Now build it: From 92567a148fb70b81d0966b35d6f083fad779dee4 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Tue, 22 Jun 2021 21:48:56 +0200 Subject: [PATCH 207/313] Bump dependencies of 05-led-roulette --- src/05-led-roulette/auxiliary/Cargo.toml | 6 +++--- src/05-led-roulette/auxiliary/src/lib.rs | 4 ++-- 2 files changed, 5 insertions(+), 5 deletions(-) diff --git a/src/05-led-roulette/auxiliary/Cargo.toml b/src/05-led-roulette/auxiliary/Cargo.toml index a8d04711f..6baa88649 100644 --- a/src/05-led-roulette/auxiliary/Cargo.toml +++ b/src/05-led-roulette/auxiliary/Cargo.toml @@ -9,7 +9,7 @@ name = "aux5" version = "0.2.0" [dependencies] -cortex-m = "0.6.4" -cortex-m-rt = "0.6.13" -stm32f3-discovery = "0.6.0" +cortex-m = "0.7.2" +cortex-m-rt = "0.6.14" +stm32f3-discovery = "0.7.0" panic-itm = "0.4.2" diff --git a/src/05-led-roulette/auxiliary/src/lib.rs b/src/05-led-roulette/auxiliary/src/lib.rs index 8ef93c87d..fe7358237 100644 --- a/src/05-led-roulette/auxiliary/src/lib.rs +++ b/src/05-led-roulette/auxiliary/src/lib.rs @@ -14,13 +14,13 @@ pub use stm32f3xx_hal::{ delay::Delay, gpio::{gpioe, Output, PushPull}, hal::blocking::delay::DelayMs, - stm32, + pac, }; pub type LedArray = [Switch>, ActiveHigh>; 8]; pub fn init() -> (Delay, LedArray) { - let device_periphs = stm32::Peripherals::take().unwrap(); + let device_periphs = pac::Peripherals::take().unwrap(); let mut reset_and_clock_control = device_periphs.RCC.constrain(); let core_periphs = cortex_m::Peripherals::take().unwrap(); From c9ddb5aa5a333504ade1be37240af29bc51a74a0 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Tue, 22 Jun 2021 23:55:54 +0200 Subject: [PATCH 208/313] Fix debug example to actually show led-roulette --- src/05-led-roulette/debug-it.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 0a8025d6b..eb9e9b81f 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -54,10 +54,10 @@ invokes a subroutine call to the `main` function using an ARM branch and link in ``` (gdb) disassemble /m Dump of assembler code for function main: -8 #[entry] +7 #[entry] 0x080001ec <+0>: push {r7, lr} 0x080001ee <+2>: mov r7, sp -=> 0x080001f0 <+4>: bl 0x80001f6 +=> 0x080001f0 <+4>: bl 0x80001f6 <_ZN12led_roulette18__cortex_m_rt_main17he61ef18c060014a5E> 0x080001f4 <+8>: udf #254 ; 0xfe End of assembler dump. From 9ddf396768166f51e5a66a043050f4aabc9ccda4 Mon Sep 17 00:00:00 2001 From: Danny Moesch Date: Fri, 30 Apr 2021 20:39:34 +0200 Subject: [PATCH 209/313] Fix some flaws in the "LED roulette" section --- src/05-led-roulette/README.md | 4 ++-- src/05-led-roulette/build-it.md | 8 ++++---- src/05-led-roulette/debug-it.md | 14 +++++++------- src/05-led-roulette/flash-it.md | 13 +++++++------ src/05-led-roulette/the-challenge.md | 4 ++-- .../the-led-and-delay-abstractions.md | 10 +++++----- 6 files changed, 27 insertions(+), 26 deletions(-) diff --git a/src/05-led-roulette/README.md b/src/05-led-roulette/README.md index 132b42185..6d012210b 100644 --- a/src/05-led-roulette/README.md +++ b/src/05-led-roulette/README.md @@ -36,8 +36,8 @@ The `no_main` attribute says that this program won't use the standard `main` int tailored for command line applications that receive arguments. Instead of the standard `main` we'll use the `entry` attribute from the [`cortex-m-rt`] crate to define a custom entry point. In this program we have named the entry point "main", but any other name could have been used. The entry -point function must have signature `fn() -> !`; this type indicates that the function can't return --- this means that the program never terminates. +point function must have the signature `fn() -> !`; this type indicates that the function can't +return - this means that the program never terminates. [`cortex-m-rt`]: https://crates.io/crates/cortex-m-rt diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index 11056c16b..a79d005a2 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -15,7 +15,7 @@ families within that architecture: - `thumbv7em-none-eabihf`, for the Cortex-M4**F** and Cortex-M7**F** processors For the F3, we'll use the `thumbv7em-none-eabihf` target. Before cross compiling you have to -download pre-compiled version of the standard library (a reduced version of it actually) for your +download a pre-compiled version of the standard library (a reduced version of it actually) for your target. That's done using `rustup`: ``` console @@ -27,7 +27,7 @@ You only need to do the above step once; `rustup` will re-install a new standard With the `rust-std` component in place you can now cross compile the program using Cargo. -> Note: make sure you are in the `src/05-led-roulette` directory +> **NOTE** Make sure you are in the `src/05-led-roulette` directory > and run `cargo build` command below to create the executable: ``` console cargo build --target thumbv7em-none-eabihf @@ -88,9 +88,9 @@ $ cargo build --target thumbv7em-none-eabihf Finished dev [unoptimized + debuginfo] target(s) in 17.91s ``` -> **NOTE** Be sure to compile this crate *without* optimizations. The provided Cargo.toml file and build command above will ensure optimizations are off. +> **NOTE** Be sure to compile this crate *without* optimizations. The provided Cargo.toml file and build command above will ensure optimizations are off. -OK, now we have produced an executable. This executable won't blink any leds, it's just a simplified version that we will build upon later in the chapter. As a sanity check, let's verify that the produced executable is actually an ARM binary: +OK, now we have produced an executable. This executable won't blink any LEDs, it's just a simplified version that we will build upon later in the chapter. As a sanity check, let's verify that the produced executable is actually an ARM binary: ``` console cargo readobj --target thumbv7em-none-eabihf --bin led-roulette -- --file-header diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 0a8025d6b..15e74668f 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -10,9 +10,9 @@ The starter project I've provided to you has some extra code that runs *before* At this time, we are not interested in that "pre-main" part so let's skip right to the beginning of the `main` function. We'll do that using a breakpoint. Issue `break main` at the `(gdb)` prompt: -> **Note** for these gdb commands I generally won't provide a copyable code block +> **NOTE** For these GDB commands I generally won't provide a copyable code block > as these are short and it's faster just to type them yourself. In addition most -> can be shortend. For instance `b` for `break` or `s` for `step`, see [gdb quick ref] +> can be shortend. For instance `b` for `break` or `s` for `step`, see [GDB Quick Reference] > for more info or use Google to find your others. In addition, you can use tab completion > by typing the first few letters than one tab to complete or two tabs to > see all possible commands. @@ -26,7 +26,7 @@ the `main` function. We'll do that using a breakpoint. Issue `break main` at the >> Argument N means step N times (or till program stops for another reason). >> ``` -[gdb quick ref]: https://users.ece.utexas.edu/~adnan/gdb-refcard.pdf +[GDB Quick Reference]: https://users.ece.utexas.edu/~adnan/gdb-refcard.pdf ``` (gdb) break main Breakpoint 1 at 0x80001f0: file src/05-led-roulette/src/main.rs, line 7. @@ -49,7 +49,7 @@ which is a trampoline to the main function and where `break main` sets the break > breakpoints so it's a good idea to pay attention to these messages. OK. Since we are stopped at `#[entry]` and using the `disassemble /m` we see the code -for entry, which is a trampoline to main. What that means it sets up the stack and then +for entry, which is a trampoline to main. That means it sets up the stack and then invokes a subroutine call to the `main` function using an ARM branch and link instruction, `bl`. ``` (gdb) disassemble /m @@ -63,7 +63,7 @@ Dump of assembler code for function main: End of assembler dump. ``` -Next we need to issue a `step` gdb command which will advance the program statement +Next we need to issue a `step` GDB command which will advance the program statement by statement stepping into functions/procedures. So after this first `step` command we're inside `main` and are positioned at the first executable `rust` statement, line 10, but it is **not** executed: @@ -76,7 +76,7 @@ led_roulette::__cortex_m_rt_main () at src/05-led-roulette/src/main.rs:10 Next we'll issue a second `step` which executes line 10 and stops at line `11 _y = x;`, again line 11 is **not** executed. -> **Note** we could have pressed enter at the second `(gdb) ` prompt and +> **NOTE** We could have pressed enter at the second `(gdb) ` prompt and > it would have reissued the previous statement, `step`, but for clarity > in this tutorial we'll generally retype the command. @@ -86,7 +86,7 @@ line `11 _y = x;`, again line 11 is **not** executed. ``` As you can see, in this mode, on each `step` command GDB will print the current statement along -along with its line number. As you'll see later in the TUI mode you'll not the see the statement +with its line number. As you'll see later in the TUI mode you'll not see the statement in the command area. We are now "on" the `_y = x` statement; that statement hasn't been executed yet. This means that `x` diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index cb3f42d6c..4ad172628 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -135,7 +135,7 @@ In both failing and successful cases you should see new output in the **OpenOCD +Info : flash size = 256kbytes ``` -**NOTE**: If you are getting an error like `undefined debug reason 7 - target needs reset`, you can try running `monitor reset halt` as described [here](https://stackoverflow.com/questions/38994596/reason-7-target-needs-reset-unreliable-debugging-setup). +> **NOTE** If you are getting an error like `undefined debug reason 7 - target needs reset`, you can try running `monitor reset halt` as described [here](https://stackoverflow.com/questions/38994596/reason-7-target-needs-reset-unreliable-debugging-setup). By default OpenOCD's GDB server listens on TCP port 3333 (localhost). This command is connecting to that port. @@ -143,8 +143,9 @@ that port. ## Update ../.cargo/config.toml Now that you've successfully determined which debugger you need to use -we need to change `../.cargo/config.toml` so that `cargo run` command will succeed. -Note: `cargo` is the rust package manager and you can read about it +we need to change `../.cargo/config.toml` so that the `cargo run` command will succeed. + +> **NOTE** `cargo` is the Rust package manager and you can read about it [here](https://doc.rust-lang.org/cargo/). Get back to the terminal prompt and look at `../.cargo/config.toml`: @@ -190,11 +191,11 @@ index ddff17f..8512cfe 100644 Now that you have `../.cargo/config.toml` setup let's test it using `cargo run` to start the debug session. -> Note the `--target thumbv7em-none-eabihf` defines which architecture +> **NOTE** The `--target thumbv7em-none-eabihf` defines which architecture > to build and run. In our `../.cargo/config.toml` file we have > `target = "thumbv7em-none-eabihf"` so it is actually not necessary > to specify `--target` we do it here just so you know that parameters on -> the command line can be used and they override those in `config.toml` files +> the command line can be used and they override those in `config.toml` files. ``` cargo run --target thumbv7em-none-eabihf @@ -224,7 +225,7 @@ directory. ## Flash the device -Assuming you have gdb running, if not start it as suggested in the previous section. +Assuming you have GDB running, if not start it as suggested in the previous section. Now use the `load` command in `gdb` to actually flash the program into the device: ``` diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index 77f0d3ba5..a0392e1c3 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -26,7 +26,7 @@ entering the same commands at the beginning. We can use a `.gdb` file to execute right after GDB is started. This way you can save yourself the effort of having to enter them manually on each GDB session. -As it turns out we've already created `../openocd.gdb` and you can see it's doing +As it turns out we've already created `../openocd.gdb` and you can see it's doing pretty much what we did in the previous section plus a few other commands. Look at the comments for additional information: @@ -73,7 +73,7 @@ step Now we need to modify the `../.cargo/config.toml` file to execute `../openocd.gdb` ``` console -nano ../openocd.gdb +nano ../.cargo/config.toml ``` Edit your `runner` command ` -x ../openocd.gdb`. diff --git a/src/05-led-roulette/the-led-and-delay-abstractions.md b/src/05-led-roulette/the-led-and-delay-abstractions.md index 507ca6d7f..38c464363 100644 --- a/src/05-led-roulette/the-led-and-delay-abstractions.md +++ b/src/05-led-roulette/the-led-and-delay-abstractions.md @@ -48,7 +48,7 @@ cargo build > session ensuring you never forget to recompile your program. Now we'll run and repeat the flashing procedure as we did in the previous section -but with the new program. I'll let you type in the `cargo run`, *this will get easier shortly* :) +but with the new program. I'll let you type in the `cargo run`, *this will get easier shortly*. :) ``` console $ cargo run Finished dev [unoptimized + debuginfo] target(s) in 0.01s @@ -82,7 +82,7 @@ Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () led_roulette::__cortex_m_rt_main () at ~/embedded-discovery/src/05-led-roulette/src/main.rs:9 9 let (mut delay, mut leds): (Delay, LedArray) = aux5::init(); -(gdb) +(gdb) ``` OK. Let's step through the code. This time, we'll use the `next` command instead of `step`. The @@ -206,7 +206,7 @@ Run till exit from #0 0x08002f80 in stm32f3xx_hal::delay::{{impl}}::delay_ms (s We are back in `main`. We have a local variable in here: `half_period` ``` -(gdb) print half_period +(gdb) print half_period $3 = 500 ``` @@ -215,14 +215,14 @@ Now, we are going to modify this variable using the `set` command: ``` (gdb) set half_period = 100 -(gdb) print half_period +(gdb) print half_period $5 = 100 ``` If you let program run free again using the `continue` command, you **might** see that the LED will blink at a much faster rate now, but more likely the blink rate didn't change. **What happened?** -Let's stop the program with `Ctrl+C` and then set a break point at `main:14`. +Let's stop the program with `Ctrl+C` and then set a break point at `main:14`. ``` console (gdb) continue Continuing. From 25893cbcdea24b2624fd0a68245bd8de828679cf Mon Sep 17 00:00:00 2001 From: Danny Moesch Date: Sat, 1 May 2021 18:07:15 +0200 Subject: [PATCH 210/313] Use an en dash instead of a simple hyphen --- src/05-led-roulette/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/README.md b/src/05-led-roulette/README.md index 6d012210b..85ba3e845 100644 --- a/src/05-led-roulette/README.md +++ b/src/05-led-roulette/README.md @@ -37,7 +37,7 @@ tailored for command line applications that receive arguments. Instead of the st use the `entry` attribute from the [`cortex-m-rt`] crate to define a custom entry point. In this program we have named the entry point "main", but any other name could have been used. The entry point function must have the signature `fn() -> !`; this type indicates that the function can't -return - this means that the program never terminates. +return – this means that the program never terminates. [`cortex-m-rt`]: https://crates.io/crates/cortex-m-rt From 0c27f746a340a935456d1b47093a745a7f7f720f Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Sun, 11 Jul 2021 13:40:16 +0200 Subject: [PATCH 211/313] Guide to disussions and issues in README.md Several questions have ended up in the issues recently. So what about pointing the discussions section out more prominently? --- README.md | 19 ++++++++++++++++++- 1 file changed, 18 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index e82809450..275b3f511 100644 --- a/README.md +++ b/README.md @@ -1,6 +1,23 @@ # `Discovery` -> Discover the world of microcontrollers through Rust +Discover the world of microcontrollers through +[Rust](https://www.rust-lang.org/): + +- Read this book on-line at https://docs.rust-embedded.org/discovery/ +- Start working on the examples from this repository +- You've got questions? + - Have a look at our [discussions section on + GitHub](https://github.com/rust-embedded/discovery/discussions) + - May be it got already answered there + - If not, start a new discussion +- You've found an issue? + - Have a look at our [issues on + GitHub](https://github.com/rust-embedded/discovery/issues) + - May be there is already a workaround + - If not, please open a new one - or even better - a [pull + request](https://github.com/rust-embedded/discovery/pulls) for solving + it +- Have fun and enjoy! This project is developed and maintained by the [Resources team][team]. From b3d8a19ac224d9e62ea0891512b1bbbad0dbeee1 Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Sun, 11 Jul 2021 14:28:18 +0100 Subject: [PATCH 212/313] Wording changes to new discussions section in README --- README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 275b3f511..72792fb48 100644 --- a/README.md +++ b/README.md @@ -8,12 +8,12 @@ Discover the world of microcontrollers through - You've got questions? - Have a look at our [discussions section on GitHub](https://github.com/rust-embedded/discovery/discussions) - - May be it got already answered there + - Maybe it has already been answered - If not, start a new discussion - You've found an issue? - Have a look at our [issues on GitHub](https://github.com/rust-embedded/discovery/issues) - - May be there is already a workaround + - Maybe there is already a workaround - If not, please open a new one - or even better - a [pull request](https://github.com/rust-embedded/discovery/pulls) for solving it From 0f2e32f27a48817177863e9431e93512e5dd8140 Mon Sep 17 00:00:00 2001 From: Christian Meusel Date: Sun, 11 Jul 2021 22:07:27 +0200 Subject: [PATCH 213/313] Fix instructions for installting GDB on Fedora Issue https://github.com/rust-embedded/discovery/issues/364 reports that the package arm-none-eabi-gdb has been dropped from Fedora (30-ish). Their Bugzilla issue https://bugzilla.redhat.com/show_bug.cgi?id=1859627#c23 recommends installing the toolchain provided by arm and that is what the section 'Other distros' in the book does as well. A gdb-multiarch package does not seem available at a first glance. --- src/03-setup/linux.md | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index 0835d28a2..d88f1f0d2 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -42,12 +42,10 @@ sudo apt-get install \ ### Fedora 23 or newer -> **NOTE** `arm-none-eabi-gdb` is the GDB command you'll use to debug your ARM -> Cortex-M programs +Install GDB as described in [Other distros](#other-distros) and the following packages: ``` console sudo dnf install \ - arm-none-eabi-gdb \ minicom \ openocd ``` From 8819f97337d4276c6c4c400dcb52a03f08a8879d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 14 Jul 2021 21:20:58 +0200 Subject: [PATCH 214/313] Rewrite of chapter 2 for microbit v2 --- src/02-requirements/README.md | 13 ++++++++----- src/assets/microbit-v2.jpg | Bin 0 -> 191572 bytes src/assets/microbit.jpg | Bin 905667 -> 0 bytes 3 files changed, 8 insertions(+), 5 deletions(-) create mode 100644 src/assets/microbit-v2.jpg delete mode 100644 src/assets/microbit.jpg diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index f64f8cd65..b053668ed 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -10,9 +10,10 @@ the fact that `extern crate` is not necessary in the 2018 edition. Also, to follow this material you'll need the following hardware: -- A [micro:bit] board. +- A [micro:bit v2] board, alternatively a [micro:bit v1] board. -[micro:bit]: https://microbit.org/ +[micro:bit v2]: https://tech.microbit.org/hardware/ +[micro:bit v1]: https://tech.microbit.org/hardware/1-5-revision/ (You can purchase this board from several [electronics][0] [suppliers][1]) @@ -20,9 +21,11 @@ Also, to follow this material you'll need the following hardware: [1]: https://www.mouser.com/microbit/_/N-aez3t?P=1y8um0l

    - +

    +> **NOTE** This is an image of a micro:bit v2, the front of the v1 looks slightly different + - One micro-B USB cable, required to make the micro:bit board work. Make sure that the cable supports data transfer as some cables only support charging devices. @@ -44,10 +47,10 @@ Trust me on this one. > **FAQ**: Can I follow this material with a different development board? Maybe? It depends mainly on two things: your previous experience with microcontrollers and/or -whether a high level crate already exists, like the [nrf51-hal], for your development board +whether a high level crate already exists, like the [nrf52-hal], for your development board somewhere. -[nrf51-hal]: https://docs.rs/nrf51-hal +[nrf52-hal]: https://docs.rs/nrf52-hal With a different development board, this text would lose most if not all its beginner friendliness and "easy to follow"-ness, IMO. diff --git a/src/assets/microbit-v2.jpg b/src/assets/microbit-v2.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a633f330a064bb6144541ebee0f77caac02ffd52 GIT binary patch literal 191572 zcmb4qXH-*b&~8EpX@P(Uf}u(g1PmQCA@trm9IBE?LI;5ZQlv$CCkRqPmnwwN6ok-G zN+^aRMnvgS1U*K>4E>7?*Co1KpHv*MtT6#r4_*mpaIg*)6&w=UGC_BKxQBf2tZ3m&n2wD z0Jr28@n8%DE5>DuYFD&<;~AX2WA%|&%=1V*=qeOp9WS9&*?#GSA9xw^{|NyA(p>sr zxU{K&05tz+0sl7y-Q{tYHjuCaEf*bJ#L|PFTQTrkTy{kpSX6s(mgmmLBQdCzXV6~? zfRz?_c@nfBz&*essM+}wHp^~Z7WOE68LLmQ7(Jfn1PsRm@oRe(W%+w@XX7%fmVT@D zH}Voz`K4W!O|Nh#sfRB6&n11Oi@FkcMt1``(tgJ97m#QF!tLHW;kZ?sg;1XlfsUOe zbiuAo?FtCbSMEEFU;rKlAs6F)Q7xc zE5qTRs4Y;&q6zj-q?_IP1TT`NQ@nwONu2T9Yz3=74z!Dhj6$F1hLCmVexOI$gX?Kw zbfDKz;TXW8#%!kcjThyq*?M-)W3)Q+LhHTmHPot$0#A5Nt`}U+N&5JC=OfKJR+U=E zUg1zb{qHU61)lm~qr+pmsB#+5ySfpMH%hTfE%k`Lc}~BO45Y3F2Qd!4D^Oo;QJ8bx zpTvgY;lB~68++9%*nAyu?CPgBALO*E_gw^0(}`qADnx&<>k6XHRN_CZPx5tLDyo0& z**5K`^(HEF*J$c&L*+9y+voS$+fPA~OVNu}-OCG3u$y%@G>`#qT$c|%&zGlT zCmXBy7_G*?hPEiPW=ciEeyx(!ZpB`3F|SL>Ia&t05*bSGZ^%|}@*CfMlBycDGGyt- z5(u)y0ygR))^lHHZ2q`=MK;=teqG+vDoO>-#on z*9f;)#Lc^<@6=xrEju-q*t)?v_%S=Swu8&4v~F=Aa!nf|xIM^5AT!;W*49mS*EMPn z6}T{f=_LZ%n*j2&0^Fr5t;~pH_q)>z5myU=Uips6q^o4}e zP-6%vwDH1#`b>6aha7_VXB?`VI1O$-fgorD8(jBa4XUk4%BevzTU$P`VR z;Q%VUI{XQ3G0^e)oRil94%B0%uV7|eLAjdSub_a56F|efC7>r(*UWqS=?{3assatU zVMASYQF`Pvci4wW-Sv-b8nO4Kx@_XBQQs6UZ_Xo)TuZ+Xvg)xZ^@^2u=MiuZ&Ry#| zzbFg0_iSC@l{88i`1Ch8=(iMd$u`5Wya_FbJv9+!}0%Ds5_XO#J}iAoSxHhBn1tj<%W_!G)!Qrd+m z@~@TFKo9&x&sL`U&^ENfk-mk;6Cd4RKyF|urZZdEEy$j?KQWECmn=P++iC1|!@ZFq zj+O1MR7MY6YC6i|(K?f61ioRgER36jCVn7(WQ9xWJnk0LDvPrU&)Z2J6MCT2Tp?AZ ze7OU$!}xyL@?hl_4O_inVl}`Y9LB?bzlfnE01V8H*f+h|t%>^M4ETx(9L#847|KoXYhM; zfd)JIReQ@8w@v(uhZn<5Y$C6dgE+5!XX1cYPf9QR&=3m*1>?Pjs7&(%{D+?UI~D8 ztY`S`Td~TnvND}K{#+i(yQZ#P&}ulY@pM3G%=ZQuV%i=7nTJW^iz`KwulpN*bmySC z2FH0hlVfC-z!DpO@^(#FE;7E$*on6*rr(lw$Ebi{MBFH$xO%B{7oIaQ&e*BAt0*tk zdZ^tM@-3oL4FvdQV;Bj$M`l-v_x0-?tGV&<)fbesy#M6zLf8c`EvFR{(-GTQIew?Z zRIBMw+OsJ9?*6oRq4%6n23|k%$in+rm#M-UlB=gjR-xqnRF{hF_KTM&2oc)CL-kH$ z_0c=IS5GyC!tP3%-N ze7ro-8+*UpHZEZ3zE{|d zJu7HmA0a2zR1Y)i4od&m?HNs5DY@%xcN zfY7PL$cS?8PrY?1qx`tBntbJfN=JWN)?k~39!{*9NDNuWeFwOO8yng;5suC7us#zO zns20{CD?)3RZbA=Lr+u1%~6niB6^Ke zpCLOb>A0r0sg=EO?jryMJp*J&->$55oBcpiQ*bLCxb7ELdo%|;48a5cvuLL8KRAe} z@&vgsXhX=}XE{wK%*rXYGv51ccyc@Vm;)+5!*SZerZNXlzK-Pw2`9Azf4!+HF=D;P z^SK9>#Hk+{e8aB@I%nePATpkA)hV)7@`jFfy>dn5C~jISf7TmL^R!%ZQMW^SXibA3 z-EOfh0bjNL?L*9psK{4O&X5ip5GTo%9V}ccGy(mG&3T5DsPep_I|EQKd5}~n^s!TE z(lKCCoDGb;=}Q;ZqTyftsp?VXS-Az#Pmq@a;Fj%z&C&4BMH0IoA<|;Vb zx!9mCl@7$ZQ}NxsYcz(l^d%e-Ek{N6x?d zkt8Be9`ysf@}j)Fi&KHjM(4siNjqLiA=cc_&UfHa%lLjZmY-$KL4coSf>2OxBR#?d z)l=`@YE~XVS~%%LcMCGojei!z4rUMLS~a~YfAAeY=gi6RPiRo|EQ#kYAoZ5=U8%xv zyZl*$)y8GTT*{f?*X1Lsp2=ehW}T6Oh&?9g?h3ufaIp4_(7)IkNFh3zORb{6vQ-~E zl*&6sckRT=ZX+ZMPG_TL#Sp8487VU>SF&;=44E8l^#Mh0Vo`Q+bjGo*+;m57-Z?hk zq{?YD&_+wYA@FrwR`2i<@9iq5o|)Qo%{bxM3FjqsrBkeXAoc-ruF(ia+Y6 z8^*)}sp+UA=d|=LtmqIv*hmt%jCM}ndUi(YBEOVKP6l4<=H9}usW(lfC)xqHT_mDd z9O>_u;(0#d{Llh(kK@wLT7T9g+yZV`ZBvR`n?nP)lAkHC_CAE=ZJfBV!x>boXd&kn z|2VcogLRi76N7~ZQqb*kM|E@+2EK)FBKum?;cyHJ4m;W7H6^i%q8RsCy=jOwW{_ym zXguS%<<4u)^VwonV~zqC!${ThC4yPur))GDoW_<) zjWQ0nWn0JD834%4oxZD{w&54$j#RU2MC#RQPVYo z5&dYRK!(_iuUexRsjY9crA|J2r_MUH8cUsAbUHKdUHNvz$bCJ0XOF39dF19a?ax8z z#`1MA?vk_<#OMJ2pDYz?8hTq76$omg`tGfbS zr>0J9s()~*5O@r^UqeM4&HKjcyO?C~ENr{#U3-jRO+Y;L$^IyK^PlUbJItnBBL+uS z-_G^oZl2b^c8_Sv7UK_X$H(fgKNZd!yey1ik0le#J=@;ea*&ka7ExArn1J<=vU@lE zaojMa{T)SRs6gDCfwKG6!ex)DeHJ9(xF*)8z6Aj2z8+b&sIJzS_A8JjG;f{S|b3-YzLK6yBHF5JB5-%pPi>wf*9l>Chs- zwVms3zKGbY_7g`9eoG{bS~yR4aKF>CHc3Kdv4}ryuGMQx68+FP%2(v~P>u$gf#jTllf5j&suSRJOU@N0Yg;tjRc--Y7PMl<+Tq)~gQ>kCw6Yi*vBeuzH(T zi(PLdcU$f1P%>lp7ZfWO#2VdOCHNO$WWy^ev*J+`Rz(RRdTt~sQA1M&_=P)^agmRJ z8HKkxUXaG4M2+FOr>cPq!&$6}EYW2eGO!RG}WyW$23ZQlhDn!z(?j zfAXAXUU1TUU3|3&o@_Rbdcq$~QZ~bj8rIzSbjw6Z{fsd?4x&K8ol&L-EXFi-bzc>} zcvV0*3bL~L(AENM8-6xwIf!LPvukL!EX9JPGk5f;jhJX7G;OD=B6^OvOg3xIyp zmFxCd!HOuV?n9e=>u#ykcbxGmo_Z>OOpfHKmSpm}SV}*9%x`+tA!L=g-oca=^v{;* zuX*#@7_zcE*P z)p4it@Ag{T+)g&aS8acEN9oe^T`HH4+K+O|>poX%nq>-WCR9zSEoF$TI~P6sdOcg& zs^wm!bW{oi!5-tAh+q4xADcppaM3+Qe82le0YAl`5o_ zYXzvH5zsxY@9Ozo;9Iuo)f{6Dq)uO8O)`9miz1GsMXaQTSxjm;PxHGPN6@1ii-7T*= zVqE9HX`wadz&5a+CWoTY8+pMuL;aMp{G)2up4{>?mJ)xKy+jcmY~fkDhH za(XHA>X&tE;q>6)mTIL_L>_t&EU+BZELWkyghg-M(i)ey7_7O(+%+J$bF)Z|(R$}~ za};i1Mc8E?52ln6;{w@wt2)@~GyM^q9}1_X-`i^s9G+J`5p{Z~jed*seR>uf$cdbc zXi_0glr0F)$~oO94B0E#$7PL0N$8M!uj+2zc^9ayZF}mH({cWCdQv}3BNLp@~OeP@W4&a!F!PQ{c8%4bhQO@%YH8X=}AP9pb{7`7@7G{fVxU;euit)g6C zHyOyv39t3^j(!%{2CnOQEHMX}UqT8=ifq&EcgheT*rS(0QgZ32e=VBh2YQaoJ3|Eg znkHs{GcY&j*&1XacLpdcx#mpZZFkXW1}(X$(mMY=&L-dPbGABu)2HppE7Y3aZO5$y z;V<1HIea>=uG4$m>{R^RrBROWe_-1E$BRHF`WcJxJQ5wRU`cWgy>BZa&@UJ}FK~^L zbT+sBKtS~a$~VlyGC2}mQO05c{}2fYV)=nX5z7CacQC4V(?`~PkE82(8nvqI0ejG$ z6e`EeO(|;*%LaSV4aQ6MA{bM2hn@4+y6bihlTB|iNmS>}_w0ku;3+USF`G`m5IyZK z*kcB%i!oX({RJ!2DIr0@tSiRRmT(9By@FmCBLz5+^oIbPhz#}_oQ{nOY~}+GtIP>_l!ay zD2z-_8~&_Pl#$*r39Q6GNu`EEmCx73l~JbYjLNZ~feP82rX5r9#=RT58=5S6HpIAL z>&$s>I*j1MPyK>RRtAUgWj+sy=Pyz$hi5B5Ht8v~t!yGs;BdjZ2tk&x2qCG( z6{jroNFZJDEnW2w464;(!b|eIbQ$^#$(YR*{@3!AqS-Q(tQBoT5LDNW;f{s>!}Qc& z>-22g#!*qh&=;N`?@d&uBJ;D2N{b8{Q1Qu9XW8<|tFbo%O>WnEN{wtr>4T>A-1q?f z?kjiva&C5^lzL-Vq))`Gaz#t)TYMGMr%ueZH68b3+cd0bjJj~&h(N$0csCv>B6e0q zi-4V7u*z>(=a18=YzfxM>|e}pD2^?ceK#tA!QlM5qEkCG8rDtk@6=r)pO2KXQwX3y z*x5sKj#s&;-Cu`zFBvL$t94}nKMy!lxLa{PH48Q0iKuIC04t{4M1?jEa|-l}A1(Xc z$@W7cUgLbK>WoUi#v%5>L;eV(uq|9zti`J~E>)<;Su-W*tB$FW9=$orvyCtypAWqW z8*HQz%Z7gM?lT9kEKUj<37d*k)}NmksfB?BV`U_gU;62hb)&$E1a; z#{(Xns`;6|Sn1_c!F&USP95)=QJl0(yx1(3k#5h|#96p19|<|M2F1~cB!=Dx2zFu9 zLno#LFDyzExtb9m!yU!0{3FXf;%}4_H(kfSw(?ri8~NEsCXDdhdtRnGQJAS(jAxmf zX9PahUbtxj>+8{qA#31jcs=tM%JnufkTpe20%1pHr-Q4(2%jhU`*7!TULCYfnVYRyeN#f0D!qzhq=4LEP1H+-UO>m68(=%_>&da;s`V6Bv zvp*^L_S7d}6crq6!377_V18XozoC zxd&B1z8M-U?v#l1t856I#7=0Qd!duG&}{p|2~xR8uwGbxkU+J3K^ zb?^ri+V>-z>7pgqjcA;+J4)gjpf{hA@We86R1nkC8Ri=$eqkTEe~M0_R*ySaP;rj^ zFrzZ2x+Nv2?xyGoj?;RP!v~-GX^#mP6^CY+Qwde@t5<6{)w+@SWD5LGzUchyVaMGK z>#JN!zCEI&BrTe;UA8)3V)UDmpjo!IjMFWci(>XU_=8n+v+ALB*oZ3hkAJ|YNZXgc zn*=(<#FiJx|yMYErPn{ z^#8LHzfkaP-TPmgXb!(OId=Ho zZQmHpnRET(e5fBRJ)c->7_ZC0z9c)bT1u?H%JkibW8011g~yl8bcPf=YQ=XiG6KoK ze#)TT`(hOLjWeP`9VF#2K+%tUD7_jj7Rx41n4?#Ve~E@n^Ung zDptBRKAoFVS@%aY<3zt^IZ2T*ho1Gl4Sy2Z7`NZ%F??j69~$p%aqb1%cT1epncJOx z2NqbQ%mSDh`(0vUKZM-ZmDzNBAirw|Gs=A?urZ-;Cp{rU;L%rhfCc@}ud9Ipu92)#5S7(1>HsE~5 z`xij8(C$oHIXUTo3nAUE0U)Nlv{qjw`UV{hEn&oJVCJ0E^27Bvc)rpaoKYei zeJJ@@vSPj6Kwbp7nJR9oCP&+*Y4xF8)cF->UJpks^8EJ%WXf7lc=HlQUfXXAUE>f* z_Y08}3ks`J>UXYBI5L@e(Xibr;@RiWDEGxsV-DTdD!7YOcgB5R5up}Xa*0{2%=b;< z4>abgv}%)nRVA1JDXfLomZ{6+HAR!a6PV`}gLSr>&AQ7ewG}`Tqk-~|(%tdU{71O# zpsk(P6TWg4*>PX6rvLGoHUm4wN0ejT#UDiSx7nya0aP>tILK3_j`_j_TOI@9Wn7h9K2zLt3S=A7KZ2yZ2BM* zoVq+)$}?QW=7No)7`NPft@N%>i1cPIq`tVq&%2sv!_$37yN?-WUtABV-dxVaK9mto z$7X}e0QUtzH-<1M>)4R&VH<%oEf^r>(2A$OtqqHIs-ZoU^l{fG>Ab3$b$;5-fX{KF zo693txaT18xUm$K%%%XIWfrBU@4Nvf8K+%-PjBP}PqTk?70aAUhU=8?2AD^t{nw8W z(1(usunhZ|8vF$`r}u%hwFP$H9QKuw^+Tmu=LP9WwpmQnC%fC}6s#BP<`YWEj#8t0 ztI#J>~@Dl;;!g*Of%xIXlC9Kqbtu7DUf zX+sg=Fz6`30ZuJ!E4x**@hRgpBbRM?#?_$5Bnr9n9~P^{6xxs2w12uI+F=QfH2|jp zF(Nt06g#F#N)P+0GH`J^tY3QeE z*G)}IfuB9WoMD<+`;O~0%mVgJ&J?qi`B7i!9#i3LR=RVObPth6*T_@Ai-hR)G95Q# z#`O%a&)IFHla!iv{sO+!nzP;b(;ztNPRTRJy=|wheo=ujM~U~o8mjY$U!@?T! zJ2Asuxw@Fj{L>t2tUz~{T5&7mb@ z>GbeV)-!tOcSd0Lb-WkapuDZJZJ}C3umhBDSRZx8Tq~V}EwECqbO)~3Dw5Md(g_E~ z(lONn@xh()D_lCoHE*-=IjA_Uef6U1zPPvylv0OJ62{cPcqm>^Ljb!7_?Wu-8-lGL6N~-iHtaKmg*g^DzO<3L-LU0X2BlT>w3A&CJmzV?XSFr4F zJ~sduiZu49*xCdDp5uJmTe=12%K6g{*Hb&t_Dx){hkhkY%lmpA)0Ix_zgR@GCJ=rP zP&||OSLN@4E}3-6_Qc!|oq&p<5W%qx3#CHe(ys+B)nlc}!KOP|bnQL*N2$+oqR_oQ zYYZq9p5=T9QxPJK$tWOD0}9%7*_{eWQ~*wdP21Sytd^6P)rPQq{UWw{U{-_N>$bmq zQJ?A8Z9Ob_*5VSa!B+3(t`*0iD}VWsb)R$g)M?Arx1n8CQ?kU@Gl7xlx9gHA+R(P; znUz)dGfL7&98ZmulYmht;Gjtiwp%YdS^iN|R43oVbb)q5ODl7k zlK`c+ZX)mc?hK@?r`jbs@x*yF`r|yVa&0Db%*si6)Q5vX0qI34FI@U@Tq#`<9+fCn zbCZ2i#O&#n0*c*p%ud(VuQ$nUG(9*2cXphOJX{lGrNmnb(J1 z9b!89ohHG7GRFV*7+$x|9+8-NJ|$Tr5x;zQEfa;l@T6vU(m!?bpguciY4tfY!^_)! zVK?-=q{3videe!S{Sg!E1|HRYHW~!V7<-z*fhZPN!F^kMPlqLGjP$#f^0@j2NBDwv z)3o~M!nu&&B&*C;x~^h?a{^X$-8qG6ot=t1D9n;cahEE3ruGso_g?E`T*U)FW^n{W zYRZ~^#KC%%9MV5>F&lT)Nrcs=2G`1Z21?6isLc8iwJOMfk4hSid?Z3eoY+$0SfAR@ z8>eSRCPcCR&=#6i*s2vLV#0pZbo*E}i%S-cTY%n*k_kOjgDvdy(~+jH+7ln_SYpT; z{=uw&NkQ8Bw!^<-*l(@G^lXy3BQB z_=i#ObYi*gin-)mZ6_^90H=2hdGqY}KCZCfTYLx+?>=x|{euP}N09gRVAe<{>3?5e z%^s+?Yhw*YudLt*bsrPDH}7b?}BEff&)#otB*}U z7jymjrACkwngG-(7pZc0e%(nL)oc19;r8uOc)FWHi{) zg-(dCnc`W}T=OoTU~@f>SB2*Pv&NJoajtRllEY$k*;@7IzZ;q~=WQ{L3*|=qw)4>; zZF8U6D)ty2GQmYDDA?T(m`~ICp=aA-Xn6YpE1MF08wJ_jqA z*espql2^(~tS|2PdP(0mz3So7wBns*h>O%~2?tk90vzT?2?pGvxEF0Hg88pBEX6=| z!KSPkgNCUUZhA#}%Qm4jwwc488>CcWO`>%cGPnVL82$usFH+!CUOlASA{_jR%Byny zS}hg8$_H}mYfpgJ?*K54@viq{WEKy`X9KS7nMPnV6TNPLVc5}C6&@!(jg-X|D`*C_ zZwZ_)c2RX!shFjS6`VAV&aCmM!aC1nx)L1o2dR0gUeyHAX~p*7YQENXYHDc;HGL;u zIB6a+0Q$BV4ejO{2!z%@hTCZDX!48kc;P2Nro)cxRy%&MRf~pp){_-e9bCpath)kE zTU>Re(f~cs;%pmW_+{Tv(}CHOx~j<0^QfNxO@rdG%<~^!=py{@?Zq~_<^qAKY5Q^s z7mt7gmAW0zk9KaqW}{6nTWBg)t1^r+)F&?lu8%k0mAp4h0JEDzA6Wa*)WEsT2!mt> zMwgQ#)0TF<<=D@uHA?-6Rk4VgHG)OH+g83@>@}0w(6*GxN~7FPt=lvrDm86nty+|O zqO6Fwu4$Umf}LmO%|450*b}Mj6U)p-G>+*!qSEPB7)J6Tz)*joKAA;oJlH~{qJ&~I z8iO*;qG4cMHNOGIjm58a*0MU3PU}nBv831iNZ6GwS1|J^2Oh1LRL5mozD@}lJJHKp zNpMphQe9=~y| z{>HK`eF;iD6OqSA3>Oyg`>T7DTa7fU-okEBjX+oA!*U0iZjJdSV!G_Kv@t}X8L+8$ zx_OQ%YWfo^sE}&_Zj1I)k zPyK3dv+3qM(uW$f<1_%iOMWQeE9vIpl(QFw;(2ibOF0KQp)3*FS+<0fT5aOo8#d-B zA6g&#LXb$dS&BNL);9{>dN%ijG27xOOUx-|a}4UqP^`o{-46WJMN)N>1)5-yD?kNl zKCaie1kA4E`yF?d6~T0d@BP7`PTw4z<+L{&+ZnSjtN7^48MJhL~SoG1f<=K#+YzZ zOWG1T!jYdczt4!3f&8d57LiDkYZLk;iWP5wY3--qpxsK+D(73aKDfNLUYID73C423;Ys6- zGIE7cs|FmeZok$KDpK6Oo~^5#G$~jz{lJPy@B0q3w!rXVOE3}YbTyS5Ko08)A`O;* zL-E@q5KZgd-tDUSn%Fyz##L5)5_#&>tH#?L@NV>8)O?`PtE9UNORb;fQmxlBCJG@{ z@VfD+BMTv(SZZDs-d}W@r9Y9~)3$@icuI*!mtc$ntuCQ|awa!5>1=(4nM-pPmb~H- zDBN}3qJ`p}u7RI_LnF>=jv?Rd51LIDu$8hKfogKW)-$SEE|N8|eDmR}8uP;W!&4Dp zr7W)BwT7ojqa?+*viotE4YFq0!feOj(pIIXd{1$)=f75_O(~%l%FVHhDK}8QM6|Yx z&|8VBmHQnta31;Wu;n=*V{r}O;3H3-*2^v>q0L4h-M`qtuyzCPDw39io9m8Kjf_|Y zxzg;k>{+YWzD42}f~Q3F#}?UfR*zT6IX;cFu}xZ$RLoX&3Qob;kL5V#JHw94kPG?d zzVVN=(5brx-*0`302lK3<6Dy|mxpC%56(KyYkOi_HRc`PfauK<1RJ#1@6KwNG8`L$ z2Fslv5MbR3lzgUb9y>)5cCx^Bd6}Zt*4|Z zzIqKHo&7y{XYjzjRl!y@LzHbbOshM8tLSSN>=xu_t+RUSyb%)}!;L10NOzR%XH83R z<2BJ>^UzDeJ<0<2UfN(Q9iq9jeP}JUoeL+lEMi3SZAyO>nmR%+O$&l-GmCA zO?D0S9b^W*X5Ur$6%fa5(vj(tT5N6eXCPpR?!LD?6E&$Qv6SPixZbW=dqy5 zv?Xcu&GC@oydF%f-)gO09yJSq`G=qd;oGu_HEIDL`o}5 zRrJNop~KyZ5HY?A>#6CGZnDolJCq@uNyE z1Zj9lD?#o2xN_%P&R!(LQ$7%l3NCOc5{rmcDaPg7i3pA^c((_s)eZU#`#r2IGESLh zu=!i480MM|(KkEoDO9OP0h5%ZAI?uM zm=fF==RyhleHMSRlBE^`b_9m!TnKyylu`{8H5(&ol|H_%D;Vrf1La6C5)4Jq43r`F z>76(jr0@0SbFY_}0*rB{a}l)Y`W_~PCd-2cF5}>7X}1Sf?#R9SPxe{wUjyg32=-bf zJuQFNMdD0ibJvKPo|Zf1kC0QA#E9DWmSrou*g=}`bW7rO@d#}rpkKS_73+= zTsdu1;=m-4M+&oJ@$Ugj^-RHSv>zpQPkAN&*ueuj?a z-p{_i6w6u_DL(iDJq>l1hHpHo$!CJ^trUZ05-hTp$+5O^RL_zs5AHj99rEglZKAo; zh8-J}-0=!G+dr25w5u<<&nhKLI06mIsPPl7sRBG74)xnVCKd4PF2cEc*t-DaA^Jc<>8Vhme11~DZzJwtcvH4RyCkp%~7KJjyQtw%#8$Q!K zs)Zfd)Xd8A$VB)o7a*Y4g)TDfhjw8QcBv=RDiWy%jn{j={;@{9&i^M`+$LUn8Df1_ zXEj~fldlmGJ&R!}{YL~BG`TT`P(mxL_lY!_NY*yHrjWgre{X--X1!=HMWi=SU_V*} zlWB5G8LjX?L&@>wxuzzsIo}v%zs3^g_hX}&tJh{irJokKgb3%2qCgXeV)=;cwr?5b zrD{Y2ZK^%Ub!k1HZt?h25+2hAK-ha=hxQ8HtM(ddgj&{^Q;A$|U3kr8?AkWl;40=( zrKn;Cc*dA;v(+Mew`78NpF!itLFq6fuPEdjI6nYNbmI3e)=PmuLtWtG(Sf zM#(pk|Kec}1H8)8X8S{qQYnt54K{};9?&b(_^Bz$Isx}WL7fpf_UL+^Cn>eBHgvT% z0rD1MciaR{z`G@E+hz0ouTiEob%;2?=p7TO?RZZ3mSpC`kc7TqlQ3xmn)`|1BBrjJ z_qXzk8fhe$D-`(ehvNzVEbwO%R2yZ+5*G13%Lg@O?;pbLt<)GG0a&;?t%I{%|NHxf(mcs5n~M{>X7QzYA} z8bL|;CN;Ks%sai$?p}d|@nUA3OYoQJy9+Zz#)5x*%X-w)H;xd!$^vDugnBA#PP^3e=L z`8Em^fcV#@$>T5J?sowYG7U+=Wh|Zr4&ql*ca9Tm99oU7TMd#Kqi(R)=(3TsjQF4qLc-S%m}*=Z##dHr`ZB`V-r=Gi z&zI>(g6rH%!GqvNd7UHEK0$O+7lR5`;#vXqUCbwvQZ{G;oYWCxYVz`t3uNjB_!4eG zYd(?m0=Q%N^W733AV5BH>F1@5*@1E%?_+cQ{H^7e3)l`7I~A~Ngz+w-?me3UUIB2S zAEye$8Y@$c=uY(0K)oa$NS(ECc@QpaD$*aKZ<^rvuXS#(GP>+ZJ4Ca-Pyz48nW$3g zjpu13yMx};M-SE)P;3TQ4^8_0Tnr>C3!}6x{8+D5d}Z|FcKm?DrdYivzJwgeRPBgQ z6FrD^hkmoi;stf~O=bjrOfsld&P&K;8Y8mudTg0V9kSvd0(z46XWEI$)lgv&cu#BEZ{phu z+E!p5$a|W_XR_}WrIUk>>sOj%nkRuATE-e?2AqOkAjIOV^!tp8?m^SAPT{Lzc%kXH zZ))lRLm~CEp$~jm2ANm+cC)|~~tBbd_YA62GlisGR zWS`;x0$`+fzi;xCd*qt7DSa7sM$kW*#|po^r=^80RxUZMWiKP$*nHG@RuLH8v5T_D zg~&+K*0#C$qK>xrEbe73#_K`=mWY>k1@B?+jop8y9>sIxbZX(;pqPd&3Ug}V2*Z?- z!V!jl0kv%k?fkC=?@IOL@g17QPcU!q+5ZJhO62|pn0qGkPWy5)q8Ox)9sh}-$rpCi z{R_|@uFo`VEG^k2KE)I=LG-aR)?9^;r5O5y1eqW=`hDxUHXj%kuKUsc6P=HuA7jD$ zyPDxiA6|yGE-qiOH{rojBNN}|xU<#2m1DKc&%_LeUy5!5EwWTJEry2D2|OEg9-5)i~ip0S5P}`sT$bY zP7zl}&of5$ zLH@6|70j}%GvrM677uQY+zcv>5zVoZw>F<;O-JIF~pwGX5 zDaVYcHw?+**mjvz@B-~$J`n*Z+O1lAwv2#(zb?2m(d(e1x4G^Q$~ItHV9EkBQgd&b zf<0rEKGg1OOG$zXdWI~{PW>ndBC z=rNj@;F!qJ52XY&I*Vk2L?bLC^&)z)NP}u2DT8`ck}`7(uwQj4ww5vsJ#-T( zb-QjeUy(MebA=Z5t=f(oFf$?r=GM7&6X!o|)dQs0n;PC&SF3lK34GYdz7fuV%hycJ zb51sWBLTxN&ngg3T^0KESb=djQEQEM-fFIxiIfYh0q;8!{a-+=l4K@mtMvZIUd%ry z{7y4;;^kRk)h~myepx}}^-<^negK4}rYQA#-8@NgTa4{ypix>K!^QmEjbrQ+%8*nV zVXkexkj&gMtuikYQo6C!EKeKQZ(aQymh4J!;MOEZ@Ar&TeCq@AFb}AGU%Lz*3)V-D z$tP`J5xtzAQ;agKX|D8yF?NFTr1AxHHT_`CPKCD(#P%efEg?AZ14pKg5Wg^dsSNFh ztXPR>(Qh=Yc+UX_SR`F8eoxZ)>n+36l@)W^1%4uQjI}QToDq^G8>{>wa;|j4kX@G1 z*t&Ql>QRkfbWN!BtbwZ-(}~qw1V6KH{rj$#$NI1nxV?&v4lhysm7DRaQ1!%kiI2?H)&mc^l2@$-M4D+wm7@WlX!0?>_UJNuFKSYkqgf%>U8GVZ-%n8w=)RB1Ji3RwZ8Z$)2|lYX0frHKK~Uw6 z;hAQcgnIpbRam{Nsl-uiP^es!nC_|{DK3j5~XN0k>o&fNQC*iG6Y_x$mZR zI%bRr8{d#!e(KplvnxF_i-5vlwr#agg`1rqlgtoqi#;#)@@Ah$A3BX5Nej*5jG2Jz z9U&739N4rm?b%#jEpszzgp+Z>6rV^*h$6>t9d7kxROBju2yxY-g`wR$>Xj}trgNw| zc?{ZIl&a#_G%gwarXTe~hxdTC;c+!1`LvnM+0>xd*9}PYu)zWSr69hFQgQis6aLWZ znJP=@E`hnL$umZ3e1=ob>0hmPw#-as)HHwRUhhW0jp=JTD|6q%>X!VT6Ek4%Mw^E=OFFJAm61e-mGd zT8+an5y*VbQp6O`YH@q{pSK)5+T!A*0I`c+EPB_O*C0oxY~(Y6%x3g5jh&`_D55^> zOi{DF=w@I)|1@=ln?@NYJNYnxOP?6t940;AP^hO7B=waY2kqb{Zee+x?10TyE)TKQ z%&cnlst-RK%63=#a_$n|DC6%4)_)|IV@8Stc96f3FQCn3s3h4X=wz*P)iW{O(lMY3k1wdurfz#l*MkL(jmN1JqER}MGZp&2rz1>2Dt=<+($Gw zFKK~EKNTkBvg%!9RS9nG?jGPcsons6tVk>aiK#8_L8rZQn$wqP0uJJ&+Pez6iQ8&b zLk?uL0YkXJuuG;UlKX`rt+%`Vsfgj<-a(y#@lR+$T>>F*%ol&XEt|&RA(z;E(x_wv zA9A0HYcN#Q36B&o8FLKjB`yN2Hdb0*;%sfRETr|$$Z$oQ9HoHT9;%c1$7jmB0 z-DbUd(<c@&j8E*rk#EZy{CC5*p&x~ zrLvarxO-NOnT6swuBfV3b2sR;bgpS3GYCU5j5Q*Yry%XngDI*TUMRe!l;v3uTb?+ zzlphP4h_gK2pd+3j<@S!og!pKS1?^QguRVx*N3zu1s#n{m$0&})4fQEnT$R(n;xL{ z>mmuQ2Zi_|v)t<`u-WLamVHe(0;uoJX!pci0wM%a88vux%Dew$Q_HJ}a-AEm4OSnJ3gJ#1Xe@cySXGJ*XDWyGm|Gp|Of; zIkj->9>Ko#j?GC%=+uG40A`O1cln`aqd80V^oWB|jhog$2*x8}N$UVRJ#mG~@fQQ` ztGCToI&TqhYL||;;AP2bH!SPj04tIAf#EVM0?O%m?{{U*|=3TM% zlU^({jdL03HPyO7h9&`%L|n?m12Oj%+t2zc_AYT45wpQ6JAJF0ll?!;uVRAY1X~Ax z-n8Q8xZ}`H8Odwt&s3mf~0gcq0=K;IH?rXo(!l zd74yjbg&*lCarM0Y)g_)C(wf%Zt`ljK{cEGK*T+)#?!GS0Ni$})=8dYvde&m)o)hn zNjrR1<-ZBlIaw-4slgr%bIvVEB#omk$e}K8XTLMOOvq<1N>}1SU>!rFr)>J)fhh6^N;=2C;cNs@A;?1+WabYl$M8=)y^AQL1sQh@@*STH%W;i{SF;q-e zUw01r8%1%CaEKFa`K-GYm)^s) zZ@J#FBmnuT$Ws8bZ?h?w7scy57)Tk6{#jUz6t`wi+ppb%;XOwAJ0NQ1Q{ zj1I+Zj?~yNbPdlYj90m!uq15(d72%&0hnw`HO50ag-T}IZR!(SSpEnByP8yXQw{sm zP)XoKf}pib9bhj;^FZH57oOOU~4~4vDsR zsi(1rxSB+b#LY)wNSQO>Q>|WU(&J%XRa=cApm-Fsq$?h-LXBpSsAvPdG2B4|?M)7C zw7=v7#Y<9?bSCJyrRD^o5)RbUVhJtGZA`gr>*Ry?q)X)(Te~G=n#ukaO+#VWHqvH| zqhcx}p+X+mB~L#Tsfp6icNKMhV|sQmj{FMPGHKihi??@OpLBpo9R$BGxa+j(CUTR;nJ)|xh$q}Ca0PHS5;&fwtzF zgpPQkx~v6}E(Wy2SlW+=xEzhd_@lB5gG>glbJ=V??Mh8n04_Gb{NrzmZU*>PAjwll zTg!;Ip|)ZwB3t}D!j3jP8dA#85^;8ek5<$N1CKP!CM1iRA7&d6Y0_8iUb$&+!ySn4 zQsZg;fg=6CZyO!z0;oeKAko(AS@vA_FU>aNqkcjo$BHWUAXuwL<*iC)orAjJV3;Fq z``0fp%x&QJYpM_Zv(0faT}NwYvI{WY0I7<$G+s$ts!1d5QOmWGV3G;qsMh+r<+p=t z^qOWTtFGK%ZIiageWtC)=$6a+GPspHQ*SXuFebB`T~mp)uccN=ozE1n)!4ur+K_vA zqN#^uU$w2vK*TqS3c9O;8X~AisL*vuB&Xt^3=nWMAXa7sL*3djy~i@2q~5JuZ=G{n!b$fOKI1_bTerP%u;SF?J> ztU`j&PQ&Jnh>K@XeVfH&&79^JNVstXFoQc$&Uu(JGBzp5not_k*bVPL!rnk zJ2#4!FWb@%p&n}_!{5haE~lq<;}+TgCT|LVL*GRhk$pAP>i0#vMqO|TV1j> zedq~`9I@}cbAR+^e9R{M$H}9oL}wa-{7)Wx)%BLdq;$>uE|aVS6&Di%>YHv9#SY&? z=F0$L&+0`ybT(*b)yD7OaYszxc7xc&NBv0q)305(%CI|gP}`vMMjBf%V!ekI;m|pn zrj*CJ&oJNfLW7Qi$(C;hZ7ll{=9_Zji?b^n9qXQY12zvMFnJt%sjbji$82kv=iSX6 zWEVlN;>EMad%iA+PSV4XVQH`gS5?Yu9X9oGZgyL zwjGgoY(lH->!voZTWe=j+z@!)v5ZDDFtK%&@7`TKi8U1FIM6f$PAw}u7>IBZmwPQyz#}FqMbbx%Ar2ha?$7?V6tDu1ZYVAp?*zDcxW_C!@ zT@K!NG$+I+hA63tXX2siMm&h&TBUFl9Ky3Jd*M@HCoe# z6WX9p}1_g8}d}!v9$)NPUmcdD9 z{r99$fJJ!MkA3L{05yd1Nw}x|sSh*&-6EQHH0E#*#d~5Vn1(U-*D-H;IJXlMy>$;2 zR_Zjy+rA$NrId);hOIO$GoF`I{{UR1@?L+XTrq$3nzO8%E6wp8Plw0iZE3Y_!7_OQ zv}@k-TfIag;__)Wnls<1EEL;wz^WtX7(_aa`&tIyn}b)MsTPmmNfWhvy5!r$y|PS# zd)I8^@lR?T3b56+tT)NHppm=EDX(baJlvt@X#C^igb@8{{Vur zKAdZKPNB5dZ7tDc{#M}C_Z-+{%rLhwsEAyWKoC+PjKiZ(PxRa&&CvbrxiqUIOLg8lz!YR&9>Ejm5qu z^B+;kCQok_dHSE3x8nZ*3u%h!x2TVe$Nbi5h?5de0xP3|_H=Xdy20kVsdwbTj}+M0 znHp$fbjUkXwMjlJr7LXbX@ph;c9^d)Gco&8D2>NIX|ER``;Ojew$;!^@;O+*4b1nh z&rIfTmgcr@f_)}BTu#t?*A$O%;Ke;AWkKhGQGKzYrs91Qfx5Tw>2V>Hi3X&Acb+P% z^ye`AW>0Fc$JD%c~C2;1*W z`iq0Pa>`sqp3~y7+(8M9aNn31JI{L75xCk!OAOdAbw&YMeH-e~dRT6t!qoIqw)-0)TKs-o^ zsaCG~xp*hVNcyRZwR1IFcyvXzXarEd6<@QD36+H5j@wrUFDjI}rgZT}mPs}*6~rl# zu@$6XST-8jANGpMY`?sGaav|aaNNtSlj5`EZMrs^!O=X^r(sPTZAuL7TmJyq$me6N ztK60w#b^C*Y*=)4h?w5A9KaC8bxy=j@+m&@+~%vM=_O}oxdB+X;XKB~%!$o#t4Tb@Ae6{%#m0m0jX zB!O9;5hfUqkrjLC+nVr;%DgpEXQq=;#b4H>kw1XHu~nit^oP_ca)xWtsa_ zSI{sagn&;Ssm`j=ADB~0$8G3r4x&li)mPAkxrn%jNLlStk;TOtqkh#EPQdf#m)wxs z{Lqts)CnJlQ@NP$6em!xvim^uMHrCEijzI(wIiswAkyvW0tO`rVE}lf7XuT-)7sEg zsQO9?2x50NNl@r>+XsG6YGt-%KI63&NM#~-;C<;4K#=^@-M`3~^A{wb-|?c`vJ`kT(? znz(mCDoj^^^a=Rhjb{*U$SMH%t5(&E10X+OPy5qdwq0UquWmOK*+$up{wPm01)O3D zBh>)F=9Sad5L!(n%45Fv+)*vw z54n&1* zcBPbY4Y)LKVk65a1xX^AcKagt6WW~0_AZz|!##~b&9U~d_+surip|L!VBBi-HR85{Nb&msTYZX7u6F(HzFu_zFW@);JCKL!gs+~3u zRu@w2XMsT^7Kvy+cBI_NAi@1B!H80^40vI^HtEz!mR1ED5)UKActJDz3RY!U)e+o^ z9=&Y~8h$Djw9wjH9MZc?1My4^?i2Y(dRHY>cOZ?<^w7iJL{BwcWhm<o2ct`fOmB;CZb#36f>@aY=@@SmHE#g6fTdt>I}V)d)<#r|`LEHo_aqnSw*8JTUNU zsh!}+&1~av_=dNL{&g+wBkfReckr2*U&c41jIdx`95i?}QtQ0>#v<+?4}!e+9?17x z9ro}0){TlP#(hde#%5~R8)D_fSh`byYJrIwL=^|I1Z+)i-$-&y7HfliUL>oVXG^5c zqaEwtQhu5yD&72kM`$ZSXR^Cb$geT^kc&y`&V|SIvjw)r7D*7h=@jcXJx_4`=`nU+ z33%JOrAi$KP~L#IR#*W8fKL_O+tSK1Y2Hah!j+wkPRi_@UMAh8P<0SEu32CPsN%E_ zr8#2bbIWGp$X|6I$=IZgKcqT450+U=*sDO_U>GSJRlF!w0YC%AJMUhJs|17;y{U}A z9mo~$RR{AA-i#s8=&w-!01;>%-DB@fIGaEBukT5)4M;@=u~tFa;{NpC#n|t0N9#>I z3W*)-)fe%&aX-ah-kNg^H~#?5So_kk(J85nq11)|gV@t8Vr^n4(sgL&4HBtjOwN9# z^j04-%dcZF2rXjmDPyE;z*Yp9c6>*tI$XAx-oC!Pp^<^y5nnLocqPL1vtg6~qZU*G zHiCAkma>6movgR%-ZK${H$wv;6`kvc)Lkct$R6aZNi9( zr+rk(@mNlmH!+tD-+1?N&2z(^GioT?_L+^cs$GM)Di7~h>|fK2Rm*rxRto*Q*6&$M zherrcZ|_;<(rpkJPS7{ryO<86&n;rt4VL4sShsX4`g;&a;v{_4_IoCaCC{fhgDi#; z1boz7Z$M&jcuTh~TvQ4P{iv;p>ju=PHY!VU0)zJAt603xG`X4^S(ZNS)=33R2qSMB zR!-ctgfx-KygiCk$yo$XHEZY|i@((ujmIY55eSy@ciO4s2Uml%?OVq~W0NiVWre+E zLfmVoQx1POG~4z{0hi$IjGDrz^f7q&%2t} zGAV2{cmlIoE#VWu5le2`>)ZoGR8n`M8)`RRYh++buu&Ely&Q2+Y`hsYCf(0a9@R(( zIfF=d8&WkeI8z3ghk!+SgzZWQBV$Xj6bunr=DouFSFYVe8=8u5hafK$Scw6FU5?b^ z0UL@6`$xqYOsxrk-5?$*js%)53FB2UGL7{b*#7`ec?Lc!<$U)J)^khfR4lZJ=X(0f zuSDe+SoWT4=0B*Gy)1qn-~wLIJAKV{O?)EJgd_5iXZlf?Vyt0t7<@IeailVi!e{;} z^?wtZ;%v3NW)Tg8vC<5RpnVj?=*({`5EO~#wL7=*4VXI0n;h4X&(AnZ;&(%eGDa7j zVcRR}>z>v`Cs>~bmpH~^R>ixv10(Pc#Z3C5{*P9r)&NbV=f38+eNEJuTi31Iz$Tjl z*Z{DDKX2Z*grz#{uuJ&htt;MqTU@y%$=sgxbm==OiN)dV+}&OM!aT*jjHO(AyFjb=)@-$h;qjK#wv!A`5m}9^rA)~i zz}mIpX(Y~mSSHErM+>SK$)p{kooR*C*nr+CO2Om4#=GNDvz6Mw)jS9Q4~ka%f%ff6 zTT&eYcAel;RLCYoR&IFu{`Mp|H@L>jN`EYa$C_{QZg!G(p*+Q=?XIXmmkgT>HY6P}P&2FfRh&Ei5GlL|wEX$5S4m=T*y>C%vv8@n-kc8L z)?CeXD~)9umsef&aYV>9%)x^8;I`w6E^H0E7GC3$B7b}~SCxN(`gIse_jkB=n zFSa9^(mGMi%((&VD>Muzb6N*RUM++x*j8|LAASk}>tvqMSjJX{ z-ZJXW(;~Hgkh`x00RCN~v0u$EM$*w`G=#{c1e!)Qz0ru129jwQ(`JV&09e7R4R8+N z)>D{(n1==;Yg)OzwjM=g9YVS@8;jua6^!qzDQrF%&J{dK6=>;;x>b{(6;#3>;KzBG zs~=1_{6hkJRxra%+i1Bgn5SvlnxB*!Al_@O$i(6GQ8u!-xS~qcBMXg3Gi>{+`_z`b zOrsK{Pq6GO2*YL0}bj=7{ZHu(Y;*K3ft-IGd4Rvo? zNbWYNR*N9_1HWn`PbdW_i~uy&!!d%bu<=h?2?*McZgmxGfbFf&dLt#vaw*Q(!jpMbHBcjX)vzL&4&tT6P@nDMtbb${5cPNp@ps zAXCPd1N%_!7=aMAqOF1ktEHsVtzRs~TnPJ9qf~#zdiA*XC1%`=VYlX%@_LG;dm3yMW2=tU zNv}c{*#V)({%ChKsA7aFjsEmqX>dnz$)PfqJN{Zyy`W(**N`ArZT@J5R_XR`eAGs^ ztgyX}dd0@JVDUxe&@ahuw(V(+yMVyp(~D|T5JgP5Qs~|TR5rKiyzy9dHLw<6LH8P$ zYT!FmYu3%kYh!WEBHi?m>skK*YH2*7(J7W2Qi^gpGOe`JExNJ-rkwW6EUgSyN4sNB z8-q+OcH4rdVc3c=CJgqcqb0!vO*x<&hrQ|(ssWxW*WpPqwKn~oFzK}oFG16AAH5{9 zBf(YF9Z+btZlil#{g*~gW=0Cj!J#_AM4Q$~I@7lzy>Aetg?Wm7tGb&> ziKV@3Uhn}F@&Z8Z5l&J-Ewof&s?EW#bwARGtVsCrNoYr|qTSSZ+M%M{v`Hpoik429 z?G(}P8`5n6{5CG#;OPx=8a;((j;QH`=r zq>7U6E-uGvVGIPW^quG|0J{a0(z5Of)2`Z9wjO=d2WPbeiBsa9SPOhIokw*vh(X;< znk}or*Gc`TH!bKo?U;^fXkudSX|&sq*0X&705E)3A(13oQ?Nbi$<&zhhr*DoRnFUU zR3;%=zcD1t@@VXrqF(79*DdZI-Z!r?8;B-%q18nt|JK)ApLC$J># z`_xmEsCv*=W@!K&xiQ5KdyqG;TpspR0w77|X`sLW9yY5>uf$C;;OYfb?_LBExZao! zfCvE1Ab30PtGN{0b$S}h7U=oI$Ni%V^i@K6V$ewxb6qVYnfIL4PyYmg)8VN zn}wHiR~VukiuNfmIVJ}J&x1GZ+#c(IeqX%{5s0yo;+GgM6PMypsbXZ?FeClzqo3n! z<#}u0DsJJ4{{W`lzSWg=XH*>8-K;hq*|lLe0y#bAu1#Gr#S=QasO;kGeh)9U4jB%n zU^ip&RPk^Y+IN`SiW?KG$%P`Aq@BH^$IW3h+f3C*7K=wpbxt>@^8tGcakKz6r0nCx zeI3!=Re|bU0J(;6FDM?RvUdi)Objiyq6zpF*6IBJ03DI$3y8tFM<0|4i21G$BggLh zL41v2EOn8)eM(J1$Xc92QD}0ptDa=d9N*#ATSCdRXz=Oe}BnCrM~!qeNhq@BjmV}afU3kuskj?>tTrg&jkP!gPh*oNd{otepLI4*p5ZbJINUZr5ck9vLE!kR z-$-4==GXC7a~#_Jo1hH}ZbttAYP9uVR^~V^qPdsZw4REv+^IWM9i9%sF8Jq=|u zFQ-;)VTgOSH4BPP=XtCL2uQY~WbalUo$5@_r(N3xc!2vgw%t$ZQ8j3_Dm0w033|q2 z?roH{ss#2mwe-_XpZ@@)SI=EN);OH|A8N(hD1}Utr(^#Bn%}x%{{Z&t!(vx@#bTn% zZqeOirfI6={iscMnWh`TP@3pT=@55%4t^`&i^*65bJgYf4OaMi;6ftH8 zet+VqN9sRNl+;d;Pdav{(^(o;eLtA>7F~cq*vw74Q~v;Qif&b&U~_=O1&OzGuR2<5 zi0`z1bYWi4ao<``OP^dm4a(V?ru651k#*M z&olwc}Y4q0^+ zK!_Fb_gH|r_9Q_3!~i}GcQ|(9PK+U*MvDj1yg;#k!4BHBng6MypDe#_gZ##@z1GB`n8I+iqA0qkW$NMat!yZ z{{RthTCof!E4QB9Tz+MVaDfpQPMG;W`K~q3U}-y6@l7PYh?B4w6e?t}A-e(bR!pi{ zTTE=eYPW5_iYIV4uI^8D1@ePwQxR2HE235ncj=A5*emwI{I5J#fCsj-Z>8+kt>VqW z7QqHcuI6jjcw8m#PSUl~HrlX!Zc}LMjFlY@sLCzo`DN@gtm{|=cCVZIhb+C4W3FNG zD8Op=F&yt(?pM}$Ty5cM`Zbnqfjl4bDZO3NxLp4L2E?fKBih206XSIyhD4H{kDYB} z9^8Sj`&9cGm8ViJ4k+DA(zxuC63X`7?H(8nqN`%#^Sfk zHfv`*%kJ4yMZ%;NJc`76&n&%{W)_m_vdL)P0pI4fO(?oEmRe^G*pcqukAc4SadvJY z2+HAucp`#E=h|kxpz4BRYbi-I@>ZF!btSQD3x;sY#^R~i9^;DS;BPg%u&14kc5(K9 z71J0zO|$$KvaC;ejmPw=))lm{03LVVD~4AVjd6!90=( ztB*$CHnF~#JFuF~PM%JSv}kN%o3%E5f}5%xJDLk5@l4EZ17vOYp=1!4$n#jk zs%Djf<`|K+c+781Mt~{$gI7al18c<7h@^@J44tC0ey_8mFk*Q%rLxrUSRlQ=nlxeADy?sWT$c;)bRu2x%ux~z383G-bX2sUwudxKo6IHb)B{j=-_ zoG4gZg5{t^9Bo~R+D1%`m@lb=yuMV5`Mas@8yTg=U_$F88SsDRzL>lw+4&WL{Yk<8 z7dN{LDoG-1uf}a?<>y$kM@nO#6N5JSbTCa>tv-S7Gq*LIbcQ6_%r1v!P(X;_$NvCk zv^zR)s+BUNay!+|5>lXpxY%7l4`KV!ZH8m|RC{3BxMg)L)V6PAp^2zztPKFT?2a^Y zd!E!)8vg(^PsJ*Ye%2crM?-IeL#Gmj)4#NCX^>=y`_ru78nm{=QrrwlWQeI>LZisu z;133fz+9Q_*wl3*J>Qy;YSpXxL9IId1<@s803EB|pkt(QNQGIqF%*G)#DXG{bQ=}X zN!V_C_@Udj$XF91X!^E;0=)xCCvieaff=7cB>kp{wvEIMw-n2k%PdemMzqB0*d9Am zQTD>gAq!5e{7`Ny+qgH_@wE{ydY_c>UMvYJPi|>N0~#He1HkyB{%;%9JGR>k@E3Jr?WQLM| zF`!;Tk08Yd-lQ2I4d~5qT~Gc(hw{)0mt+9^c%-_DNMI^C)RQA&N4DXuEFJ1{v^pAG z+aRrYg}d$pe)RIXm$9K0WMijsO|S-3+ik)7)7731l26{BZNnWmC@xK#p}&yqVxRS3 zJY6otTwZ(AFBh_euLF6a+k00Vm^Ay_Bp8EI@qrAncGde*@ER&T+iz{a8-v9q%SU&& zGv1wM@Vc5@`@2sx)eetNjo9pIw=JtLwvD4`W&LVB%WRhhlN9Q-AeL2>M{0PyQS`S4 znQIX&QNJ*vuorp#>2Dw@0E0~%5)Snr5r{S%00~+uI^JDqd8Fwp3IU6@QT_W*Aj#ZFa#3%zCu*u02Y%hfcY39t@mBS0 z+wX<0om_n{otjH2I}sbhiAN+*4I~_E+^B%0odrcAn8qZPXQl zI0xpNs3?~F!@W$xVQykw4YEoTwEqBVYpcve>4U@AxqZT@gCk*9?w098nYp)o8#e%u zTfI){41rS>Y-XwHTV~XZcGP4405zbfxre)Wz8x)L?5w51-nDS+vTdA>lgTl;20HDM z;qcGJNX+wFSSG)E+}piq>A7pOPZi3$)oG8x-CJyJ<1I8Se?Ihno9WC~Qe)0979n_M zh^}65C2`V4!;Q;hZRPooUA~6?4Ws}C(*Yy7^Hff===^s~<5_Rp*JZyg#Nhnb)0tia z3zcCO&43gr-H#{a({p^c2b|z;VX=1B?WYmApW3*eH2ph&UxRd`s=@KI7B3DXZX@TK zY!+d*)qnbj&^XSUzjFP6*RTe3-2VW#a$x?ER4qDDl8F9IO;RYn{}X%*zXjxBi`jTk71gXwy+M%w61e zLwgDT0828tXt1`Yf6}JLcClCtzU%%b{hLhR_mRz3GmeaG&oUUR*vIr0#l*G_%N5D! ztkV^ooB0-RXs_YW0%Ynt_p5eckGbi#6DuhAnGcih3H*bUCs62-SKZqh4x0xN@w&8?oSvz6S#qieKWTp~!Wwkz?Uj>8-| zPs4BOgWjsWeVJi$tA`bC(>7=6k!6($kJt64^dv;dmer4{So?NDfY@+ITG4qGi2X9m zF?jB^yNJgyd_&qQdvO)F4h0COu?=ItUaUdN@c={?S^lH_>tiCaPp?G&0|1(3O~d`E z#U}D4f_F~h^4l3M5wVsm3$Jc>1I1Q;p=Nj-!zsCE0E=yx^Luw9Ydgc{7`v>B*Vu*G zMDRRRd`;`Eb+uf%ukyids|OUM*`%aL#{U4(3IGrQnTo%CKF_iYpAB#QM+1c)GQ6=BQqnO+|xv+AoHXmhB?YK^L~!P_*(>rR>6u2|%F&^N9xQT0zu zPDAQeD6bInoz0MxMFtOagoil2hSWcZ9vp^m;1(}JbQ*chi6;@-+-K&_uz z>)2Sd&cPSJ=7os5{+z6q2XC4tHr=ZjyhXe**I`|PH~quSMP@Qb6-nL`Gt(?zPnp*~ zS7-`Uk_T=p=?s^sFc>^KE$l_KF$PGlm2%umVDTEkAjC~GGtV(Ah|rF7`Iw4HlUpkC@)3UdggMhe)`h z4`!}Ld4<4b)(7Dj7h@8q``0>dPMXUvts2e0sTbgn;q$k~%Je-mnvkX%Oe0 zc>e%;cjB&V2Ug#Bt(saDn$KGG+hVedmYiE+cmT{+L5IfNONI58J>t84J#Pt%y>ixI z>Y(lmv`PJH*M-ZvX3=AE^@;B-wI=Bbtf8Fd?WYbvioN|bWVw$xo5Da63E*>3x~mP- z_p+C_m%WpV*eRdVKX3M`SNgU)F2f8Zv!N4dQO|yAW!`b>ij76t^==2RvfK@$Zxx9| zb=VDD(=Yv4$Vdvfp|IY*LwwT`?Iz(Of^j!k>ek`Bf@Y<0bwK2q^`2q%Gb6=ep4#Fy z5+Y##0KI(W)!3_8jN>Dpl2n~P`;}yN8ZP*b)zZov{{VQN;ymwM zwrM?Y4QWN{{)vPM5F!{aTKy=hn-w-rpx zzM5s2tA(wvrz3DS-n1rl2XZUg)Xw$K9Az6knKOMO!m*!N{?*sZKA7cLMpn9};RZlx zkLg+vb?#}b>pazVXr8Enfy(f>6bpy6h&%;~mj1*ih!jn08<9c2Rgr)^(w)_fBPQy6 z^5yQKyKSVZsYR|h-%w(vcaQg@{Xxqw<@oo+*|thKwpe7q&-)G?Q{CpHHvmuAQRha9oDpRl4qokMd;cEGqn3{;KaQ%h8?KBpe72;d7x-kaLXjq z)QxaSscp~}m8W>3?Yo`LF0uABKH`$p zGFs7tBp%fJceajVopCj%8`WS1)DIoS2IaY9DhTG9(`17?MJit0Z6m!(ZDF9R*ek5) z2tlNtB9hjkft-NHurpImhD|^XUTPi0JjUPJsxQ+AfcDseVD5P|m#v0V>3|xVuAl)1 zVkzLn5DyevS_G_&mmby6c#*|RM@9wb^rpJ4!6cDUuG?iYhhb3c88!?4YL3OM@;Na} zXAoFvjmLArsUU&|sM@VG1+r58vIrAUmEOg|kNJLTTSCNaXl1oZw-wI6J2e4_vbUE~ z0X&c@J*$NP7Xe>`+NEjq-d0Nd)85KmUE*rxh~P)8vRz4DMG3)2Bb7B2Ez2+MXq}>^ zE#_#}yZ73rH1aTtWEJFiGzPPAbsKCCfke85F0^h9I>pm4+?s#TNCMyfTfj$JQI)7%iGuWE-e`F1o*Se8jw5IY)6F3=8t zcKjL>6EIC2!2y8=X}UFo9Mw1IK$TQBpiZOv8hO2>!|uFL+~^{n6(93+LRJIP>a3OA z8jp*&xO5PHDOePf%8wP{dQRL`1&_6TjlD0(??zBAD3L(Y4&!QPsMcIgEp(VEQNY~{^ zj%z)kp|&xLc*JRc28E9Nrc3}Smu!Y$>S4RnSc{72%?wBHMr-mO$~RTGd}XwVl`*|n zJ@FbD4{7GRy-tBM69k4-0()~@D~?DY8IvA6)`{Yg6ImL-oy?OQ(4Z2)f&uL`>0=<6 zgB;NA5?Hi0(oAnZdTL&o4Oiq3ezllui`!!cG0N>1=()&Bsevb$MU8qRHa3z#zDN*+heM#(aKR!5j6 z+|L2(VHj=~c=@dd5r)gIU>seUIr?73#mo z;Bgss%U75mYB1;GY1c8_XJi6^)um7%+VX4K0Y+aKiAf2V&?aTy*W-X9jtcLeMg zN&ZifUqN7Tm^|k%Tecl9;qI*Y2k%_*ocK92hV}U=rBlwxj{{V0E zgPqWQ7mCkucA2de!_U6q;0pQ+B+0N@4g^`kAW%Ouo=r%>VDOnv2wB4-+OmSO52{~N zQp;S*vTLW*;^pO-9{~BT4o*pOPpVem`WF?C!O;4l^(8XIxtC<_8x+DG?Co#=05wDU zO^kc}U@qUd*KXWK)a*$ln$EA?xq9Ka?pc`0B*`^)`bzpbzYd_tAcAYv@w`}YG~U^q z`8#}8kE1}96vh!ms|xb<#IGmDn2wl(Ym2sYKDU1nWSq(mli=j_W<|elEsWBQEXw^*?$t|10BgVR_a{O8`F5a23eC~ z{ZWc6(#^q<{{S^YL~9sZI4`P-xO7xomO;|79qUZ(SoT)=i+xMN-OdqG^IOuYya8Jf zsYNl3Sx?u2{{Z6f24XKA{{TZ;xfPZDc@dCdS_uO9{>Gk(D1T0}JhL;qiEP6gXAbHm zQOpttdiP#rSPZCP?qM-juN#jZ!#jC3NYRVTErqpdoZ1oW8^r-^U$nul+hrxR?jTKM z+KY7Y^j%`ZUq>$k`&H-Z&qvwKv&<$NJ7=|qy*;3vq<-~DR?&>LalmD^!Ka_nt{LZ6 z{snN@%(CDwWP+q{56G%=X(YB0{Fdy;>CRh#%x_w_?$E@q{{W^;S2wM?7XyLmCCu{s ztS%`E$T@ZkZKMyR*kkbrdcPAqiz+4Cu-{8^4miWmv5cU@f19k#k_TkCV!7*y}lU^3ayIjzQWsz{x-Gz?ZW!1J|9#pRD{K1?Er zW?%q~%}(lEitYyq>RnAN2oYCzR>0)j#DQ;CgDuC+GR`UPu^M0s@8ua4%w|hw@n2~q zal}_QBg>x=om|3&I>2EcYMNUS2JSGS00IwbqFlu~sW5o$Qo4&PI3}UXt$+3epTd^c|?NTs#UNakly^6xvcd=!HyMZ7R zz@q~=_muj&c+zT48tF_zFe7I1wRCcvn(kqlTU<}@l&}poAF41`vi!>S8p9SA%mQgS ztLf~0U0HeQzO1vBtJ%h(dk^lF6JE`4^o~CHyl#tb(Ias+n2cN6T{{uPMKohBN!Pyf z#MFMuzR8syM^w#gA#L5+Pp7{**hNbJQ)Q3$}**!T$i7&c-hCu+;2n>5I9}rWDj7y#kx|U%&OYP?HA{ zY##wm{{YsP{{UEZ08rnHwj0Ku{MLTho2)jtSL9M0P3=XE#wX8nM^&}3?#(X8USDiD zvz%d<5)Q?e{yS8AJwuaOyXt?XHm(VPX0wI7@@0vg=)S$pjb6O(4UYwAF^ zXj{Wz4Ylng0aWg;&#z{-neXo85TJi7)kD|2t4L$H@m(vJdy9uwd0j$9T74D?cFvDW z<>`sG(6YpCd9FTTg!D5Di>F|G*HR5%QZ?gNb#NBh?x+hSorO=t zWlqO)2a`<7NEo|BfH&OLvlU`mK&&|A?>IMW25p^T&JENw7HFoBq|}qIuBJaq zpen<2CQT(7Ri~l%tP0HnL;>gYrd_!XLn-$aDIVEcr4`_~Y$E(lQrv8Sda@Iaq5cDd)#YgAYhGsKQ>H{2iZLc5G>j9TF= ze4677Neu+<1kTiJ7gq5K0R-*0iq2e}Xlpnu8A3KD=}{BO+qGFNWcrT(0D9x}t+>8a zZb0PK?#-|Pk+n=yPW=jaMn~0+>0{o|KF~^lMR2u0yOA76YVCEE@BaWPegQu6C-trc zr-p<1PWzhmBOkNOlBUVF$y-qXiq<+_`M;FdA^->g9wM@9ESgCz9xFiT+)@kz2P`zs z-1noBD!(DevfxLw0DazQM$@q0%+svjTd(Yr1ss^(x!zTyY9ebk8XI{#QP)esU8yfJ zH?_I#K~?ma9m>;8WPs;jcpFg=ezQyr7!5HrfV!`PG~e123d*1rJeud0(O7X06?f06v>( zAc5YBT^Q+&&eZ4+?(;yoVTT4jYfpDTD_CV9uH%tTEu;=Pif69Dl^kuwIgmpTNhh(Q z*dDk8C%1j*WDv3QN$!yw54{^}fD#~zS$?p98L~8p*{jKLf&qaQMKP>` zPidn-Sw6H-eQ^VPAOW&fg<87_y&O5q=Uz5A`r{&*{N;3 z7-%%B(19UqW+257TqKAfQo05tq3y**hEp_U6QkqF;&BrbjzcS-LfDccpL8P}D3GYuNaua;Kfdb4I#D z2qL2}hK>a)`w+)bZPx9b==SgH_Fn`^*w9L`C6G$<74L23-gOQtC23$`FI&+dnI33j z->|aw?M+MXYuj>4owuSJ%B}1>H#0@FG(|T9TmW<4g^RWweZQP>6(aSyCD+Asu`I~J zy!WMF9HEphqGGv?)oC#tn&iNlZG?8NrhH3g7l03Sj}(_ zUf}nee>L3ctaZH8F2^nQ(TcmsI}tUijao>DoSnP|Y`E;E&MHx@sEeWdMN%{Fu)$gN zZRJv2lB9CA&+4q=-dpNr*;B|X7n`vsnxkBoVnY!=J62qiZBi}~vcc1tslewpv3HkM zu;AQCokP4=)O|gi;IoXr-Xkx#t!R(R59Ro;k5!2c8yVQ0=B*tW)wr&l%md->6J?L_ zE%t6dt#NrAbA#^_q7^08n|IaDp3L){pH^LYidzKSunc;G{p;quWvjL?GTgRS;*c3z zVg!(D>zwgI2RD127RQ;-*^(*P!oa)`oc2sg{lbq>l1KF4Z$J!QwLfTjB9G-7cpggj6Fxnqq&YcVe-V2}P_i_L!;f z_nNx&7hB@`Zy2LdyM|IG3trG#f*I%!`reivX z5BOXnwjT&U4mCkm1*+TZ7I20D;^3r}ZiErv6=$UW+@c-9!1l0Uxbn zlFu&eSe^N&+|=qI_uSWz0J=$FcieMckBj3k89H{%O$s78QC2YT^4)uLNCIsX9R zza54w+HsqUB6cRZw>oCdbz;rj`qH*3If}C5aCY%2Bmr?j(9yxDxGWYX)>d%$@doAc z5Ai%z2^8M0>HNN^w%!Y1y3{guAXm@-^KJ=Wubt)5Cgw)UF%p3K*~-P@eFU3 zBi0;)=9_yhy>lk~AL3nZ>C@{De)PSL?4{+HHp{Z?Mgt}xGfPT6Mse*m2d28u8G_8N z;W~j@S%M=4+GZ;Ki^+X_0@+KZ-QfYQuO=!kanjgq!qtGl3$~axxZR`8B!KJUFxYHS zvvT??6*`cf#)Ftx!k0_;t*c$M2}9g{tJvJM;*$;q*SF~~Z)yC|*wW@1XvN>dTsK`k z7S#%1H^HW_*o@EpQFBkI_zR0|?Sx$sa1c*B*0v7YR}T}@cw70#8!!MR+aSiC_1zl* zOk+u`*XxRp{V#2Z*j``LV_H!&Szp&|TCPWj!UkEpbRXCnmz9txze;oa85`q;?71;# z5pPbWKa+l9DE|ObbDTC|mEo4g2`oj;O3NQLNz1cam;rnuOI=+O!-3>b@p-lURuS;m zV4*Uk^1o`Hk?oS(R77G6$>M`}%9UVrp53X;{-f^#cc!#O6;CKlEs(|%+m<^46G+$v zP*{Fm)jHS=M*IBIT_K?g?Gr;)ENDbrISTV^?mLQ+hZ^xZZj+<)MDy`OwuxwIMI-G< z8%5!8s{zL5oTAmXGzSvQ3+W!j{?!*5X!>^Cf;9s%Q?UxyOF)1}Z!|b9Y@>0=q@Pq5 zV=~N_FvQ`m;_xW?Eq-QRX)zhTW2D+RmW~Wosr17I>h#t-N~s0R1K(=PGaClhHuaW} z>f~+rqOU``ImIVYX)H~3`hTb~nJv4v@WR`z&O@o@YHmT(_j63k3xiPB+Vcekg;_EE z0dp_Mwi^A#46shsjHMjeQHy047n)+PX5$~S-cktKKq8%)XL*J`)7!;e4wndK_Qv4N zX?H%ETf5U3jMEK&_?AN!Q^WyTjw24pG~GgnwC!3fr6rcO8dzOhv%JxB&icd8TRih!m&*250rEN|3DfI~$6}+f>C~Hv$qwz=`I% zcz&SFZQ)l8_rHc0NCig~%OC(Y=e>BuaqaU_D5+ooUBd$8tv=h{v_FM%;y~aMDpjOs zWmE){z#G<$ll?kuXGCK&i`N-1S#t^&@ph1;LLx$ zOTz~A29?{)(zfyxI@T2=Fy#63MQa*ZAsi0JnpBH$p7^qnN|J(f#X zEL+4bCEsPatMuZi{bi2BV{_a^>@K5kfKT;5=ZdlB**+q}wS~DBl<+teO2=U?VXrbc z+#Yw{h(*_T?5WEXL)9SeXmV$oSk%l;)Y&4e%yiDiyrxFP7T3N{i6@l19n znpJ4#2o%R7NUC3v9up**^AorArh)+ROCasFD;V`NN=$FJiV$G347bU}51O5O6ql`)KqRRg z?N$6ek%h&vAZlR)dQgv8-?6!RI*E&XJCYzb$*z_i?qV$)j%I%;J?g!Q4eFqIUe(;O znqh2N7J(YMp~bY?+a^26OO_n*$uK8qbtRoqRl zQykY`B1z_G%)JfK(7${l`iu$gKWdYL#6B^0)ub?=AFuSTK06R@6dVpBDt1+kwSu%% zi7sTBqvWZjkzP*Gv|xgE+5n(BFgk#kBV$52(iJ2q0C_Yw&n+Ly6#RFneUq~71#5Q+ z3RXLV9Q~`UgtW*PPX7SyT)ZA&F-$ap5y>^$!?@8gGf?|i$r`~YlGoBpIHRzu$l|HJ z9>2yU5wNSIfU~y3r;WBQRf8DoGdZQ`Bn?M#x9DoOq(5h{q>ZKrBtWZ&MwP#2^`iPhGy%+xfALg{C_Q5gV~89d z^ZlyT(ajjkmefN)U_R8{rtc&tlKqCdY(nWEaWy$gTmo3{P?6QGfphcyska5oFw?Oz z2gO7FRBldS18+}LtxA!#3k!X2sMQlvToACJX{e;|GAkrMvAEupIqx*VDCbgx@;lLh zw{c8Pq5Qm4mNLBs2%zj#NImGtS5D)PG%t0ySJ-?}LIzE{(fI*%dYi-nL%(b>(x3xR zP{2g{XU#oObF|WRVxj<)xvEbddsD^I<6|4tmrzzt{{Uf7?^*On+>mymM&4NNhd7W6 zF)_ST?P4SdSY8Ls5A%L;u@&aFG>s>NwH;K1O-2L_CcHszW-0<)^w=KXH7edAE)SX$ zb&-t3S!HifL=D9<)9>y({8X@DZv;^8*w&z^1MN>65#ggzZhkAwRtb|(9Na@H(Z3Zc z!=XvIV2;$TmPbRDcr?aR7?~q_F=ZQ#>)L??gfvz~MXwEs5f?jeH7QEm226_QUa@jv zW(5+{i)tjpe|pWd_Fjd=V9K_;4o8trw84gxu^wpX%W-vX4G#6CW2sCqtlhRd7#OSR zG*yGOG@x|^o$0b|m@@{c!xVrtK^`bLi(r=8L8VupGvc1)>`vg(5IJalCondt3l1tp z+Y4|`z|<02-Ng(&v)ZOgz&EB~?_SW#rKwre4{KMfUL>-Z+Nmr6FIz*8nvy*`+wfwW zbrIl|+;mHSi7GjB*D?Y&Cd)OQSqNu>5M_ruyJ)8O(b zHpx-AAexq!l+L0IP%&1Vk5b;?9mO}Q1bg$5tBPY5PnsK@_A~zg6hv4CVth>^tZ+#He_E!J{yGpa z3tWJ_@jO>UF2jL!eQRzM9%FCbq7^eCNhi7OSMGw%a_hD(W%-?yXS=6G!`oxG_5PJ> z=IBy)qTP_?SZuE+TzV+Ka4w*raZ&Q_v;P2C#tc3;3P3Qv;0%0GEv_e~ugE6;S8&gg zl{)qxCj{ndP;ICI+}4g+wnA1_GyC@PKBltAQ(*{`Y?%P>+N*t0oLa8~6c{O;<{+Q+XjFGL|l*}Sl;4lI4TpXTa%8#x74dcVE z&aC;u>0^DaHlpk|EM$LL6heSO_=@fI7DtT9anFX#5tibg&B#3W?_7B=ZQLjtPM!9y zjyeA1RM9RST?`wn&lLM}xf@VafL1r2^y8~c9mLHi3#^6?{{TyM9y6!$_VE}igfKqz z?8D~1lIV`E!F4tSHMdp7sQ23^ar0j%BEb+KOt#$oS8F29v6(J4F&G=HmjMT30z4Yy z=kdGLqVm7V{{T~1O+So}p}wH>9v7)F&wj$Kyn2sojkSCl`M*5L@flVo<{KGptz1b3 zOo@v6Yw7n{;kugy5z3}D2fp0%@+h5m(U>l#z_4Unc(oFjK;QMOIJsrUm(toPt938) zK1?pG5U3hRnVGKwt0%nnuAfz8xQv4}xq-%^S57^q2;X_A*0QW_CfdE54%Xw4r+W3F zQ>jNr6J1?07`#NzrupWQL$DPT@0tCu~zQ2%vFop5J&}NpCTwY%#$^}eZpaG3vI&bh^5x0OC#Gpg6VwT z#&4BazhS|Zf;a~v@L0QiIVqQykR@m>`R{X-0Y{vf8dV}}R+pr8rnkeY(oo)iu43JvV% z3vDM<{kNjh9TV={TWHM20I!`stMvuE=RY=VxHfRofcZV@?e!O_^LN2rvzK8m+p<9o zc!=BJRv&hKQ>=+HWb%IWW!)eKJqhc>e%S>uEp%JJzq7^bS*(bi(F%%gJxlwJNg&RLS-VW*?-5 z5zJCK1osA?eL|O1VB{E5X_kRz0%LdvJJHv(*posrf&moU-}Kr?Y9U`z@!EV5`wF^U z=zMB)>t=pe#R>_#o^TT1=Ds)oI%t{{{ZE^ zX%}(~@1^jL*i1sTi=->S;CY~*rMRnr-o1+fpWP?9JDNH=3rD(-7l6tw;d2}d+H3e? z8Bq-HRh;kYCMO@5wkrLER=5BPr(sO$?u^Ie7WF(`!dx*UwN&2(7>$epw)M{VQg+K+ zkI`?!eM`)(;O^zNlGov}Crc6xtn7REru9eQ@8!5>!@4_vFy;(ZMKFTfO2r)=frvX+ z{BdeE6@p~@J7CR?B*bcsr_Bu8g?Y1|LEKh)P$)Y<@GI7^{-Y{^EXDxf)V1B)!EtXu>}E{2gAdH6d)9@W_4v=QIBX^- z4lJduwT6+z3hi`<(l*E7?Beqi=?k9iKNTmdzLOlgFT0A$?s|b1O|!W*$saF=w^MJ} zon;t~a;fWF^o*{lkx?yUZ`-t0N3eOW#w!RO@1#bS;K}b$aoKRNnoCOq8y%~ruweE^ zwU57XNcO<$bsQ=v?XyW$#st+&r;6*B=#}VsQ@_ z0u}H^^)n51?Irs_Z*W1{xp(Z9W2i%Ysi{-I4ZDliWGJ$sxsg!}^D#zyeb!H!O#lt) z>_9*)W^2G0o_C{*e3M=QLE1p1*Z_&8&`-dw#zT~x*Dy>ircllaB=!Q6KFZrF$6UkQ zAd&w7Z2)nKf!=1lr@^TO6a%RC?MjNQ4*Lo~04Y3!Nu=>c&{*m4E3wm=79%*ti#9;g z2rTEYq0k)s1)N@@*kotZ5&*8XtQK{Ag%)2)%k?=kv6&R=vQkF|#z~x6)27AkK<}nxf1A{+xn_HJ4s2Yq=FuR-2;c8kyl+$H z*i1RUdn`8Wj!x#e4fP8WKw*WpWI^{Gsv`S7%^Ed>i2W^@xCij;8aVwbMV^k$-q?&e zEB$J1-n++LSW7mS@4ZOEeL&3YnDp(HJ<0x+J)3lhqNkc=cky_@6P5sZN3Z3AE`)zVkU%PfJkZMm#@zm2nA7n&_LoY*4oWimx|o2+c0 z0IwbDtD0iv0(U$8>bs7_ix_LSn1iHjDe>{*-?M2nvN!6lQYLA44`#%JZc7=g>F&2A z!R=D9H*RBb4|43u{*@l;ERDAny;d2>vK=2LD4%A#c?hWbxe{^HKFysTre43 zfDGy+$BNHjlf7+e=!aI$aNER?Ibi@rS$Z=7GYsD4 zi@(DyY`EWf1b@k_-^JNUoa}BKvTC-o1A5@yVq0UqYZ>QGCJ!dW;x#;o8hrl%O3CpH zrsGEwL}sKZDi~PAYBBewmX7<;1+q*;pNeU=b|yfpXp+OzAUd%IBh1yq1U;kM5GtF~ zAD!UD5m!4N-*%!@jyxLWok_ILt|Y3?<^weU08eddB#;G8z14RRGilflBcHuhox}2A z4)w>)@iTMNQ7Ak4t$4UEtBW({D^Tv$1qL)N5MpYKbCt`&7$!K~Na(2NfNj z&}>y9y_X@6n)T9bk7(MSuOOd3E8523?j!cAZqUXh%}5xSr9kDjGCb37AYcu?K;pbF zk4s1Z5y`3D0P;_Md{bNh0FcysP>J^z)%$m%dcl;CA&$ao+hP%sTuJT4Mn-F7>l;y& zw+DK2D0GhXH1^oo#VF%pG}X7JHWTq)RSCB9MYhYsZfPt!LP9OlvKSIR)Jr&(Bn>1| zvW+_kH5pU~0H4;c18#}8Z7YciCb^iKO+EhrerutuTZ5{9T5Z-BM1N|Xv?kx8CK~>c zrM4e6DPBY=pEVuux;N&XWwf76FFcx8+2DCE7BLBYNApu|Va3_n2;wW8=xwy2Dr!}$ z?9nHB$)xhjJpQH}p6WUHr=}v?s05JIWh-z&Gxwmil?FhnXhGBfBpUNW4YwfFW)Bb# z#Wveqw&p=INxae+cUje>2^23@8XIFoTe1m=G>kn#xD-|a4}-Dq@l3j&pn^A{>T5eR zm8Dzi$J$KzH0dN1M7?dT{r+fHUUg43(^4+Zp;2z&mz!h*%?t7rHr61kX=#d(*3#hY zNvK2{VhEV8daSsZvjYn^a9Te<<;ur)gUy&#)xL?6~Ed*M0H~%`=8;>g;v?X_FJ?t-Vliwt80$ zg*I35VPMDRW9Fet9pqBO!NlqAvT=hr9Lm|afO}h?(x-IaPvY~eQ!S%$aO~QGG1v-c zMD%ss&k&a`Ay5pljexDYEz9t^Mi5%Vpil zfZUIB_cUMi%)H}<2;yywNfYUo6ItKXf2g+d&3S%Q>iEJv&oV8Kzn_Z4?dKS^$9^bc zE*wa5-k~x{^ITj|=jMx_9uoUoHE~P%?D~7GdRqh3xD#&^ZGB<7T#do)Up94DOXE6w zFuRAv+xC;~Zp=LY0BYKPoBczzoZCzuXz6bp1Oq!i{%YaW*$xY+vgX6$?W;)kJZ`3! zACWEzxBj2_8(8ZteV-yU4WP*GL{fUc1e99<66FB|D6zQ($lE>nojaW?E>QJI;lZ%lO7FFVF1ix%CA{_zoML=gGio>6sDANA`;SMoLTO$2F=$kz|hfGEBs~XBRfAOxDSoK~5rf@BL z7jSxnLR%Y_KWc~9eQSd0oC_P56|pQ2r)V9?ubBFusPWxHnB2wV?rLITP#utX70Ctv z022D~VS9i0G^+Ve{DSqDP~tk5IBaeqbnghC_OF8#Xz8B2!)3Weye=yVsjZmS06Mnj zvwMaZ#2*L2uL4>&GaPuYQ;I*g6&0hJZ?vtN@BaX_tiEUPXGxFc5^2ZkRty-ogdhXp zaakIJ3QPh!nkBRvhydz8_SV;oGAh}vpQ%_)5|}c~+YTyH{Y1jr!L{4CT_gzt2KAH+ zX@ zZD}k3`7}iv$r4}+w&fgq9V1*nR4wdA2&&9UA`L0}p=w0n_CIRL2_u&F_@d!#J?n+8 zofc8Ip7juumcgS`v-J%zF7CJzwv%#8L7>0XYj>})v4df0IV{yeMf^niVB5l`&8NK$ zEsKTi*&1vplFhV%RNq~KW{qR&4V(8sTp$Vm0C7LPI`7mQcP|lV1_~sS#Oy_97UJ;> zrETF-WRv;7$)VkJzVU2&SakV-!jhM44AHRv08n1`A^!mOXtqLvgsk;!PLr__UT z@neEOgU;2PYir}BV#;Mx|8YE%obMotT-;>BuB+&H?7>X#R`ykhU8IrR1|GJFW2~7?al`^ zvu@G2VM*b>D~Z=V04*ctIXerM`8L4q@mY1ZWikl_cstgi&|P%6ODmV>3~pL&mg{dH zdTMuos>zpJONhi3Dna9e%?zJ>?nteluR12)Hx6?=ni^MAAn#c2F3rp})<;sT3^abFcdGkZWFR5uYjhBNw zRJgY!qFh^L&!IXq5!7#T^};P-t%6z46XLl&O_dCrAA1U{9@swC1GPVLABDc!P-+I*JBkyb&>yQR?b3FJ9eqqVWz@v>^H`V&M2Rvs zn$r6BtZ=y8sAZXOV7pGy9~GS1M!G`ULe5VSQ7I<6p=A+*fT!KD zwtNv3>sUNPST~Z(vUe(^#YJ1eq9O(6oCcK>=W3UQ!Cb{D^esD)xq-zh#u``=+ZQDt%pG@4dYd67M#E;;uJW^ws7YlUUjT%hPiorT-uCvab z!eQ{(>?O=ajxDoDAb6UQ);~~ltY%o&Qv}#6SAkt;nE0=sU#D}%eSg&Szv3ZRW^vUb z+h-WxOJw!iNggEE4CxZB`D?F@%duk$xRTaz@bxDmKf0U~k@Nw1_=-_;$)2*|(8Vt^phw z9nBfN!;(K*8A6uHp7FgAzhgRGFNMS7Gu$R06dc;R+6Y4iPQd>0R=%wIe|InSiYYe| zWJvw0g@eRg!((k-w$SK71Z+XBzGa?gSewlFjCLmDTxmL%BZ8t;8tL;j_O zaHIl+e}a=88PQk}K(A>bxB^7&QWA(WA91AMQEW;G)pb1vxYr%j-v5JtdmSk_sbWAPcTA@5=won3~& zP}kc6Her}v$KtMDzU7^a&tYB9r&)vLx!>Y#X=Vyk1`-K1TlAP2AD8c4?t!$poc`7o zoLbgnQ)~v+Jl5jcFOT^b{;ukbW^0k+Fpp-+Bdi?@xvbYOY(2b57}NYHI|CcVYuV>V z*|n8oFaH3j+V-Jn1aI+JqFH=R@QQbH0NljA5XDKoFn-nF=_}!IcOy&&G3Kha&#z&w#G-6zS24MS zwCiMzB+ncg&RkAdvY{@n%a%72uJ7g_uN6}2jK@>@7TaOFMMgQj_gOJJ?OdzOT$f`c z?_0(d8(}&2&`Ty(L8Z8%qiw}|3PzAv?L@c%h@qK}!(wh(V7ABYwO@XiV#?pf*l={V zlkhqJ06$8gVMBV*7EJf?SHGr+Pf^^nGNlgRJ4gH0Q%kN*AfKXl)jMUdSbKyORG6%{ zNp1Euy8Thg*}8l&4H9Ms+;^-&S3x2--n5P)DQs<~Hf}2^0jlNE7h2AJgJNZi72;+^ zQ=CjpAH7_%1Opd?y{q`EadsbZKl4g-#|NC6H(Nc%$Zy+Qa02Svn!&Cw@!ChUG{=hi zXQ(hE7n5Is&9Zj;SI#-GOE~9Wo+~~ix>Xg)v#5gtq@63O2E)1du1;yC@h&X`qCpaP zuVKCRz*F96jGq1lXr1EB?0!NtVi?F9Nd{`rxV2*le@<$la%_!ALbu+Xgt>W`9c>@d znuC-4l({KUYQ|!HD|%7A?fom7>`yzB-hvs9>}U1foh`aZJ+~Fp9yJ%$9L`;xvGb-q z!awcty&{QIC+ChS2yL{+_307qVnG6S1c z3cAMUQ4uG-O|iOwtp$5g8MiBrEF|d~)>?Jjw&0I&2XD1>XH4rND!tP9fp-IB&+-8u zrFWT?wkM728DY~ki?EjOkfW6AG-uG518yMAC}IgS0(((zl`rigJJ24}0t)U2Z!}6)@C#HOZwC<3 zPPm1(1_eMY;A&;kXNjp6aTg4NAxC;jGK~fiaJgw*4aE7V*8^L4Q?*MP9es@rOe24I zpPI<93w(nhe)S=5hxW09LG;z04>Q`l_M>qzQGX=HNM#~pdJ!xqcs1*HVgntk*FpT# zvF}FIi-7;rF5? z@E{H8bu%)MU=g@9-Zm5^o8-2Z5WIb#lI#v~Z^vjE_Ql_o8Gs1_L^K*gJ062!cT1S5k^f>n08Q zC1>4NYbeDo3k!q|qherhPRmmhn6@uB!n#=&6aJr*^X8@F`g<+Sv7d~!btSppmo>eE z%QE)BWv%MoaR*OhSe(8D8K<9_D5-80j8tp5NQF?om~2kbrt zKcPAs*SIlw)zfcdzk1bzS-@c-6eX);wRtk~CCwK!>L}__P{*)1Y(5(-!Haf6rL`NH z$UdiiNA%w2S(9A?=kwkNkAd@1KBfIbd}Cb8a);Avb-8g*xS8NqJ#$kxyzf3MEMCMV-d~*DRlCAnCuaDp5=k-$KhlqG_1jDt z7^w3#$>sQQ=Z(h<*NSN>mXEMAY_kQPU>e3M+Fi8cV-p`Dv3%3%b~4vct&B34!MjS_ z17JKFKhrO$?O>)~oLnZ?33#494QHWSx!RH=pII z{{Z8TCEfT|neRexbP7JnS1vv!*t zb51GGXm1+|SphPCaZPuFx!4IGG%`++Cs8m@%}KLjNV$4+F5|H#itU+TRzC}e^$5Lm zX|lZ3<{yoHM2lEN5x;TsK*Rq492=LVFv!yrM0{%B3a+Nk6$cBxue~`WzDRpwmj*4P zn|MVenEq|3c$2{QHR*fXSW~hd^%#y=P=t$x zTI#jDARGh9p}FfXd@2)h8HpY;CN@9cYO zWK($Px7ZF1H56QyeUg2uPc$OUcG9)t%7E;?4R*SJD8y&jwX z-M;F&MDtlU^j!eXaTq)v726i-wus(q=KikCa$J5R7jpohxoEcFY<$(btuwos(vt4W zhm#30SsReJrZz!HZGbgVoj$0RlOCubnF2OHHRM(-%)2l=d9Qj`E`y_!u&bv|^fo^m zhFneSO{OFgAfKAcmzEHZc4-bbCq#~c>ub41^}=JA-NF+PXV@y;);%eM>O2SfhEB?E z5jt0bD>v#Kg7#f-u(m)1$c}1XNA-p$AjSo}#^w;1bU(}TD&flxNZd^MIOP^rF*poH z7ZGyR+fIy}f;gc*8tGCN+w5cr@mrQ7sCr)ztBbsBmVJ!#L%W~!dW~_1C%g*iX-RxY zO`{dJhPqMI+99KTsBL2q{?@j|fRGQ0+phH|NT3cD)~|)8Uh005NPRYeBZ9RqKF4Mm zV-9SRw*vxso@?lifXi@KI!^}ehel$%k~uY#_3mqw<{6Eb%FrMS?;&6s)V`eFKl(n| zv_WAZK{VE_2%nziI1`a#jw26k6aqmT9jdX5!J>UJ7aGDn!)s7*yZ!3pa_6m(UKyFT z9II+c;8znPLyYK?hr>Rw!I1-*fhMoj0%lG0>|yV9E)8)|ExX7KuqVZA9ZqiL#2g-2 zm+i3KfE!f@E$MgZ%=<3IW8EIb%b_Q@Fk^fu3)^Tu|~ zF(&P=McK;~0yOWitIyHQp4KNDiN|79SuC!Q7;V4*098}!oIUJ5e~3(DE8i`tnRf=Y z-h#D-%KAND5ph@6mn=+dW``AGXCLaWNoMw4YcauDNVa|KqxoyI^zQ|QztMKr5o*&; zr6iA2963ljb?H zHKlu37ge%E?fy-2x?8Eyv((A|00(F)JEp$-6ILFJ>P$9qlLox%xTH-k4?J^Ou1$!s zW9kra+Edpedv8-LJc%rqkEk6%{)daTgtvya>3txQVm^Phc1z+Drf`?C>@B;O*;m?j zka?;{)@#<^AAxIbrJ(n#ep!3*-5G;i4lQioC?Vv2qL$h~R*|zCy=RlYXNI+862NH_ zJ5{Hwx_-uQWnRi#7`p^AfwYemPsb)J0o1uI{KGI@LVATx;z#^*T|TAit65i9;Mp#N zWtUCi*aCSqqomV9$D^&*7-d`@RL0)xe%K%Zx8AxvQIuh`e4+5H6>l=iM#gKE*IBj> z=1F4xo9f@iM;b^nBpT&)uTt8Vfs_&^t-lmFr8P%9Zv-IsPIs|(mRQBSf zeKo;a%Q|VXxP@Qh`-?|vFIDF_4AY};T(NZ})Ey_Wnsz%s$}(Jo2V&_LExHBJyU&`< z#@eCBB}?lTRtdxA77`KE1|V!aR#?hF)Hfb$bj~x3qp3Pm5p6FmlAwiFL1>>Utgtf z;H=zi8mj~nx;w>T$^g2o(7+wVSZ{R*^$(|Ht+az^K)5KRx6BjNu&mH zy>kPw_^x>yaVNb^I7`5l7TZ^XJ52~%W3s6xI0BnififEacI-t;$?$)P#E=e_8_e@w zyI`cskRn9)q1hJ@o0jASgCdtu(b~-{TwFK}cH=DXskT3Q;#s?@rCg>ncPb;l6=&4utE8*pW)i!1y)pd0&3lh=v;meF2iGS zE9u+B0Lvy}PZX^pYRZkfw-X91fe3da=7Q-YZ?|z*T&trl=C{rs-PK#6OAhlDSIlr1 zGTe3iE#)h6+0sleV4mSmQ$z-^?SaK@&(cMjJBg0&` ziNgLZZU_L7G_`X2&pEZ6-naOC8sY7UkU1urlV}>FgVQ-Z%-N-~%(G;Bd6mnT3NN-#2D04O z2ymE}Ne~!~x#w!?iufZLwRUpW$zmjJSL~ZAZ}h8pw~#=Q@l?x6E&=0{Tdqr!HY1{} zVl1d-dr|@O6`v!l6~?b+j}3^k{{W*-CS;2<{VV0H^5MCd-(`p`HK^t`b8(km!7eKA z;mzN~Fq)XTAfT?fmtEOd)a9qX&~=-LT% zIRjDNYVgAZpu;Ak$=khXqMm)2%LZM0E4~z~TO@8W`W-X@0rMS8KaL6CP{;yR>2%V8J5c8onquQsOqX z1&4||r+;DSmvYf=1xLMYl1~7NeT(Mefx+=a{KHFsTCUx6FUe$J)}CUC>f5-nI$OmP zZBYDFd$z!0$Sa+vMyybTJbzkU#>SBxm;`T6ZO;-YUqHq{)9w^Y1Z=Ik%{VF%y$P-J zrecMHSt9kH;hj5!P+rr|6)x50O^)J>LfsmdpA?=jG(fkB^q4KhC+4LCB1Z;?a}FL& z1+Bf9(8doUpWq231`tOSCPBCYX;Tmk3xWyQ(ScDKSaD702p3yH_Q<0vN&kK4{6|YjmGeIljo-ZZC?dO_rKme&$k;N9x)^CyGlH!u0 zC=8borFpFBtqhXa0eFZ6R7`D?cF_qED9GW8i^2)-UVT>Jk8=M2dKM`#IX2$ywg8W9 zHyMau!`<}8lLmLLo+{nEKo4v($7-+UjP|hi)S)UtAkuF%1pbifdpL_jF3jMM%A}4f zuhmR)Y@XoCtm<2UmT}s$6}h2{gR<|bdH$7i$umsLAA$T5sdQncNu9rLYUj@w!OCuo z*BdywGh^`#w(yr-M9G7(t$U$5Fr;HM0PsK$zYs|$R50BC0OF_gM@HMg*|&(s+XCcl zhyCi|oMt$Ds{wNpi*>hV*pf+sUT-fac>d=m5;u>>5!mczD-W098s*1JR*-ktS3f1s z^4_4sKjAMe)cmZy>jmrXy2R)BlV;AVI3?oT?%yV>eLd7T%!?kE@QlJv;h3Gw!M-}{&|fahriMKqvRhMiw}my5$S zo248TB0&UiH4pNs1`la!^?O?QobE32IDiBe)3>xuFo^`1(-K8162MO&M%#))q=FO( z9Ewr+tg#QZc)N{~1ZM&v~>yt>HJJ}W+M zCCuroNYWFObi?EEw{duey6nvFyE76@&>K_;Rp8Fw=glMTux3wjN(88#)=1p`)vP$u zit4NIOJLqc`p93k7jg!Hu^q%ycMzfu-OP8XIC9q*CXxX>j>4(Jj8EOF}a1TyASDGVd^vj+?wIN?M(rRQ{n zXM57dX#)(C0%|*Ue<37O843{Cg({q?&onJ!^}_dLD*pg4n!0tbO0DtLG7S=4OFGB^*)^`JVjjKiJEW*xMF!;P3<$IfJ zSl~@&ZEcY0xEL7N5k|3!xrVyBPTp!+h@Q*%1L zZigcd3@Dn-^NdqhJ+Ipg!Pw4Vlu~jw$Q+y+}F|;uRuDJfjC={Zh@GfAH;Uh9{6K z=sbQ{@lrslT(ZOQ zR;4y1w;$HFNv#;a!H>abh>(2Nuh2OTKQQU-cznX;kQzb*$Hi8<;|G#qEZI3V<+*UQ zxEt*irTrqrTe;Gzs+vkM1okyl+;S^3eA#pBdFT3GFB^T8&YoZzw_>_mFv)s-?qKr^ zh*foH>?-Ao$uPLips|==RV!@_AD_SZ%_msg4bxbwmP~*)-Fh2x z<~r@ym2D)QiSJ#kryn^cHNsUZTex1R|ldK zs7>36mNQ$lSKJ&OJ@~CZ>GIyUmSa;Jcs2h3Rf~b^U|K*spZBQUEtp}m47VIGm%Ya0 zY&i#dHh{0MN97iu@Yq~wwTc5tD{={{mOG&_7#^j-+BaX&zWZGVdFPsih|4oU)#WXV z+S>e!qi6)3>t4^s64=Vu7l*%lhz+~XDM0HAx{ zU}!kLjmh)OHQZKNco`CfTWUAeY^LsQm0|B-QSP!fts{aWz4WUt#pRiG`?%H7VfWJ9 zgZ8BDWM?y@dM?H{D8AUz`qkM!Ht$g~4vMhdL5;y-Lsn9!?-wQ zy3kjEV|qVLV=&paAMuu%P34=XG=9Z`j9Lx0DA3NH;e9>B(Eb?88O5 z0Esc!(~Xu67%tUo=+2kB)Opfi?UInC-1q~}?^}jZ^yeqS;LaZI99-PPo@>tL^7!~9 z;L~jC(vFPC60<8eaO zi)F4e02j?{eJRp-PMW|jVVRWmqD=n)B~0k9nZtDMUH+w+sx9!cC;3HHv&`c$>m1bJ zGVsF#J(efr?LKSTP}%1u2Rp`N`pY;Oepj{zM6jRUt!ae7bS6ex=9auf7XD^6)WYGi zu7}8uAn6xEd;6AekJhoSuj)KcP-YEw>5BvYng);upS==vt%77OsLpX2<~iiB=6N1742hK3xVJ+|c36>A=p=kZ5mzktp>uq< z34axS%A0nCw(}KFeYUELeNm0%90JE<`d7U<?q+u~#DTB(M)yBkO$Wr8TpmsCx1yGjlFm#e`S9n+~~S=_gq-ZGiw;nLhFlUg0s1w)}7SYp`I=)GqjpRKBBYvXNsh*4cBQi z{XX?J#oP96T(*wF+x}n)6Z%y~5sY1EiAy$GIwz)XbsAkkaV-P|hP{dHwMpvkio23! zqZxYrvkjyuJJ&a+F{^<$ZeF_9)j*9VCQTQqvqsD;BCaD^f&m2o0JrN=#@*)wmQ|HN zi>p?VCX|nE^x!tSr{<;ir4aQsaVWzH9n=Us6L}&a`r2R%T)nc z_cV7S{lC3rxcp_zZYl5FGF+1YM1Rd)y5kpy#o=&648iVb8i3#R{-^rYAlfQOD$5>w zRX8ZBS{HWq4G*VtP>CCHSG6Y8W+q-RNNhgUlNYDW@GEH@|3m6-jqKv}v zw`c-n9`zIAPBu8n?b~Qa0pl!XB%UFxH*?l%;J+$&_*OT72 zYb?2Y7LNCbC^tJ8+M)EGSTULHt4@KC&uZ22JvN`|c%&9lK^l>~5IL$*xpfEpY{xBY zdy3BQ5w@m3)~_8c(YcRM*muEUz);#t5wNQL{6C?Kb!7y0f#2=>*U`U4v3BnCorG0H zYi!Cu_$PnuQO_sC#cS+1Vy4}h#w+P2X@|kB$I{LOuoXqka;w>O)=SF(7~i#hlX4k1 zf+Dc5tk?`D8yo7ZCb6q!o$DVT%9kUlJdc-&ESS@wLAK|SUQrP&Nc&Q;0h?3-J4bp_ z6sXcRfFK(56Y7p3duT|$N+InzirLH>!fW;ZU7X6+;K{zhdTcN zEfg`9)al$1W|vR~4%Aiz%ut@u0J9Kdy(H-c4j>iUIH=$;Buqsv7_OtKzd^-HYijGx z-J+eVp^?_^m5t0(G&ROAY=C=;W+KCbDmJ34=+eBmc%q;EB->-cwtyRUJeoqj?c>DJ zt-9&=bK{yw1WeLQX_4WPwXWm69WLrX4FdY_vq!}>4X_|EBoRCJp(gSkhrN;;Rkk3{ z6q?GcKJ8CilF+lUqZGGvNtvpXrEFAMlIqy!wYSx4<0GjZ_dY>!zkJ8 zlB%u9(r8Ssj7Sm(YD>2qc`;70h%TB;N8X!s07b%QiY%_X~@n*%%eq!)DvZYf&GDn!AW6KDw{=wZN`VQf%T7 z>`V#(hj2shUJORylT&WlONZe2H5Ks8hEs7(0KU0WH4Ui8)3^ac1n<2;xb3HL-Ydbq zOL4;i%~Je`HNw`9xN4Kygf7d18V`zntGd0Hzj|Ai7j{!aRsln5k2H)*4Ny!}bRhu_ zNFtJ-Wt9>}w z_^57%+YkiL2Bw>BlpgKPB!%49C#aadQ+rB{dPxWLsex`YA5}=-n&)*D%{gWCDhLY` z^Hh^$vpiOf$Dh)+pQJ-C#7mN*>Trjd^H`W=+?fJ915+}5-w~E$QvMR_BZ%XJy{{R*CRx=A{9ff;1 zi(&1!Ac89c`i=DN_ECD~H!W7Vg+Iy%<=oY9a&$^FcD*%uieZLXAntzECo#fZ!Qzd(_MY3RjVz>gsH6~Ml6S9JCij+ev^2uE z0sxQJlJ1a%Fpkg-D@XF3=sHPaeDFD{dCj!ZIrbL80^nZN6!^^>}4q zU^?0u8S~nksE2_w;=OQ_aY6~-YB#2~lnDmwcJWn;v@6KuwzlnygadS+Z63wFHv?TH z+%N);^acYCL%A(*?icl`c|%)V#?8!A5p7JE>}v^2tzLFIwrKcIrq7u4P|Wby!1%k1 zK9D;P(z0x8V)6{aJ=XCyTlJqcuP0dC>D-^y!Vgmo;2uq4+2&=4>OA89I{4A*F(gkP z6yY~2axJc>OCQwvhf`xMK4QzJ+QR7(_dj}RYi0d3*%y-$G%Hqc*1a{b$6R}X?MbK< zWito!9@AStlC)+KiDnldt**p(uUfWmdxH;4nI0*ILMS`iorhyixaKt5oit6RtfiM? z<8uqCVeDJF#|(L0`KzDN?wG=L24dKZZPiN{bEtxOil)9%i+n%gO{X?n+86^9_pfF6 ztZq+YW7+{dHk7E;)@G%-%#R%bd?sWSvue=^M+ z*tFK15=XjB_O1-;W{4n}Ca>bs6Ld(ua@LDJl0gI9->rJei;kgrVg~)HECLxv?sloz zOV*V}miLarmn@eYdu-Q-i}xke)PA4zoutjJE;*6Ff=6#P^cvl@YQk8<0H~@4)$-p* zbv4|x5T^W)$MYW5zVzOAV==>{Tezf=z^|L~xp||=@kyS9xK~y9I{1u6htfHw3&P-s z=d~K;wIrC6Q5g!{`K%QCWnqL;8p>^{)ww)VlJhSy5$1_Hfea~@u;cEcbPKA zV{mq{_6oQJ5#F(Xs67nUneX7#DV;}Yt&OSID2@T47UIxD!BZI!qYR9dmkO* ztvXy}x(?eJ;%0ypk93lQ=bGBSk^UPKk+rA8g6h&;mbwyeCL~Ke zVT!}#c$*jS_szpTox;736&nxLw{G;M+yTShyNJ+NSQ>=Y{4Km@CjJ8M3=1)}%{v;4 z()m^!0e>BYOIF&@ZAxQ*wQ7|Klq};r#a`)aI1`1sE+8KABZ%I*eKFQ}o0)aIHe-Uh zh(N!b37GR;E~&+4Htb^T+sf@dfwH{z{j0T-+rzg^+5Z4bOKo^8!6TYYP!(*`ty2Mo zHZttF?B00_8A8`TrE|>7EVGSk*bBFAVhMdpJlAijF?Jnr*0Gouw|~@794^yVtmD_i zWwxIIgiEeK+A30dU}wEM)VZDy@pxJF?AB@Y@eP94z_p7#hoh}2V(=xYV8H$1zj%t=LHj17v>P4JA&*J5d zn6%i)6;8(WMrodBSZl#0t++Pr4l9Aw8LMXbjm%xkLeoCwRMw63Un94PYx$lg-?Gt! zEy45ssvNU`D_wt@=2@-mFveld5fDHG{$sUsG7EV8vmb=atXV8I01Ipkk^bH4)%7DZ z!scCBgu^J;V$llvBYpQD(ym=_aJhd^VHXRBMYPPE?WA%{{*_LxEd$X_`iQxRzqPad};=s~c@?8)QpwCOEAVJZE~XOcRvT^2OS#e+s;D1>xIV&ZR!Sq~JyDrucw5+PCGX*0lEK98c9BiV zI-4=cUg5!A09@VGgo1qi=+?SFH@f9U8N_iSD3#)B5m38c0Uht6 znYEk;QnKH04kq8G82Op!^{=7Pm6R1Ak0QQcvC&!8ruJdkNZd5W{%Ylx<2vI5hqq(| zx&Vo|H=n%Mk>-A%E@}6R$=&1m5sZ4v(V%@!#^EerxB)05xG7q9qMkQ#An%+%eaOj&_2s! zg%#4{9kVMFtGb^Non|d^=_1Ht64?d&xU<6y+PseW^Jn-RM*efGMO6 zk0-?r%8_vbj!X@_(V7$%9jFXOtx2}~)Ho#7Xlc=II19Fv+qBmehTsP8x%y z0o2Kf!n3X_-miNQ(YEy!@wldMP~W+$;i4)kJFDxo#aqZVpT=>dX(`@KGd$`%eq)eh zR_-O3h4=7h&1IJWCPDX66`cW3QGz!habAe#W3a8|OGt+76}6R<%^J{Ewn-lFU>a({ zauR;j@{o6rJk+|57+K=P0o>E9#LIRZQ(|@pw`xt)9k}mJ!!<&&#z`k_{y3(==Xe$7 z+9bt!r($Q1H7gAY$J(~7aQdyKKfN%4semMacBd>IMSv?E``3rPh!PLLtCCAB1_2!R zq#=peQ<+S}aZ79#KHZ0(6-{FQ00S5l4bMI+qtmx7+RX6RFRGhMa2HeDcc?GSxQ(^| z_w!M)H@!I1w)0asuB|t*r%c#h#!hcF?H0lTAVp^x#oJAq-(>(>E=uX*J5*)FVjkKO z<|1}u6GCLws55SNJ*puak5nluc80ti{%Q8YYB8~o6p9;2gRt#Sf~HUHT2<_v+1bnb zryrN)S91GdTHC1_k0N`D;9gd}h?bMw?@A;RM8F;C)})po85`~9okd9`gxfkDIgGWI z=J?oI2<*Ce(-T=?5;+V>?s%u6D8dPVK&Yh&cA}H`(IXp* z{7xyHs8uA82Wqo)FIe8`yh#wxg}J-0dGacte=(3YJkl0E(5yspPFxY>i-d%x;M0lp zBmG^<*9Z!SdzxoNLF4AK9mHdYP+v8cm{ZU3b&P;h3GngZ_TisO31rbpn9~ zCwj_}g1R^{24-tZz~TP@g>Bf4lnsp&igjf{`a5fQlN0U~W+vk4ZRAutKxz;~z}}_X zyp6Q(D<_ty(Z53J18_I8I%y;G;-P&N!q17BB=7T7-K{&JV6vK zcG&w{w`%ZhB6pf;HUeBw%0dWg3SE0!9!JF!+(hj>??hXI<+v&V2C77kM;BLp=~~bm zuGJRcb-1NBS}mty46r769jPnZ(LipiBTAA_-j>zYoh?Uea!j40wL~^tvEBt!M3Tj| zZ~Rj)CAGK#l55sW=^&Cqo@pN0B$Lf8>|!&^djO}BH4Xm&tuUbgarc^PfErH6wKS_{nkxpcg87Zl8PRt|jDb)!Dv554*M>8B5sfz0R0yh-` z;v=rZ`cRaccYUot6u$sTyF4~k6z9h5h1>G*aw&X?fv zOu88i@+eJkn3<~WSORU}mu5SNsH(=x!x&m`sKA1}ccUE_&`;*6c~}Gz-NA6|WKA~x zqE4a3M~j$HSwydjHLO5?&CN?404yz?_n}?%Znog+jzvkoXgZQMsK(x_Mn6BAJy6gE zZ$XHHN#Icy=+zGtGz=IEo0=|_4X~!^SOdftxWTQTXxnP7^)=^g1FHsK8`Y6+3nZyo zQb`qD>f4^KT;>1(#=~(=D&7F1f<&tB4?EKsZUGPlG_ljLCO8%AZ7(bg{q~}3x5;f1 zza;FtGQ?%ri+Awu$m)4o-@cx8_8U2~ZwrjLsf&%I{%^H><#>-!Z7Mr`sQ3(R+%46+ zhIU6%3X!#N@_5qbSEu%C2_&iL`!d-KHsXhgvY3laYVwA*!U#R)dYD;llRg}mz&D*qGIWX7yj!=#LAF_P=3|yx*M=rq*yL76idIXE z005sG#eJ1^67{lZDpJ%hyF z!e6(3)0BH{B0C!1ew+P5wVPO64rsw~JS!d;Rs)E(Y`I5C+>X<=HxGK{n~8GUGBn6# zFhy_4z`2r_zf4h^)5xmkU;#^f#a}uFxReHyt-~FAcD21bf?s7 zo{7R{t}f|aD{%Mw*K0cHj6Q$U_j7w!wBnq_v|r}lx;T`SR9pW55+<)k7j?;PfrzhK zwoC~=M1N|zbw@yET|1Il%rR)5lbC>aUlUafI|U)1fByhadfhInlQXAuiFPoI%c=da zcLt+k?=r8YY=uQ{9MJLknP|6ZSbu1!#n|c9w9=_Pk4OL5Qz1 zkRZ0l`q!<0)Y8NYbIn>wt3t3iyF%d`@wgPl1SW$7M1AT*5Ln0N;z;N9uPv=kG=U&Q z(Hf7%>})v#&7?MfH&{;nzSNHxUG*2d+s`0VE?l{7ls4f+1+QRiUc}yHu$r#6;$wQt ztDJj%082I=;a6lQd1gJSnYJ>`tG4Y~R*)o^?^833K0eMH(^T~03p7AA${2RUTv_)r zjn9fZWhn`ge;tT?weZZ}!I_UAtw1&dDFA5){?pBLZX(@Pv4w`*24W(;cMb*bO%##c zzx$@5-L;K_WNa0+htQ26k8wY}HKHGJGg3ASqRT=b%ume)ok$3W+uYSbsJsm;5S9cX zt5-{O&FsqRUBoLTjfi8mp;gQ^w!~uXrGY9xy=*xzR%JMBg)kYjE*|}b)UCwv-khYX z;xwAc+)MdhAEzHH$0e(HWcMw|f)C!Yoa-NzVm}r5X4P`b6}HE|@G2)+^?PQ1t&AHm zvPpx+)m6e4OD&{N5m5)x;8J#Vu_V2>DnMho{i-dMxoLpihdWU)gti~vc^#>RLfs6z zY9LRZ)ubD4fomhkm2l8L)f)b^w{4M#ZBe$>GT79_w_!CiB+DN%#9PCx=?DB34@E_^ z@G}96^{g8g{{TFK39E-n^(Fk0`T)n%k+;PY9rV*Q!{N><)sid~kXvussLP0aE-K~| z79!#?Stq@4a`7puYX^59GrXTxbjMO*vur9dTpEbnO;{Ng;E~OIwbR+oD=5S*+gD3l znIo~S+oXE3#%G1LON~*un(=vjd3f&E_x+=-uGvMM=j1a z0aG4TBxc{HN55&VG|hAKe8ScP2;QTBK%~yIdsqt&?!iDE>l5nEtht$E4Vx@P(U=pU@da zoK67VIfcs?SiwNi2D5er*Qhbr8yQW7%`_Xjfc<_eshnb45tiFrs`4Wh5L@k49Ot93 z&Q|tgnA|HMg2#^$MZ$F5oI@?VE^l<{AC+Ed`WZs{g=-&YFtFK$wp%Le`Lh3G*!Z8VV9PfWnjZG(-n~UhozYUk$wT{NR*dP*xp=OkB@+&b5 z_ZhBRP`=p!o_|{0vV3ixocexBxYljz+mcAGCP~uWJ&fub*du)0Q)gAOreU|{yI1-* zDD?&e<1QBe0Qqd>?e?cjdKrse#az3OYwpZgv1v81^ygJ&IsQ(#4BV<*Ko%}=d=XsC zFGFS6Z0+z^`^Qbi{uG_fE)(h6uT#CNy8i$Isi-pf@lw;bY)4^o-kr&DV;OS#GXp3I znEO==u6nHJImNMub{Ja*LY@f`+J*HC2ZGA8Z^K}jm@2^qxT@A)mE-XY*AokMk_-^M z)#+dvrD6W3S+Rn)kAW=eyOoMPud4P+sca3wtBE3iy=On@S%^OTE5d>KK{9IT(ESSd ztBZ@wMvDo!Q^~C2>EtN0VS2|f#9H<2Wj9T;!Qy_@L)E#xBmp*IC*7Al@2H>84)Z4lL z08$&ITFW$%zWwRX*LPafjIRE|Pq&KX-1@C;E&H%c8e?dw)2ae{XMI^a<*29R%?9pi z)$Gbs27n#l*F1exW(rdYsCV9y_tl10C2(HB5J~2q^njU*#Py}yQEuI7A?)jo!I!Q3w=|@+jtf&!Ti4e0Q^;JsXC(>)E7nELugR2E!%C6 z-mAA|M5G(7x|;V;l&^97KFka2GJ+8EoQ7^tBCNYRXYp zXmQ;gzK!YI{Y#oY7SZ(D-Lmq-ZfIGCMEE>?>^;*hX`qu<4v9=och#3|WEUF>Qp+}@ z15nsRAGrOfS@sh43p2!7#j$(9`-%}WLx2d-g zRSVN1SSgr`g4#G#B}n3$o2s4(6JFD(G42M!oNeh}9~E5{hQwqCbI)m_BFGaaIr~#& z5F~H$UN=ff1_0adQQtLK8i*UJ!v6r}fJ}VUj7lQ9ssZ^%!{&pi+yTC3hz}A0{U)w0 zt&<@Ybb>hqPl{O`$OG?AW(=%?S5PBYiuJpGqm8Wfd`e|kf|*o5=q}_q7cA)f&E1(rFJk{ zmyLisSG_>2NHQmLO2jk{I~}Qo2x(pX)wroF3c%mEN4dKR^EJ+Ejdu8M$F)tw$?|*D z00-hd@)R1yy1_-C1()KU&9W_>BuyQ1tWy>z4{#B;-miTnV%6NI48eg?gLdYvHZazJ zA)>TVi&!R3j8`3m#Ne#0%hp^eFbNw_3kE>JrB2iHc56FXTT!&dneYM`J`^aOZM= zYGEQoA11wORbmZIsWo96L(FQ3mF|33nP43Nkbh6_Uc2pazyp2gYQh(J^A$-qixBlr zH5nVyfC~xWaZO?;VhsNPO7Qlf1|zohqI>JM4+65NMxNX0+Pt70nMoR;Fyfr3beR*p zQ^x{VxSi`bxW(mwG6O(u#|P$=0L)JS?-Zo_Ol%{HLcnp%aaSBa$XHUKgQ!S7t3t?b zU2M9^b|eA&MQ4H9bjR&i?u@?}FHOIeKqPU!9a@dZm^zb4?+d_bD8AA;^F*_FYul6F zpMJ{`A~vSs)fCGgcU_(L!9d5h@TWTJ0Ecrf*@~DFDu(~q|Hb|$J!>Hb&z{GCYnk7 zQ7*vRyC4QIK51Urw=9vrb4@sPZ{`00YW1tWnPp&Ku%We~j
    c`;PHaLkowFHZ>np zT|z>ICt>kkv8^9dZaabB=986ZpU6v=SQ)n!>vu|mE)Wmep4XHffAL7j7?K3}G~81z z$9{3{k~!kM)`Niq?Gz~xKqgH-(PcYt@lo2Cza_VJ8-i#Iuu0~LhQxsSE&=drK~-sm zFl#$c2B?gRj|>=t;*1GU0WvBsBGcLT2`YK17-Q-z0Vl;e0GA3iun69w%A*i=qc~+G zfh15as@+gWCX-A8i*0aCvNqgTmJ55p4mK4DP3ujuJk*na)qxPy)`WQb7!k*cMp1Ok z5x+I>UrR%cg&l&G2H)N&PTc_0o0A{+Yy_n!68Hu@F>nV=9u!V2qS&={`BJ%@In|$tbqqhL=!Py-*;VvovE#`mx4*!yZ{hZ ze|~EFB|%fOT;4|%d6qZ+#;}uCo{zMw+*_y_2r76Yp5a+aumm1KtUKw4)MIbb%kyR=epR~F zwphHE?Jdhp8BX#m$NBMp%bx!L+^I&gv}IpYzMZBmE@l}z0d^(rDCg~1Ml%n04|LkR ztgM?bh>H6}Lo6NZH2RbD_TF!5<})l&Zx*1Eqld1~AISZvr1bv)&`;-wv*$zt&?YwD z^{f8?Npn5!P19$#q?2YU1{-tXv} zr%b=~&sk$S=N7nJBAa*>ZIk}*6%#)Cahc`aI5T1avSU(r1I<&qH#l5_JF#~bJzOAg zSVrJZ{{Zb;{{UEhLbcU-Yi0Q{^sPYE_Tnk7OzOyKwqeXPUnCihcBdiKq6ygTwAXVy z$!}$tTX>6!Hf|j{`vY7up+~fPXT@}NYZ64QS>hrfkYtngqb;(db6IsQzFUUWk z?!x86vD&V`NU=B^KT#{@R{dKbA7pnGNdEx3C&!KI@$`o+8OKta9-y)y%Y0Jhlj_yv zs8_P#^(PmTHc^bdfUs>j2S|<$V-JWntQ0^%e&ANW^<$^sEXZ+eFWY-)rdddstWv{j zvL|@rH>`M-tB_8XiK6zKVJ)3Axo&2NF$U}*Fd_->Q6R|FBBa??OWOiFO?0TYixMSX zb@c5Ea0hYTxz{0!Ex8Hc@@hd+2-QD&d?~gz1cNep`%!5;WE^rz>DK%u{{U6WuHVi6 zeAD{1EMhIaJv_ z?Q>o7XHSZLwo&dO?zermrlga-m23^t5E9>*oq+9K9-qzc*MBWq|c%HL#^#% zZE3pTI*q|K-^}{M4TrGo9Bd}OcEV!Kr1h}uK?9EU7ZIJ}u?N!B+KG+p&Hn&^a-Dic z^5sceMX#+o!uD&3Hf*^GFgNe>Rg;Qbxed#Nwe2+#oiKKNS30l%0AJp_{RPvOdZRaE zn_X)*8GWpKng0Na^tkw=#iNpZmhw@txSQDfuI^ZZW<1oKnZ<>0_KPvtM`2nYQhh!- zMTUE18*)mw$7-Y60|AZ=ddVi)_bKmPJ#nlYl9Q9kbjbQP?r+oo0ExqDY-XVr!;hK%ny@Y5tp=N(?+)c0Q;Mmn+~MfD;w4un5*R-UM!)xZra`q1fCE0 zYJL~$RylK4y6UG4PU+q&gVlXNnYMjzA8y%2LN+6F254UqQKWrSyc?%5w>?-U2on=k zf1~%E`kv_4#R>oyx6k`mAFKMbXSh5r9W0xEkOax^T>g>IpQp1EibT{1Akd_=1@Ese z+qKtNEhqSrrUCi!S{G2J3g%C1{X*BRXo1>m1kXB*=NZ!DiUf5((srwQ{Y!IV)*Z0I z;v#`0@@dP}0odt__z~8ja{C1>n{Z@Ddb~DMg}KyL^A;fAwuM6c8pN^vZ+WwOINU9j zwD!ReJMqu$LOpewoYC>=avx!!pKL`Q1=Mv)nkdiy$lj+GI!ql6Qg8 z#m=)P%(EB9UoENISBlhf9>2-(`Bj@&Fu^V=i3qb;;WA+EN#eW=a7d15O7=5sf2jE~ z+bl5d(by!{4?p^xn2Z;tm0DzG@|Lrfrn_@gG%TQzF(bU@Oi!$FiVB8 zR<5nocHi6xBl_0SXRC7D-3}))xBmdTF|29Ye?ebAu_Ed>f(>4BMq`&|nv)xqB2YSwX0F+NsEO zCgviwjH)7NCLH>9h&qU46x?AYQ_})IcBcjdW5qBrXNoP%0|H7f+j>SK2H)gRor)*3 zfGM_~PzUa2X;op0!oVtZ1GRWM%IPuWSG5K9%&c=Y>wsI_0UUAKuN7%v5$l^0LGMkl zQ85;o&^m_&gvQgoHQ2&H=aEcxX5M3JnMFMN8hJaIj;A>mY%y5@^q4M^2;_IJRx_;e zc>Gh}#i(npW!ud{yskn)XX#c zndTkuW86M5m;u;;2kljCJ^^l$V@w*DEtR|!sQKEhVFun+ zFIvBF2DJv`js;XY{{Sn?@{HAR*c*#=0>c5=(DAv>d(t_MH!gg2!L0k^l79aHYU0^* zm|}z}d$*gpl1cvn&19R7%frzaFav5p!Kac>in?dL5pyZXZR4>HqT>Kv2OxdB#Zyw) zo(SS*sxrDrhKH)`2PV6HKG-Wh>{$LJpUuQ={{Whql;t>VJ`iIuSMA&`Hc~__YM9QE z>F%7$EndXjw~oDtvBD5QW*xpNWyu*jvBfyMs~4#8oj23nEo%{iTR6M8i!guzEJ&;o z^<8%;q70oPcBy&pU5mkAEe&$WGO%VU`ShnDz+){oZJ1p{Zw}Gule~{2oH-Oyu#{=w z&R12Z0g&u}T9cULh9saC6%|APJJ)OKGFv@Edj1(bI}iC)cokMJ#>JwwlD63%hY$v) z0#ARM@l^>THrs0OmO^`z;+i(%Hsr??++U(?Hblil5-06kyY5R*e~Rm37FZGIf0~DP z4!3tu0|CJt)-823Oq-TmJOkKTu|Qou5k;h(3{z)*>m&HZui} zH6GoSw%QJ$0qsY(0w9(!cCLuGsxo=VnCx?G$KbIrFtZoBz~1rgC>Os z-2-pAuNK}Xdh#gLNiiQa;;ICl{%J}nNi?zubFp=kGZce!uq@t5pYT&w0-gT=Uo3MH>?zGTl4{&=Nw8VG>LkUQ6aBtY1T(KQ^l4?%ZO#7UmV zwGGF#jrTM5r>p7M6FmO3glcJ?+f=J>@J-%^%$;R^#+|U-f_Xa{ExS*5Wld+W1DcfC zPCL-`1`hU)=SkX>=hsDab#z6wEWYwc;Ep$|M@QXhWP_zzNC&l6n%&DR66!EwPipP- zjv?*iU3Cove?eO}+9qoaGeNMtdY20^qrIUa)Net2e0jk{Mh{Th){?ZCxc z>`fRY#@sg4SHi2>)id5`HrnN-n3*)|E{21VFs&8oduCUT^cA>nDPSOth@)KA7^yI| zJy=oi#Em}jGGHF{;B>TxB~N48fNZfg$sW*X%B!V=6$DHPqd&!uK{ab7RQ{BYYVDyW zN%2ilq=KH(D8b*<3`mYQs-&JpLlXJi5eAj5feawDOjEHIweGMDMonl!w*LS$lBZ)# zFmM#>lRjxKT4q^LLkebsuq0Ha(?2va?CZZYD~#4b zD<|MmNlEntgWXjim;m{qt?x*Ixsl$GlW>CEP@341VkxDHVq~GF;E({J8)Zn+58O~~ zyW5&Lyh!$sdD@6Cu=HGU7VX{lpaA$49AYk8F{FX!YEFW;2nX2|m((;3rn#5L2-s@f zHx?j~-f5169Z4XL!h*PiWr{Lw$clZOQoL%|nz-jE1KPQ%NNNMwxjxOr%^iRPVrFR$ zuzA{+z!20&xqn*spyX;nxTiXmJMi3Btl|p3mJ!;XK7<0}tBn^D4K*FC5hhc~saUIP zaOzEsMcp>Jy3n$+1gkf#;shhXp|U-jnt_o(^fZ4G$ucOmv0SFc+?n%~@4tYS3BsJrn^q`+vsr2;|QZRnQ7e>Bowv@Xjj1bwPGw|2?w!1tOu z^}L0Wz*5k8G>)pf5!-49sllRanBHn{Ab|UUiQ2r@_b2wEG4~2aB(Mw33oKv*GNIHb z=8+sY3mef{fIGxZ0NFbATX>;w0|sqeRyrwljUjFiy%yUYKt9ylLELJs;^hFoC#yF3??epss+KxM(tI;u(Y4)*O_*h{Wq(MRwn46mjR)`24W2{hzC(0 zYBjhgR;X54+r0tCnLn8DCU&ar)wGP;$cqQtswB?z!m?*nkB%$B$L4{zXr}q?@fCE0 zf;l2Q%!*?jhW*5d?fyj|mLNHS&eZKF0Mf=+V@FE2QJwKYHcze09qnuh;q-LzAnmrhTJ=#oEQ%TeciJ zFpxz_{?+rR(;mCTWmub799x^1Rv~1WDr@LuxGvaNwtq6nuOF=VE;IH1rOqpBMN6zY z7cbAv%jlxkFH8m;AH96x)!8;LCCsm3apEo};Kw7hSJRk`$n@4viN@!cv|<>tf#P-o zzH9n})i2dK$;I43ZrTEt)N>wYx9~Y7Ct6yrDdZp7~ZYLS;0#TIJvTq zHEHXwrWP^|n*4I&N8$k=!#(!isQE5=d!_RWcPywcZNVeVS4*h6(=hAY#@&Z;YQWpl zQ^~0A-e2~LPSHZd+9dBg(``h;#@&gn%Kre;n@iF6G5D*()+KH0wg{{@5Qf#mr0Ozc zkG%?-udqRi%*dcz{z#F&(?o0PES~S!5BZ=AuF%&u+!#JNs=LD`O{@fPzNX?nK5BMH zh{fUY!~H(}%Xd%xrZ%W7fVQvZBl}dGrx4goP{dmbSWd+8Pp@y1V@`nQ+2(JFd)Q1~ zGWE+-1xe@cP;j97K<_7m=8uaf7mB%gnq7|cTQ-HH2?WmcoVu!t8_q>UC1jeCj2H&s z4>ZMI!*LW+V`+jaT%{|bUNU%vA~i`o3S$%bfHT|*dba-n+9|AbYM7+2uE+Qq6f!eB z2;NOaxoy#jCIbGOnW=o8q`=$?90m+o2hYt?q}vk)x62Sg)o|=2*NZi`WMvI}jiZnA zdJ9`N$!kl&nf+;6=7#pcbIJLuQLs+JJ1vzecf7=cM`~uXZ48fj>>T#cvA z1*YxIQUR$^QcW<(d>^Qn9IA$6{p&~Q4vWEOIaVkAP4r^e6KDg!8yb`J%ce5y+bG0d zyLEpWpOFtU{{RtD`hydDrY@6l#jA)VH0}CUQsv$ zn`Xu>j1czBZBlcdtH$SnPgRuhKA{v8%G4;6%?Ce}?mBZ1VG z7bnj#Rxo!(+$drI{{U&IPkP^_>#J@={i?~3bp9u)F{D^sN?@}$YKPW6CiyNoaN_Q@ zf8`yH(?>+#WX)GIN}fvx(e9qg`lmF;TF)-&MYau~8aF-ufAdy+`z(H!v=xV?VSg)w z`&B;ymR!j2R&lsnr`G~O2gPyvpQ`g-r+Zi&LpP?b0MdUk;7`W&38|;_X5`Zeo^{S) znp{j0Uq6MmcRkEaTrmFtP4QTqhYgxDi>CMvMKwW9{CnF_RH4|8^=0~b*A{XdUaZd3-6a`5t$N#`1Ml9Hq4S}3qeAL@?-$ot=fpTR?W_b+djV8i z)*BCg?W=@pLlILfV{Tfo64BFeA9PeZ%x+zlZBEB>cQvDpIz;DS?aYG{7230t-~1b5 zN|gXeuHQgqd1hqS@Bqs|G{5-f0}y}df?{gL)Hzz=EdCJROJ36i>1_na}%^yjR_&P+w&Nc%pUb45sUS0Mvw}R6&e>& z9(M+b7TUez2Is&tb)Q`R?NOadorr0X;*8p{W5zSO!v zUi92W#<_n|A8(2>FwjGNhV)x%*vQUKleJv>Q!cxhVXh-uyw=CM2L`FFA>e=ktJX`@ zIj>LQ)Zwtm$#q1R2XFFgCs#&`D4Uz)_{*7P+e?PUFjd-oRRaxTan|f#)QPMko^<&& zpub+{c!VKv)H1zS!Yp-S+CzT6U_8+KMHkyJp|wHRym8RBQTD+>t&7 zce3od#$SNNUA>4`cTZp^=C}@_gHN5NwQH(ORCpOhXr1V`im@T*Ph;Yki3oS&ifKbV zq;I_>tqSOU(xu1*{(_lom>bdo?lj=1YE4tf4mRhF==LzLaOgxX$FKyN6p%O{V_R?1 z{PU&mbq)^A+#R*HFpRF(6K!z6`K;Sf{zatOi>?{1u|-0x3?GX1>kON{wqFcu>CApx z()Y11aXkptFe0d*SnXtsk>L(dwwDqcOKGimoMm)G`$eo7Hd&5*4ky>Ls$CF|aPwLZ zN&Qo|faxqw0NG)g+_=$j2?lokAa<<5$B6kA&Ay5ln?@RDE1!}-x&2FnX%-A%xtrqJ z_9czWw^|7&a%ye-k2mP-UR{~q!!M^H(O7dE?@RR0I?M9R^4;9#-n&ulo%FY36?f|V z$aRI=U|be3cF539zyNCa;-@79RHaJJb}y+e=U4~D;}iH_mq6flsunH7UuLujQ@kC( z--?%r#on=HunTuxgt$zNrl66jgb_P!JJU&|tcLB8F}Rz$3^4!=!1G-WpX!VFcC}|4 zcJ13K?NZK8flyx2aApM53z$bYwty=+!ZKZCR3%5!85R>Ex6+n#%*E~E9l>*GoyTB4 z^@-v!Uxm+cckqVgoHg5}g{3AVO}|q|DZMXPDZ(zJ*pYcsoU781)F!6r7)xjy zk_{Hponu1GorFx#Rzn4TCU!MHEpJa3VeXJrTvC5}hMZH*29>mp zyNPkAso`gO(H|?oT(_aH*7U?|B8QWZ*eguDGJ~~FTKRc%@=5l+p1OjHq-CE`dK(UK z?~B1(0tVm@>sc08hc+{mwCbzKkDu}@>a4=r-@#tA!c~-xE9R{F%V9IrDoVx3AVfs} z0OGxW2bxn<-cLWs<56~tTA{Y8Z9bw$ijbFFgCI%fqh;*siYH-3#9KF1HKr;@J*KMz5;-U!z0TVQ2kupIa6x$e0 zB$C_?!e|#Rg&;_hY0r2A5ewtZ5v`#>La)J!BlZBm);+!a(1N|Yps^r)3Np%_QYu3z zbsSAQ>w!^h)$W7EBM)EH~6P&w{U7*n2M5aTez^xE%GWg z>oF{nC~ouv8tuz0B+8TGq$o?vGw!JN?rQeA+;^v2Mp8&%e$?woK?6OaL5TB3cl8tl zNob3ptB-X8@*6(kFe#)kS1|03NNG==)Z1<~#O^9ho2zg`5kbF))bge&wO|ywxtWJZB;(AxCUOtfHg1?=X&lOL$R2Js?S?Ed<~N< z2dM<}RH@788o^Cw5H=&l2IPmH6!`B%xkQsht3D6Z7=ZIM?M8&ms|&u3H?9byj_avQ3Jm9&&%V(l}EBQ z?AD70} z@!qQVJkJ!EV`EzzW98Z_ z4A)~Bh}CaJnA>7|n&)4(p%Iv~Io>->OIEFpDA6-dsyUxk;A~}yZ}9fjLR@5M)wEX^ zG3lkZlQuTp@U3h^A?^)uI(*!te?y` z+r4Vx7Ou(dmmgq(CFD#}6*5!-K0MQzSX|IHjj8Qu+lBy>8=m!^sRazRXJ;hL@7|>Z z)Irov-N$N6Yp-xjM2c{^x(Jd4G3`Doc^h<)(A7FmkBUY*%*+wBc#=vnV@m*%TkT$0Ad+?`^`@8AB4fa*ht+7k3fe};y!_31SKAV2pS>awSVH`o zcwlbGo;W^fN={US@*^N#+ZvAkoxMb^qIjtn9FrveUBns_fW>422Nd}GCGsSK#fgig zYwA?Pk~RVNIv}%r`a9dp_wRHvD>GqF2RZjC+QM{VgR zYXPFu(OqMS>DzZLTedEGf&=$j>Sp-tJ^O8m%ox4b1%Vz&+Nc(#VDCKfT^7V!y=aS! zbq%-dYeeJ6ma-4zk6X+ysB|}6+B35m{VSo;*bF`^F*hB`=sJetX1Fe3kZJbu9)s&b zK=LXkl#5cWo31D74qt=IP6Ia@)lw9ZGvcs3#}t_S&l7spuTImsWKRO7<8db+4}08H zL<)>7s>q0*#P3lUq!M-yN}F-yZ%VSh)Eg1zn9J`2<~gS@1T6UNRH4+JlM%(WRan%= zl0Us`Ur)Z9U~~SQ#$$08&Ad*TwkLUlf4yY+LBpms4ln+gJDSiBSgt{bAL*9?LIkL! zVa-6s=NRnO(BrSYz@i5IsWbfB6`y8Jj>ZwK{{WP5 z1xB}S0Sg<|sWntfpt?%{SI_TFDeVjgG+V0aZAQy9mZxR>-f2bAG&0%}(Y$vwR8wzY zW_FrKZ*fEuebse)q=w~4t_|Bt?(D(~89&#GB^a;jMqbWo3}DxpMDx9LmfD3dq;{a(uvv8} znk63Dh$KJ?8-D(2_Cm9TJN>CmvV^G{ccz8`r4IEyu*N##>AAcRD#MEEXTO(W@ZN|9 z(&-IV?M3&U$3HaKSee}RG@LK`6r|B$!sk}-_(cn3o8amvffd5VUO22>+pM5#GXT)_ z03t~yko!O*^{b~{SdjcyCgz2-g}pK(V?j1VtW0w>QcJp~H~R>PCp zoKr)xxFG!3k+d=xoy8X&iWrOK!~pVJVkYSRp&FdHWCQlxUP2b^Ig7* zwAjr501Jk;4!e#N8pEABx;Epa%hVYVTDbjEq~%A*Zr&?QZiUQM#;q`W*B`F>HxZX~ zz5H9U6+^v09x`cD$hjnz%v|g@8~31_Xj@-%PclsYzk2F)?o#>YafZw6GOONbme?rn zO>Wvt221GV+OneLn`DXPe|qa)#l6fT*e(UIApF*ogy>u{;x1)FP1~CmygOBU>K{zt zvKyFdcniVr5Pd|RC{j(@2Ogyr8ClBfmIr^mIh4-?9(JZOvX+4+XKE@oryEnG<$?xj z@?gx<8%hZP{Ov{@Fk|lVQSONZ7J_>o^y)ifNGKtY0kmy3;obq;gEc!P%I;&Xi#Sy` z8@vgtKTBN7@|;E|3!mXwYbQ%G&yLj-ZmlBXcRrnUrVlB}-vf=5^vPvzM)gSRKB&9Y zd6~O(XtaQ>vEC=Wax*)YUopSVW_Qzcf+q zETn4fO>IlRe~O7^C7}-oXe7rpyBR+_QvuW?`Jz8s>GbuR7qeRliBfGl5wY|4s-%;X z*d=U$sO+lN)&jXPL6-10>=A9L8l^_0bA}5dXa*_VsV5U7TV^W^#MQaU9O(#zx6A) zt5~c4gIcoA0~j&~6y?a3TOV0H6~>)ISK5ti$be~=?WL=PBl@snc7;HsiA6KyJZ!~vVCBQx_ zzx3IB`n{aKQn=U*wY6GOOs>F1P14P>2ASXOTt1$~kHewgNCRr`E!|M|+wLpfil;{g zQ0K92r+G9G4TA%I^(YmP$7bc^HpF(Ontrkjl%a;sbfu`^RI#>q#Jf;X9>b0#BtR0?D#ZfnIL63w^XhOc@Z0``Dg zVYJtf%(FhAJ5h87Q$6Y}%~r`hz9_#)q#4en+9%?Gmgi$5dR4kzr-K~Sjc~HjJ}FmF z7^|oh+wT;~DqxaF-ik2_1Qn8Z5Npq*tdmxuSP(Gk&7?2uO$Opzl`;)D?WC`McBgKC z=99=5OLx-pAAbG~Bd@T4MAS8NZG_v2+PW5yTv-H9ioxeB+Q>@HY>iw|29o<4Td+x2 zUOUj<)CsoR{M73LB&F3&`4l_W(&KuHu*QRJnG7%nYDS<0fhWZd-o|r*dp8X32Wpm> zi+2Q#i0w+vZJSHLZ<>zyaIL8gAJ9a#D&yNiya?f@C`oWD2s_c3m*c%sQ~;!~Bpy3d zi!Ay=xS63ag&{`t0eO(kB$5EP@Z(V^w7~0UX4q5hV%?eTHmO@{HX0nEOq-TUsaWk`&X^r3IMtYB*ygHZtBor z8cX&~{!DzSp}Yuv%V@$xo$4MV4g)DGvD}(Vw=Q8{eMfzuNTa3kaC?-`gF|$zXo?vL zDyBdi3UdZ<0?_Z^cE>_zcpMX&$@3GizvRdy;E93c8M-BWdqP zxrDr?)3QF2JNWpkX;0#Yn?=8?hqP})?Bntw(sn-Mg2#imZfT$c?g9soH0yTElKXGG)Qljs*VkEZ zMqt)bmAX$Tt(y1JET<>OWLS%r%73Va{uv@R6h5))3t19fM{(<*_UZohO~>LcciHE&#I)EMeJ zPoMH@mx03YPbbZFZCh1S0PXWWD3gmJ?$GT35>Ii@ig8&INc(Z-nxTq`h?u9dD03Tt z1t`UTkt0Bb3P%;~^#z#&`qQplgeEsUd~wh9rYs-KqxY+h%CDe=dxr2S8R52#x96Hh zWz+LJe9|*0vU#7HO22@Dg)MAEPZ3SJf+b-1ibZW85_TKWN4Y^FH~#=OtmE-tfv_to zT4~lou_eg>4WrE~0HDt1g{lND^ZhGcslL@fgo57HCII4;0Xs1=DOrg8v;P1zlEaSP&wesfjQI)3FMvBT>|+^q{W&`J>g?B3;a7 z!-D)N{FAC<{Q0Ql#BCxrG?D`MGtTscZvw09=%Mqp589mSp#X@cOr9g{NaUX8f3;6^ zi5;Oy=l7<7z1lu0s=%JpNWgQ*6g|)cw!+(kGxO$$h`8^6Q3Fo^8c6L+AUC*7MK;%9 z9BnaD;6U+7Z2MsCQi(SKm_!>?KUg~zwsr@amb+_X5uLjpHm_=trHT8RD7UG09CJe? zed?oNd5B&ZMH3Fntu4Rby)$VN0p6U6sr|ejVyY^TY#}ERaL(Ph*iizDW7AW-@;Il! zwxKPLapc#8l0u1S-|tz)r0Eh8!iU))o(UBtEem$ef$k;B$vGZSmgHB80}?o^DPr%|ynq`)!zid7`2 zo=-DP)K1%XuOS}e1QEniZ8Qid5j)ADIb!2UC+$y|U!T1-RsoLx02M#<}`nq&-+wKHe6YtFVC^Z?G>9U1t|wLfOvmS0P3#NW(3dtOZ#Bii-^;Ez zI@NU&2BAReG|TMRwQmUirPC$Ya4Mk{2WTYh;9Atz^o2Tn*M9?(<|JFkV69wL9`w39 zL5+{-Xjv{ze-?{$T7O1=IpVe+m(OsSZe3?A%xov&Eh$lRz%qCJ%@2_(R08>0tRJQy`nUZTZ)wrK0njfCkdnVw;ATf~7j?UVV1P@de9Kp>9QeaCf${-Q;> znCP{Jwmr6kZ6NY|)f*RaPBP`oLJ*h};0x4#j%aDrG%I>@!q+o$fDc!RAXd&c}{21jJbvzLt1DJ z91-Jxy;pk2sP1R@yKc5t&_TR^G4uURGdIpL?S*U$V*N`2q9$f5fg^2GG2)0TZM}*8 z3v3eLZb|W7EBRqeD&1BlK_&+k%~mQw18{iay0-B*@k*8&RSz{%{vtT)48<14BK4JZ zw{ewRXMETE zbp_vZT}#-!J>0t8t7)eYlP%nnO?6VK1gwAom?Ter(Nb`@r^DU1a@Xck2sIdjgfoaA ztrF6;#tR$Lb#%ch(JA_iIKy0fm`qVZ@)|$m{NlJbPB!c7xWu{Sp7qk-+$2xMdsf_U z;%XNkU0|-(E&~&dvxW3VA=1(QLC2adD)_s0U8T%(K-llAe-tM`ZVBE5%+)Bg?46em zo9YzF@VAwcOvs-RRNVJGzmCl=T^Ah%!?+Lu+9;YYUt$we2;fsP{EHQt=a_sET@7`B z1%Vq@5{*gU^)*e^3xg%Cjp2NE70|-lH?L_@W;x=$kIP#p#@@l)bz^Oq5<9`6;Bje& z#aq0}TT4kF6}J{zlF5%E!$WblF5&L7T~-spBV!cASrR~x?Okl=G_{yAJ-bkJ!Ja0$ zT#>v2WW{MJQWCnzMG)P!9ly<~_K73{2HSJb#R-qN2-+$0p&%F&F(2n@r5s~pOy9nR z;)`_s+&PG~c!d+#!L3@@+D&0!LNPIS9b?H>-}bH6*daxHrZao5dnwl?uU< zvsPS4MrF>V>-jU{JvUFbBlRluDexjl#cIKfU z;+aPMDN^fOIvmLIX@5eICt%*~l+$imM)zAKNaC1nhtk32d+$qZ=pjuBJHUrwu3TfJ zw87?%;DSs^sLnMIZT37-gEqLhK=>5Z-a)YHPM>g^j#lb1ZD6d?LXb8loQ@O_!YXt$ zuqCUA6M|a2To(7KQB8Y9!zukJdW#(mwvFlLvL=aYK#ej%_@+97&RC5-s7Y~`P?Mz6 zsn0Re%PhJT#aSP#6Uy?la+P*&u3D`WlWc08W;Q zBHWP-%SC$utcAA&dVRT3?x&r&JX1x$@($Hj0I%9Cb*Pa;OO2>2eX308A08{rmah$o z1k<`gB4RLCiPvm`Ii^{A!5U+3$Gu1`cEQqEl^j!wt1hlhOZg#=NvH{ZtzCu&Ds8*LwqQZ1j#Yr3r>J5*-RsSGA%~drL~BaxDnK*~%F3)5tB%py zsbFEHE~En@Diy%i+Ib#niiXo_Lc{f`UcI-r5c5mJTKz-jr?RPX%4X={>-KCTK-W zk~Suku~9I2rV_+X<9MxUCX>;XyB<}GFaXJoz@w%d=>@lwBpzwFW-0-lqD2DsY>jl< zRgIv1=t`Ra#^eF%m|pOA{pOj9xG%7A3P6Y+XeQ*1Bt?0cCXnkJ@3lhYZxZ$wK!W>} zH0&atqe<9vJ?TANiD5hJIHA4JNdS=X+O?*?kDyM_Ym5cIcjnt~??5DyPYdvC%t=ws zuQN61jd8b#^HFIkuw|Db$kP#pd|T-#u@XDh!J1jgZ)7=3TCrhossPXi{!L_=7HpV& zn*IrwUB@6KZ_P^0dUvX@0|#`ArEwPZ+YC$rxcTu>$7*)XaMn#*r7q>T4DQw2w(RNB zc>`nE)?wE8EVDH0OlsgrOG8e|HkjU`Wj!<2Iqods>}p$qNd&wNy!fil9_BYC&hFX9 z+keC(X?Z(?U0hM8Ov{m7Q8{wtwTi{sxpo%V+ipd2@o#837G^#+_^0EsZF?Vj{^}pM z`_v}6tyb9p?=#-9mAPX>j)`B5x^PX01huy1Pj9tBTMEvsqCO27b?G5s0lw6AQsB0s zvEn;W@swCQXl;+a+)>K5(01mU`>35<%mGe78HDnGdM(;*6I3eO8X^pHw`xrbxZWww z6u~_96peF9Adl9Yw;L6qk_cVIAD(G6oe)%jJkpKNxbO)B#VFM30>LrnDrqcHR?wEv z6SwUg)8Iyu2HSDXC9A5VL6E`+6!cZnOA$ZqL%Xm@5g=R}j}mEbU1ZoDgb&`FwvmkX z@j@vYxrpDrIH=YZL=~UfyDqNV@l93Kr2bLhQ)NuI@``g30acB}^V*wDEl_Mi1Q;fJ z&3GAIwj9#1QEy^(9%hlA0VHk5-my=%v;$4UxRLu({$a7C3LTiQZKP5iOEO0_ySyYj zJjno^B$^wkWYZ)LKJ_FRS=BR44x|CY9tBFYv?Oz}&bDo;g_kGA1qe37L=)P&cM&ek zi9aH_*DVW7M;<9cH}PTyWbOp{qgYdSU^f)fz9;@`z?BlDOh*-?x~J%4f@pXqNTj=M z*a(CE)f(7fWSz;Q-MHq?glGqv&C>Y-1#C%R4HZ$FW;;+&eqTOnRe;Pq0o?bir|23Z zv1Nc;y*F~_-9Bktd)v7Aq)=d)iKLb-f*cEN4F-m*5@&g%4J!omUNFtH(CuVlb%n!{ zq-r7{R1131k9q7Xr-#cic!XxNVckaLfv~8apS%b&Fa--OrVTL^G!4I{I^MlRAm7bN zRK10UxoM$>#E1v%Yt~7)@PY#v_@}L+YJ#kfV3KEcsTS=ezFJS3HXh2`x1>hg?HkjT zN|`%Ti&xYE5vc6lxSAnNDk6ybN4fwal}4pA{b}XoKdBr40Q#p3WPnV8Pq>dC)mS^P^2C=`KMWLPxF4;M{`DZ z4FGreq@0ud2nkjez)=D?qAHT0#`Wb8A&8$9BGSg^ifv$)&UPaW>Mo)N)yBVJtriE( zTJ9ADpXpGs78c@?Ad}=#D5mTbir8IS_XfN~ABvTTvi9yakqSG-N3(35QVDKirP6G@ zgJUG^L3@K(iSbhI+thT43_H-QW!S68_={HAty@0kjw(AQo$L~0%5Nq?G^mG65l@k% zM&OU@PPEcU+)(f64&ECe_3{tKT5xXbE1-X$YIXypGhAJVxo-|sDwZJqsCfov_-s;I zdy!&h4#I0r%X*UsZq?gmm*Z}-+B%7kO_lQv5co1e|cSG z%yYc~im8ay2-sF_T$@D`iq<4u4dOTxh2hbVkSV}{EC6q`0OS!I~ z3eE!Fdt&Z$Xq+P}C?sqcjkf*hP>PRcicR+Tov)@COg0M`*sSLcZrOWeEdKx@^ZhE_ z)}1MmV)FIJ;O^T+U`UcSAXB=x1%k_RkM$f4^=vWzQ6IHq8HRU^%rOnB>m`tVs2gGP z{i*S%8CzH!)MmFp?xR|`>8v`dLGjOuCI<-k+v3%r4a2|`96X!KCT0a(u^l^)$?c|T zg$n+cxWSMJ&U~84}sJjk6t>zj~!4qtyYx1RuR}?z3o{BWaVj6$<7bTg!f9 zT+&xI-pwwR5U%ETuU~t&-R@j+ShJnUJ?cgi)Nl(i(tHXntf<_&p-{J&6HbUeAU3=!YMnj=l=i| zLFvn;-e{}BosVkKu^ysshWpbt zQ~+B8uz^FiI~b1k+-e}K#?>b7n~@9&2Y_ljL#Bc@_@pQh>RFlO)JD_DCuFrcNd#$) z=A4eM`b&HJQ*7K9Hai|DZD6g^<8PX!D^@m%j7W{mJZWT;+qtM#aV(=n!9FTOJ;zXO z=>TsNMboA<6M z{{S@7qytNoXgh;WC>?)>4zCkUzM96uqb=K(9tN-4l~@u`x|nq{Mj=k?NSB4w)IgI% zxpPZIRVr^H3PP2G%>bcZ4LMBj-k0w~#sC`-M&o)5f7?8yZ_h^X#_G>H^7Y-EgP8C^rHPsKyIZLJwKCdK&#JtfuFtUxF9qX~5Y z7O%KyXd}my|HSb7ULleI>7kC0?`(aqb5#pjXl#0V zZ$_N}CB!YT5x^!kCWUpigS--Uj@0Xx^$sBRF+wHLlrYo}dJ;{vvNRIosDVAHw%FZu z5wQKM*F8QDG=Y7vjn8^ZBce7o!5W^#$epK}q;-Q_bi*yz1dvZ1{{X>PN4;|0?Y+t7 zsoia*@8QCGOc)+MYNFjxo=TuCq&oqciU}gvRDY!?vKor2ck|3$>M<3)-7ge%IFb|E*D273{kZ6t5 zNE%0xeV~&Q&fnUQg_zbqLrBD{Z_hNqA&D$a4jrA*J1yA;T#1$(TWrz^pNiD+{co3J za2Omh#M^7OQB{CDuGNd+b4$2f70fXABN+q5R549{-X)nCg!UBG_R2K1RDCg%b(UY1 zW4{sjn`(hbX(NcN+dRxLT|?EF>{>3hXBt>-J62lnizQXr_w!v0lM{ahh?bD6`qq%2 zSB#S+nmakIyF(pz)ukYZ_JQ7qh`}|BXl|n}y}>(8TmG71@R_$#8<^e)xS9${!&o1*MggCBiw$~o24A=*U*u<1OxL( zVM`>rZQ%gb3%g2~)Ce zz!iZZzVt){31PpA_0R!3$u!o~KoU0X8=6v`td4>x2H5O5rd@Q>03v*MrmGMH^Wv7_ zMU9qD{8YuHlJqeVfnA7>CcIBGzWynMl_!lnh^HwY(qcRc+D)Z_Dm+?Ub|QACF&lZN zpwqjN@m?xP1$F{=n5>etAJFjugbk0M)|$FWld&N098nmHYs?CThG)#NOJ@#MN}qA7 zjBi42fL|Au;bunCOll*VYKCQ!KqKO=ndSVu50NGaX>3lwX^9+vn^jqG?|qilg)Ybb z(N#B)PRK;b1Z+9`QbwX=8tB~0@ul-g|chF!`dXCctP`btVWMzG*E+sA&GwfsUQ$ z#Y(~3wJdI)2GdmCKA?!$r(aerD{(vTRt|~Ftz&V{xTq>P2mR{4z%xKH0jarmI`&(2 zp38n=8=h(>E014hk$FCZ=}f;Y!*u>AMZG{6Gru*2T?l%G$Fv~C5fLBEY9sYNb!=Q) zIG0;@BruJLu4W$AGZBjQE;O+^i9Auqh7GGXF1W*Gw)$SdR7G+Ck@9OTDQw+36e{-G zjflo#?_urPy>zef)1`~UTZweye+In@o_{0r+UhVQQ8dPSvM= zuEfJ$mRL!c-YKT*G)W+iJ}Sx5xO@&P35!fak6EEmPr>55*+FqhQ!^p$8=(~+kT+UoNtS}2f^B-UGyIZuyPzIkqXdOAzwsSl!^h=B} zX>(41Kb8a^)X(izCvj3_)fF@BzPhJK?c`4tcYJOO9hNWQ6>XFQW3d$*sX9*)l;BPy zL%@>XHBn2ftkCQM<_$}WbpDD>kH=;htCr3g@iz^GZLQAL8EolNzz8wC`J}pm_a8E5 zlme(Hc2Uhc!a{j2y(iN+T+0O4ZeteR(mkqAdV`D4vmCzGCoC9-dneiM+{fOl7+iJB zdt18bz@N&*Z(esKOC4MJ=Auiyt(2uokVjGkyu%(Vz%0t}BA6wj449fC0J3CA;1O5r zBo131pm#r&1ep~!-MuQ8aBaBcRIuSKs0pbE+=`ERh7Ro822SJmrCqiF@wm2T{$z3? zsg`XMWM3LfBTxSTbs%BQ_Ah+y`+_{q^whNYAj1# ztGK0XKodJ}x9dQ}+%C4--LMdCzYX`P7qIMaS-WTe4&Xa2t)FkhM1vaq$Z1mdGE>!+PbYo|6;ZJZ1OhwH?^6u9 zM7di_24$7z34*fW;m+N=*RjoG6jtS-xspK~Rc{r0RpwcVJAqwHJ=I$YrMMBk)e)9x z8ndsGT1E}kkz%hJR0234(wAccY3;D2Ndy%<*Mktj zNHZRLRkupyU2=ErGz!Jsg>VE#^Klr zR&MRY){A1@<7ZHPfIALItiJ?8;%yyAW(0ViYf;KEEO6?sH38nHbM@?)Cz~c&zKW}C z{%-VpmJP*SCJ!csdk?IOmdCV-G{Jf7vg!v-DLP4(Jg8sc#2#zKGz}+?#P_2xw}?qY z40-cImq|;2xbsnV(;67cS9Bw4RlM4VsicANMpOl400(d=FJ>4KG2gujE1+bETY_R3 z&@Ot`-)RHIDPK$m1pf3(7=>WU;GPid(tx<=!Qh!hhlx^{>isP^sw?QhHGr8Md^ zERGAPK?MEi7i_<(3hca5F1rTynOO5qv~~A8){gdp7dN&_>IQ(sqd^8wdMaL1Ani>z zH`z6O*CNhWvt%JnNMxCzup4UFiX*gpqCC>I(WC55jU~)E(L*4R>FhAxXcIgohTid+RMR5Xa*qrJ6c z_s^ZE&=Pg4C_Sh*M2#vn6G2#2*zL_e)x|~xkq6B>Xk=s!ZH}-sowuRt_YrGt`L2uU zx}pd*2KpON0NB*mp{6DD)&lAhd({K3HCx8p41X$0)!Y`lt3e@_s2z23>C7!7=|0V; zw)JTxlqOf39dW{qI|108>%glbK1Re27?1}zdX|wp@gtI_@yuHKj!sLEpGz+4Qq_bu&_+*4ELuZgeuIXS&qSk z%{tnxqpg9}+ejZYg>@ZQx43u|c1;b63hUQ)10B^)(Mpz$`}(ch1P%b__ov#kt<>FJ z&u`oQYUR04`L2SRq+lNFI(TV8>^ZPe>BK1Tll_@mNk zD;Up8>kEaXOxI5dh)hMWWNJODm6&0azO^G++|EdkStTuFGSwcO!AXe$?3|N|hUT6qgi` zG|2nXGK2(zWb;Qht zxFl{*+Jcg%RCe)CRs@qfPZa6)zxN-Zu1Ox=JJ+o+i4cB#)6WG$n2DH}p@kOI>}2mW zU(lRI3$9p@KJ@1bsx<+>ZuG+Y7$0FwU}t$hr4go*VP}gFTf7b^WrKH^r?DD@Pa9D5 z?I4I7Z9|G~*bV^1z@<9{g&Nsw3_g7CP^Z#d~(q*BDeu&Hi~oI32c@JOitpX z+{7xZldv(pS93hk?jKDFAZ%)1Z859`&2ukSQqf|jo08+NU|pWc0h?l5dgoznmbfNo zn&?@y4;y3*cXfAM&X9voXnUABYAHHT$bv4+j;Um&ncpr4)h zt>3Ef&4<8TNmd#rLHMi(EV3~f-JCdmD)+^`M0seM68P99lX1j#7F~M@X#N|7omyv_ z#yXz;yZP1ju)0-0L%}!#WfpCdv;;LsTNdR zG0(*BQT%0NV{q2>fJ^~9PkQOuK!!qzr`ochs(}J1=F1I+k~<33H7vA6o;#nKa0h>y zQkqDQ73mQNV@s|r4#qne3KnGe;=GDXk~XIWB(kaaCcSaAsfeGwQ);M<5XPmCQ4FdI*W)mlF zO*IuL()1-}TXC*iat^Jw=8!x2HD%AT)KXbCAkP)YvtY26Q&_mPXq}Ss99vn$EyiYr zAl9dmb=To^`jVg?BC^D`tH%3t=DJu?w>_*q!-~=msBG=^mThl2&2C~)Ll8Ofy>PH8 zWpQ@>aW;XIy&=>uA86AY(JY{68o1b>wI<$j!ddo@Qvv}6cmlf1mmE0xJO}J}h zeqV~A^siA}$aAaMm9`u+9ahhNPw83+%sNffkW^PIZo5AdsPSpH$p`ORl7sP2Pm*7I+tm@Fm>5q#rAXKojZiU;&Vkf=@!*wh7t+lqjdrX=suvq zg@H3l#J0q44FSd6E-2x#N>fc%X4!=p>}gwx?oxLWD@V-wqc#{Dw#C#g6wD~%D=hxy zBXqtdCzx8hhQ39?3=i*6eQT^hQfGh#b}yq}yzh01xYBnKOM$a$#xl#YGa{Y#r&K_e z?6r)px^+|<1cADndM!3M4D?Pb2d(QJ5COZJu?fYL;>_2bqRhw3H!BtUL3vCki z69~E#015F@t&L13W_C0bP&Ni)jp_oUaBD{C(jtW}s6C(={po^Pk8$c)T*v&?=G?hG%YD%yO1E1-=Q_e-&gF#?qh#Q#N1jqbV zfz$V%?jG8h8v;o0S=p{peya@YKaO)9vve9Q+tTyZSqMKsq z<8IXfuDaVAi6hC{pJNhKi9X88ZF?zX_1rsQiMWnR#=!G5TrMeY9S&i>d8rE4u7V62 zhls(S=2jZqp zUIpxB%SBdQwG-RLMz+8_G=cW1Ug7@$Q0yroOzl*jEtEY8WDBUs@m{&8sS&95-k1X0 zi-5MD5l2T$fa%!8)V+*_X6cfyLZ2QgRjD57k>Y}I<;xMXO$~i*e&s5>2&<2`=wE_# zz#LO9kfWXaQrJ5kM{k;WL~eIJMJDZJS^$>}1dZpKEz4bt#GR?HWDzn)%+gzRw6O5s z{-a7uRp@tsg;fz$@7P5%P`3j~gWts~x2a?l8|}po%iXuN&DZ9W?44|%W#n2$Fv=!^ z6Vq}hZ<;dP0f{1KV@-fbJ*zC)xX0XSQ@O7_mTOD@0CLlBBtcz`KHc#i^9F^Sg#ufU z3fx#|=9K^n47R2^VTBveP-J9tF$DIdWrZ~X(XAaob5bngb%(zG-c)k>Wk?b@I{rn#|^6+X@(LW6C-IHp}X*q`lB@-hPAeF8&jAZ^7JkBGwR1_6o%pWt0A&9rT`Pqx^Dz8g)aYg4bqW_@9C-!O~2I1vW4V~#9cNr>9B zuC{Pf4!}*TG>gH=v|y0PNotT*E%3nLBU~nuCPG%LX8o5hiP;g~N-5eIYDP(mAV9x7+B4 zoC;A&36MzbQ1KWhyJ)?%>_-)|eLm<6E_0XP{{T_B>0T&JqY!7cSF`Mw{SNiauNZ+` z>Nf8A^Zn?zw!~#NuH3_2iGV))eXGBM#;x#*46x)HhmXtsE3S@p5!`pKWru7v{aQ}P zVNFR;7XVzW@9rB?5OoOrpnIww2Nal#bE`-OG|y_1tijuVXzftzD1VXBEHuOuy)D6| z2X^CCc}xSguvxkC>%rP&aP$G&*3h-1eFs)t4|%nui$e`vx;|b~|*(Q-kHmtqqn3A;o==X&yzXYEk7q!uO1fy{|Ntp@ApQg(^`tJg0rkaZp^ zy_w^h>*=<{EYIs&xm$IiQ0(6tUHv<72XZT)ZqYvDxjpG^sdL3jvuyVpOjEIi!&?Y8 z?YA{L(t!+4{0e2H7S>{FRvOw}BUiKm#Z3rfxFcf#aa}Be_1ie~yN9@K-cu?;u6sSR zbJHAuV^c69;$GEhOCSC`(vrm@mP;0UpXXQYI^M)F>`YZl1PfwU+}erc9t~)?PLIv8 zSsl#E?v=9QO7cJ=s2E%;wk<7N=TvP;H%z9fmONfzoG1wR>#hR(WYtp=ZoVPS)2`v= zja+l=gEPypmaz;qtL-DTRjymRX7d~1h9jEQ#U!8E4p|_D(hRI0H1M!C=9Ta3yg?q` z^&<<0d{yMNWlJ+1A~)Wlw^|)oD^_mYaEOe~+yS{JrD1J7i=i%CD&4^w*U;Zdew^Vf z8cT9KJR>dle);ty^}3O)Vvu%o-v` zrvPj?p|+I(#DV8}g*SQjA*u%FxuUEUl43u#F$AgD1IE?oAclkB8LE@KAVakSAT)|W zw<3pUkXR7|YLPQLY2dLk(T zSWnuK0M{D>+LrhseWHKbp~<;d*vSD@jklUcMNm(1#METCLXAc~^g{lkO7q-R$uzR? zP2^}Hra0|QxBwgNMYf=ULcF3((~Gu~9etb}-37t4lU$EUQFs&aSFE!vx0&60Z|x#9 zkyZYn$*<*jqNEm1#EGXaHq&-yrjw(9_Vy+tx7w`vcTba*t}U(tTx>iI>c1L+4IzQ; zU7LB^*vkc&CAN>!v6|CN-XCUx*4-n3%Q{aBjlr(BbP{Ko1Dd6Dj$dmt>I^Op`j1ZI z!86)8uV;TyahaA!V=lX91+WIW=BpWQaf!j>?BcBw8rudH5l*{%9I2XzU-Wh#EX1>5 zj+;Sv=Y6X@%dks&{{Zo}w6YJ1uwy#g8JbzTF{lVCG{G}f+m{^`l?*B53aO_&p+?TV zyEsM;o}>T_L4YfrlUoUoxK`=3I}l+0^#UA2K_7ZuL_DtB>;+YJrDL|4Z^vUr{I=?x zV>1O1H68b=d3Xdtqbgi-LWW}$-$?2qhwn*eA3_93fgJtmCvR^Zs!hBl=PdTDmH=)= zMrB|?h^n_)WY{<$`%mvyK9$a}c_tq9(}q{YwCQ6Te}=2&7#QTm4Xs3-Qh5`_MBtuL zNwjn@`6fT8@mQ?IfJ-7-n4N(Gf%^*Lwc}?H){6#%6ILr-L5i`I90nW&v{y-repAz! zF_YsnJj(PjQ|YYoe4n5Bs8XeG{1H{y;|G-icL(}X4u16m4|e6dmo%%g10=-2smXCz zhEqQkqWlnca*SStyJkc%;P;{8aR*sjYo);gMRS8Oa6yCnQEalUz*w1?6G^P(mxMCn zvs@*lljfQe?L5JyC7I6ZK<{2BODQ{C2dGE;Z9P zu_){9EI6P3%_eIZeq(>|H)7Ez@{R%R0;(VX09E}-Z1v2-@WTuyB?Bs*i5-BhTL}`R z6+bghxp>_q+ypW4u%-}IiSN(ew3WQH3jr;WaRS|qOR$~@p_GPT1jzdek%zRmp4zIG zEwlp^{ALp6i?43(?1tkJC7#@eaVA3RIdLPKFhi(jcf-n4_IN&2!X={KN_7q+4*T3lda!H4W3E==Lhtsch+x ze8mdQ$4~cGwDHUH55VXOFc-CIQv1X$6FN zruvTZjku0znt@m(@3c_b$&HzIs#KQ2Ar{))5YrR9P?$BD_w77+qgo9FY8t);Khq2} z-Dw{*?w|Ar5m8p{pk@!6@kC8b$54+o9`Ep*Vtl}*vv6I2!W12*l{y;0RA-%V6rVKe^b|V%fZqF37;#J& ziEu7+-ijT7pXH(A6Vy=F{{S^oi+K=c(QqF02Og33ov0~s)#BhGe1lUoBc!Pxr3(EB zYL#`01?yf6Q4s>MGr6VJI~t}mMNCPZE=JT#)@CkPFwwXMpK{V%<#+g@Dm|h}8&oGs z#Cp!5AZE8F!1HsWc|rPMrc73Dh(=xw$A27#^{_>z?7_L2zP3VS;tfxP#o87EOY z&lI$s6+~7(h%NzP+)~K8lf?F-x)ugcwtxt_^zB5#+*eZDZ&GjD zTi9?21Vn->oNWtt29QET^WK_CU)U2&IMQSfy+g#6O^`^Ut<5NAdD@!FG>9ORQlr#p z=iIUCf>e-eJnI5eGGNd^P-OG|MQRLzbrZo5VzTb9ZCS0s1-FRtwNi>w{0c`Mn8*rY zM>H~ZFoI%t-NSAx!4kET?()u4I`Rh5`f7j zdk!gD;s%Ff0CDqC%ZGu}c}JO|0W!a8K`i948~LU)mYuexO;ZpC-?cuCD_acSM+2(S z+#iXdzLRunQV0SFG(bU!kL@(UaHY*40DEoo=k=VD$=;myv?10aN17Fz zsxC<&FeWsaHS9&(w?Jnq5N1hd@e5^7r-8K5Z2;tXs%9@G$5_ICD&>Y-BuvK9RmSdk*gHo)%A&wg zu`{;yto=6WEGA`?-^Q^A6KsNrPtX4Vb)R&)VDUMft2o1<9EpAfXc(*buA4!3EFjuo zPdtdM=Qo6glB}Jq$2?4u)3aP#Pj6_L;<2u->YQIuXCo7O<9bOdfqZ#21F8Ch9iC%L zVhIv|D)B(UV81J|fH?%#koc0x7Z9*F9JEA<^HCoNF4$wi=bzTPB(>A2hKoofeC{b4 zE<+Kf*fJ^_c%c>a7qF#RYS_$IcL|DC7RwkfKkY%gWp7Ei06-4Yzv*0CiuPS%SOXM0 zUV)b7?*PquJ%nyV&eh4v^Fl(U&G`fEU0Y?K5M*-{Tl6~`5+7J3c8TME6kB%I3o(}S zO@LqAM*F}W=|R=s_MyA09>u({8+hAl5Zl})Xw0RW4*+=MiW{9SSQ#LQ?Ni%HypD#2 zKbi*nQUDuq<_&r0zR}{mj6i@uBYrBPo-CJvbnGB}nV?^~FzC+TrqsBb;?nMC;V5Vk?#kye`WZ3U@-U7!=-OGjL4pgX8NBCl<3yPtRKLR$cx#`Kj|3+!!OL)H0qVUt+HTXi=C6Y*KDYEtGY*gmB@ z*CO4rfc?DJLji}qi@KI?0i%o8k8WDGmx1yE-W4$m*;y9*p%~Yb8tnreq6GIlvmDS&wqoDwq-}R

    D+g&BLPGff}Kxm8<;4}Xzgly;WhYP4(d^=WFetoBt*cwNyy z`&wof>`B{?;k0s$&-dGvLkSb` z=PANEbS@r z!IDo}JR>v0N(wY)#Oun7O0Pp^oyq!X;;1!&TOkSz8|1{vZ#@az)l8NBe+SmuJM!0c zUkdN|&$UQ?*E%lE3BBA1kxCN%JO02QeD>e_3C`Jh+pZjbtLAkTw_m&M@Cln&_uGvg zUsllW`{2s-I?D3tUuqrt!~S|(9A-e?Ae~n%SS(gAG%bdp2L)+5cmItfwdttNmzQeU zNgvKVx!q}s6YpGqWyW@8bvq>a!ZD2us}hJs`0wQBc|Hq?1<6jWDp{FFA(72&B^Rsz zt*d@3B_UEI56-mPZj-xPFv(8&N4r~YCx*wxCkuI1ZU*b9rE=wc-Z^*8v=#>pNuk8g zA{o>iu_&Bx!!^3^fzdT^S;hTyQg_1%!e%hE-93=CU0m3j7AG1X2f9F ziVd-jVv~M>!1V798gt2?5>}i2x__7QG*wXugVg9gUuB|mSR~u)Hq*J(mWvL?(Zt6% z7rw`y618FOp3!6R#_NT*Ew~8LvX0K9-sXAP7e(3#tZC$L!CJ^F8CL`-a=1CA8nxNJ zgc7|BujLJ71Ot=(aXiy1EY(}iCXwh@+G#fTPCfZ=vQbp6+GED<#%4SSJG>p)>EG7L zbQMUNaEiFt|Fwd&LwK3Heyk#~C7E()#W4499-~8rmmVweO3_CIQscHQ%lDMkoejfv z|KGnf^stB+8o8Y?T{_5Jrpn52$38o`9Z}^&pgz~?IBz+d{PCuP(B(ZXGj<$>$~j~G zk=Q^URCfGLD~QFT`*uq8+p0 zV_u1>4Kj2UA>#K2&%dxQ^NFOqqLy(ticPq zQ+^qi5aS#r?*PZA6@#_R^*j&nyBXWLqt1S9VUy;tlL0;?N40YMOwYh#xV0P;%F24s z7Ra34E1Z;fSdx9;evz(j^1TnVOlGG=u3==c2Ib!)RK%PCz^kb`NgJ&;n$!$nL!Y)P z`+a&nt_E^&>aU^HdzJQVQ+R2o2^?Kk>jj*i51Lx{ibFFgH)Dp>WniT*``;UKGfaDGqyM}t4~C8J?Xu>U0FR~4_3-D377vn$!#A7fwoc84(#OWpp7$Zu zcN!G+e7CV~m^@c??cTlu|G0zrx5qsX^H4F=-s_N>cf(Pyp$BDyNd=z>D$RaxL*%vG z*XiT!Z!@E#<19ptsXPJ~EyOtrSz4+$c~n^P$5}Csds^gA1?Rptd|W}+-F=4|*=RA( zhHE;XK1 z4=cnH(?+Db={EHe#0%cK`~qxmk-?tkc6>%W65vuSDxI2U1+5uts&(@2774V7iIw4& zxpJ>A%Ik#iXu!{pqUy)hz&?DEvRErN?>0F#y?dP?x#pTN&eP&)X>_H^h1%nsk!{2y zi+8o-3xd^m83eLOIDL37ns}3M?ME=f=>7o9D}nh&^7{vd*JSYQS&j9Oyt*RVIwMd2 zc=NcG9S1XrvB{<~_P`RjQ7~|Ht}annG)h>lSLY>0TyHH9Wg6I2rS|ow{S{IOsh1CQKF<~*_T2~%&3TkBBybN*M#}KM!c(G zn4r3#dYGWJpb9X>H&82p!wLKYv3Vraa6~u`0^!A$W;9!+uDLS!RVk4!7Pi zC)sm46CU=2JW9HsaFx4|)zw7-7A}y8%#u&Y8k;kq)d1D1l|3pkB7;FP>?W?UD(i*Lq8u+dw~cZiT#HZ5 zgH-EuVwV0A>AJ5!Wb!Cj!lDbi>2Dc7(tM#*;jGK;$7GFk@AYL_N&Y-BbUxRGbjd-2 zufFBB3uwX0CWv%{CM-R%jN;;=4+3@^X%Yt692tDZWwgX+Sm1;<3vCS7wBJ(il6Myve4uQs<_3TOJ;j7 z|177`wwneE`iXfeE^tp8=#Y;@o;S%B?i?01{DDF;*7|wGUf>UJNpH8_#)w%Qjvs@X znkiS)9Xklt6@dTs)C`mj*38st&A(D07n%P zQgFkdoNGj)S}yQAHtvxP%_dz^j`ru0DkOCj(*-%;$Y{w3>%VKz}EzKwp?FhhNa zEfY@rB3hG78AsWFQAn>yKIQcQHslW-qA<}4W#?R#+=#jtmgjzy_qZxx=p%TRXidP- zQ8cvtlo5HQd_1Lp+!4TCMXO@50p5;mzVB(N^rowfrTdw$$`lU*(RpnJdrZ+wv<$X_ zp^glKPT_tDRi!KK%@gtetETm`a8a=ANPP>DQ?76(EK?Q_<6fJPFbs5#V2gan91~M$ z5xQOYWqM#EQ%GY*t00kcisHN05@z*m!dDT3WsKG7{hKe7i?efDrMQ*wDtQjDYRNP7 ztC2%|kfH{8v`yhv95?2p6}$wUiTJdXR+HOH2=t%&!O=*I@p<^t^(M}gIb3zF8^ zh<&&?dN||%gt-~w*}E2#Xmx@uwZ&{P50*Yk#|l|H7F4jm0}hI;E352buCwH!Iz$eyq^LLt~PZ0N)06GmF`Vg2E=q7$$9oDL;L3$m*ckBm~%0P zUP)hp12ShDO@13OxqL`0TTpP6@*L|QUpHkjT72J_-{|&CAf4>$|7yp5vdI?4-X>%i zURB+!6^-Q5o_V(qz4UDRe&1xr!ye>r3MxtA&0g@ob-^|v{BH-VO6pRgVnj#p{ybAW zn?`!8M2BWpqS<{ z+O+m%y2fmGFfkt%+um>CG9YaNjV?6VVG5g_P)#P1cd0n%0SB4>Ue`*Ml$>BMtme4Q z8uFCew`qml)^S+}??>|A%Plu!tyuv`Y#t(!8H2HeM^{u{&&TC50iS8?I4yrV-K$={ z8_HQ`^d$5P4)DN0o7>t~Bq^Tj+CFrxosE=tbmgg_#uKLFrzau;G>|Ei`EAqgd^GPU z!N+Oada0Pj8vr3-1?;d*XTKMc%LzocH&`O@Rf0Zy!Dv6uq zkFixLyW<^VXH(I!W^}}*lEo}x6&$1|6$w8bCeH1BIcbb^-zC2Nd1gRiCj?KibjZzG zE%NLU29d_mqSO47B-tS4d`(iD-p@1V$w|z6eCD;{3oGPgplualA_xM7x!RzUX>s^_ z2)-VY;`sB-58-4PPiP7R? zrJ*Nb+4%_#k$D*?>x%oDZguWzHBKx=J5#2#nNby%W;S~!!t%z z72*!=`7R$k+7ezu=zJslNOj^bp#okQ8y1dIb0=s&^H{`D|xjn(m+~(+bM6R(Buk<6TcK zz8TK>fluHW&Ai$gDVP(+QOqbK5V&t|`vZR)$T86T2f=M*5&85MCa6?=`Y_61El;WV zzawtO;O^IS%IsTiGNHH(G$P%H{7NFG2MyDsRbyiGw+CbC|(e1Gxw7 zW;p%G)yh9REpl$HK?a$e1Jij*ewWq`u0PIpb48B}`}Jq&ilkStdpy#Qf`z2QF3ZyH z66~*n-Rkm{d)jPo7AQ|2svHwKm|xN=p0Fa6YevOJqz@R!_0adG_^*Cl4As)%fix3F z*@V#%$6_1jA&lzzmML-fex@a#ULtT7g!#mLZEtn*|u&-M1x78G?;vt9dj#czJ{Z!37wojHeuhB;c`!hYe^Gn;zTRW>nqz> z&lQ~Vb+_^&A6B->0N*2@hNV)vNZ%9gh~ZLrbB{cmz5EysRXQ2bHPc&p@TxB|y>pR& zb+*N8t2zN0%aj#!a+mnH5CUL3 zJyuI(kVge&lCJ@o#rYa4{)#RDOg+5vs$ra<7OfD}4ak1Mbo_rU7x6^1(c-ZWnA`NX zp7N@UXH0)*9JYGyuPZw@8MXXZ8_;2am7LOc>;_g9^i>vo*U%i0`s)x8%=V%ITX>@hAC!NLWTMa@d8jZ4HreF9-|&&Y>CV1Hg8d+gl0ke| z6R*0hktz2Y_D1$yRn!9}GTk}mh-=>IxWvIlkWuXcs zsfQD0$spD{ib!J)Z=;gmdtZbU%(S)bIvUNTo6H|hp6Op( z{AWlmw_^~DFlcJeVUzrIqfJ|pY;hEhY!GW6(d{-=s%(o((@S2u<&GfUv-2Y`wyhR# zqN3`mDTZFrE6%mT#Bn5V;Dq3Hs0khM1aYg3B`i)M*Uen~V`P}$|+-tAgt>gE>XYlT2^yj4On zj~uxtMZB3$xFsT{)8gszvTE}aNRKf&qNt^7vOzxIJa>HZI)5?N-5G)ze;J8_AuuOCjxz_qyD=ctH*`62L!N=$5iS66Q0A$Q3x zg=-0fI}NilaWF{;>`C4PUs`659W1AgTxD8llxEVuRjZ@~TYmS>hd-ApG4m+0*bfm=^J#1hy0VS{Wm;P&T=#4JDzx1%h=)J zE;05j0hW^YwnGn>V^qQ7=a7O9{pB9ZqKW|1A|*u)L08qVkgCT%wCpkGjIV9U<&t4s z%z)&&N*zh;`#sgAB&YERc7oTH@)rwv$u-lLn?KBrU!vgd=K%BRaVr>UvE6dT@NsM@ zfkqV+^G_xSUPvqY++7=SE960Vk@GaR@Bb_qYuBRv?3VU867iHUkx)XEpu&W+G$~0( zoOhSuO{=s}A_~$*+zdhXA#K`MA&IxyHH;h8Z*;8eE$eOHQE!IL@4KlT>XlHJxuQi6 z(L$+?ILnuG`iA##Q!F-!Uc0k)v%C}SaU4K+vgb53tO75m~pHuz8?X zKQ$A5#(j2H%;rn%1-CGT$?Wy^y{+aWFUl-?3N=9`@7ar{fvu-DkSX4-DHWe6ImY`a zYXr4-NqZOxH8qR4pg&+lz@riA#?%+w{4sA{jxz>p54f=b+_Zazei)t9^tp1GdEDh* z1XS{u5`@YdMPA6z&3^96s+j40=@Bj?9s$Dr5OQFN* z5GrdIhLr$KYkaF7&@g1c7S~lmYNqEI3##oL_tuTb0en-k>ykObhS(dFD?sv0zwAvnj8Z+=WS#YWlzC#oe`#4E9kBbg02S?Vw6O z#|0EHoP`b2z0BQR)u6d*BUdIwc?(y<@8z_k4`O-4L~}V9beWB>xW=kD66iBXyT;In}!F1AQ&V>>yaekgY$alj(lmM`@oo{L)KR@Rn zo4eu>6w$F-q+Be?C}(Bd+CBUf#@lt=1BRizvu}T0bMJoJ_%R(WvuJXk@EZo<%cwjd zS42c2L8@72t(|_qj}b4VSbdUyeQNrL^tCaF|K=d@GU|Dw^xs;EnjGbw!&P}6ZR#&Q z2+QN%_J^2eByH+uOtlPIQT|2UIc-zH4a)hS;MJN3xaH!_dF7lBrHPB+y;7*qVLgOo zB$9Rgdt0#)140EG_`nQlo84^?U9L}=LBGJ;G&vZQ3SG%t2$?ih(So&r9ztOtXAch{4t)9FFIFaD(`tZP0f@kpK9)A8{_;l{VM?BcILti?@gR7YBV z(d3b}a?~52VQ=+Pj`KKGFC+;4pBZGTY!y~&b6=$21usExT!&qtP+S1V@bwh#1$Vrl z^rt)^!l~OFbH%Y-Luv&}_KhNb$(lr6EWs7_?%PnZa18SY7IviKdZkf~k(K_nj*rsx zF-ZHpWuY3wgUlhp@?OOKxsJ{+9mDZ!Pl#&;qy1ks5{}(Y48nVS&R1AfU_9jLj%)cH z$KoeuMj!g`Y+3?3W7I&klc)SuN6p5LdWMKlhU}+W3>CI=!%rVcXMF&aq=bkMUrZ>w zLnbgS!oXUKMXrcMoaL*M!llnvWkq8Y?Obo48RZ_M`|6R=mr>@wDrI1KzbkH7*e9DLXCC*UJ zf8VTI1WJeGRiR60Mq=sQ$UIm?g_YQrU!K{4Z^}PyrUo{9-1ku}Ys+b7b0xO7GUzj< zt2jof@)AI`Vh_j@rkFLG5{&;+NXvb(yP38hkGU$uVNC%o!mpVhcySr-%S(G>N`o^z z1x5bM{Rdq{BwpY%@fS&r7+;_aC|?`fYE1{3C3nRRtng%W-NV zo_jZejXF@&d*LtK+jyxp;{=>1;CYlDwvAo6@Gx8USQjQh&wjxu;r05#$w-6yXt)>} zau;Lfg@7YveH+Oj(TRQ{l-yhvUU=t^G^oX!bjpRf3H6K;zm&6~FfGg0RBOB%m<|Ra z0U%eK4YC11*8}oYvF8)%?z^!6g$2Ot0!I*dSn@gdc|&hqiPL8Tne`GVz`zkOT1+ja z!Hn;b0mXZP(b~I_a_L>$`)DRSsc>Jv+F;htg4EKfk}cgL*R%9)u&R+PjekP$o2vVO zQKg@*w!LqX zVh|7{kfWuwNpo_#lv{Z-Vl!O|!2ifGJG1j(<>CWS@KA ziY;yd18yXhXsvg1Ql3^Y(PUTE!u)v9-rc~OvGQv^GPm_Z1)VEQjumXPKvzvq{ob1? zZU0W5gGZ%zE7wIYw3%#ux=#>O8=a6ANG;)>Bk)NJ-g#H4k(#{p;66u8vB}+11>C|UM!R=!e#|8ZZk}J6H7`NX>G4MbWA)B4?26L8R`#l-VU4FEH`pYF)Ptm1;9rFstxw>g#kun!vsiOoR0iS1@V+ zijc4>{=E%rcD{n@9>gJkdtY825t8wcI9zbvx-*YhK~#4Q-tRnosL8R2jdvYH@^l$j z7kCKoX(n}~y|2&pq$ONT&w1~JY$(-A&fPea{)gHLDdtaB91=I_Wd|d#oshHwFKicSE7+% z;_%yD(F7tqx-yJi`3-@ToU*zq$j?LJGc*a~=q>vZ{=%=pMUwK|d?G#UJ8Q!}Xzb^i znUW$;5zFqBa?l7k6fW1Rty@=JTGvTi{&y+iYtnX!`EY|c#9V?lvtr$O(f*xEkL2^e zxA(j_djV>0aD5SPw~$SYpJ%)dRXF%g528{fT#RnP^dCg~QkB$-$R$LfCGu)xiYu!85H(f${n`+ud76Mr?lpfT$qyq6 za%$->Y+LZiR(Bc!HHQyfhm<#1D}UP+FCb=_7c1MN=79L~bonXzE8SQ2A?FH(`|CVef~6?fmmLQ5O54+=>}e;rY^wNtocvbOz*pAIZVjI3C!(RVV~TK5CuZ|I`Y9PW zki{P+TZ*?&@{cSs+Ug2N%gG`Ot@#>hfkM0+c3}<)4=AKkmc~wsLfxCS;OV7!5(W}u zB2=jEaT@@@0pU3kFIqwwh9jzPDEd@NkGP?Gt^KiwpN_sO5abOH;fR1wf6^0hB-qRA z!qdoPYbdhc(;_COQ_-%9=Il$u!>xiK273Y=rO%UOG!k&;j7qV)hXyQ{kb=7K*O5=m z5QL7$(%Kj1A?1diCt{8PQM#hzq{Njz1QJR1gta%!#Li@wWvJorM{1F$m zVrnP*3sOcw zZcj!qUP9l^f~B5b5JkcWUaIWn`Z(4vbSv9pQ|b=K{nyceD4<8_{?EO=&%70I& zzI3#$q-mIw6WZsrgWBZ6Svj6djIIq+C||w*1zc??K3+STVj}Ll(?MP}pAY0>5;zxy zllx+)+X!AZ;B6=_dA6u7l#?~$7Q?g%b>b5pwQ_v8WGiY?e~Z#-Ro>_N{CN_+e&*w% z`O?!)dy*OEyZkrS=qu3*l$oClqF8a*0cD7aN{Vw9Lz8^`SW4Lg1YQ>_S(3cInp)al z<|~F8=OH}%uKhAVGbe}Cy*t{KCH5#= z;T4(6>-`ertrf)8CtAjgl()MAink9px28@JKPU`0^#0W_>9Nm?<<9|3sN6o+)4n|g zuA(yJ8SShF?Q}XJY zu+6;0=3owmmWIbLid6Kd z@7g!0S@tQ8Z;Ichv+wHhpnI%$8#E$}nPl2LfbAmxt#hfe)Y3Vt0n;*@cy?T7yQFMT z@kgRDS@*7zO=9;~ytfe7D;`3-0ctMMIM&#|w@Ozx1o~+EH zMK*kBXbE&gsEZ`IzpTZzHoxr)>|)y3x`z*b#>jfjQoO9?P1em&NkLOr)$G`>CDSMu zD)VcPIW;|+U2lmP@?l)=@flarVTH7M*;qNk*kVs#N^-YsK5tzqKY8Lyh4iSQZ-ux7 zgp_r*gsa&(W9qoQr2V;2O9d2)p?S6y6lWN)vkxYC7;LdOVhkZ1y7VWfn~n zmt^m8W0oV+a(HfQX5r-xoFG*y(zL|Z#~=V{*W{@)6&_*7lA&a-5+DOv&<7n$>0?G) zT?bnobuD3TtXY0mjeLMjJBNE>d)lfc#~0}5d!g22H>eh+9`XA> z<50`jlrWVIT?EwrJq4Z^LcE#jp4fx2ue3Gyo2_q+hjGOX>+4C)!42{>0y&Iri?PwU ziRse428jvtF!P5lVpOigEYL+n#a#We=!7;X3tD+6RjI)Va<-VqH-n2cNoB zT8Q*%;bQ$hkR?GMMnZ1XQ7)T0+3273CX&t zb!C<-+gt345=VTBR%?Za#NP7ofy{Q^|BMNM%`ERo@#(B`>R&k*=?1_KoTWE2OBu6> zhk_>Y@AtR0KJ99MQd8}}8K?#wS505qfi2JQYC1CP-&1RE{5*pTOKJhT;MX@a#8i z@a0kynIJlDZ2s{qKBEOfd(T#=lwKCsyCmWyd(UyBD_fA8{a80Ucm2vsb#+hOvsUrR zP$pTAC;ktTEHv6fw9E}2d+$nZinxTVgyqUtj^u^t(>S@ZRCbj#M<=&x@4j8rD~kJU zUEAA}R+;Dd>_Ih>2_aiC7$@AP*w~Ss?{ZY3fG{w!F=ruzi6PXnkRgCgoD=Bi*7tzV zW}G$T+jfyJlr>lKi{ki@Iq%KU7U={FS0}~okd*qKt4$mdSVt>@xu~ex((T88Cnp-3 zBQoO5yn60}t)e#Hb-00lR;QYeU+iRDpo{gPu%GI-9)ducasy*wt{3}T_WYmR>FOI( z=ThjD&-$XHHna#CVFvVi5ku0co;HAwVIq)*pI&P_R{X~t*A zV}(|tZ1iH1Y#5|RW+0Aa#}1a_y6txU!?ulbmgdVxj?H0S2_ha>!7-a4Ystlq2C7i_ z(;=^G(txdyZ`1I8HsJ8Az6K9KD<;@#K2F3*DLj=PP6!in{L*@^^9C4s_4=S`cw5d~ zZ25U&ETrf)MPCI>EwnuRIv^^RI1HH*x%hc0(YT1u{BpLfzK?|4jw_z-gM$d5D_t>> zXz;x^uoHo^96;D1FBH50qY2KjgB)b@~V^ziu)7wug=%S5uCbSfP`1Z!?FGD{wH zu6M;CDg_6!Zp2}y64-Drpc;%^L!RrMFtGPfh}m;Q<*APO1Oes$Qv&YQO>p_~@`993 z-#dX6NTr;wvO~@4ieWspOukiPAe0^K;Vf>FSL^~Ppz{n$=WMIgQk<~HcJWT&%*;Lz z5qOt~;(Yin`oc?*bRy649NqLRb%=*H_KCw8nqRPSdU7612Z{Fo2FM-%iPHZ~Zw4)x z0bEsk)(#|>s{0?t9_WV41KC9IBi*I_Gl*&-2Tnz7*nfiJsg`YO$6c^>Rj~5AT+H{) zrpL!bNrfmSXv9&9C)V3m*KDa2Nk=|36+$WH1&!BvoSXf|I62N!Xl8%d(SUb|#C=!L zvkH0hpyU+0!g4e@ey7gihx886OM~>*4_HSm{7(0Yc$b1@jq#IcU(3^o=17HC&#veR z$~63KQHoQ%2_1g#B*T&VyuWMdPcbYsoFVmzK!8JvYeO$ys$k(cLA(B>lcniyfdc0) zHsG}I_)=5_mO7MW7>EyoibEWmyD4Jyv?7_>RduQqqOe~?EWxvZlz1AbO)gi@ig=Vb zSP$>B6a>B*pfzSBzlPJ+!M_5Y00_O9F|n;pg{UoPhpt{m4@F(wZdX(GZj`Tp0V3Kn z1#^-vlo0rFUf!_*#bg3@+M?g_GqVE!LkFQ3_P`C0e5er4XOrY$`u93_0LmE-k1Dg@ zbM)IRymUXlzN%KsD2*s|+b{#FISw%1QpfN9lCUZpVsDi4#LFezAb;CNosVIOfh`o5 zom5|%B5d9tjkKm9VqKAuyR-is1`YYHwf4F7<7*aH&N`k4Hb1{D_O%YT!DsJgEdW zdUFLoMa~-&qws`FrN)@LXQmGXF=J5UqSvP79^*_Twd* zWeeYFwD<}hohdHU-gBxl5oSH)yDfOn(#_U?H>mZyio2vI36?5V(f<>PpNE}BRyO*j zY>GOs49J8hhEEj;C!N%N1N<98&*Wt7Y&*~Kda!~|XTr6?eT$0i9(fQ=DD~~Eq zO52c%VmA2j{IY5qq^GX-q_Hh&pnePet(@!h>r}euc&Ym|y3X+`Be8qk(|qYK(NP&j zDeqFETvTdfqg0%`FPA=6O2!_XP^Q9u2XgyCTTB^ePoLRuV2YjJ%;0g`HjYOI-ML5! zihOqe$7tioVdE4}mM^Z+%sNR}dvOuDu_!ElR>LOp@s{?%@7>)td)FQ~V(}ekZJs|n z{q&3!HXrPZR;NkRO^CopV#t|pQm|BrAlk%UK*XsavjJ33rORA`t|~ea#vL)PJG{L6*);$;z~aT^s?%w9Xv=p=OIC_%f(V2vXsY$~T{_)ooOgNm@R~U)+ELepvUi z|NoLjm7FplEci2DEkBpf=n}e^hHN>o?$m8<@UwzGf_LO8>;0dtfg2?;+tdpb58lX| zA0eTTeRbY0u&Q@HpsFfVR7{F~^oj+A_VLGly-sc6cBHmZA@JJ(*{A$A5D}MLW2_Wg zthZPL4F)MUi%pE+*Qyg-vduYtoMohH#cnyneY7?qOBsWt>i*Y(zl+o_$fNa~OZV&_ zMW6*>f|(#M@$KiC;3*4c*r7&HruOYZz$ePrsTTfa{-KrZy`yBRyM%O3SrFlY* zKXO8u#uDea!=Wc>y7Z?F$-sh+yhM_h$`p$+fk?Ugk8pOrMCb`1Ip4+=0=#R%uj62F zZXMIp?*8`FHgDH~!p2UL_C^fjStY05i2kSBr__6$edOjxG$>Zx}u$F>Z zC*ZChI3GcdkPx6O_IBAQ;{kK}lpfB`19}L}4>GjJwSJyqmi|-7C~MvHx0&mG(YtV; zl*6s!UkrRXM#xqbE`GQ<++095cnCKc#KZ1u;Rf6~5(PK*snWvY1m&7^H-iiacGCS@ z>|cjBs_SfB;^mavhnxYs#Iltqm_bo#VCNFk^xL+X-&Q=NkpMvK!0~x=Q}M+4{U6-R z?pJqKo9knQ(?wEgF5<=+iamv&o?G~V+T;@X&In@_f=zsFrSmexH^d)mKywjPMrW%< zv|`I$Bj>P~oBDdc&!LG>Pb$BMu6fwCVtqAwHWZP5Qa8KObn=M$+=tt*rwO~XJl1F9F$blHblY zmETUV(OXkXtPJq#1mW!!XH0v_R}QoYdQWZ`}53| zMmznP9oeU%`x7v8DEfQECkxLQQF7ulJ!zn1|0ijKJO9(R|NkQQ{~o!vR)~$|okns$ zd8DsJh^wXyR&t4CLTs0)(C!}}(Q@xO#_6eItwPzhTJhbLf)0of|D3kyrY65e9sLul zeHHszvpjxx@&-jnSyrt74UcjJ(^0T;ZYFcLsX=`>VeUm8gR$%Q36&-wRNGrJIm`Z# z+KSs{&~JNq(YAHp_VR0by>zCw3TgN+=^}rg;r6AG2xC6YO_ZC62QUA?$n674De5db zb=+ZCOJP#JdmmcudpOMNS^*88JcdjzFbzE|2`jeC*Y3X<$n#zy+XdqJGv-cwZR|pG zoWsH%MpsD#O~+62ZV-+)_rdYNIcEJTMPq6&O66bBAwJlQ3{UVWoUE=8AkGU>bV}y{ zhoOR_WeA0Id|C{bP9rb2aEFE|n!ldIaty&m{~nQR!QB!y1f9dI&VR-A4X7N}qTu{c zh9g$njV^K&CliMSK&(}NoPpt1v^c&({CDMX0F8Llcui1z8%?-LWmDw_R=MYEoF(43 z46uV~a4B&2E5XH8AD8_c%!^a_PgFnMj)DusWZfIYx0JlwEGWG=TV z+#ew3)wsc*mi2z9TtP2~dSuC4MH&Umeo86uYLBT9SEZ;)%V@JTP6vy6$`yI}L#QB` zXp_sG*mA!Llp4Jl=&+H$t{nIetWs0rXokJWPlqVvC={qg`n?2IZEsh^Hg zSmzsj`QNQ5__d>|$(3+Wxq#vdQdJlgfSF-4d`%W=H4A!uI-_A8~GQVdqaIw!-SIp`yXtC?t7;-pT z#=We!pp1zsYRb9(;b!UZHb=?T-ZFqjRp$XE0^dWReK!VcC z2noGNH5!V9s#2t*v>7@{D4|E1gbpHvDucjK66&B7H5BPc5dM?{sQa=(QpQd}n#jG)Cyyrw5b_k&JKXL;j#dz6 zhXeGg<>={!J;x>f?Dt*}m?Mf(x#^kOEB6IXN6Ij68p(iCV;Y?vS0ndZsDMW0MwDRry9V| zUfanGd86K>Qg&$P+oB%S{-q`a(PjEaN%yy;D6J|$2oDK7(x6G|vpH%M`{`vN~7V?nS}R+=XkUI=w_}Onzj!;F-$HYS38b1^(Lm3 zyQM$y@_#W_IH%#{J4N-m;4Sf{G#d2)$TRNUXGFlv8GkR2uWP^eg|oG4VL@;*-NC`PS<3+WTBk<}$Rn+7Y&%VaK(jcAd4cSfFQ_~L zNY`HTKS#bslGD45u>rzS*AsNQ`L$={7-h);jtpxv*Hfh1G45HJo_!+pdEjT6RrJPbpLHhI z-{)n?n<_8?R_m#7Pu=hC`%bs=NOG*Ol0<;gX6@_yti@_+B6c>(Et{DxW;Ht$r-u|C zH@${0I&LmTj`gJ$107#AvV>9!rliAbxzH`{3gK*Ab(Q-ToLn=woOF7 zgqS*yL9ux3^HGyzUVZk>LBFmd=<;$xBEguk9}nh7XdwJfFWciekIh=y_hL6k6#J&u=kf6?gEmQp{uKfD%oZJfSjbM%hwBG7ND-;V#j z89c}59(5Or9PlSUsj?m1Mapo?dbNnAhs>0Vs;cEO`6w`wUR!CG;6-jF>8gBmm$U|z z?-;7po|~Sts!Yts>EHTagUpG)%uv*gi;CBlRX5EZCyaE1&|9sd+NM1trhnK9!1b0Y zjLNVaTmvRn*qo0bR-TeGp}9FS2Wvc-n;OTkznioyZYQoz{rLPtuHPC;t@5ZAJo%o} zUYlpxwN7!m9|DH}pRAKC-uagMi_P8J%?FEgI9E+@P4>8dn0!s9)`@hd%GV^k&sNy( zOnLNj2M@HLz2|-Hp*z95uk2&6|MfaTa>eqTiXCC3Vq?=SQv9167C@ko9m=K^(kLHtx+OlYixoT;O+Qj*SK8xhr?Ecy(e{u)?ez#<>VW0U`f(d?NFjZ){ zv!3AX8glUVWUc?m=-*#1>G|x^4F@KfQWuYyZ5s72eZK=HvyHnUaIPPaGVwAx2*t++ zzI>mataWY1a?nTIW=1;nMb%FGCmfjkf7<~VeMt}TRkIa5uOltLmqbjKN0Hoj%V@Tb zVRz=D#R!CELJKf-o@Tad#CxFd&MmEx#HbnEdnLnJb4$HDj?togAaj^cc>d7qK0PM3 z%A=y=#mUe-&Ug(``zJ8{66a^5n4OF3DKWhB>DGL#!nmZ#2hDfSTc4uT9%%3yTFF?= znU4qsz`TkUJTZI5myhHx#A!&MEbf`>ia*TD{bc_Rvt-(&HLl1D=s2bR3jXSx(r;&C zEhEV9WZwm7W(A-ILnO}&(>XCrOp*P~+UsS~O3eT0fsD*M%Paiv7wX+^geRRSted=55 zWSpgMB{MQNTk*Fw^*mJYx^=lXE1MAQnkb+kTsKOqTdK;1emAHV)_h;ZN#S*5DOt~S z^70j#$I;hks*UY=a*!5ax5e(s2LLZ;jic3LazhzC>vVMaw>uQRdJob12o6_{V%no! zcg(|F;=j_T+d5)Pv2Bo(EOv@Be8|b|PQvY%~CyCRjv`-B-T<=6QR(!}IL0?YSnhu*Ey+pVSyu9gLyK}Z*ow3K%@v4eQH z;T4gXaYb)8`BrnL$^)*ab<5U;DPEl`_u0n=fB_3iig?!rmk`mNW(#c`;1;b)IrsKf zh_!Qq3wb%7uuHBnamsP; z&|VQ+gcj-1`jdP_K%YS!{yq-z*%i^QFk@?o0ftOUpI@Vnc;-4jKi-y{A)pM_Pm@Wu zG8`vdpr>w6Y{}n_u}?7B;A!PR8EVk=k27YujY0;12lu+#ag;oGG zp~=K2coU)!lu%+--TEG{Y3eGqa(8_8BlZT%uTtab2kMc!XTPtO1WgN;8pC*OQ4du8oo{-gL(XEjFPK zP8a=d(Zg3`hdyD5e_nM0I>&cr-(xajY#a@TTobB^NyE=$zcOTBG;dvCc9UMfY)LPK z?=&+Kst3$3y%4kWQ*{aH>3?m(@-D)HftXZh+0~oDS14u?6bs{%)eUd^G_CE{o-fC+ z?$S4sgOK-v<%%bt^xmAgd*{{@58_*d-PbK|cejH%2QU15M8Rml3A7l(atJr$7N?+8J{cfkLNG_p}rzh%A8Ms9f z`P}S>UrLdJl)uJxt9+!w2SiR@|5eZ<)TW&$dQPEk;2+V7kz%<)3`qQ2%&x6_>yfTr zX4{6+tcxChRJ(A0atC!eQ@qvu*L~(o`b>GLT@7H!@{GE&o7T!DUN`E*QV7+v+Axn& zv7NV{W{mJ73R=p@SDT3G={ymKmbAw$^YSvTW#H1Ljin#Kt;AnPuJYGF-t3Q`IC%wm zrVV+|rHdjUk-uh7d(+X)myg6Ol0PIOtIw@SIi|^C5-rbn3wYSAwfdc8N6So$_C&25 z37M2!W~BUkr~%Ic;tK*xGGkRm)G+V zk0hQ0zkn{MF!?B*u1!oN_Y|#4D*DtduwPZq^q^YZsZQv(TZ9qdJU> zddr4oDRtumXLfQh!hxD|H)IC(0obJM=x?yLR_hW|B3va$R7EOzBL~%=K^UssOJl3&9NuOZH*= zq7LK&4`@?!QMreky2--_+Q$^pNmo?s7mG-A%g2tClJb*H=1zxO&5(hHoPTWZ|zBj(5HmkNd&7<7>Tmgd<%` z50r;V<_&iyc@F!v-dYV97mSU9{GR@p%~o&mUb;AC!o&XqX{7jo`{u+(HhhE#k@9CkqJu9Jb3qka;xAcAk=PKbwxj;t}du zk>^eQi$q1aRax^=RF>WJlkmKk>IQ+3ewv>C&LbB$L%`S!pwACK9}v;|s4LdN%)~Ny z%93^fd!ItPNqn-L_; zUguw50q`;DA-@vb*gxvIPOINz8K;oqf#invz7c%3!}XcYW>>~=DRYX0uC&to2Nmzu zN*j0V?lm@G59xKS4)%?MR`ft6q2=#Tot{IUIudj-HK|Y9?w|I-zzgF7MiXCJwfV+G zm`O$xHGff2_18O4=VcDmJo1^_ejr|5skE~m$yv{5*rrISESN#dToBM(^ca(vXIkcB zP$^!Pm?D31l9|NKzx&mUrqfD+=Os|B*RzsN&4gOG*!E&wWyYI7F;tVUAvpfXT86qJ zii)}rQfD~TEAz2HkiUrR3k4ZKsrB@d_lwW^DVlzXDiLhbW`$`A@1dTZnoX00SfxPL z+Rc2c{YpWd#mc`o>I3B*`R7XlFKYe}y`5%53ggMsu9t^zT2+3V*~r%k43e?-i8>h) z3V$xPBp2l3B3WfAGjZ6`{YTpkJ>Abh$91MP{I-z1uFM8@ELK9c-hw`e9(18+z%UfA zw)(4eiMd!bJi(glg&C7^RKQFmt?J>wzg)bIuH^4Zx|8Uw2SqzFbC%`QQWJhBJZkmp zE%KXm&nUsO`02VlzA{jIm$G}3Syq}oi8*yznx@(^u24Q&l zO{Wr~Vpmu`mtMBrmASwng*JFzKOx<Ul(B4eu}#uP!z)vSeZeEfufyaa={b3O1u^F+3N0 z45&>F{Miu({djh$O+*`e2;$D?zP#jzpLH4Apq#DjnQ0Bm$0qg(3R*o0iy+;zE(lao z()CT&Nn$S8vj3pvfWEsdrx+?|vB(L?Jf)~Pr(15!50?eVs@%X54J_y+52@fLghnx6e-pQ7}p zqeqym?(1$~Osdcvb}oq9LqTGS%ois#x6vdp86RLa3m5)d4TGb036~z&56_=IBFLrUudIoU_kb8H6&fO8< z<3lbjqZBxV4`VJU6p?!$<$04B(23Aawp|AU6GF@pne#z9nd+`;V0*V1Hpwp2!)=cnycpW7eva6<6>3rnVKFH_u#B#2LefD2i?KlK3L^Fg#}J(kQP&*((*_kyYv=CR@n~+jgxy+ z*=5W$xD!$_LqM-PrsX8=Xx#~aE~2yj&4s>xobd{1V(^QOa4amC#9b!Eiw+3D#G_q= zI53K`I@0h2g3F^0LfQNO_|%6V#8YXWX^chc{mKO43?i8OgH;r3vu;;ABO z`(bf~yTE4=b?%F0D?1&^Z#hc0qux<4o^B_kr*geGDYSSDwSklFQE52S-~YP>pIpP0 z8P_M64}KUwu7oa;B67}Py~iPb1ducXOllBUZ9Ai{$NRCw@yH=cPOG`-aYncUZZSP5 zznngsiiV4v0ihr?MT=cz9+?=vd@Xh2#SQ3%YcF#K_l=!jFK$8+C&Zk>C4O;)-`3;f z^YE?g`yFq;&0WNeMo`s1Cb9xS2Pg9_`?erV=ye*kqfPt zFp){c{Qn&aN`nzXFi>OHbUsKC2051aR>d)&}uZLeIwQ%xZO=M0}sV7e@E|=L6 zPd}m(7hDvGt^)>yx~Isuh1$s=`C)l%mAY)?(|&TweS8ETTJn;owKzTeVw`tn_pV@v z@fOf+3MW0X?#|{DuFDcq-O{@K>B8qY?TdKGo87rfH)cB^?^o>{mL(~9`8Ta+l5|xq zG3@E0w}AXCM}-mjA;W;Ioz)46Dq`R-ZjtJBg_t+&j$GC;0XdgG1Y%}`w@*|d*H2N< zm=!}L<>le&gl4X@oV;3bnxCkMiNAb1RpB4rZFnrgO3_D;wPQoq<+H~!M!j+&I%Lq?ORdlib?Y&0E)$0 zlnWT4a%u!i#pK)Fi=+ubt14Auc63D`Yw-_7-sEE7<)n!C802=c;IA?(qkn6}{fT0} zzI&WANJOais4MmlyUE9H2J!~&wvtFW%R45+J0>H2@^i}}+&bKH(q~1MqcgOR)3Cqb z&B1?v`2!mndy>-7GQylM)#<9?GnFDP$K#r5Ipa}uy1MDDWLNPGqeEpOcBr&&I%*E|5qUq=(FtupdOv=j`nzha#sY(qi%P1 z=E*TaT(ads3vs5HrnGk%UA5QeoM->a!+>D&5?#r8@~$iQfkg-YVTZLpK_rEh6X3tYb@b^6Ag;yhan*QY}}tk3bffL|C2 zv#Q(Z518lqM9~&EroJ?n9L!xadghY=RK8NstW2(^9|~0&`LGrna#jVfcgA z>A3DiJ{cM?!maEwW#`j0RSw&ePDNWE5iiE}evZ4HRQmb-N%OZFp=+I#mZjqenFGs2 zXr%wx`v%nif*!EMf0zQWBWPLS)$OP&jn-nP3`m5^4T}c3 zro>#gk|bZSNX@*UW;|bG+80~)p(RQh9)l>+s%HiV{m$Q8a=>#w#7NtwpOAh?GDD*W zfhTTfdU~mUNkB3niow>wSPP8f);0KA`RB;ft;Ig2Puq zh4T=ezWx%kC-1R`fIj_fQ@_EQxWc#q-Ztj!EpspJt8n&l>*hsaEHU#A2a@rmmOqtf zx+E)98(ZY;Gs~9TjkW^uOghn=>6q(L?o2cc>9X{Iko2Ym6ur2e-H>rfjh6tre#of%&Uk$= zfEB6dpk0rf+9V;UW@d`?a4V5(RC8TRf77IE;Gd4 z4a4w5*qwhXbV@&JIvBlt<1}xI-l)?MMoQ%2AKlR_*8jrPF!Ef}akZ5rCy}%8{pqg? z{}AaVuP?e&OaU9DDZwBHpT_?<;T2GOZ!@iZcex(aa3{mGU!6UH9>0~zAe~U0zW4(p zgQm_+F`aWDtT4M!?56#?CrusC$Q=?McVwvi@XDVVgGLvMI{iK2TbYevLZQtQscq^E zGiV)K7YS*Pmy?#ymK~WQT>>#L-}QE!j&To>Vxh3wq4zNMrmdttSmg5vHhDV6S}>=~ z$o0c4&YzN3EBkl3Ebm~ws2_rB>S%FUB5_^)-qTDUcp&(#rB};XE0*b%2VeBlLs7`)tHw2d1H)Y4n0IGPr zh>{eozMkU%q2-G)xR~}NB}wx0R>`t!K{M-NqUDRLCPR~7E9(yqwlRW78ljhWo_OAI z@{-PYmGHz<^Y*CZK(p-e(ifky^H^eDt%cd>PTRXV)Ap}jS7@sh4dnzTVT$u7n~Bl%*8PEJj++PdA6TxPgGdgiN1J4ru&f>O9Q zkPdWkAAlE9Q0LHjANUgA3jYM*2|8xu5Ay1~zqF(u?Zx5}_}ppV!xdzHBKgp@(c-an z=&29im-v@FuN2PP=7KlW`P$oE%erdC+9PftACawsnMTG5RqrM;P&|1hJ8~68(sUh* z4`G;BP2N%l){|q-csmlWH}xBx5-~JiS0o{0vX-yp>e@!SlhOPX+m$W^;`KW~YhQP| zzH>w?5OaA?dabfcUURd}@ry|lbtg1mx7<{rd z40e=~=OE1Y%&KQ%fBW-uy~{XK>Yx~!B1KuiUY{HX1LYa}(klGH}{Z*%KC8kkBDrEe4*0cnF`kNq@f;mof0=UhFQ_>epfOVEO-*Ad zL?|^bvG<|>-#9GIV4yXFf~BJYfQ_R}rBMC8vENhrpexK8*-CplL%5X{M<@dqT9N2K z{eyGtqyW^m4n+2wm8X~5`|^akSDAx_E}!CR8ao}vy^bnB+3&^D9Y#*xf&fZG_Uq9^ z=eexq-}-x7u+*3~2%n@$;n;5C|D2)aYA~HbBC_Q{PogEsiycqAD*q>R3Uohun=#Bm z&sEa@c$c{kJvWLd6K46N5qM%*i1T~sEdN0v$@!A?o2;Vj)~9B_I)(rHOSN-nU--k* zrsobq7v*??H&s)ZoXu#*RAI}(=J9^mgK3?lzVq{s9tXj39PS1gcXzkt36G_B<13E- z{e{giBB2PbyahZCFLOVuAsFC!xwW>qikER56~U&Ol?G8-@|bE`kkneJ#N9|r{c`M zu}7`q%j=3|D$~rTZI2sKTV;p9yUI~la-ZjIXI-28B-$tZHaOx?@oM9@uA;2jMU=-D z!N`NaIMyn|kSX~3YxF9BQxymm1B3DCL{m?cho_%fR8B!zpQvh{B_}lvxzxe_8_dOe z^(t+XfNWG{bpuEnD|rG*N#i~|p+=R^LzIja>uppr(mR9TNb|fIwN$&`p3vXHBM$Z9 zi?~=x8TMBsQK5%?GRTbJJ~u1K4Mt~EA~DzOClX$F?!$HzW-XRi%fQMw#uap>;wBlv zT|rK!L&xkT*?<1tuXZ0;+VOh6cc%%rM6(M%1k45uGJf()$kx{OZKsFPT@1B*Ed--5 zVj}jII7id<7RNcC!h8K79uhd>PTt?UwS!{5q-5rttH_>H0%vhG{OkqKp-@Ac7s}&)3z+A?4@a zG~d(H38^=D+5qAix3kF%nw)(hU=UfRN}9|Wk%_9%yDJ7>13=tWl)(~au4GaPi3S^j zH*J0h%y}i~t<=!NtFE`SaTz;1_2z4f6^|5Neca9Nl^PoZfP9AIGog+ze{_q-#~s#I zd9gKlJ=a>IO=a!>`wMhDGML-<9_h|jY=4H>UMo+MPDxvb`i}H&Y-GpWAE%Avr=oZn zg?4tA07Nl;H8P)TQs9mi0ou>Z%dOgd0g{OD9-&V*Pl=~3$*@|30vYkg?f64KKC6{1S4u$~pC zlq-<{Wa$pD!>aZLCV>1$FUcBCHsO)6$SV}0%{u-!*d6;5wfprd^)6Y<2H?yiHh0{r%Wl<%Ur$()FhiCGzji`!%%kf| zfyUcxMJ6uSeX)|uN zJ49Zy?bFPc_LMv!{^KJr%4_U_TZr-1Hl^eI+bg)@Ie%^cJ}>M`nm{VARbhAUAD{(+ zvi!g~mHLjHD-f3KwA<`w-Oz_vgi3u${EUt?k(&7{S*g_o-CyNJkHI~Wz~NgB(8JXc z%t2=t`7q6Bc&-a9c-}ZVlZ=r4@pcsx;u8lXOMG@Px?`PIn-z_4)Gx$zE zl^lU*Z^|(R%g-+7#~;A!cI2G`XInZ$;J20&1`C5DPN$Sw*`1*+AIiiLD*e@E0z?Q) z>h@C|D0qvH>dLzWTx@+hP0;n_eAwU7!?oRwoI&D5U)5~t>2&DrDjO0d2k#2BfbDuuJ!yA9uTofSckrXG|iWRcXp}u9JnaRID9hE1ayoe zTn@dy;6#LuoP?@}vNxwcM$7!{m@Z)AL zCu+cPv=!CVa9jhu;`8@<{9ioS#(n3}dZqUt--!-(`A*I;FF;Xv4?ro`S`Z58Y@2bM z4%vi-1>aYuCJ<`&BOn)01l&{So!`+2S7S$$JM8xc*s`E!H~CSSKEwudIoQG=86h(} z&8D+l2D>Y(x7LDXS`IL%oJkS8TIT}bv9C388bIdFZb2Wb(qEwoOpWlDtaX#yusNl5 z%Suqoz9+xr8Kmm~h-nG2n`aviOEfF6Gu4FG8js|EaScSa$dNg#`g=`(y6x?Mb481F6o)2-C zG))#SUGYGQ=|d8GAAG=FI}>Lybn?p<^CjMDuO(|_&?#w>g24c$P=CbWiT&8>vp?DriQGX z?*DG@%!Pgep5sR6+Uc2Gu{psq_{1qZh&sPJ*S*;T$bpbKrdnD`OdAy|ojm1t6r}d9gUtjeipX4N@ zHab#n>jCL{=Eb))vhAj&PM?Sb_IaX~+JpgnAc5g`{?+|ZM3xElZMaYq2+PU8IB7q6*x=k3y52QE_elrBqr8Q7H48j= z*Vu8f+Br;lxJ^k2e;Ur!pFSVf8CFo8(Z5E*U_oMBXUQe$r?HcoAp1%2H=Ej|l&C1t- z8xik){EqDIokZ_`K#6e9NBSSbgqG1&yu(W*+ke)h4T-5$o`Eb&B%9gW;}8Iy&+`}P zdzI7r+i9AjV!n=*j1R4Zsw9oWK9#c@b`$8+95C@8HN|;uD5`ukb6%zR$#qvqKtSDL@d z$3(+UwREnLVzua8f!e8;lO$pV_~xU(%IXpL4C3G6kDfy5zDs7g5)bMfA z#$2bD!LYlWU1tQ%oc_#(uggEiIe^5c`%KO90EV8WIjQr;oqUCFZO03i>`<*_XVW{F~!?cbhAd_nVS-R=IIo7}O5-eam7* z=*H=#g3L>kW0gCu*_q@i3$}AIY?F_VWsb-ClxW3?0A?E9yYv?;n?lT7n2xsGpbm33TRQo`jXpd~ zbJLJRMspiSMrG>V{w^e^+N?eo?(l2d9)k|Miz_^-$-JSX!~P^`{Mftz2!x}-xXu|o zYE)&CWL3@2TBMfCYTArAP-y<6Q=A4x!?vViY z3v#B-9(hS0ytBt9=?4h&`SsU7e}>ol2ebirISojEo2>V*H=M~r$1uXx2=BP@s{ zmUz>~fXUSUkz52Y{u@6#;xpo?8e(Sz97sTr5O3NbDscD58N2}0;egaRP{}*q&HPT3=KQ+p%XReW%SlOfdNgVlKfg0r?6E{?R_Ax7e9HpM$X>g}&YlpwD3%7e zv2=PfKLnKp>B08bgU?BIKnm0I4zR-}dcx!Ap6L2MPk6cayV-XqpVk2WG45^LtEHtp zEw;3oEAXVc<}#ZlTRN3pH1=KY+Vl>_!@MB?xSz=3K_L$Om5-$jAUJp2e(Fk`g<62! z2Yl-RcL($@|0huajNiiqRe^%;>aAfnIS>R5kNkhf03iuDnX}?Fh>o09&+67^b!xSrl{nRW@IP2M;;wJC z=id6Wh&`IOA*#JO;s$tqBj8F@^8mY#d`9vsu6V^eyaw`&oo>FvBY`HXXAGzU+Cvhd z@7BohQqD)J^~gw3fnJci+t01~Bz}zj=t{7|qnhf=Y4`(;_0|dEgu5j$jR$}LpkS@m zcXt)YR$IMD2FgQK;9ng12RG)Y-Ve8hP5VeaedA=VxC*FSCu{)K>qvJSLF7nHGH?R} zg1Tqgx=#Q8B3gAOnPI)bS+HuK?=uPZ350?k)<##q+yHc~Tc2c^ir$_GTG*al00`mK z;%&f&YK09d8@$8ICDQ2OKy7zWnPdfU?Q+27GM}6{)8DX(0D2o>Er3`kno!KQIlD!G z)dkAuYI#hKN3lQ;e*=)c+`;b%SRuJ6&&I4WZ^O^s-6CHvQ1}PX${1d?AWf2fC{nD| z2sXSqR)C_yT67lh6#~wrVzT?K4M9D3xA5WNVFyPEH+S$^JzrN*ku*7>s4WrX9<10u zGQ7Fr>Fx$@ryFP$^pD_4s{hw`fTUo~FjyQY<}T;vIvHe7DgolV!$XvT<7_#Jq53cK z@@boGprL}Tf3`P&4Z`N|P-og^Yksn)r6MmLXEJ=OFp%x$2BR6po8~L2AdHM@yrB3= z$_|pV&vx5)9m;kEm?E$>ejyjTvJW!eb}vc5^yOL!X!mmJi4=y@-Ht@Z<(cHEuVRiw zk#dPvH90%bzjUxZMewo$6Nz6<0w%}n7BP(l)k`iS03+PN`f0$b&3`Gvw*&Cu5e=eh zen7*^ktKR`VNwss2ehTQ; z%PEUt4F`>{7ss{n=MU;m0oQe(ZG2*9$k2}hAl{!g;kV~o{lLuwU}>M&omOx>aEdG6 zMN_tQgqZnBb*))GF;?H+h2rwh>M5y@Bn`DMR49z6?G7Avubd3+)@a;nibU4~2wAf# zr4;o;)by<2cQ#8!B%d!cB1w5`1%6R*TGBtDvd`Lz;=7mLYLc3BesmuN!=%{Dj&Z4# z`PYAr6XwUP=gHdUSnCz;O*#31Zx~85n+_gJmeB{!CqK1I&y1pWmv)TDzPhOe@+iPR zmzKVgKaevROQ*1zy}~g87&Z{52S+BE6q2p6#~j~YhY#1=y?Bcc4F$v=OuP5n;nx=u zp17TE;R3kA*rQNqQh~s%!W!^2E3T^;`ZaD(%{dlKQ}4F`6LiD+OlJA&v}^Eb3ig(a zl{h0@D^zI|BKJ1VxnkLW8Bz8|m2iRGlo0UM1?tf1cxuC{z~n=++QHws_MldNUCLhN$OPYKo?goI9ICDR$^LkxSL@Zb z)DpdOiC)0%iW<*R$}qrDtfKB5`Pj$f=oUU$`j#j=bm}Len>v*J=FO;#N2Rgc+Sh|m z_nWI1t{RzRv&#vQ0}r#t{eE`fb{%-Yh)65Bb9#BHqkYtuGE=m^{oOc90Zu;afdA{_ z@oOI7Ix>g+ttul2Q2sq2s)H1z`jWa*T8oQ2iE;D{^ zkLi#ao}Q7;oG@ zai3=wAsLPR*)!jL$x}|usbx~@tv^RN{LcgU9m0&n9|#O;A8bz7)rUC+0lCigH0zD=deywiwZqhs2C->KjJ|8-`p3NPI{14`>-U0eA) zk6W{wga9Sg%Rr#d+l?z0dN3&K7ckH@C%B^{T|Gkx>PpKMptiC@VB%Fv&Z~bB`GQ4E zj8U{c=*oAWC_u(A>4@iO67qb!R}elZJub=)&d$zH&W)e;5xW8aeO6pC->%!1KHZM< z9Z4yhYw!tgX(%=OyewLmrOYo75B(TE+!OMXIGvb?(+Qz_R2wZN#cn#z=MP%EhAP1E zE+wkTy3VdU0IiKpEs=1%l!&ZkCO`NG9`t5t5hUZhZp)X_Jy&qzYC?AywuFC|(AJRQ>I#AkD>ar5(6N9L1TvUW2=bKT7%zaeLaf#?tTM=e<@Up8SR(76b z<({2&Js|82qTDixM!dJzj3Ip@4L61sUM4zu`o#9`m{@Yf?6{C^;(A7#3(w2R4MFe^ z@5;wEw`K=emVzXQzOGmwoQ=z_83He21UnlPHwtm&vKy9Wavg$N=qz{Su6br9?H;@} zV>K!N9}ELbR%SZ1Y%`&XoTHY?GWxJW?`a{;ldGW63r_-<1bXstsSvxDIV4`j#Q|u$ ztj|{S{=|X{3Ok_CKdd3AVJpjw#s7EOmFBzrdk$RpOT@{5naf*S*)fI1VneQ*i5vJ# z0gub(Eb+;pUGibLre?q1(0-YxkFfZ+=ME*k{S@cxHn9b@S0yAQ%t8wSXY5|N|NBed z{ey0W`BES?_oM(HWF;!1$z9pfwen(XH+*Q{iG%%w5lg4jXYuVqk0_oH2!sjgB8f-N zo4RJU-QIq@Z%zJulbKfms8UtS#rA(|mS#*$TpZ|g>XSWAy4rj|C!h!YZBywKvjAwB+EEZ#YSb|B&%3(adZ z{FM2fd>Mfkc;-Uj(J{d}2B!@{&Ja4#l3=)J@Z0c0w*%m=otELFy`yWg^75Y{HfFDQ=$j)87vD4)`FAlb zqCk@zT9;wI&urQXVO+Qkl8yWuQLUzo9$Cu5lxYS7{HT4nWd7x&+`UTLsgW-b$4Tes zmyh3%9{UbGkc@Fb;V+qT+f*^{_Lp+VLQKODC9tN(b-iysiFTzc3VHkc}s^|?&a349%)pc69F zD(&QV=oK4hy>$8L`ZJV=^XQOJPxoN~eVUn>d*Bkx1vl|!WHse}n8Sx#n@zs(6yH_FkUg6l%*53LxUwb z#$EqwJC+A>-!#};!$D^1egY*;=EYpWRujgw*U}a@Zfm!9WygMvqTz<42r;ys1@*o| z7o)nT9H?e&Je$xfGcjR!T_I)K$~Val#yueg5xlI`a3Xx?;3QO-8MPCRUyJb`Gg6G( ze!v#?Smq;DydI+HdMAvTvOE-Ly>l9aXF8bU+QmayDiXKgLf2+bmmaQ{3yh`w5G^a7 zs|WTlAK$!`$I)PD1i4l25fZC@-;T&f12GrJ7xPVJt<100$Lyx{c^4Xo>M|SAVGxZe zYMrs2fhOmCEx*sf-G@({{%0HfRju(*85JlPQh#QiD6f-4JyySA~cZx^T zHy993+MS7pQbF~^@%H_DZPR<%ZiV7n7YQrhxdnH0Vd`x2=muYwx;UStge=-Jy3S?v zHruZ$e?Rd_Q|V2or_TYH7*Moyi)Y0hjbb21UNis(sH#9{Wqu{`$l|dKiMVgg935~V!0U(=}HKJ z`Fq>xb<3xS87vOs3;zblzMCxNdNF9JL7sWk6w?TGyAHtELDKiHrOU6+u{m=L0 zJ9t#PS7?(E;|q@b_j)CpUxEsAMf_%jy1D*$0v|nrQg~h{BV4dvaoCpyTY{afv^~cAGDI=u&w`J-M?E zePSMi%|kU$sIdd*fNJhhGV_ub(r$aKs@2rNVwhGFJ;drk#W1P_*<9ENG!@3^e!b8$9v9-tv$@YuSfsVfB#!yYS%jW8uJM zZj~pSa8qX|9{QXSJca>(>HjhHCh$!Ef8cm&H1{@|TXwiIWC^hd!{!#Bnxn%^6bh-P zbYR$Qj?I-QQIt}dN_^5`hK&wBU34%*Yke8 zUe7DAs_WiydJPo7@Lu-}sj{0utn5j{42DlbJ0~tcrEvcE(Z{A!M>E({*lWt%-MbUp zs~V7P5d*_-~r-cM|=r8CE$HEVru68v5u^#o|AE)FQ68gZs z#9zz$(0!64s%YE7_pQ&h10#(i9j=T|LQZV*nJHYm-cZ%ECWRBhzIbZiR3Ll`TmSZ_ ztuBao2=M}YqwYVGROZOaJn5&A))LoQyN3(9i^x85sqm;c<}lKJ-nqyjZfmxTHCkQN z9 z?SI&qMBV_zxl6mQZxf);`VRTsnh9`>w4hKcU#+_B+&#}SXDI`AT-;Amkf1&?%e~8j=JN&Vs_Xemm0fGYM7r1MFoO>?z zKJC=oK<<1d8=I^sj7qrQ-=8@%ETB z=f0fWj{DT0h*rM;8Q14F$yQg{a>U`oxc^Z5)7H5+=e^M(dU=Dg8(pL?@vKZUVSLKg zp8G62asR}WWUlgKc6u0n*v>5^xR!d ztY$rCQckAGq{M4e2=-n0A_Ai2+PU(2BZPc!f?Tb>b9xIW-WpG<3Z zkl(-(F4$brI9ijK6m%n{!72(raII*|mwT=sSriAl)V{fBZ?rl{LIWw`9)4fe-_?{U z{*V`9^KvZ)O6D#unG!DA;GJTG`AVgg%%UZ>qx-~^`km6YeRD&Jz~a@_+TS(JaL^iY zh%EYz8@P5{v?IOts?X%G)kMmiFj>DtzhHzJU}zH?7bZAl|L^XsjjG(d zrV(0Y<>wfm4P3Ot0t8+V)cSfq}zWE&R z^6v&wZ(I7B;Ki@bUn53WHk{u#dRJ|SVmJ*&7k#I*>*FjUs&3r7%{7yBMdEiTpG!;J z7jRh56~dlWk_@B)MAh5v_-(Li_$atdf^J%%J4|rZx&!PcatJi4=0T7Lab#!f?QV9w z)yH%j17gb+@Q!`a(p@JwX)axc4rhTqTh$Po!V|^~AGNJ|a@;U;TqNW3$!?+YQ)yyJO3 z=}k@5u6J*%=_BU%U}3Ac7Se%_b2D75WC|a240QC6wCCG8ckes{2c-ukMqM#eiY)Q#&x8?r!h~nqt8}z2+_TB)HOjzRDLOYC9wXI-NLJTl1&i}k3pXr;9 zOGmaB3h?ouW$J^*dw~(t6~$#BH{`>O}}NoBe>g z%)M_0_M3eU(tgB6n;X@Gf~mmm+k4YiQjY)MMwQRfzuTXSojN+}shXHvWAU{Q+^nT+5%t~}5te@N zD6<2X`z#P{A^?a0i)<8vV7cQ)QR14f&T&fe-G`P07 zA_Q`3HQLBC8s!-;pHY748G^v@H8T^Lm5iCW%SBwcBe}8)AM|F17wu~418NQ; zhyAFiA}+G17xLg#bpub1mcUYbn2zjd#$8yQi&YT8=lv#}Xu9JUTu_$55;6sxWb9X6 zwj{)WoEYB=Bw%=dUlC{rFY)$fG#0f|0)F$_`cUefv#=+zicm-dzA11g=*noWPRV0g zcx{a`Hxd-(HN2J2)dMq7={!%PP-xP!xNbH02QVAxW<|b;4QogLSO@y^W^7LIs~a79 z`NG8Lc5#gl>#A?{AFlA3(<{yv_*`=)&_bb9CfwPKm6Wvj>bP#zc%Kc4d#0y~Q-Hr? z;^=Dg$4bHhB&e{6q>nlUO;%3tw#d+%%|H%$6c?YESws_jtB1HAC98AK7PEp`!8zvY zXkBG$GURxIpVrL?TZ8kBF66mufrswOUhtWI*^IbCzj(toE~2TJcT9_?RUQl;L%%nV zl-m|!pc{>z>~cOR{-$`{@$lj2y*@GE>V{fYRfNdFtpIh+?*g|Q$5V^IvzO}sEHb~7J+_4s|O1+@TYKJt_r@&6s6eVW(di9AL9PKjp+RGi~w7+vsoU0cwaaPZfFG5cpvbr;JQt`x2b$%02 zejstVXcbx!Pkg1eU#M3vF1H;M?xGdy0?>Hv#^uk%OBc^z?P`gI|7Aa0X$y=?my#di zLF=omLNR)hv(yiZO3tcuR)|nITTPuNM{`Vz9dI;Xhmxg;g|0?M=C=I@2V+fW5(Ka~C&#+dV0oP}s6>R#O zuJgOWVCLBL4GDp1!fE!6HiqQr#i+(^Vzo(NwJ8O&n<1_Pd*!x7`c|Tr8^3azVOcLu zBNBUCH&C< zyW~LDRC%XbEwYje@+odMys6N!rjNAylTY5Fvt=h=JoQ9GRfp$emHv>{{exxySsg9_ zW7NT*Z@ZIu=RbTyfk-g^QBAFtfoZmR1$jcDK5?yWy--sA$Up5MAt*T$YfIpV3zVK= z8w(i+D9zm{LR2Kt>cowTM4A2;}nJ z{H@vPo1!AabEP3%Pz5DYSK;-CRNl`BlX;UTk5V8s0ncif81PfIMw7QyWsTtE7$Tq8 zom3rK@Y;5>K?s0%i-H`pDmLx1-gf`=TYUfT>BUw9@rHzG<3?TsC&kV;kz7*oE;7UKP#a2U#F zo#8lZP>R@fmpA4Q-!Q)*X<)6KwGPyGLAGmL0uT(;8tE#~l_9x5K&gcf428Kgu(&cL zKR{60nr5!_T=&W}FOLZB&VeoA?&+BJ_vWWNpiNbG=3UBK1^*!_ZbmJ%W27d5&G*^t zcv_Z02#J640w~Q#6N2QMUheMXbmM|_RiYJz9}!cEt_)CPtfySuRNVBN%*SCjqhQdQ zPl(7HwxVstgz|cu`ob~5C;#CbC{{pgcedMrDxHp+#+tLFfPtF=vzPB?Ja)$VMHJRH zzyN>Thw4y)g!D}`$KcZn#CdBFEjR+)HM~Y{5}4MlR>FdDW7kOmiim)z7yz{vegfPrFMr>4XvyGEo zidE)xPhRLexfxv6qz%SCH4oqKgt%~2B6?{M4p$GbNUm0LJ@4)hq_XPurl>5Gr8Fqr z&)ZzRcm?MOvW_1Zt_unfL+%bJ$}b^a9-7QcX_n<(@(GukY(|3b{)W`l)X6c|Xwca` z@7p8-v6MH{-+|0P?s&FODX5iYuqsO(T2AcpJ$!cx^65Yq{KU-=IC9vk?7|~e{>3#p z{A16+_~6$4rE^vxjBegQ{yB+XPt0;aqMJ(u03Z9(VF!ryC;*t|M>;;k(H~#GZ!YKL zc@SYJ={y?A>j9;cj$hv>=h^u>p@yVqD9C5TrfDXSTM3Y)CDC#C@ci291g@}85)ZG1l6E6S2{VrnS5G* z(E#7sv%wH54fQ`4&&mDX{My?DhHk)aekdeAAv`nN&1;VK+C!D}wkxL_zH@us;NRK7bFtN&-BiGtB{JND1J{l)`@! z+Yll3xuy&}F%ReF6I^+~c@Hw-M{6H#sBYykel6=Z^zymU=C{BGz>$3buF}a-kvJ)k zpAk@!;}2=3ALfmv$E1Y_rI}$b| zRUHN2ix0WO$6cxK|LkgW|HEUEvwbYJ5X3%!CA$`c71tl6g%Z`2d~Q;jr6|&#_+TUS zTL*}rl7Y^s0jJSDjMi+EEyk*09UmStyOSS?E`I3*(ezOK!86~C$%J*p2N7RoVY=j- z4$n|KT5{P6B=+EzJm&DR>hB@=@*EODevBGemP12 zDvC2t2K|-h!*Ao71s<>2;ClhUuo3H(HiDf|mY|8pliKGz*6eL_c19gq2rmDr>^B`T zT-7F>P;!mL{CLm=hJcEV;hM`9AY*t%Y8qzx3~Y2jVfl>VC%PMS1DiJY-VS8i9#9FV zgV;1?+qpK}l&r~iv!zsNV~s%|DEaN3+gVY`uk@)Q2BbWyS>>=PjL1322oV_bpAOWj zb4!8#SYQLVuE7ATgYr!!^d9zy;^L{sb@-w|wRehmzF@mgK-#7-LiBawjx|AcWmyKuIP1NyEH-u_AXWwHt1!{q`yip9WwC5va+5ZEF=AFHp!9gtPk}qi6SpzIX z`4SnzZ5F`ts)KUK0+FL#41PpR(l*Nc3D5LnTMlQ$_q7)Wf(07e{Jk`A+X5IFkPkejgMGsiN}Io8@dt=YjG2kGzu!jggqW`s zuniV`p9H;TM^TR`tit-q0cQjjH|q#hfHYKfLbjj-XlT!L@Bb~T@s`y;wi^7v;!aZv za#5G_LktmIf62;X0p6PLE`0$1Ay!scuY&o7eu1z!FJ>1t34mD$jk6)u7LCPWaoolKx85V z^RcwWKtcb5(4)aw4X6Sw^d#UT3&`$jL)MB6|C8^5k6eCC1(+YO5$ptZz!dD2*Q7$b zvVuA}SjJHnRj$8i?r$DIIK}ALI4!DJ{VHJF<5yV0Rb*{oUIPP9RE)I;2uS#^12!eN7Zd96;Mj zF4&tPvG(1RCG{!xi^-GZ0hrNqre>6p4md{Vzck9z-IBI z!H*Nj-SNTN5Xoenz|!=8Md(dd|CVn5lTB0z?_C5+;qsS>)!o3b!2!j>fXq68UF?7A z;v&`~w|f1;|D{I460!jnSW9*bc}!Vg@l3)6qyjYb0`gJ%4U!ln(9=lpuuKLSM~J=& z5Z{&vU>R-R*8D~F*|z3816j7(lG%6nwga0-%u@e{aU3Sq04%fy*$G&Z&5hvv186yr zV+IhA1zB1wIf^C+im#LnEFPQ>_Ul;?uLKCNfK7{=0^r<;J_sARVD^bxq@IisfuaA0 zrvMA+ICN67k^O;DAXW0nj_ckNA6EYJX$r68E7-X<1(Lz+HE$qe>f|SIt@dyMBmi5d zXV`Okcn_EqHBAP30t_qyY!Jf-swoc=l*f184 z^aRkkWjTPQAWIE4Hvsz8yUq@5z2%Besci%#59F-wCNe#NRprckgQ?y1A=r~4*m))R z<0~=qWT0mN@R1HciI+gz&iaUc?07?mHKPc{m%Mg>^AIe?Mk1(53X%+41B)INmaSd;W_pRU=2WMD0M7o~)ffQ&kj&dci~-J$C+@+(q5;Qn!-0G> z3lKMnUg)L(n0+^q?9*HVv{OC?Fezp?qZKGbKY$`-3}8`hzIwRDE%0&{1hJfb4 z(AXO{LpH&J{GVO}8ucm-=r*u5STt@0#PR|&im*@nmPXve^phvk(YIJY&R9#xjRVYI zNnudH7Ga5(qQK^I$q+yjXbBIu#EYS~gyYFOa^fHjNRFz8#`wQC9)G8 zwuE$r4zwEpa6^Kc1klw)!~!@Vf<#pl(CuJ2^PCqDFI$js%_T6~5SVRX6(1f&drSf^ zQy}G>4uNW$4ps=MIs;Q5Mde)Q(V$oZRhhJ|0lTPUE&&89v4XgY4Ms&ka~;!c0o+DM zH<55xcwjm}^3_;98Gvmc3W#Rk0&Ed62AXOK0R6nHG|VwoULm?^odn><3+Rm(ge^@D z>Of)S0iJYy+XwhW!|MeGxkx&{jom)^797gk2r6CSL_$J= zm1$ETcKYpL5h#`oRK@1Qx-zr;y!eFPpYIqyKWLsj4RrI+S9`hZka zsz(Es6?7-XOK#YALqwO|yq=cy-=aw2z(440zcl6l8y$pH4lXsC>5%(C^L32OEzMtI z1Wlgy-!i)OpgE?%I`swe4+@oj@Yt@ppa zcNqK^guVY4qY8e8zWY%4UwbFeyipIdM~8-v>!mC$X*E^{e3my@0$ajZi7xmCND+Ae zz6Fvopv;e@G?D)jH^9XO2-Jrt2F6I6fbKB%JJfi^gG-;@!71R=csp1eoe1tWzz;P` z2>WlT(ChzU7sRDQepLHQAYAJ9m%Teib_m~p(w^TcdZdW5~-=6wy@XKC+?S+H; zE)RgHWcv3*qS?E*L88fL5I-MtkN({*YtOjR#8KyA5P$!r?%S7p<3IB5-p&LCVL^Tl zaK@oQ40ro&5O*Nzi9wU5_P*&Ix!3k-M7a0U-{VJ)9GUq98tHwU+xqwGdq2wBFYmlb zJ6aPPi$2_vk_TP4+o;E;p!-IIn(DvZykjE-ZeO3F8+)-oZtuBU)X#NyOa7F1Qz7bY zw^dw5fBo_bL=C=K_-fzu^EtRlZ7(su5$(f`El+H>GZzjP0k5Bp;AMnwJL+%uo<4=2 z(ZTH+QFgYA=`{#^5jU*1AsyDBGn z{eeeZ*}rz<#cywboWA7f-)<6LnwIEaNo0O(#56osKZv$BI<(#Hr?v^?iWGv>K;J$n z1>ovK`K?`V@>2HYyd9i6RJ2gpZ5tH3_YH_>XnT^3>gyFo**6~C>kyY^w_UNr_p5Dz zx=IXzGG@++|%JC}FWPI%1WX4aljQD@$9{Jv1mU|gNvGV=JU@vbbi(>#$-AgxPLZ5P za92f#7ow?r0(Hvt&P@DQ=kkk)tefFbGBK5ALkfY@?if z4JLQQ6J1dHv`n63Z@#{lA-eB_BF@b3M2SHEh7^T&Scv=5|99RI_x_Q(vR8$S$H#Q} zr_^n|`D?Q;jJztZq|LU8QxIBIQMGvFdtt|KEk!SJFl}oCbBtMUQE!|T2CrVDt6@v^ zZVB}#1WwrJASU<)TwMZ2nJ0u**NKe+( zyJDTb^kVBWDXj)Cp!N)o{2dEtAl6oz72`$x7z!O%g*izEF1EWiN_~KRrJ? z6Fpg}V;#>e(kz7`<|VY@cZLpG26#alUJ%R>WCk=nBxJxCWFOVtq>?~#Ey z8);ieGg1}NQ#~6bXEaI1jXA5ve9j-{s48rpojJ-LIq5BGzz(it`R35jNPX`K3kfb4 zF~4JWh*K6w#V=y@IR;f+UL(7pf<4(1FXYlFZET!+K45_j4OB{%Bh^c#fNjRZ3E&7Z zab3<@zA<7x-%cBaF<{665zDY~z81k~(=cOxG!BKa3n0{X`P!hUmVUc>2Lddu@qB}x z2jv!NF1UIh_v0cEQCn6G*au%$Z8=H8`U!tGv#e}T*7sxve8|Vt!?QU>LXK&@I?)2_ z(yfj(WA`xyxClSjh=&emN7I?+3S&wsZ&Ia~7l6P_U?#3#zp&ud@2RzRCMFs^FUB;$ z@`E+YB(hpxG+TtTVD~62bwqFx&SGoX_Z9Wr&%PB_KcapUZKzCz#UDu;z^~6WpQ5W>T;^(;x|P!TlWQ8 zhfvmOAYR3gU<{30Df#ukmidL{k=orj zKEDlT9m{2VdwGi-^{L;?1sDN^BQEjn!&#@%LULuDk2a^);`eikbvULw$;bh?$WS)t zgpuiXfTiw-rmp_2RN_7lHgi5T{7F((XX9#K0x{q7`3r&H`u?bY4zRW}+lp zu-*Cb1(+;HeX;*!?Hrkh{>#djwFM@Ran3=!)m(+XoxE2_y){FYZ`SMM;3nFtZ3Q7N zci=oyOGMG6zw`a^AB~16KHFD+q*UynK}Cv~hV->;9aCSN#u@8@lRsBVMk1*$6e<1r zL4rdnZwu`V8ei*csi|7}peQyKv=YHgxKKJYsQ5`nh9w*4z$(_@k^H?h1XM!{KMf0V zxMq#IrUkod1+2fS-0%^vs(J#3WI50`sJJUREjX_J7EC4AcStP?m>d~m4i*NZU#Ha) zhZcq}{z;z04!~MG@{ge>H2HSEjt=K6iIkIn%Z05TU;Z#HV$=AnJZ}wxlys_FOQNu} zu}z^fE%K+7gZ-FJU0Lytm;c0#k0E{3R(_vEY)QS8iS@d``pbESxzEIS|3|m z?6+v8C2!&@h3O|!m^5aTTMq&(4{hd8;gR2Jrqd_!udo9eBmZt=dls`~rBjQI^^d*h zcTyZ~8R#dUu_jLtl{|%kW&eOU3W95a7oEA#5l6*k;i;pk?)hGH_z_A(XtfT>2%OzJ43oK0ginAkA`sDM+jh zv3mEWgM@3#be7wyJN8)Q5uAYOAxj^7`v^#V37V?vMT|PwqAGqYfkjVE_L*b`#gZsu zjBeaah)zN8MExW&yZaVuU~it|EVh`Vvm^d~$YTBsYjg%1O^>EgVjVgsPL7Pa)cPA4 zqWnb~uX%W4*orPvu8l>YttR$6X8Me>wPs$ya?1Ya2E=;dte{-*=v;&MZIf$5b%VB5 z{MpOT-Frqh33l#{)L!KMS~iB$b>EFE-~CexpNZ}2&aCQ2_^!$ox%jPlpvS={CT-MK zw-RNYvogzmWR?vqG%!SXNA?2&WsVSJd`@$EkHQz>2~(vF>+^ikW;4$jwUpB(+JqRh z=Ly>I2U+YZYEFtgj~Z_0?4%WrJTMM>DT4KZ|o(q50g(G8fKq(v&ewrq}|iSS7^ z^H$Z$f%DdL^sDu{=gG0gXNxiQbRsWQ5guZ=8f}$5e}FS#z`LL#1-lA3j+IX8$8R92 zP)ZyIdO^GIY)yopQJN>PPDv~125b9Sx~tJFuity7%v(X>%C)sEE(`V=K{ex6@-g)_ z9+F7%Z8X01s!vPT>|rCL`Yn~(L4S%drJS6gPYG3H7}8++tP z{TtUatIo)qOEN5zV^-D+cNYo#1jobPaCW|sJU2Tz4|Ixvybjl0633l5!Hi>3ILVtq z+lrYeQ!*tsWWp;}NmkWp4Ea_hejCZ6@L%P@bANeU7r#ULE zo4ib0%g1zKcBNgZtSYX;+#lfulDND`sEbA>Ji%7)^JWK7D!siE3ED`3;J6pBj1d&+8q6LdI)TB-}b zE%^FAuR0}sGPld3mH)&*sMf1$R>}I7((G=|KPzIeH_g>)ou`aCs2(H-GB24Ujqn2T ziehWYs5yMXIFO)oN`J4eV=%rv_&_0MeZdOGq|ZehYd%TKw$ifxf7o)z1k91v7Pp%uMCE{X;>pyK_p9kjWqL7g*{rWC~p^ z-X&D@tqm!BvS_09HtqWA3ECY2rfXBaRG%fU_1$ji9u5I_A&XT7W8o9nc?Jr}MiPh5Vv_q=T( z{>uHu_yu=?)I&EiIhOp63Xdk;E-JgVWApm0^C&xU#1mv<^~|l1nYmDT-sdCec~G`u z@KV_II1lgEGOz75^UP}9rl+>2VrMX0cC)O1E&Bw2C>Z(*PmBnd4K+9H%l1BEc(6?I z%5Z`H^Kh9L!m_%_a`=3n6Xh!3qfO-<^#DofpK6^^SGb*sORQH{>e`jFS^j&^Cu_sM zwI9aWNamVX?-5s6eoxu7eeH=v&l_%Ll%^Fr*Y97eYWN%WWX4+`k@=$gtK+X_HlAq# z_AA>`z4CVzw6WE+=3J5k`5PSdpXphgBd0P8gkSrmM<-e^H#h7v`RLx@=YMt`56^j2 zsU0uarz$Q%=lBcJ;gek0PZNjp0dT~tMUOOupVH)Aoj!XVjGb^q%!t?UHWq-5rXY26 z)T&Zy{*LLp#f&Ksqa&5&#e@)7jm1;jN-zKQ6FrlSXU}`7BJ!qekR|Irl3hX?9>;#T zQ^M@ZMzl^yvP4UxHKEr2Q*G+^x&l4J4RQG?k#;r zpjt%#TBeYu?>rUoH2Alw$_va7Klg`I(AzaP+rrUhpEC;B)}uv5i3fgb^9jK{uN#hE zKbv}t^M|nBW!P8zQ$94$JR}iz9yTuV%G|{c`*JYjP}h0>uVoJJd0Hv6Rf%2<48_~b ztLCE)eMjOvTxbiYh}#}(c(Oiu58XBKp&AFQR=IbdNfyQh-qgEaa4w-J)gksdj?l`D zCFW@NFbYdOVY}c{`Z@kMN2Gkch*3noQ*`5Bor7O2x=Nm)H`ZMk{&wc!=wub?t83zuf+#Q}q+a8Q&Q{o5lGNLf2nAC1)f~ZfAo=#tpF{&2-J}+CIodV&K@w z$O*R{^UkHzgS{;t^@kQwqIG(v zUI`sK^~NbHEXC>O8Shf>k9cUBPu-2Em>xn38+dGF9v}~&NSjlT&qsg!GgL!uQaVZ!jzjufR z1kpJsp?F=|$n#86Jvw_TH8vsYgw|4e4Q^Lo3X)`&hCOwq=e zr=LMl#AEnc%2E9rWT~5$XO~78;5{badTR&9XtHF2;sd;fDI}l*z5OwTGo} zDZFka-p2Nnydt^J1o@NS5wmRmn8yg-)7VaKeaEQ9B17SJ?XE?AlRa!)@-M4Qa(Pi- z+unW-O%&<(=C>&xZHM#UQL4p@NMRVx)WCo@Zn3ta>>=OryIqk`E7CaWGgCP8yP);! zX>7p2RzsU}SHq=xz3wZEJM2vQ8`hS~%YtOJE$bfmUT*PggFpO+o8V3uyFI33``ZkZ zR%!Xqld}xNrdcHnxxun;*IO}F3y4Ug#E(gzB+8Ivl4K^P|L%-lEYraUicg)0Am^2zPUZAlNm_KIY1~ z#kFk&66WJ1!L$$4;lSv^%+8Dj%MMo(w?txwQEy?>T}-ztgFoWQOoE z?5s&;vtt&V+hX+z3Iiz@RmZs6>lm-bI~Pum;%gke2AKSr7&mahwO4l@l@UW*Ib9o2 z0XhEaW>E^nvHRPk`d;2;Ij4~r=^&_2U&Hxy(5VpxD$x7v#`_{^ru&Y~>o5n3EqjUMgzEt~c`X~J?Q~Wr5$|bjJ*oI({eL9}c3M_*2TKe^_W~-l2^Z2en|3I)! z_qAIo+}Wl!X0}-6w%&4< zn3jLT{jO;%lX-2c)(YQ-SK$?jXT$2-fX6R{2Z$)Bkt%NWb@iR9nWmL{|89!uF@<>P9i z>fUd-QT-#6U)i{|?%J$WT9DC9SB3%1YAde4US@l>S6bBL;E;jHPhz_{+I)ny{4@@& zoIKvPa=A4kFql_a?Vg`Uw8mQMJCV*Fi(ldI9ZhKpsb4dZoXJfERm|izWskj>A>~ifWs90s`Q~e+Mb6Bwv35A!}>r!H`;zsKJJncqjq7;a#cC05gXjmVCSQb zkf7oY-0gL8LUE$j^!&qWsU7f#FW-<*X&6n9L*;MOVf-EezsSn3e0*p@H(e7hvgJxz zwj1}!C2Cf2KTASWzUC;)wo<5JdRo4LdA}jBneFR0rc?iYj?dmT86s4AyIW{Js=F`$ zMRupu;mdbdBrf6%jn{dpKFhCXeA(TM-MO;7d7?Ra?^iFafPlErQA}(q?>qxJSU(Xv zp}U@iz`KV6RYM|a6FF>Spc%+%Wvx!VJn5I?X4hVx%7WFRXfTSJ$a1+^K#&?sKwEtT}Y< zaC++!efa)mxr@Kyyb(Rtt&mwwn?v0suOv25(!coke<<)yTDyfHUU$9z)+2vM-uJLW zstTj*hS_7tv#4Lo?(en5{S=e9DtWRdgDf+iJ2pvrxo{m8i9hjFryBLe-^`**mGV==ur{y;sy7oH*ZsEGDsA1Ek?bB$sG&I)O{{Yn=e4Y!XmQI@yLUf!Vh$5` zLBzhp3;J#77WVS4iHldn)%ZACa2PDM)E5WGA#=^T{HzA{ClZEXKOSdh$Cl1yN9Vv4 z8BwOD(jb$#bWHu@@(nlYn1zGi!r%4hv99CZ>t}?%g!LD9+{;UU(LD?tDC@fXVB3v$ z;ek&fP?Y9glHsSm>oEqadTYgLA-o~W=!k7XNIr87f6YGk{GU@>xu^ter8sKqRPqxes#It5&n^WBVG&rgy&OD*a753a(Y_+kq(mLyoHTt`$kfUk;wVD=FTsQTAICl z+e&fklSxv<7usg=VbLxH@NM)Y*WMSIhT#`=st2cBlAMix?~Qj~{i@)(nR&(7X4~_J zjhNde<%Mn&4h0WSlW_a;<|{@{t?oA1E;QG%cgk>*|JYSH;gW?vH8sK?Z{N)^6e$^N z$hYEAmaNk=!zM-abk8S>%7W90myKDEOmkE^1Thtw$8@%+8j*9QH%bN3^ot5d1f@ZF zKy9|=qo#IT|Ne&cJVfknBMKj5;bxW?bndTJxan*s_CZT82b`z9N^VWh2#qmWz|Mb) z*d=Jp5;+cKb2BZ*qiFh9q7$`cdM%KeHT`dsn}tF=L_Fy zwXv=6jYU(*m^?rDMDhzOS>=}AEJIdc{_E3zvK&IW^LO90k-a_&M}uop!olztv?wEt z%Sec9W?L5yepMUc8#Hzv%w5nyl_{va7zXsY|FdrO)#=m3XY%-y^j9X~!0YsL$lUEw-~Mi!DUsdUkS2 z_KLrdWBBJSpEjkuSJ%_i)NCAIo0q>Q!_hwGXnrnggtceeXJ0nIZ)I!ta(mBL_NrAz zgF_EfEi4~5tGt@G@KYmfxvX(moMl3Ga%|Y!+bQ1Vus+7%rh2E}ux?L6ZC(A1=Z=Xp ze{774bn{6-Wn^#i!r?7Z!S+&z#B+v@C`nIGk826??E8NL^55?$2v4@m^pH;-7h|3gQ!yWjR`!~-L9@!Uqp(z;g!P%%&OPeZmeKZ@e|mV^9wuAzTxy!7^>!XPy&C1z)#8Su%Tv-{vQ&u z^dI-ZZ{i}PAEiN6R=k|~$6maR&u)!O;QVNRC?#S~@Myy~%Kh0>OF^>6z&20PWJ z?k(oFq@0c|XB|2@AP!+7bKq7wA2bp=ZM%ogRKv72Le%#LA?8+Op)wlaU#8CpCt#>ppw$)~-jY7WR&q9byjGd5`A) z({l)k9k8##IvrLvEul=-@JZ$&&L&k?Pzpy*XbwQi$8F0Na{OHQ_CK# zr&v&(HhLLP!lb4pvRb^eDA92)PbrJ4`Usc?wm5CiYTrjJ1aW3Ip;G;aHj}lhk9PNA zin+Mn;@PPQ>^G&9bM)|m<8s8!+`t@|eGyr-$_B{lOyDc=%`b(8s}_*44Gzr2Y*Jrb zIb9_8(V{nAQ`cH)5nk2yw>>lHj>gbP$YoO-CY7+ynvQ(Z zMoFRS423B_iAy~&zip$b6>ur??WN|EAzohLqpj`vzm^R-Nkbp0A1fXmQsZdG7MmKx zpE6zFGjKhE%^TUGV{uP{3-hAiJo%VDth~OhdtzdS9);|0F`g)6`lwIc(cv{*&H?AG zqVb7UwzLhlhpz~5}4dPUH|8Wzvo`rdrHsi z!t}mLjhOOaAB+81Pg1sEUA7HgsbB)2+hR zX|JbIs|)ueiC@IUk7%y>ZF}&K$q=QgD{v`?n58|m--Tis{Ar=Qex>z6f>`{JZlDo` zCD(}&1Pznx^26JW8wLS=M6U`{JBy8&0DzdJC-0g!RkfsfW83S@KMDA;C?38CWysl z(sjvEK3B9nwdLonY+|3feX-1AeR9d$(9(uPXLuFsiPmAQ5{prDN@K|L$<;=izq_%w zmARp=mUMsU-qb!@Y4wlEGBI(_Dz??G&7WTC&zs@hbIakLb?)kN>a>I+<8&*e4wkor=&X*SE8&>>U)*xMDxSL~-|GVv?=fBf6y0E~VpEY)# zn4g*3&K`W6Si1R|)j5Xh2DXl{-rRb7kQ3Wb$BNaTnqx!f4-MTu>tW_zcfT%@<|B&M z@f;UYo$Ai4ES3~J9vA8RjSxc?Q*E)hU+)R^*qq}Bl}=nL>jy7bI5e1GcAi+ zAFv|J&0fkZ{k#=kGyB?zZSml`{+7hO<3B|)1y%zmez^D^Cab~JDp%PmnQ{2LOEemM z@Z5o&`v^3qAzX#oqI%dcus@LVb0bQ%KeO6bjG~|nTigDeJ<`YNBAstOl~TkKAxBu) zYr2{8*0HTCyS?)Zx?`>6n|vNHvl2Am^Yh*5FOh4s_(udyy=5YX0V6HDoK18 zx@-~lkcCWd@yYf)m2<~CkvNp0RdL^13%K^7Rm+XtbiN>RFrik7$~_T27t@xbo{oDt zex&<5?s-xCSca$jfAN?>Sm!M7bh&7%5`@lU_LRo2rk9O;T!Yvwyw!~K{QRiF>W3F) zJNaDkT(t79We-{qH}GCg!noa7_g~9=zbg~E$&LaPmaimxi5>ooN<91I{(JuNuLSY~ zQ-t9JE~3QvqHtRKyPnr)4^j5(;D=l5wWj|MN9W?t^#1tq6523!ah7k%5>x1d}bJ7oZ~n1IBX=q@O=ACKhfNS zY&3>UHlh7~b$QDB=?~ic3ZHf|56$~nYg86gp`!EpQX@QZe_cu2e;&)4_NJddc4S`H z(;(E`ThJ1H)bqI(X6heyjK{GaCzH>GtenzSpYTd_cG!DBLX}=veq>}_<((gi#PuqT zBrARPZ}7j>4v;;0si%+B`(E(w9(cwZe>3dqI$<*7NZlE_yb}YcX)Rle3b96W$ z`X^n5RG7V{`;e5cS!=XMNFs>MFkP5Xg(!!;uI13-SUAw;lI_(4W5f585sVv(K+PVM zy%w+T&kn+K%qcUdI{6JFJ}r)uOtei_s`u-9&zSiHa!I8c)KxF56kS#DyeN|{#g2LlBJlt_asd#H>teLN0OWLIi;wBk<%uQ>qET{cF&%WP~q_XK@OI0!h~-I zjW9^Fw6rYgr8VkfSkEx#gD*WnM{UI;VZ-HdV!xDZwMX*HEC(xZzNVA;Q&Z1*7imZc z?uD$74m457V$=656l^QdLjoMki6x#&QC@BN0p71_pxEZKkoBYHMbbZmT%gt*e>0)u zodSlst7*~mr@GgB96pBq0?Ey~HzwB)=|@~OQ9c}4z3+f9ApJj-!yTat*i=+&JID|CkIuoLbp**c4elYH;X~Da^`cQv;M#sIA(ex@ z2i+8A_8>gze)amRG)+-i56J_-jrL#A-0(iJ+=?$1otMQ7A);aZkX~D#lQqMW&E>x1 z)Yt4J9ren0nWlfEm?0aO*-7Sc7c2?QK*5(SbCoX)O}?PUN!QrPITsPFpL&k9TkL-i zf*`EyUgf$|C0vsab($al?7&r;*@(aGB)MNTr)x%Md+axl{*J#sk-;3;MKnMf z<-df4!nNffpJcl5RtCE!CPtiKQwGcs;cCOJmZS2yaM3-2dW_j4fB;S?&&BstV(C5}f zDi*`4g>rjj@2}u~0ca^ofZi@8$vQlLVy|=|$}aJjlH!)8f4PfFnMXwcQtWTuuloky zEX;e@H$j^aX=IG-@t${`zFc4yo2z$JD}Ml6vmR-r(SnsByN7`bt(bGt{$~NY&RnI; z#IzaS9gKONrnjIZxA#r!3AgyDFXm(fh607`0eIb+FZ%#02`NCP5}gtr+w5toHq0PGcjV@4M7?>JlCKx*XQ&3#-*ZX=|@r#f4%)whDfO2-9+|JU-fS-^cOU8)hsMN@- z>JKX(Y9Ab-jPP6$SVMNC{_%XKG%q%xnWzP5~lUAUKD5g?DE6_G z4X&R-&->b}u`i2U@UKb_a3HyL!Z;|T8?t8RsaEg{X22hd!rVtK@qCAEf2zz4Rp5gj z1mA195fmoYotqv|-T4V%5T1U7f+uq%FB>+hO0{EMFMK9`W*c*tq^m3gz4{-V9kOVZZ?E4^N<$$EUWxgmwxYUN*M6qQQruu7AV>MQ2@zZY)0X_$)~Wf!CX?F+caretCC}$LKni^gCFtuJdG%y{}omFyXm%gFcmGEP(J8h{@WaQjR*mRY- zxL^7<-SC3X8~4r+xMztj>gL@GwX_Se!>LVIna%EWSQ?C2f>|UFQl=-fXgi{-A;<@B z)daur4|bE1{qu<6=q^bLdGqnOLx3cGKO$ns8EfF*lWGy6GB0APTH@+hA7Q%f7SD#O zagBk*{shaa0g;FeItvYCN)+s#zAqS@+EOCYe30a^5&81ytla73eQQA#+&a+Wi3b(TmCeiFSQmF^`C#+@gW}iWVI!p{VwQjJ#7A+k zZaF%x&WwmSudx)HEE}1HckGthVP7ck&yH7_JJaA(=N|V1H4}=ke|D4xwr!04-fZQA z4#U?yEvP#5A3f?^X@FG#g-X3({v-_w`y^fB+8^+Y?yV#pQ2Je&bovmXyU7FTP@=(&&hR0_pIb0AK?|5Bq|AFS+4D#e%C!}dp#+enKm6)LoRA7#!0x^Dp!A6BxjOdW;D z*WO4%ytul^)IEakGsLL#Fb2u3u{Mo^PUJ9wN|qJ}2;etNf#P}oly(#vxXxxiIjyOx zBMx>1=KB7?m|lacPNE*g^CT`#Vr>BQ0t8MVBK=6`OAxcZ?I9gZ?5 zjk@Z5d&_XWz{ez59H-C?RB%t_de$DXb47}Zx0y{>4x?U zPI@j2zyuZB80Q*MRn1|C9?+FPj+ zQ!qR_rueiQggsI$ba4NXoSp^j>_v7D)XdCLC;#lQs0NU*9S%-s){;yL5`rt~uenlm zGDj4VN~)7LZt^nP+jri3C9B>YyuTZ?a4r9kB&k8P@%8cSY#~ zXHxL1B#&wxuJpEi0oR&|WnNb$hiD@xm}%C(H7G#GePw~hR=F`YshkLJs_VBkf^v)9m{K=Jr6 z4~|ASN3lXk&QsUN_YLm+&VJd4#2}SW^8Gifq#PmVrNQosop*ngK@s`tk%tk~CwHDe zvitX|r}QEsBU%qKg&)BBCNfTlq6qe$<#zo|!8K)9)a&nz#ZLZ;SM@v0;ssZRkGGlm zXL@4i(U_YDs3GFXnEy^g5c$*n%sv_nP-UNXC#NH&Utm2j3xWOyGCR;+ zMw61veCOb;tcQ$PAT_Q>)fIeynO!R+_mprXjuC7RFu06^tCp#pAGRD!E#F7o=!G)f z*k~}eBCr1<)x{KXYLz>1^IcknR zk~c8F3#SC&!CfKYw5(FIf+Bns_(t)&N*ngs zhoG$$!uhXDXRQD7Bmn846WZl-*fn*Pw-Njv{W8dbKN3$r{JbH zZaV@piB;?ijJY`Jem>o)-%#VID8?h>RDzh`)ETFLX{-O_n8pOOA;@!yAt2=Js)_>I zF8~9)J2CuI#=rzI@SJ*oPs8X=y-ih9J1qdB%E*dwaC1&oxYpkoY$Dwm3<6W0ILwhS zNHlIvP3|nK@e`maAP^~88k`wB8+)P^4jYkpOFSPKk31~VbulHSK*bDAF8G_$Bsq%eG_Drg3!FDU25X zDjJ|_ZMiT>wjC3k79|hdnA2iDshY*PdKYg5oW6D#_rxVZ{foTb6~Q^5yA8j< z=McwtIST_cc|)Wsb7D=8H4680pm3F3gti$s?86XM zxI;$HW6JdOvO@7Vj+38d{44z9vbTB{myQUYg9LNCG$!NSXeHnj_CP^*=r%-D9;C?rZK?+ykXbUI~W;@j~*fpYRs3r=Qs$o_^#O6=Epv)Bo~gClz?LZ;}it9eb`qS83I zq}-)i9#AB7e?%P+UUuogQBOwxoM$@dt1VLjjV*JKrbpM3P;^j$h#IM{E_&X3c=RQl zh+OsxBE3>LaIjz>^%v%KS!S6IR5`ci?atFg?gVwXNVnzHsil`vjIx)#_VOW`%zGPw zVUOoaK)Y{Ov^ogI34Y^))}^I5g`=rw-KuV_pasNq>kWOCD|a{vE@A{h zikJhq4Ju@@hyK|Og<_C5jamcwylDKN9S4z%ZerrbBOa9pwzAQ z6wJ~mxx}&s&#}l;DofE5u#j4M=q^|Z%u{JL@xu|6uelfMX zu={f6G^I))PxJ}>fhan!N`r}8efPok!^b6SZhXNSP zz-J9l35u>!wJm&6(HIwY#r*`?%${nV96|_t0I{wu%G^vc;sr-L`u2Hkl(d0%P6ZAUU!GRLc9F9)nIC;v@|iC!Ysz3Xy= zl|=X;xC;1T#Yk2T*hT`s_R!o@%Ki<|y2*KY8?gY`{&3h5E@yJQ^ZD;jKo_ zSCrXEWAH-x){ro2vXgFs90;V(U|i(;EE{+bV$PitX42h?I@cMdo=kO{@1}PKMxMLb z+EW3sl~6X(G|Ls zy_~z|#gwYgQS=z5jEVjZ8-U?{598>B#3sHj?G7t+%ujC||1gUk_pVoX)pyvY*Q2Dg zLpS-zN9L8~);?hH`SBO>Bb4@G<@j2&r%BFw`#i_y&v`IcSb&RnQxEn5m0e9wWt!dL zw+bTmnJu(lqj`ZWz+F&d&G4AS&Z~5p&$so#_kbbieg6KY1#*#`Tdr4oCy?++xBdlT zZ}9ZE0wRkq)4$May^4Jx7Y`IoN1|EmD9jqj5ULE*+Qj;XM36nQ1jrN`67sd zPvN7xn3R0iQKR6@tau}*NbarI^Tjv8wtz1RxvjV2`*uAsR5)s%6-w8Kph!s4K+D2PNLh?%m1_OVmS9Fn;}+_6Uf4F^ zrA$|=HQ!91Xu>y4Ku7SlFptG&3dW67p-RKDqRZaJcjZ&#EUIvN^%ilt7;e|t4B9~x zU)~agzsH|HSX=Z8vN#MWc=`h|`_O$#r&3Z;auA1LhFSG@l=WZ?eJ!#?m!`-CPnQlq zD7Z-deR3Z94*hlD(UAhLsd5Y_kWT_fP|nD7POdHg=lT$)xk{0p6TDy{wI&Bx%?Njdl;u+ zK;VxZn0~qX%w6xl7}D_kq}NRc2eR_-m47ht^{A&>3#x=(q8WgS$Tqkl)z^o{LAUch z`wO+61++(8H+YgaFRPin99rN-SYv)nI?#dr!HXLpw%o1haVO_stN ziyu&aF6>l4T5h^#i6eU9kN0Tg(WShOiLjMW`GLGgffqMJ+>!=b9$jQwXhbs#fvVUl z%uA5K8mB}hHmC3-bg~#85PZTq;>{V_J5xhJe5*r!?}dS(k@EMjV^{c% zpE=|I?v97#{@D@nxgfSWrUs+CoKlx6@#iv%mVar|kEaR`ah(cu{2fc?jR}}ZMYruP z7o!TsP@?*BrDlos@mJ$kzlu(B{mQLJ-n#zcj7z_2YqmG(f?<;+u!k<=ZdrAAC9hbH z1C>QNQ0Ga_dv=y%Xs1G4^t+m$xta7iCHKR?n@0J@-^!CQQI|B9OM7kg#jhcz>BM&> z4_XiBzmr6x6p2QM3S-^^%QtZVN*ZzQ9!`!T??T2z#WD0i*SkP&v0ZZkwzcJK80LWTB7g8&^+?Sk-AGunZU z3PHn<1AT2P{j5p1RRj7;j{yKH$-To0RqAcR;_T?;;D>wdKvKwS<5XEv@z6zNR1M~* zmbW;_Ici9^Hac!8NevIRDue3@b#s`}X$@hdEr{U1$mDk)+1L?VydD(?97v_HQX}gD z!m+W^(5qO#l;uA=_-DZ$LE2C7*t;mcKWW`5OYlj7Ows$OS|rjtvI&v(dF;GlLF`22 zSsqE(9`3r5J1_DFinyrO9tqJP>y9$Y{}u`V<0Q3DR+QG;kG&6FGcH7VE{pYj8#iaq z6hK$Dt1vvz9u#(A-Kdku-%4nNKON0>J0TDpY$2b@D=L7RhsU&Jjc_mB`Pq}M{TNiA z>LLYU)pv$0sQMtNM!{M7Xc$KQqs3885zM@j4yulTRi3M-N8s$StJCrS09Nxk$gw1b z6JX50v9g-zppgANFX`Ts^M)tz^Fc_0Kwm(-;!5&psOR2jlv*l>hhdSJu0SR+{Jrb0 zg=vXe=$cnTz$YC{#`nOv;GitWbX;USQPBT#e0I>%wZ12BBaH7BJ67wRy5We+TrX;N z_B`aNrzW`~Y)h}jl&Z0jxSM8d%K7z!XfWhT6*}8F+v_M*#+Qa5m9}w7sWnKs1WFD@ zg^dIYri{E3t^J7@AMLLeDpC)*x%;yk)tN+8`1{dMlBWFKVM~ES=umm0GkM)8X1Ue~ zYJG?+uSXzM8IHO9;g(NLr2eazvQ*dzLoU6T7e zifjInZ|1{fSX*#=)@#+I<;Xb|(bJVVEp=h%AFz?1&WDmdwHhq;NP%PQAx)pM#|5R3 zc-v~vRM)bccbTY!?OvbIh0>?2&@X_(lc`?o29{$l)8AmqjbE`34K0`xE5tP!{Ejbl z+?-h&Qz38{_I-02<&z_gU|C=bW+2(1fHTta%w|>D9)k^=)Bq2h_gH0{aBT=JABM7} zbYf~fV1W`AhmVFd&wN}QIve&R15^oyw!03|_0-r5JvJe&c^v&ByGI?r%J)V==3A0^ zG6oo?n~{fK7rq=dqCy;8)GisCwCxkzh-Z>g=1$NR=P>oavDNJYB=5?}(+`Qwc^;>9DTrs~^y*oK$2LMnGD1b=L^Q^dxP|r08lhMc}HJjtt+Ou+m@3 zWqyQm@QIsiwZP4QhK}w!q;Jsjs z_fmMC!?_r-ZU9_5Lcx=Dc~T!v7#l1eD@jOE?sVme&-Jr|Z3urCqTX5dYmyG^qU8wv zrK?>7z?7EpjjDsHqH#lhD0#2ZIA)cexJpVTHoC36d`!uw2-Y!CW(rn-LysmK3j#~K z_0(TAq-OrrW)i%jyb!^-QM8FM$uS*{IfVk<9k=)Ez>0m?0pePn9Of-0ypoIT2kT!- zV;prvw?In00Hik0n{~wa1TEOGVNMKK%vyilyC7y6 zSgEzHq%?Bqb9mfGg{KipE_Id`;wFwAbLg^E*{b^R)@= z{FF6Pm)LRBD_6sGNG&2M0VRBn;5B<5y9q9|EC2rBX6^j}(>f-4`VFxRj#F*#6`yM8? z{I?rw`fqpMSTtoZT_l)xJdz*qb@%(=mZI_H`f3}80@9ra7I+ZmxFQ%su+n9@WgEK! z(+ah|-pcXRcH`a}QR%-f`BCn8X0P0iGd^2(%e8QM&BciWew-v>X85!fPm$0N23Wng zL=?Ib4R#HsK6>$+antj5)&*~w;S%@p2lpBcWJi~MR?Uj=y_%thZuhJ#S3B9#@R|LR z&$H;2$hZc^FD-yivi-5U=-*Y==Z_TdjGzAc0e(S=qJbaB!WHL4{Imz4xQ2e?`hnrW z54%^|jh?983Rf0jfnFZafoB3h6Q*mg_YKg3&tX4vbGpSZ`XfqQwBvh=T_@*&B~Oji z#Y9%!qdBy-!{|QP^|njp88YM7bvfP&XQo|?z6Ud+DFnRTuTbSZ%;F(wR#ttB?&*yf z6)3DXVP94*cN-KA!F=LRSzF~UfAb#q_V!jX0@%Z>eiA8E%SkS>KoR;k`qU;Z?7c+P zB4dh7GUV<%br7RMi-P`Ilt4>XMBdW~C{)(j+@-d1+ z#`4LA5pe!Y%M19(V^ww>?KrAftWY3()D9(D*v_1T9JtJ!=9x@y*A))tY_nCI=!_^( zYgw@+#>h>50#=0s;w)I4ux%Jy8NvORZHWYTjlTof-k=7m<@^gR>o0J``a);17Hwe)>QxEm~(D*SkJV`M9S{A=>WY-@ z1RXEU+Z$S{H*xt0mYoTQ$tBhYISJ5stme^@zSf4kEoH_1^o(Mk z-6{OLn-JT*>e=c8J=+m>3FlGol=Xgnpqou8nR6o$@tR5yx`iEC3h61+rw7F6* z1(8<5fA4$62c)gr8K|AC)vXDNyg_!#vAxe;_Dn)fcj~@z*+i_N*t6p3) zz-9BNVsct<;8XK~EfvSSIo;3xW|WVh{-I}13^=KOQSXadDG0))MppE`R@GhMQf1Aq zs;5U(Q#os>f!wr&Ou&D`VArCEk@;9XFa3b&SMM5s9?nqS!lA;M!&iOU@m_wZNjP@_ z^Z`(>FX34RRrI%|J7DwPl)Xsaf`Lg|iTItz$VDf{^INPFH!wg~SP#SB0`h z!F!B?kAp^Kn~QIBUdXwslj$A?HBST;mzQf2f3JYC+<;rykyt<9V8y;J5qv|J<>I;CsFI z3%ubp_pcw|&5Fx*rT(~yf1%Ok zqCo_^f#V=pY*B3FWFi)*v+~sRHHh5W(N>Uf(KR$aQ%3qK_8U|;K9Z+sip`VMhZAa% zLHnSokW;8)!Su(tC#DQno`;w=nM-RrCK8o50nK&wWrqZ&-&ymy6GM-UYKQkl=IdHo z4rQ4Uxgw`m4o&KHguJIigABjY>CRNpw?zz38^|2XQ$_wsav}NK7^xE9{b9tv5v4UOVo%Xsa~1J0T_YpsTHN zS@VA2C!N1mkzpSe)rvGlc}eF0nls?$*MD~GTK#nCE#r`EbCwFb`=DjsX!y{~)M>|o zckXdbC+Pfw2SDNTpelHum1mcqE+E^_&O!KhWBmL*_zzq|wG#t%UXazh{B67;kGlUa z5_E`SY+ZzUKiM-@hK`m6G5FU3ArOjJWMtv)m$i$wl0TpTj7y&=sG#6<-)ZlDSl~%w zchG3eT|$_ayt!7pod#xj9aD*;X1sSOMxtaOe)J06~3CVi1B~N~jv=6C&IK z)79qp3S?<3wT;I&kKoXLtjjn@7b6GQ5cY1C7Vx#X-Q9E=L?TS`#ey>|C-Y8i#zlui zC~a1$>xLd-jCb_ptbvNstvd>yw5RODeq=c4_Nz(2!`RCzg*LCE$|_0Wd<`2%9uOcYqpyRsYK)-k}mXf9#=P0Km!ac4SOsNH_y~x92bnc zey=BP(0%Nj$QAplcnA2E^D=@mPbhV=$QKDpS%b2 z>x9)+9dbds;yu+fN({zKFgeXohXZiM6Qd5Jjj%bHTgf{=)G-`rNsxgR(D! z+`o>)$BqN=+($WHh%jE|DyFQjtG(tJf=n9Y?E&L6itoX)!+?*TzLO56{`D96p03g+ z)DzDW$^eoO(FA!ya28bE6MM`J>Ly!Yo;oOWtOl=(6!<{9k6I0>`A86knTt5bq8n+C zTK6mFa;~o*6y~6S{h_M;zOsLIJi~q$t#lpQ+dRX+tS2Pm!rDJ8{MkX#;~-zGU}k}_ z`FNUE&xGFIz}|RXe92#b&4JIKg!-G>aBN82cE#u88F^@($Dhw((L|~|J_F%Tn0_e| zl5tS~w2EzL2JnF@*_Ld34pV_Y+F5(pGoZ+*9URA+vKvu@EE!41?2EEq zbOg2>JeVHuH0+ac{miBbA~#9)IhZ;W>5*N4aL(=?b}`>XWsje%4Q20Jw~1`>aYMFZ zYeOfe7$4=nIvHeAl=q&w{8YQ^?cbH)DvV^jV&G%xW^e|qD)sYv=A+7g7pABucw*N_ z6EK>WpT`}5&ys4W{g#Z_9)FMPcSm$ z?tVP1!vy(VB%0eLnp_p<)V-6*(nNTV{WAX$F$u)@7@oXHza$J%0=5tHBK8F7tNI&x zuSp)435cg{^b^B%@ClZd08fgjhsKIm(&6W8q=8hwmLf?v!yEgscPT7F6RNv+Jsmt; z%y@dgnzHN?ik5e*KO{whN+pLvJ&2F2FDeLoz z16xuu`=Gq9mkZ)~G3%HR1S2UjcHvPiEaE#$NXB%KRy;6y82xKBn5)lso=Q3l<7?sw z-@^$ihg1CSQjcRA!}XNnGNCQ%^^ypejMHZLwWk;Wsl{oi5J0zW_LsZ+WMJg()Zr1G zM}z$EQMIut2-MUKpN~hzK|CA_^0ZWsjBOQ*s#@^tHb@i-Q*)>qxSz4L)-EBW+|b^GP~R@#x90iDRBR6jCw6C{_vR4LP~yE8mBW02wVa zj!R27yowlqfcvv!f#ew+zCTMmy>Z?yq~hfE-h^&>K+11<0^%wHOnIF$PiYfbM7AGc z#?W0E)qQ~t1#Yj3^y6Tk=DD@SktgPW$fP+HOH6>D_w=%5Lwa!j@V+dN_IoQQwG;;= zdWkC?ugN8XU5=UONP>oVn%pqz^lO^y1$V|7>^-eZ5eA}%;+mhZr-i;Tv3!1#CD%V7 zNqyS)>de+p8%8~dnX7b69vs=>H7gIzMI%PR9g-=i5Y72D&M^8TyB2yc@W`-^K#Cf6 zrI*;<<7j}SMJvmg^x%;Z!k$D}G{IL|v0>`QiKsgF@g;8T2;JkSe}Db4awVr<@dOqn%w?XIk0AyN z5BDZt?6>{+Lp3aAdb(zPE(fyfGV=X7bo*KMde%fn5*CE+$@jC@sKbPuPTg=W4}gle z)aP4975Q0^0K8$6Ir%O1QO-58qR+tj*_?rtH~W}(=^o{q#bd4cL!HK4fAiSfUzN*A zS;|L@RTQGR&Be7PuMPzT$k;6ZuU9}&EXcUXzntvAQF>-y>Z0V2>Yq}-y60Au2bcPF zkDxBqzBfK=F%{z#18ntT>Kh@jh$SW>7)FiWd~(!(*L1o2yz1|~Yhqe?F5)Ve3TFMM zmm2KJ&$y~)$$7#qBx`YxtqlLz@6PZvgo-2LvdnXkC~0_pkGc^el7%8F6k1fP?%~Cl zndlz>H^Z#-BrTAsYrPk!2=oQYsAr2K<66PC;a#WK4!T%=*o?G%ESsyH2gudMAr_6? zPqwX4m@m;j$mwf=S|uN#hm&o;GOat_gsea+it+?>`sAAjWqy9qF@&dpsWJ40?lj}J%8_Jz#tPy1Ve_IQPk z9KF5Uk4sg*N}z7ki|AX5&+Suo{nHq6GA?5C+lZOrI<(lQe_%O%I=7!1g*u+qDfqno z!+>}v6JHG+RTY7RN1C8T^=9h%*9A9$bzcCYt`Z!WnMOcRAzQYm#SM+}pQ(rVKjDa` z=bF#UZcq>12g?oerTo_8XsM(cq>sRt4w=)?XDECEFD5vqp@EJ-a{WM6^d}9%qghWA zSHVN0InD;Yaw*?cx$~jXj7jJAU~w6ayGZ&F@n^>+@+PKx$VY~`>UfiJL8-NsXxg-w zk-nGltogNN|07Qcz7*_+SZ*DF^_#o-fTr7Ki9Bp!U&k*f4_LGF*~kd)QSC!h&vp~c z(hI8aewIxH4!`UcONBnRvvj^t_$e3CVkKyl#l9u$tx5Oexc*D^0hLonUahK`cUw=BDjMf-vlw=OZ@jZfwS(TT^`tF2=79Jde3r%vR``Xp>D9!VT{l4 zd!u30lOjlEn1i{F{AiMIsK?%Y*O2dsv>ip^#Y6+m&d7=7Sp%bZ6m@o<&2TPAlX;XF zQ>b`D>xpV{2V?0t?Uce2{#f$m#K^Tk*jCE&aQuGTFbLo{Z{X%`RX0mZ>)|DC21J_w z^kB4pDH+2ypnPY~&(n)%)ZLdw%Q-Gq&Ba~iGP~~d(#+51n4xZm_bzfUyw&+rr2|N! z)*=Ajzv#}{+^>p)bpaLobM))pzx@r{GIJKUt$(ZDY)GL;mYUl)vly^ z!;y{sfcJIW!bu>WyPM~#Uq0`55C`_%j2hiiQZ|FJ2X+%3Hcqc4r*dk84)`H4f1{>! zaq7QchTCP8w9G&vgGxPqX_gPVCLwj7YuB^q)BAe+dahYQd}a6~AH9he_>eT1L&4NB zt;?sV_*$PKS4me1Z_5IE@FB{!cb6;12X1G$6M@;KO2x#Zn~%F?lcse5|yF;OGRX1&RdGOZ>{mYeIo)OfOeMp5c% zr%g{A7F$=^1@g=m*RYm|$+|?Gu!KMi1uJyGJN2=Z!lAynOZ^Qs6>nQ3g8>}baj=_5 zicxN(%~FUQJMeLWQ}3E?%s%sKXyu25C%!j9haOFjIFzD(qzLs6 zKAkI2;X7`m5-Qvo<{&yp+#ESjZ#F9%SG&Zl0Bvji+40xyR0)|JXY>sAJ0#E}gO*@s z^m(^q%!}P&pxb`V?yU$-8S;rUlK>^#`KVks%ow9YA^g}-Jtp-g=hgGwg3~#M2*Jz| zW#^}x{pF;8&0ZV?BC#D}Rwr9zEnN9$&pT3y^f|Ndk!t8fNWoQe3yN77o zzYD@gtMpj(n9Q$qHVMhpQ%V^*s!3hn=5fdKJ39 zl@GiDTnE@@-_RT`ZD240s&$(J3}MP%4V-Jr-ztez01~KVRwlI74^{6?!qPJ5sQ^*< zDq*q1wMTuK4{a5X^KKgW{!o&26uMn7j*z^xOf zp7z%YT?j_u;mfDu!0vf^sY#cwV2Ur87B~8B{BsmIx}hn|E28J<&n`$bNu0;&0x-s+ zt=geDfF$^&gxl_Q8g~vRn7TnR32bc|I7YyWV`wds=c{O##iJZRI)?3Pl`>!1i)h=B z7dE^UV`=2|q&sw3>$1ys?=yj#8TqXat`IgA4g6@EkB0^oU@1V5q?D8n8|2Gj9X+Rv5 zBq1Y~@Z!mmY_JY)*zTS#nf3tGoP~qwlz2lKXW;JRKbcz)rj{A?;_W6yWj^WHPS z!nJGLaDK6N3*koXw7H zZ35cq9KAK=(pm*lfW?I`icI- zl-N|xuN$TGN9Tnci!*Jjvi0Z}bR8ZHNk>9DoX4(=PmJXs)tDNBGWZ~+nMa>7(L)|X zeFs$rkldapxhsIL{&?q-{qJGS&q36;qr3&tNHaD7Rd}VBW_ZJXoA>At2E2{Hg zAZA;+j6>d5yv-VvfF`< zQFX9He3ycaBc+)Fbl7_d^2cl!$+aM{J~KfQab*=2zol=G5@!g?s3sj*fN&NF5Ibv~@nfi| zA1)<(;G#MhT$nhA)s&@hgw6n*+BC&S?g>1ZXL^##;QNcsx^qH_A8Z zS#-e@sE_d}RlyRj(>^zbp?lD&{kHY&va!-bawx=>V*qNBbW1oLkiN|g;1GL6pAXIe;#w3%+Zs( z=Vj`G{J)#(MGLG~R}$qB}* zvf;Ep@=7mbCI(a;)CgKpi_K3hQ5z5fy+4>xF>6h-zK!%Dn#%~ig%{1=%FqgAknca% z75V5o@t1rvF*M{KWUtx!%3sjI!;_l^%XsTHUY~^xUKSK&0`FxVi%j`lN@1X`S75}; zXAb{7Q+0!=$8g&NJ`(2G7hUlRX+BFCK{ojkqJc;-98#)Ev@?+c>Gz-sp1g{UO_#-Y zWXC(KZ#$?g4m8d3n~PyLw}a>mBcK(4&=;GIkm+EPq8Nt0ui>^=WM@^;*OJy1te*j+ z;~s+ZzkTmviZTfUY^R`$^IUF81c)Q3pFv>P9+Lwc3Iq@VqaPrqCM$0HU*FOXRZep| zW)|&*$!(_WQK4hsNg3H;4}AI&8=s?o6|G;H;I^wCxP#m^W@_2v8|S_6&VSBOWBn@! zGJeCt&p-=!*~hENkF`j^<^4Y1+cuWCJCP@k7r~nBCvSFs*)nLJ4Znfd_HPDAY3B`I ziqrye=zJ4>a|>(;1>pwFD8wCl$hu;FzhL64x!W7M)+)`bw5&RL%AHzI;QqW+J_C%u zBOdUZ{E$)MN_z+p_2n*n?KyT;M|;q{H#&@Sb#LJeFIzqBZFs2qP36lfDV6ufwO6QO z#f&8vwkvjNj8FfG5+qpEp973dj#9v{Q>fG61fAvA(QH`hQ1kx7>7K<4$SXo&MyCWO z+xbRs(1s}b5dYCn2WgSqE{`#`-%=yr;H+H{WVIRvn6uavBV((J7zu}{s3uekRB%>P zwE&X>f}6*phLakhvXGEm1;63X=7l0Eo@w0Z_*Fb8mH2XPD2ZpX44?+fdxFFKaciGi zLMp2ZV7eaSZ)G1BOabkY<1&vtRV>zH8KTmadOE7ED0UL~3Fd8}JF2*Du2VfCR-=HL zG@NSJ<5(I65*69t_TLd&BGC?(1-P>+ELu<*5GzZ?p9}+#qLMPw70Y`~a>IMV*r|JY z*)6BSBN?V1-|2+${j3EPI^cZR}Ry_vyMx?*I!UbC}y2L z_D420KorK1PS(d^=?XDoglK_xMcGzWk>?4;@E$6!o)>PCgtnt-jP;W5!$rCGAdb&Q z$+^;}ZO%43P$g+5?xzV-`y{uf5auRo9dZpe;%6{89TantsCAf`jI8?KzFZuB`MhAF znlhME^o{}f4`gys7rei_#A)fZm!`GV!gBUt!NW;VqFdtEKlqR36RZquN5Bp>AG5fZRw1~`kBX)2Q@mQ?(j`> z)ybNF{etuJHP$nfZlcBV(2suvXAqK^f<7$9WKrd_gV}yBT)N%T-^fr~+y2W<2v?%_ zO|_v9vw)~LXn`|5Pd?`GCa%wkt^Zd`%s~2RFB1vdPq;7T+K*J3@i!WFfchWAIo|p$ zKPo5aYiW*Z+M0aAR* z0t5isC9k@y$gf?MR@1>Xb!EuvBsaOUDvLvKL=y0QSV~4A1$M+)Y*Db05A+*~mxK{= z&BRaBmECHE^@QGrpw$U)CYpE4zffX`9;nXKjqSGCS6%vjj#{w?hLI;4)0{#rGoY7! z`OYD<85zq5^;+C6PrbkDuXbevK)#U$s5h2?in@S9-<>pZ-OK3sC?x_uZFl=sxXJfp|Of}y5tf(hFUw7g;#!wSEmQ5k!inyXz)?g5TR zfuscV1lgY%;phd1m4>4mUcI@?u3~QFkwihFmtj5)qV8hI3$bj=_Jd>C$H$rp7`wl_KB=&fl3$VS=O&OhZHlltZd)!plY?y)1|fbsQNV!eR7&A8SA&b z_jp(`f4Lyp>BCRFCHDLNoc0fK&+`0ky+uZn4!$fIbjt({4R;iDJP~yxfmlYYou6E$ zKmHx}m1Mi;If^=Iq$?_4oRT~ngFeG5(A5dlKgJ}TYyCTYzAj0mL93WU%~BRm<>p;g z8F%w@Z|V;GBOz!;8Q&I}JK2=i<$40kZ%|Y(<33w2Y-3zi)uujvW>b1COyPg~3XA?j z-STEB0?Gmuk1wbAtymxB{KODrUMipn{=l{JHP})U)spX#9@mG}u!ZwN|NSh4U7{-d zDg_QF$dm#EF-RUUDgTO>Bwk{UO!QzDWZlAXaj!wE=Xb^aixRvn9QpOy)zxhG>C#8o z=+o9m*?;tyfrm?ZmziOf{QL%^UCN>f{UEDi! zr$05wJ!3_>7j_AnJ)rRtq18LiG?LL@C=+EOc#1gCP}INDI`gzmB-T({3LLh74D5Sf z8f8AL&ceoB@LGz5q#*(dPlVHSEv-<%Tp+@;j1g?gCo@I^$I; zYi%1~FT8L`xfd}5?ep)ZRCF2#S(;TjW%hbvcQy@mdNd2dMP`!u11CSYGDr{`gSJKO zARQ=UO9?l>wfAG;g@cJm&!BiE+D5q4B#I`Dip}9>?6CUTKDe#36yVtqO<8>B&}0s} zb130WbESV#cosM^QVB062BR9fspoak77n@}gs*`)bcd5wFX`YWQ;<9dYkQaO z10N1Wn22XWbc2nqD1{qrBjw9vR6o+Rzd;v7^St`bjNf}HrlsOasARhe0;8y+{;odE zF^m20P<6RB*qQS>a(++luSjG|P8bHsO(a46I`pe1<~?_$$<)eUF>fa$c1ID$?MXUA zoPvt!=fxN1_R7CWM^rn`^WJa1h>fkLa8@?p8Z;t#<{pCbENTAI6N3$%;FLv4DD zK>O;YYK^wnX;Zl~lpwDn4Yc3nMR5shg;oK-^>As9+!!2STwT&&1dx0Slf}Jc2r+h4 z*DHP(-x1L7`eRnpJpIuMS2Ybpqn#{b!)|RDaFBz;(=5;-Tr=I{5rOVw*2tj@qJm8Q z)?{rTH-eQV%He%tn${;Z3@$cFyFFJK^rpKXYER)lw(F0Q_yQr+j3-(N`RCKt+i7faPD~{-T<1__&z9yB z4JuZ+NR5jUX6`GXOqAlref{*SB~G><0qFQ`&&0IP4RkVC$e;P4|6HuvbAW~X(cbRc z`IKP%OVO&JODe264O6dRm`b!r?(4mF(LPrc#o@K!q5uKs*gixGLy{cWTS6NK!scnRqA z%v3TrNK*?lDdTTUz8Bp4E1uKzG0h+!ri&}Q@S__?oh#PkKub9AQ0iBk}HY7`T$)K!XftYadzAo9M@zM*5uk zfiV|zZ`stbmp}zkHQodD2%shO9hT9wG1N%}BkEQpKKFy0aABk;xwLdc*EZ4lrREjj zi?ZDhylZ7)WzXmDl$!QoD~~xht3(4IDT5;LW0L}vx5N_#RaDBbMV<2DW~tZ&`g+{h z6sUgF#cH?&rT#LSW6hzTl=i?onb@f#eWoH-#Bv?b@~>rgXC~R|DY2(#h!)8|^cVi7 zm-yZ=3Kvh}RzCD+xEWgB0eGu_fh%AQyv>eN7Qk0>&CZC~DFx{4yJjN=gst zk6oP-a=!O6_Ajn&miYZc3lMCAh3vP?zYUOB5K`y37t{44IHF#in%Z!+x;bF(Gt5+^ z|LmMv4_a0!>O`jo5cVCqdZ0u>;A3TU8qGV32M{4yB~VenI1%PVhHA`&+l5(RDMPO( z;?gJ-ZmvbR0Tk??6W>z>n7gg@CQmkCA|XWS8{-P%gQAgK!?g9;;rF*M+I7uh4O#MD zDPKi0@VYzSMZvX|y@ACHO$!F_?vap_*IUs3{ZYjRrKEWMjRMBYgdeN;<7ga# zbUwf^e;5*;u^+z;kSE6-vk*Yha=(@RTrjk6R5?-N%vzS)rb>stKpFuV5hfC1IJ*0E zaQS}`xulN8>CUZ&5LCLMH@b1H46U8lI?#=FU*ks7~&7%%b3 zMQ-b1EzJJZZ|-xGvu|`09W_dz$uI)A?_3 z_cQ`^PoV!cG|L~o1l*phSHNnrBqTjw9xW^DUd`loRmC0AA&6QU7|lu-AdqeCrRbD0 zj>wl4v0|27tP(z*Z33Qrc_DY8M;dpe0a_aapy4B~D|YQsCodOjs;#Z2|Eg*cl+!2*NU&JzT_*b+~o31Ti3gyDm3iN5(0~M8KMD z4Dp=JSZA1s+=uG>>$_WOV*n=0NE)0Bf!YU19TuS_f#{qH(mCJ=TF$pBYx>ll%rI02 zTm7!`Fcx_!mINIAXeLq9^CDzw;XD8`490N8OvtmaH5Do*aUB<2sTcxfv`>&8o}F$v z62!+nd@8`h;k|n>X=xgi-;bBSfY_+BRg;#O%_=Ggf50|w%U1z~k$*{k>MH{*>zCz( zG@~2A$ZI*&E5D9cOj#VCn2wutJ})6~->SV6&e8&b>0Az3>p0`mUCo1rRnpQ5Iw;S!K&CEU^Es*t zoVbQNwlE7|94{Jqk0X5+X{Aa@+~WuP>d_C>$O`ytANze}y-nt_7?IcAh3qD=?dU#b z*HnW~UdgjY!@-z3IslyB&GVF10)8#M!4j5yg+FrK41H^lTsY)w=|L;v8+(XM?r`kacRft0%PE_r_tw0uH}4G5WK`ND*RB(K@l!&O#MOfSzr?*X!}w1Hq2h zE;f10ELdZs6?1MF-ztz`bgf^akKLzSTL_%LZer#&P*j=yaELgc)H^diyYS!87kg@^ z+y(Y-c|_x^K9LwuLD%Yv%~FoUuIFB+T%0bs93QB}nA;Xi3=ER9NQZ>6%M3}{jpvo* zfrUPuWkhU1{(%cO_aCct&+YoV1~}!fsM5PD?)aft4|gor>2>p9ngPAEPv7Z<@~9&G z8}Z{kpac#X7yu+w2F zJxR^EQzZq{z>!c%g2?+vQ+x?(?T5rJZ&^~Ncv(I zDXO>et2xjPm~RI_2p~mlY<3$caxQ|OxBgY29glXMeId_hklmG4zJPDF1{BXZ40peY z`JkJz(C(2uW-)QoXqHRI_Ks;E=ldG6qoPbKnk1gSY{EF+BH^rCul?1bcM)ZH5zpmukF;N4`FLYzlg;hdQ`qU6 z8$R<-9d*>(YkE4;Yl<;K}YphYb>{ z_pdl8KHRHa^L&IA+kNR1u$Ig9?rSS))KweeOw#3FRwbF5^gh}Fj{0%b`Q`r^^ck)D zUylfdR%eZT(RV3dU&xaGg@sUj*ioXxU&|cY?M`E^*`Y%}VxxcqZx|CgSo)~7rrW%8 zk&8aEh(Na`5-Q2%l7LOEUL$DWcgaVp{3@gy=`6LxP%1i~gd=FW#F_Noilf6FIo-R$ zjQvX5N*mDk?*MnVZO0Sd>4A^W*qWQ&@XQE=y^t;?w=e=~Zf_X({^9S56_w0S2IVtv z$$nZQC$|Uk&UAr)X5am|9WJ&)4l=h@*%u{K24Z+e^5Ba8ypUABAT-;Ls-hlSMlM{E zZ7QdCTDcQPM>Y5sP z^FzZWjQ=B-7lZ?6RW&tpfjqYn=MnEp@qhS~(2x{k6L9eD?@BJ35;dL8Kb((`>6yCb z)PN}3n*|rc42-}GncdOmEoh&8#OVB&D>2R!&yK;U34g(98UCh?sG9Q4i*3G`mnFXx z6Hgc}wFDGKEl9N9wBx?>_|3oEwd>Gn`M-T7>3(ZACwj2iM}i|(B*z=ruR-{7)~#|S zSlJBO(vRfYDa*1ueEofacMgOnCYmTk zXm?6o%UtPmWKVIj9E1T5d|Sow){*X3qDR78gQC&?Ky`uc6-UunbAC!j+kp(mEiB~B zCq&b=zejQXFf-PFbe%E^=M=Ie2Zk}+)I={CRfh09_gv zHQ5nGHStcvG|qVL==zxhkI%n4X=adXljzL=Db?;@xB;o-RAS^5qMk7C7Zu^~$Yr+eoeI zho2+f4Sk_&uns}e6QGG%uq(6k3uXm5EVozh72+op-yfu3HudpURLbeOdyt@?nAg)% zCEZjcsX836~GW~`% zyp4p(^>p)*?Kde4`rMIo-k_U8S$ovvuFY7Q*ZLiN;zfEQvz}q!$f@yh8Vu0{Gsoj6 ze-~;tz3(x5@uYnyd2V>FqNE7qts3#BCi}>w@37`1Ufo-4dw8iB(>C&QII$d&WakhX zdicF*M?ddeJc7)iV>deTEiHulCJjpGv3W;d&S0Dz+yztV4-#Rmv;5XTM&g-2pyduD zkz$QXqA!AII$9WsBMz)di81I_h(d=6lKemA4lRFf3tYqp$f=~8JIQw<#mtJ~IEp4n z=BuQ7@&_BV05wpb?hEp}!|h6n&4rjf^*-yQ9L2Jn)m;IsM!iGN9^$xjE2f6%79Kx# zIh&TAP+e83o31hXeAHh-cn{8h(I5*NVw=#AW`(1lmhRbpa8B~anJu($Qnm}8$b2Nd zD8DEl(6yzZxFDZ+ktDn^7ClD$VNI-KS54~uoHfJ6l}hKvs~$irQvI>rwGse`VA%Yh zBIe;OXTHruVuuG9Tg+j3_0%0+ne@x)_t%( ziAha%vzu^yD6D1sdeKAIpzkcnHoaGNWp`&Ij;-}RLn`K&_`BtEJdV3ong6*h_ZR4X zNori4Eqfvy#QK1W{hZtcr{uaDk=#)iqg=9}zAA>m5TL$`jf|M+*@C)CT*$Nc@^~Z^ zbrMD{^eTUCq7AnbL+iu?kn+SsX>=0(jY584l+xoW&kg|yu{~s=P z*bI%e-cYERBCw1dP7083?*eA3WXkp$Ko3)mxftye7GoSSMb{sL`t5Fi4h^&ckv^t36v&egQlB1M5__N{xaG1p%5eN)SC)gMr1aKORo(^VW z#~-<)d=^oGZdb(C42}*bv8^>ma1)EEUJV(L7gnVXdAIprqQ|g=N?RCXQ*kNKTfCT`CA}Ajz&|I@nttQ~1cCEYi zguKrqrsu>Deb$t%p8s8btDZNaSohEVS0N9k`@9T6z1z94I&{nXQV!uLwPEbKarfG? zuVNsZkzuB!O-iJD_VU$gds&Gdsw>A>D@S|$r>QxmAG7o5zc*ZwQjL$GIJ{VmY?b#c z6v0zu0E{oS(t7vuYUgjSso=*3D;;(#ZfWbSUE=EjkN@-m$wro>)^WT8M%MzI^L(LY zW8=B)!p+m`E|n;w=aO2{eFd(^8?qNg=jYqomVQwoCspbmNQh{tKcBUzI{1W`hauuf z2OsGKiyx7$B){9=q=@?{=7m-)VrC*PK_(LwK-DX55wPqsGlLOP1gUx?j&Q0RI0JW^p%XKU=O2Bd0J@o$wFKfbHi z-;I7l^@-g^vvy|VmH$?4WbP~~{W;LEspTHW*#&xR*?uwk+?TjslB6s5*-H3fq#tV` zixZymCvT0kCsB^Xgv__a-89P;DoNLuh>|aHQFb)M8@`mQ2CxJ3U5BLrc2eEMNI|Nae2;|)A60c`kMoAH z^l`#B?KWleupU41pab@oaiDRzf65m*IxyO;7K(iL{sFqW{Ot3p6$q-G;XP5{*%-dWem!W>WueiA-QD7@22X~nT)1C zRyUgxbV+N+C9?H&YJHtypTZ@XD^HIoIP;;UOcXOY)RyfYsg^5VRgOl2Euzr3T!{rA zL2{A9CWAV$e!=1)oMJTr_zK1fJ}3pR?9!3%(eX;I=H-hD&o{|%C#RNXp$@-M*H8>z zC7IUfEgAV-45PyO(f}3w6APIT$q%#$U}kK-guZMF+zMrTuKCV~$$JYZQRmL?f_gS1 zQz+<4@}aMW1)94jto@ct#!i+~B?5GEqP~`Vq=4Ra)gn?NZ>t&d8hJ+K6pjn6Zf& z)4&ZJ5#i>-m*ub$nKH3G{#U#8hLKZ$(*EkFFRHbEc6%g(qSYmO5?bpXyQ|@P*d|nP zWXilZR7pb{QDY$+E_eRMnTDw#c!?K*ttGwj-rT^hwE60R;YL)L&`C_&ka_)rCBnVP zvc{ZnG4d$DB-_DHACsPcD26Nnp+xLR*F6wn1lb+WLGG|aWlGSNjwP2LuN8S(6`+2k zV~WvgR|F|ECax{3wROwSV3t-H@ouvWC&|LtTuPcwL~Gniw}rt3ctO_2?GG%!B$+w;FfRCRH>A9&t?T^g`#VmSTt|#skHv zaGjrzv&BB-Lz|o?LC(j)1!P)InLg=}wpUT^*G>CkAgbV{NV|sowR4pGJ>_Df#wPge zdi*01oA`z(;JR_WEnL6q$PvYq*t@h}iic9&-QItb>aeGud!Ro`dR&ay<=}xMxPma{ zWiVVhc-D?kTTFY#A5|M!@?i@UbY3|6JKP$1*mA7TpvvOFw1UVo3+2?t+_Ww`K#ET7 zp~>8cJq}QF)2Ki@cj#$*_H{+gN;|pa->`E+*!-y(XA2LbnyLM@bmGE-b>?&yr*c?q z_kuZcyMT3NIiU!y;Ew`Tivej@6iZ9{8db%W^L-!tp^Uxkq2K-*VVXr>CF_OWQ|qmI zGGjgpysVCCf~sGaaCQ3%{m}PSFo77@2KRZD$IgaU4x8AWZ0%gMe1vs*#_DY-i8<(n z0wxi&|LawjsF%HbF{9xFriA78$L|d+S1MSif;?CRH$p8pe?VYT5|0;ryl4}-JF)6N ze?P*Sw38SvS&~mB)W4OLuLW|zuApEt3NG#Ol%|$?`Ma(!U}x%Be17x+}d3JewQnhD#9A~yrwfnwGdgG_Gl<)&2+(; zI=aN?_Y^q2fDwan#cXx%b)X}EJ58`M%Verf#lm*uA8D!O-bUK8kq7d${bG@#g&5Rb z3lYUnAxvng-{#@H_TDKiVaQAqw!_gJ+1978e_7L@PThxst$4}LhX!mSlZHS?ee8S#uW1eTN(ch*r@>8UFB$5?H@7ak9GHGD|qD4`^sDYN<|V7dj=b2 zNmj#DqAbwnMCMWKhSv8I2*nR-|0`cc|KB#~V;`JBbC|sWMI>`a0+;7Q7yh^Jg^QK} zG+dQWp41#~_s#VQ@X;yI*Qgggo`|{Mhr+C9a$e+nY@Zq36AwhpKK)fUO;=wSbHfw+ zJ~5wP-ZrVE9Ah`0#ZyDh#nvsnP_m-1q0%Ty?8!-p2kg6P-}x!xeyDtHm!cb1+~ah> zYS8ye&LLQSy=(5E-~4q}!HB;p^}XhVdTNvS4IK|T0Y@xkvX+M?+#Z%b+N8~n8|s4= zbax0>A9(jsv{B}kKT|&Ti@OqJ*+!y8(3dLxqV)c(xO1nwsuiJ(@&UC7M48x1%Lx4y zhm%JyljL`a;l*0K;ZS=piD@F-mkHdKL8sLK++9TttRi{eS2{hP~+IJg?J5%U)HRQkKv>#Qd!>rkba1Y^UG7NyCfTTXox z&wz7)ihy>HEb#L=FX*=))^1THwZ{~-Sz=H~m(cc`pZPjv%__^6@OOj#u^j>P8~0)V zslVMMzAl3mqP=!X7@}@)H9mfEeHK5}=$kW>eh5h8=KzWJSUagNqhF9qC)}o(9iJjM z5pP)9U&&zP&H11FVwbtND;sH(ACmQB0|+09GjavNi0Jvt7L*uVaLFk3a$%RdHJ-C5 zPn0A2ubwpGKGFA3HMddo6{;8V*SLvnx)@VrYwqJc95HB#w3c&VT(PQT^t@tEbv*+~ z^PWDx*jmtT0=#C}(^(rcxP_=f?r7hWbc>dpY{1q^^9WUtr{Ee@FxiSoa+gH{gUz>V z{~qV4{7b&1k%MM)%jrUXFBU7?M5lKwIZhx(JP9!ASW%HrgWl}X8BSEBLH!X8mA5pF z<4&$P3W7KL_5ZuF2N+u;54A0PB>ba)ZU*F~de)=j7Wvni8M~W6-A%t|zCI zZ^K->eS2M{j8U;B^s^#Ot~_tKA>IQq$fM+P_77&tJBcz$mS643Gd#aIa}NjpfuZZ- zuce+K9=Q)6J4#?PZy*--2^d7%?Ua=6dzXqNyJ~BUrcXUHYFkHf|8nN);l|!=L}#AM zKdRP|^vHSA0m|^@<=e9IZ|SM$-H0?gerh_oOcs^f*5w2M^5TZITF2MQ|BN%u4L-c2 zdzWWAT$b@6b2%t;$J3Ol;jZ)l>7(n^o#wpWH7cP52B`-#^WTNl`t?g}y%ot-E$+7_ zT*wz&12J>15y|Rr!Lf?I5vY1wB`AAjYL>`-3l*Q*lK+ilb#fh3w~(7&CMwj39^k{^ z+@ylBy|IUY^PT?EQo6E!pWSU?Q2ITaw!{E|Y;}QKSHbAn5&7S~4F!6RGHk;Vm;BZF zF5JrLabnkh`&NkNuN=rH@8dmvxwSgWfQa`o*+%5-`_)YvOKq=ojCqk$gyjV3e(mD| zWNxxoBHdkc(yHw^-8PX9pbL;kfrMpLmWGJ*S;vP<_iA273I(f?S)yZ)g)Vz6)u9eY zUtE(Z34~Zbd5tz=0e-$?+?v&IKzL9<6sn-E}eDF!4>F>o9&eLVh#H zxIOUgr)3PN#vzaC-Qx0LjZ_Z-K=|)Oa`_C?{v0Dz2nb_49DVwB@b1bz8*1-8MRQ%> znNdZl7?;%&Cvjo}!lS*r7zv7{pGbNQZDyW&h8SBSW)YO^6=AXd2EV{}21cxABc+`s zevwNh^c`1=s~RG9-*?sl-36Zz4*XZyT;_?v7AcMJ*;7zx_|oK~B(;8Q;IVhZ9;l{@ z6&3aIXlHUJ3Oz(B*x=G98l4#DKHc=y%*w?XAYJ-r(IrgJN!!zwEOPR|_F zQ(4X=%x7dYxv}RZ(hLevC)!hp_IVe&!X2&cp`1uU!Q-AKd?F8@o1*a)Y>FK}OLTim zKAhrcu!)%;QG)p4uCd{tQ;l~2`&KZ|*pi$N57XMEkw+7H6x5g=@PXtX2kyVuVY8la zUkjqkdI03*|HM5XOiJ7E0#qT{N(KKUANT6r#QGJf<4q8-g3(8^@;P9O0(~5*xVm9W zlYfz^2La{%Z(p5vlv|Ih9EGEup-8R{Ay-IA2?_Nz zkFCF{oqw(HYbmgP6btnd7|T`2#~5)L+^irQ6Ls+Z*@;@0PE|s)x`p&w>2hocn z8E*;`LuA6-!o@Iti_I4wB<1(<(9q`6a_h-&X!qx(J_0>ry#Q3tXd41F2Y_LlXFB80 zc~uj=T;%h%|a zS+QgL^jOVuLJRZbmon(ZMd)P)CrmvBCGc_tnK0od=$gtBGhz?XfC?g_Dj9y=JS=5s zt>>9##G*~`ne^`+z82&P{w*JuF;pRzRwWR1s6_U5arMt#6h^05ySbEBbPL9Bk` z9DpB?OJBB(D~?OH`Kzi&ssUy1Q`B+S^<>T-12R@q-?iQCAVb^*+_CdAl*_83QA|^E zum|?*izVt)zxMXJ3jALommG>O|3<4vUJ-#hNCULSKOtXEN29l0j+`>JrYqK1-8Vn8 zCV(?bWWg*29mxpEEHFauePdvLrrB@E>09oPJjQR~NVWI?{zrG2e>SG#CreILLB7id zG(+Sax0GLKY&+Mg;nts?L{OC2-;H2wkpP$c@8V@Mqz&*NwI#(mxNHuKPv$>8nK=S4OFc9jQ@R%c{3~1KfOw^qLv3l-69@=u^lFvz zZ|JOIovgba<{B2fBL!O-JZ$sv2CF+#NVnr@pY2v6hkQ^+4UDtFwyP&esx(MpiwIG1I9epzSo+k%GY9(J$kdHee&yDabru zAWnewfdI05B`ONH&?qK{--xYv6mZtZxVo`oGT8P;-n8(ap@>;wQ_4qYbK84z9j(=e z3XbXu?t!NkF_S_C;mbnm&|%z`MV5Yd#pG(pmD$eqlZEoK{9dh4+ylwFD-Yg+uL}c! zPq$@&&h1~D0Ulq9%9kk!>IhoRJ(TLXgH6tT*8hLCZG`DC7D8^@`ecYH$$u z#=hlg>;=jtHs;h6$R6$D8#7q~*YDpBV10FMs@vN-IL%^?q4l8WM85c3W(Jy$AAH?7 zsjWJpx9NUso72&xWTSZQ=h;7pE+nR%S+L>`hhs|Ce=u5erEng30qt2H`Z?xEI*__T zix43qvf$f??rZJjPkPWRQQ|?bZY9cM z$6Ba53wl|$E>aUT2X%(rOY!3>Eup>`@NfjnSxwq^rXCf_=*61uxXf@~6cc<771n3# zp5s)Hy(5?(FLKdENN+xw|JqAzW^Boh&#`_>rlL0TxjA}Bs#YKmIGgRLcQD^yFFZO z1B9f?Z{Xjf1*Y%1DotRy^1)oH8X)_`sJ>f9{%~=0FPFM9fdx;DsLAFOO1q1=o@TNc z$m8YRH8x~em)x#q@pDO7oTj3NdrP8*sxKh9V)10(SAKMRE-))w3P`K1zBm_#R0w?(8n~@b`v6QtT?K5~^F4J}1hA1PTK?ryvt9x)GR;Z;=Ir9H8Yel{ zfsrVm$Do#@_#{%=rS&F5N7s3bj6_u<;5Y3Ea>aPw36S> za+btxGyEG_KSS0$o3Gzhn5g)$_xC{TZ((7=xMf%P5U$H7NjwPG8J!x{bd zA9JzO2+Ydp=%*^5$L9uU(xr|smdwF5>z(KkRK<0nTiPrg6K}Krvn2d3=!mzkwTaT$ z{JfSnV)-T~_`;qQWvQEwy$su=CB_^5K_ynL6{id^A4{PN`?22}RLY#93tqm&$NeFy zj*+JDDGbop7UoZ}pSdRy>QqA;Z@ql6$DA-S{iEX}TMCN~!Tt}md9*s-AvqLjR& z3%6nUuO9WdjSyOWw(is3Cr*!%(z=VGn$+p2h~(n;+^SFUW-iKUHnN6f`mr13X=;>E zXQ^lMrU{ZZ%Vvx(uDrWY#lBS!D`4^N%ws4_p72WKDSYU$gZza5}Rg@QWTMHT+@SCrHkHX$?3HLjni7N4$s@i<5F zP1cy!=iv3+@RGxS6`ip@0a{9q_p9zgc%&;H%H51D`yW}f@(sQUAgo%r|0qIKuL|FUIk1_DZv8uOF zI@U$x z6@-p~M;WCBo!8yUr&~;6U6wlpRI>9`isNZfJ4qNmMlNa|F?f{Ql1@W+1`lef2CH;vIJE{rg~)R z?Jl>vr-`+b{awCZbkz?mv!E@@XIe44CVf+h^?xDzO!!5qAKtay>IkPUVF$PTlxM$ zpQ*>Td@Zv3naDel$r>!6fj`T8-NWdC_z$)TTJZGbm*!hu`(61${(*EX?WX1w;FE}@ zTJ(H3S?&+(aOn$ig6X*hgtYbu_K0ojp+MdZBbYqD{ySg`s(~Ua{`B}C&bIa^ zLQ#B+2)=XaL8WjdCubG=a%?#^Vj<;EN^kNmorCe)C}`D*&1*1wcfds1a}gIiiBf;S zIK=-mKE$V}j#6W5b~rnKPqbENEnm?%H2GLmLglS3lq&xW*Yg8#x(t}VdT%@%vZ=`3 z_^BLe3e%W8<F9- zCz2}KI~*&1VF-b7C7Mys`M~f( ze@#I4soLIbBbCm?Cz6am#c)IGz8%?@(Zz*cv5HM##l*LQ&l9rTn#<`|eg40FlD+Xh zZ-~k#E3&InTl92ddQ6kudyJ&#e-LPoQ~aa1j+Q}6`N2iV8N{}N!dEaw8lmt8alPH; z-Ox(iy4NkkEnTY>5D8m7OTt)_0ZH<~@L3LT)~j zFn;G@%-S#Gn)@&pCAj5coS74{8zt66x{F|F7mQXk^M*C16~YR;`%ID+UidF8g}}wH zT$i-A0yI;Df#W+ri~M^k-8Y@=573G@AUfF{pmQCc+R6^v0qjrD>MX$*!eE^)!8|>21 z_fSlAx^{LXNvzNE1M1g*0X0bypfKOpsrV>%H}mg_BF_DaD7f=wPM}1@KO0u4!&A4z z_xMJj=h8vpCd_v(x6+m~QMSS%3(pm76Uz^rsxjYq9I~T07}6YZ*1rP*Erx^M8@+fs8oR6%y|v zPRp9SMPUQgL$u5BBdx_;bnIz_wsRfF-0>b?y#})16@w%vK_c?lAJ~ z5-WQEE)a6^pj&G^=MdOQ$V;M>hU3HH?s<4T#EdV@z7?EzC{4IS_tV$QWs_DhsrJyg zh|(%s%bavi*)asRef?5>?6=u$!sK4TRgI^!hYs*ngz;yb9QJQ{04pXv_wN>GL6j}X zG;?EJ;Bf?}ecf6Op#1w!0cwa`;C^3_<>)#(@nhbNL_=n{{K#N9R#iCT4}Oa;&9EMI zD~szg^dSp9sUvK|&BVdyo%R!SEWJzY~-=7cP-m${* zJFauJxt87w0h*yHxbDF?CzyP)B9QMnV%bw})lROdYC&))`!wxkSONg0Jpq69vFT?|fS2R-Ev6A7l zi;rQm8N`3^iuUiMH<9;k9DdbtfTSQ1j#KibXO*8{I!Ix}w*~PN<-ZZh39b zOV!`KCMF{s$riGko*iz#)|+mUhxZ>{t#;0fhaG4uj>%Rk+z)l7Lp>_yX0gxH`DLP< z${&|_aUF$gkx!fzZiv}8-t6fZYzoQZT-BtYnK*gf&~zK< z29u9_X5ytOQ@;*ga9?Q2e+2Y-P&-}6-rE~wtZBM@pt;siV{Rfs@6es*tY*T`T019+ zxnE)+%$rmNLurw*#zRHuFF#%tJtzN&@j=hhZk1wj^0d`ff$@sDT>tA1x_T)JjT81h6JE@Orn-^BHdPt zUyHKs4H5%?F8!T0?+X$?H9{MAeJcM>!TtK0^As0R6s}IT*#`Gp4M4649xt6Q|MFTv zVvV3n6n&ML*aDo--H7N6^~HiJhxl;CQ7x6(d)&knIob*CH6CGVX_aHYp6vNZD$}xc zCo1$&;SX(XB_@By8WFv%Tf#9pSTTp06B5aKWX;`z0QP;fUb<2087Z*_U{QcJhC^Y_ zz@<~-$VB!oa3DCjU|js8SrzKM9cS8k8#r>>EqmTNNmo;o^#K4{J#?p4-P1T`^hB%; z#%#Pu=%r?&zjY>Uko57j+KN&QWR39d)JTh&^GAk?AK(>v2Z%|vWcH`$^hN!m=a%Y5 zBCuda|GeN+TzZfr`_*es0Z}{2&m71~;GMVEqTydC&FKYL*&>dG`e5}kJ=to(_1Uh0 zkpC3HSN)@}$mgs_KdB8$3Ei$%PO>7%C1Gr)o@jDDnNXj&Y7fP7)TZKZ-AAkRSawAP zmQTZ!)!sEp7a#39p=0VY9c0)tbKXH+GV$t(XGF3ys#Q7ARRce;rkd+vT=@BEgH{bm5v5)4$4hYGH=bU z6$IZd^$tUvo(>e3;+0@LXXDCv8t~&^;&~SlZ%##eU(TXgzpO{!e+oDcZa5k_!U>bR zrs5C{ofaIl$SAnq`+eCffUV3%4Pr_Zi`HDu;zxH1UH=0HEB@Y>)MKyGX&CBC3G)|v zU-M2}+yQb(6RKs&eP`}GQxdkhp2ijAUFYp5IUzc9j@q-LA1ePt@?JXQ#{Fivv~rS% z_Qae9JSbljWJblOH>e2js1)!Sjx}1bax96gfx1^icdTsJ9D1^UeRXSF_yu~0acR7w z7epZ`ybEu^St7FGWV0{DNtC+$0H%SwH-S<{;h5u z;s(kG$CiICB&Au|f6Z&@iN~dEq$?TthD#8TAuwKfs)?dFp zbdnikrscC+qKPdi`-lf-7l=Db&QQ>|{in~?F=2z&57Vs{wQ37a6E6Ysq%u4_&L z2`$_D-Nnfc%6!rjp+S6M92quDW$Qmn8EHZH80h?BSheX)s4nde z%-Ek?!7!-b^g#}W+E#@ESH`pa_SQqMg{F-cw~Ws~OKnq`@DWq#1buwta0ba-(Xn(v zrM$eNxtZfaJrdPI^`wPIDd-y=F8;ZIlNM6W`D4JrNMxMlz5RWDC!rNpyN;F?#L_` zD8HG#`U*Nk)z#z4DY%Ne%pAs06sx;IX;fX3smtXPPcviLk6iMSJgkDEZ8{!dzs9dt$ z^RW=tpKrNYF$l;{u2@{)sJ0=n`hWKBGqrDBS` zuk#n)gIMc*5~6E*f^wMrg;RC6-WrEB^v4Dvh}z3pi<6}a5q^DYO^w6#=AZkn^F6Fq z85LH8`@Ykhyv6I~2Rn~v{!lD~rP@p@=y3J7VdQIrS6vaYr|Dqi;wEU#(E_{S0WtC{ zAJsxRaGu7opHIDJajh$@Tk}GB7aAFxC2@uAuTJI$es}ergw0*VfEf@P0D@Ug5WZtG zdymjLK9=)wl$u74YaEFmAMv(xa0vfXF^-ZyxO_5Qsb|&Oe0S~% zioMVDZ^gaRcdxNZ2mS=CY!4RwINbXy9Bn8Ech@U;e(4{(p%J{8JHPFZ^cwn_h2v@* zm8Qy?xFcB`S9iEldr*G;BA_e@LO2=R`&XEeH?h|cA_kNV#^0(wSBOOgyp+3#c45#5 zusj2C8>_9$G6ok((7gH2G72)r+TwYSic6fbi8+d%p4Ool;lR2*%)US1c{AG~wCzOM zA=zs}|4S6(+kF=j8ZE*j0zax|P1j#VpIrBL1cYB_2`}C2kHhJ#92Kul*FX-~lr2iEW-Ryo+G;=?UwCwH1g@oIhFIDpz$ghfK*5r6L=u0}>tmd@njJ^0KyhpR2w9s|!Ub>hg))()O+%(<5fdUv3G zpcj7j8jJwnxY~mueu&o|ryUgkGT`|0C~GDPr!jax?r$q6Bd9NmeJ*->ank;2s4D(0 zgFObVkDq#R;Vgb?b1)t^=LT_*8y3@Xb9RG@TeS~&2dwQJ1&{^^AoqZ0B!cdoA8=qo z$v{*I!ae@0>n|?)Y>r1=R;R)rcb-q( zUEFfj60@lkrSa_;@qteUROxilXAX&L!0U*k7Dz7aFQquwHX75?StVoqu-U}_S= zo-^)%PmrkUG9jseCr(P}OYnOsR@Zk-rxEHyJHS25X&=CsJ zybtZKyXKPPy5H*Hs-^O&rJ$fLCj}Wf)^*jKF>~9((m&+`%_fd>srn8jxHBk{?#y(k-Hz<^)Es;<>q7X<3(*$@66Cj_5#ZBvH+AxYAgt6kp}yq59? zRIM`SM1H4S)iR`5Ssn71Chf}-!*PCSdS6sNk*I6tDjosxe$wqCn4E66Te_#UZTk{w z(j(RH`w?I3RbWmx3Y31~@Cej>Ou|=%V43|FZ(;H7BS?QazX!tK3O;5T3Siu5iBi3v zjycF^Vv9%Ucy>5=7=A=W<-M~xJClZd@^bmh^FXk44%(M<-`XtN;IQP0b@Hwg_r(P*d!}FB! zpp)AdAb(`K&ox^s20Sj$tY@8j1F_M)KdG^^ z{(9+%*MMi^&0LPqM5Z7&X&V;en9vm|g!T_MbO!hw{AMbwHsPdVsyBT&S!VHFW(28Vm#=C=MO z^TYLkUmWGrE@fz#^8faD`PHnp_h>GRe2bNn!45V~s;v9>f6;s>OxeG50X6_pSx23C zEs4--lYH-zy<)Zz!+tGvhmjDiA!-m!K-0XnPC$u!NS;x%H}L*2KA_ppGb;Hl@tSg%1uDPfFMdC$~7 zURsk=U{oEKC|Vf|vDSo6;NGd}=n>?Tfqh4VNchIE`0Tg@lX5nFwl=-0C0|~joN&4| zE^TN;@3+t}3)LGXCzsVKaK_~PZ%;rB!G$MWia8HX_Z(vK!TnBBKb=6%%ydrj5QZk% zg|P+mPQ*s;=+0(7k5tgkDj0$?ouAd+ z5!p~X^-1;aiTWd@fwVDYoo8mP3=-p#cWT7}xPm4)6s?yOq6^d^sGBxh@`Y2C+Ma^W zEWPEtX-shw1Qvi_)0>*MMLjkbbC?H0hF0~eHNX|gD)piFUZ!F0T8bZz+dYDG#iDee{N#O=bLy-%LTSrGbJ7%NTZqn_ln|w>+QfW zXrV@m%c^a~uu`rR*A4Pal6D2}b1e7$x0OPWvHn6@my^G>Gl$TR=)ME^ z#!}=LX>aS31TV*kaoRfT79JIM%+B>olyyBY47=`Qb4u00IVY4@MW%J&`4X!)3&=QQQkLiCFrII9WC*(H|52E1NEtyXgvvcxP zAs}}{NfaiV7ck)NQL3dL2bGPG6}MHdd=@|ZTq9pYzdT!q zDR?a5G(PJr;Ur0g2+}myR49DzMZsgXdI@$1@~qDzJiO1s=r4eAjs_}+?5cJv82xcF z1evI!4W5c-tz_uI=u4S889=T=is$JAFqNXPDFLWDciL3NcIj|s4GG`+EMgA4k3+nE zX&dprO7P|qHMy^GlVx;x2Avh{5w5PCZl~Us@EOXSeKMCJAOCUs!T}wNzk1*se}|4h z%KKw$&U#*y5OLQ-MSb!>Zo;-=2n`$NPNQ=AbYbT7d>C5cO_-W#j@~h60$=A(!QfCqBAW)`_{8To9uGxhYUwV!A} z)PlPtSP_xU0}8C$!fbx2c6VX3be4&$Ox}a7e^m1CKw0r@KNbDwbljMC6_{AvLVNQn zI=*-Q;Pi7%K*yI1nP(pI8{U^RVyUgQ&s0DH;zycYp~xql3h)<~{bx+* z>)KwdA2~1ow@0Sitd`xE_+>DNSjFee{x=(5hY!REGe;a;9S{sUJ#W74=E12ZEU3%; zgLvE*Hs0CxTI~p;C%4H++O2P|4{L7LnVN(;^#Ew8u2_N_RH#3i?JdDVK5pgYpfq_4 z6E$X>;veP{GcwWu9x~GP<+ftn6>@kUw)_=RRbVvcIqthbd{-E3DQ>kQ%`C>h zx3$M`22np3E{Acx+9TK$uX9?L66kAE)V&s+TenFIKYit&s8eKcjo zW}vr>L@W!WgjC3s-i(dB0vHlh~)sqfYpEp88vKQ{s8B2l{TQHO|58docSC3_logGSobi z`ENzrI|cNm`UtW^djzId;*NZlD>@2!ocj1zzw!jnXIku8gn73vgYLS&|7V{Wn^LWR ztl=*2sJSM3Nb%iux{9|yBGhyUG>`(Ex=_GYzV;@ zJbMI8Lv)%hIQEveUGnCDvE}QS%~BS9AjstsShJxG+31lOSJ^$U3-~)S!6jV~KJhKg z2Qos`!TZqfE)$7<5k4ZxTYb1YWgG zBc|pr@o%Zjx`v$~()Q~Vb()-!P71~HHFHRRU8c4*r>-c#nKPjY z%bV0xj5E5uIj+9Bj=@HKKHPS541gZ_!i_td3qDe$bm`wZ%1{S%oe+3fQ@wxg)`~=W zE-tgkqAG;GOMqRYqrmP%B>zH5j$0(Y-ptJu0$SqU(6lDNCu9hx!SWq2PsR%_J z1j70b=@A;QQ_Fow|6W!a{9*LJJ@+@~1n%Lz?6SNzxZ5XK5yB;>_y;4^iSJOfb_9|! z-uiNx`e16@2V$MP)?+BIG!aPh-u3ngGR0fQ_;qbZPACW|BOmtUFvjb333;%J|BI*HjuW+A!dy>#5Rv#eeXyTy%Xe* z7wa~82O!}f?Ocx5h+k0~O-diQ%E50~sxkScWjSB%WIBSHlbcfze@MgB@^T7=Vl22B zD${JIRYsnkW26aI;rL{RyRIE&*4n-S;Op|^Vq7NF}nQmIQ#<$v+7%5unyTRDLfU^`D zNNK`0(b^(clI|kyPafj)EOG8U2cLr>S#0crU~^;6C`6mFe2RBUraNL@qyF?LG0mt4 zq}ebu_(43zea(^nic#K}?`q7_;N6SXlbf1uX|})ALPPrV`QCzN!#@&#!-(ZdZOpiI z;%=+%_`#!O(1h_7x&4?^J>E+#4=UNXR}5@+r2Fhmg(K7z`yRDF}B5G$6bouFC7dva1vT-O{GZ;V~Ike|pn_CvmKV+(D~MCwM-H0LrJ~cfJdkU-wN-jqG`h;S1>-@PMnIk9c11`=C;& zp(W9iv6{LDuT&gGD;id_;>|A1?*If|OzYVz{t$oNhvr)fQw$bFo7i&0X5#*`*8L5q zMTgpEFCn6sgO+WxtEh#IC?ZNk@y~6C5eVB_TTS)6a+eDe^zs_r6@m`jQUM=~<3%<6 z)PKX|dRBBpY{V2xo)$~_8%vb1UJc{}^?!RFOEWN(f7FI2eeijDu~0rx&eGDQ6wdckfJTpNglG&nMl1+M z9jh3#&6q_(YD;&1K4p1E$u`wj%cCfc3A&8Am15pBXp*vu1{hyffr?36t~= zN=JJ0^aC=R7}3|V`DQNFZ)LCPw`FjGho372v<1%q2bW3_mCb&+Sw|pPIaD%p5UAC_ zAy2t4y=OSuTaVntJHYT}|5!!!@IOM@){<+~7e5+-0SO0S$EPBm9t{cLiEb&@kLEZ| zE&5ZvHP?xpqCSWNDzs|B;#^r_s5<$2{Jq?!o{1mdpk`2wAL}2 z8b@u8oc#~pH?tg7clsao1-R#i`=;0%{Z2z!=Pfl=()lN28NLmqlp@Z1rfBeWeJ+!B zqz1YB9HRYpI1J^M1Nui!XD}jShdw0h*7js}!$Z;YC02ly7F}-K(M~Rc?w!+^ z%BPIL3Mn}eKJk_ikTKFEP2c8T4k;ZBQboA>q%6LoHo5_rdfo`tP=z!Q-^bM~;E8Z# zf{keHx8D~fPTQ^dyZS+Oz|t`h&&<$j&{w%v@5LV+~ zkKZh&G7W0G2D<~Q?K{$>2JEYkN$y%mp+by}p z`!DO8$nA_BmY_@H9cmp>w>M?h|##Jgx+syWM z)r-hC!>0@*Lq7rPZA(ggBVNFM_Z^YB`KBBGCx9(u0Tqr}?ASbu6m#qT=f3rd99a$% zCF#QUFaO`jRCAUV*5kPeaqO}^ zJ1L&48ln^XRO_mtW7}WTy=Y!()_tEGS8lVDnTIMmH~oagJc6`qR@|)jvpmPtWUvQf zc?F7blw%+cajz*aO`vx8L&DGvHzV;ykwjB+fUCq3b;czM&0h;%MMlNpgj%!(;N3_a z7%r_oY<6Oy34&U))Gd257J6?I3haDl?sBRJGAj7^QVwM7DsGb{m+ejt^4B0QXL5n> z6{P*>kLI=gl;++w-j6ZM$+C0@a_PV)8O+?rBmpUN9e_c)Lk+DlyH10C-YC^Ku)<~w zJaSsEfRWM2d{^#ys$`@iTX&yH?eL*)J@wOBi_Vd&tV&c}2R=qEtwr)Zhd#ZGu@*;0 z*saKj>Y3^pfR65h(^3PYVuCSVJ@C$;v0UCaQ&vKHQrzW7#aCvMryWAh4;Fu0Aig%p zlu%LibS~~p?%rfTl;P)u=16mmchu*3Hb)r^m#>q{9Dwlq*L(D%ATDpg`d6N=+w0^6 zu9*EPDlx*Hme_kWmgOiHb%b%MYDOt1J1NEJ>bjAgE^waOkcl#cI9wUAiKbumoVIhQ zn3#yyzS5sC0ncSo=t0B&GU>;CTqg^kBK}~KH>gN<$*gvDsF-|_Hax9=KUHxaRyvX3 zC*#x>IiYri7;?=o3*{HM7;_!iOle&ek0O1RjXD&SM@Bi-6^N%r<{b|*KD=oA;-qPb zS8E+)P96vKd&2KzcZzqju|^pQU_?9`UA zA>Jbi4%bH+{`PXLPOONvz&M{WBI0#?bx?`U3!bl)O2rf5nkB+G+FnXVJ@v zChxo`a=U_lm`;$Xi*AP8lEvT3a6_%ej}RLMLg4cifD7zTuuHh7?B!v_U71^DosnZP z4iU4l+TRN*WI$yLq|=BT>gB{U5ejVtma^E{1NB4?^hOZ5Qv+f~U@VvRNapyjC>)D*r z+^dBv0Opl%G7|zrP-eFjvJ|U1)b0oUF~Ne&^ZbX;SM zckZ?SiE{gcnDZZX;8_tj+ziRuCRzR5KmP48^Ax*zht+fLNeM#gH z6QCRD&{6!?l)(046b+G(A0LhJE;fK(?(|=Q`nbXvUYNif(sbR5srw~ zeoI&^CV6|(UR-VxZa{7q$^7?FrF3@lyxilaR zI?tp&P0^{yrRJXw&%@~T*%Wl3mYeU6weinG!j1FeUE4zi6fR1;Z*fIVshp*}Nh9zl zFmu{$Ya?)$t+cdUn`HGy$kOJdwMRqqxh2s%mrLAaVzZNYvGv(i|L_;;?IHQ8R`k)Q z^KcLP+QD8y5fgxSFh_>dl&MeOn`FfhntkLU*3B zXAI?ik0hpY;)VAZPt$$K3Dij~z>zNJ1VEQzcJ(k*ZDsg}wd%bTL;CSZ$WM6UUzL_4 zOx=8$yfBXy3EsVr=czXJ+C`VQ?A5z__(+tr`9snoR8ptN=; zdQZZu5Bc_8jj!j`!DQs(TK4rwb$h;mG&Oc-hy?aI!EnZRf|Sd%KhUL2?TNZ-z2^@^ z;Jihwc;eE!h68SC>iOiTS6x}<5+dJalyNx-mrP&KnKUjS)h9^Aui*xcy+n-OfZoSs z=&Vl6R$n8$?yWX#YryN~eN*nTD&+msFfFw~J4QXLK2SPsG<{8P_yqOMe9^78Flzg? z)3$!vwD(}FlcJnwGQ%VTqT;{rF){ZCqr;6}4;uYAq3a2grb2HBhwAG_I&Ko)nLqzyOM1~O&;&$FZP~yU#m%@J*yyU79RX?^9 znze94zb2(5DDgY}l?I`yG>yyscyRvgrURzg&bobBZy-1XQtfb5>ijdi*@JQm2Wr+S?ULa>0++{o5p80D>i11|BHihricW{C z8?c9nh!C&JFh3c=L zmOpqCV627C#%Y67zu~d6n1B{o%IArUD_B!{(PKRQP&V?Z5zr0R&)u}c2;JW8s>632 z4isuXdGb|S-TIds6|76KTBSw!*H;lsIB)-&bH(Mnl4)pSXrS_CP^B0FKqMSU<>kAk zserw3ZVZU1neS)5KJXIL*ziQ=Jf;b=qdDVS@t?&wjy$nQuj3+jWVcn7;dLGy#fuoF zC+*Z1;CI(_#m1Ke{mS!MZ+V=M!77ZS#02j#&*EL4p4;AlR8S+`gwg0(b38j04&x;O z_$Ue?fu&xk7pX3Lo-|^HH>V`x8<6LUu8ENBEEOz{&onuc?`8SPnc3d$6SaC8>X4Cc zni|?{r}}(VqfB@w&MgSu#q+o=+LD5)d8976`Az`y$p`(8b0zx)tm7Wl&kffP6KRqO z*u zUw&cc(?D*-*MN?gfndr+PWGrWsM@i}6%g+Spa@>RVuAky6#99S~ zdMydHix6+>w{`3>=?Z&?U4>D%Go6_DOknRL#&7Uq`;P3X`%mDSXLmsS5x4O|57x*f zrMP44XFWiGdpQPBLDr%c7R2Y!AKMQ?7}6m+vCtCMZ57wZCV#RWDlE}vN%v7a#By0A z$H}X*5fl#Gg%bTB$cJjA$4KJ|1+mA{^YP89qxJ5^&z#2IuTf6Z)sB`yND=rk~8>Hpfk_3@8m+jtL*T+x*LK^ynSPo8;X~$ zrp~S^E?*cFGvOPvaIdr?nte*}(51Bh?V-g?;A_7sJ3^o*rkZG*kp9Z@tHt5INtB^hZsEz|cgLZ3_z|-> z?k@A*qmP9$TBLyi5lfOkP`k?c4f7!P2tJmzUhjSFOni;LPcdy6w_dBVxgzTdF{V^_ zd0HIMv+~l*H<)-Yp(dJ<*Bcbt^&xV ziTyz24vG~ETt{U_8fMf=y+Gvky>2t>s99+6ATJ%&sSrIXBqOIa88FN*@=|AIp$#-f za_Y}wKk9`IB=~a^L4Jv%^$+(o3tzc#G*LMEBkn)lPcW5|(T?qPDzsN5;f z>LlR1-pfP5NUB`x+Ff>WKUpEkr=nv;T?O|aMrQ{nzoQ65ZKP$VIrV>6$ekL|kL-4x zF&tT68=0RDM=$2zQ5lW+B)X%hsZv7&+VD4}=7qNg+gL3cpQ{m}`F>Dlzxo~FsR*Z0 zwl`X*pXq$V%`-#bcfFdslTddxr;RP}m5LI{4>QOu~-&GH`U4NL9AU;zx zWVwzb{_q~AeADt^!NtUx9ZWl242WKcFJ|lxj<)^u7q5RMoim|*lik=v-=IEHc#cYB z-+!NZ=Y51UOrvS-Ar6)bT7^~1ba*M&qOUb9Z)R8PK0O}$#WhOBr=izqVllJr5%de_ zG+k+lr5qT=n9+b>AzQ#VPv= z!<_*q(hdvxm9v3IW z!H2q6&n~^O66}sb;~`8_B6HxR(xnZ|H70FeT-duBt;P)QIzRfTQoya0XM(tKvGoF%;3jk{)BOp4gMoUcn4#zHCV!qzXHA7(|n$4AH}=Jl!U z?{o9s_I$!cvf0#~ft#t8kwb%q>hLURKgct|w%TO%cjRkHZE_eWx5}+1a&o`rD*({c zo;EEs4fv9)*7z;kHMD#wST4!%>GBVWeN&)Uh|1dGd0EcKct#G{{QUB=3}U;_%TU%4 zj2VLug5V=ygXuV@iZbAm=NT-Vp^x$qgFFSs0bLWB4{zs_ANwq z{^{~lpW6OyWm&#d{9gV~ypA0zk}a(gbYW~vzc772XR#%)2WPl=HLNWH>uoqS{^BR5 zJUh&h@lplzt@rP$nb!iEA5X2?Qqbr=A8E-8GP)|i1uwJ(#-=|~=AI?qx8q}1d zv*kKm?eZ{_{Ok;tvyfqX9bMN4^u7Rqw0$Va(@S9nsYJe=RCX7CX=LypQ%8`! ze{&Qt2ZDf+`=5H<�-#Hp&uOON>CeQ)LuLKybG5OS*I&L7s`%@dc<}|G3=jYO5m$ zN{)P;V6HD4;%GATZ<}7A^D3XuWU=|9b90rJ{i=%rrE>M&$0`5*_1%V}O}d8E`>Tif zGlVa{vJ$C;*r|`O`g>(PDh-||F5sT<#AP!JfuP{GC{#A$D)X%8v+~iorEg#wt&Rli zv040iVuqRD=vh+c{B{CAG$d?U+Eqhcy)rVQ^LQZ5AS*3gAw5Jyj z*cF)N(O%$r-Al_SaR|5s#v0Rb0}^cS#=hd9grCt&bS%Cgb`yCMdA*m_bRI*+mo)nJ zHWBKob`jeuf*j}W725Y)pC6B8IaZOz8+^yhXQyu4obbFF-A@r(w;etrlKv2)zGiU& zUWY7g^h4HD-7^h&y)1Q(F}Fj19EJp2&5Cd&9cT&Uj%C&!F^f_Ypo8Rmz92Tp!My|*N^lN{^ z&?c>pB=P^ZXCp?wqJ#>AvHl~i1q#nyzNi?nbRu0vr)$XKf?P9nwqhmGyJ4gti?gdc zn-T2Pm?F3>%2rusxTmDrzg3|h3w&=)#ed({U2=FWGi6V}R1QTX6R$p3^zl}Gn|9*T znf>EF7G4Err$DQ!=S2Klnpw%C9z8@h`qHSft2ngA5tKhVHxBHNeB%`_c&B(_s;tX_ zDus1!h#!Q0gXuhHH}xBDxL-9&e4$b{qGWM4Bc0TGbt6g!PiRJzivv!Md&KZ885H{K z;`JBezQS7A5rzBGsl9o=q--N(s^Z9O&D)wJ@i?W9KN?muV~$xjR$y{6WashaO1wEAxp`CWVIq6 zdtIN2rNGO14O@0B8EV)BXdZ`|mRS50;cY|3!Yf7w z7nZ|)wLsYl3a?o>lWDjmE&Lzw^8u}JLx|xl9*p@=Z~mcI$b+~iXE`q5=48tMki=6~ z>)kkaGe@@B~~MUXo}R9F>Ng+bcc?uh)N9&4t;$kzT5;eF38 z6T&C)3vz$7;P!*v8&$Ed5=?p{MAr0$H-z7Q@o=HIj5ADX1r1#f_O=w zIteqBOzT0W4c1RCJz@n(Xbtp!ctG~en}hFtpy1QybORiiF0FY=pCq-Zg_%qBh%34W$Bxsa10JSM z9{0S9_Lpc6vdI#QKM|cn75-yX8Je1=x%XqbwX&vHHO9m=i|rj1F#+eBzbJFt%jy)} z8IDyw=^En@mq_A|3Um|1xou-W$Y>;XD%)h}Rj0#p4)L3UZ0nklj%Q=M@Ao;(H>lq* zPn!RVelzrOUtU!FN&l0WZY6XxfCB?mTAAQeA?6Lp{i@nXg457PJ8QD1i|Nx)0>JYa z&N6};k|u4I={}4dgUthFqf{-)0R?nH^VezvqN|9@c!ZPB%xofNTjg6RnbgHm+{Es> ztndI>Pqggmz~zVgBI9%2f=sfH4;n~tcGjUbsJ}lY@K=TZt`G65 z<~_{#baM+$L+By?4QNwlnyEkyM^GjvCZTL+@w#)_C4jZV;gIIdzQw6$xxzEhqvGoW z6_A8(q)uv{tEOBWou?W@3G8NuzI!1;5(G_dX^R4lGMX&>$yM)2wUTTG#5^4lPau*5 z#pMDR2`2n|a!Z`l<-c%U`<6e;kCjGLjNgf+Vm8Mf2)v& zl48eaQjmzRIzKYxXx=itxBgrfr>CW<#t(IN$s;I9BbG7>Fl2u z;_*q!XRL8LxctwW-ZsL1>4{nW&^`H5u5dRglXT!XzkzqFB9i(sEK16=3C3WF7yr11 zwe%ZLaI;Tb%!3}YRg#w^U2^kNW4CbR5(-Xv3W`=$YxPaD0a+WCmlc#H{uZN@#mkUY z)btZo!-Cath-0&dG=|92@ld)vXH+lJQzGyDgtnD+oq?VLpvh5G%h3gr|4DVZqL;zy zT9Cs(RaT#G1%FmB%W(o%XL;R4{| z)w_br#tJqQN=q&|A?t`rjCbgHomP%cWD#4H=Til2o)NAdK$%uc?Bmee?^Aa+JlWz? zY%Z(ufczkD`GH!Ym)U>b@s{UuDpteD>QKX!9J@7bdv)fjI-!o%Eh;W&9y~u`@;#SX zmUEXGZ*J_3@q?<}^9Obu9GP}CP9uGtM#{7AO_lTvPsU6*>n2rS=bVYKp(Gk%<9!Gl zxh&esOkfzk(zVy0dR0mJdb zh*W?W4gsAhX4|guLo(&5W?}IQbk$bKl^$1fYQO!o!RkfXp@Rp@?^vLPB($a5F2YTi zrg%GI@edet%TV$?tB`Ka^gb$n07E?^BUdaI`oBGl5512h_Zf?rtB*MfJPU{LXneg6|q2BaI9Pj%{hshsNv$lk4j_I@th*ZF@Gorhb}>)*%E zF*9&7+?yp1+G9oQLOM_+A&>_x*XlKd%={`Ho8)T;fty$!Cr7yUr?W9*1v0Ef=_mqdTbD4R_;; z$DN^!RNExIKozA?5yD{1eF!yy5M-bF*4{VhaI0OrBXyp`dqi#Ieo{;iyuYi@-IQB4 z`6^KUo+4BbBBY#a7I&Q+#IYB6#~-xGexa+DxO|!U_D$1HU3cZq=JoTYlf4FI)yi05 z!62Uy`d5n)ZS2^WEUG-qJi3_ywsUuRn=;U&|2+nLK@KZco(P`Pt(t~t>h?_Nc#loF zh5ej#%X`GgGzOW%dDV(ikT|S^7uhL8xYpz^|z<4!f zP5ZXO+(d$F@5hbVVOG=Ji8D9?i2q>8uU)`Mx!We=5E}W&|EW|SUG?#ogxl69gFNc@ zMhDG)DP8;W&0tknT{tdPS6$LEf3QAY>12oOF&=X0O`4tFq%2qPcc2RBw--^vn!wBe zPkZ9*0C4Jord+EUe47DPQi?Lmb@QT6)mU z_7L2V!9mnEtx55|qC3HNBEHuz$>~Pid z5rqWM3rUIW0?Fm2`7s}pdz1phpgoCJsb48SO&^5lDdD+1W?=yPhKOuy-7B6B|1| zz8S3VJaPX$%X?o5Jpu$o-7iBjd0wrC zv5zFV4^*Lxb`Vu#ks!im1|lj-WeG!;02>j}2fzv&4%Lq&{!-rFL5iU1j4RtnUnZf= zA72ARo;~emET;SijH`@b0c;%H3lhyRIFuv(Zq_8RUKE;4)l;;+VevkbD-s5m9*?sf z75+{~5o%Zx777E&h0sw0RkasmS`5xzmnn{eYsQQh#d3goQh9&TZhcZobv)WHAkJ?2 zSCU4`XX6SD@MVW~7`V-dw&opv^y7-pu)(Ni{{w;i*6G6oZmLHe_r^}EfAM-#H0~?9 zZX4O&vTdC`qMqy|Q5iU-9YZ@hpx_^ueAo7xB$1xUe7#A9fU%hhsFH_xuo(u@D ze&9-YbA``$?G~$3oA^THf4^;%CYKZ5_Fvt28HPS#DdnyvjSJQ}JoxgePUob{^sWz4 zdBfYSAxnsq7bC)UoUS(H;VM+m0g}BI$u2)fn=bM`>TLb@+X3~{j{D8pHvId*`mYzY z@Wk$YWvVuPg&g=~&i?BNwjb~`82+flxHMczV!|GIYC&t(5D2%Oh+7F$r_V1UmOred z5~ITvbK9ZgI?L?qxdmH84yYHS?n?iL?PfG>&W83lz8?zJ{4&@dm>1P!skBCLr{*B2 zs5!2`poe|q6sO1d+P_=S@i~%2G!_{MD7QnUuX4#1^9iMivT@O@0*6odvQpJ3aXm)mkP`*p2K)gr z^L)9e!1Gr;=DlNnJF@z>cbJFy6-|aCv=m`sRzDySk_C^A9~|ifhW63rtqUGh__UH? z7OuA%|&prIA=DpmQKM*IMZeHQUe8cD5Y(PtQcKY?vR27yT z^ZN+6jgO@r0Y3=nx&fhnd_}>D`UM9=ErRSsyjK3`g~k@$1Smj~1+NM{E=C_XL2YhPKNI9zW5tv~|BXT=*_k=YF<2ZhPsv!L<7hiKB~TX@B^h!lOvh^>pc=ILxW%V* z7&3rgIKXfd4k~(F_8l)MjP(OYl5Y8R{{0zlF3~{x$L=ZhwL0yI$9NPeo~ouU(8N{P z8gCL@jcCWTn)7tmB;XD<9W;cg4S01W|*j z_mEE*=7;d}@lB^atOF+DdGhBpflrd}jEH_b*t$&E^$^^>WDOgun*aii$MR118gb1NY-hF%04(XPZX)gZVEULU9)t%uH-JqoySTI|*21*I|l@y$%LP^G%@26Wz< zNeN5$`bRFXSE^V_q4`;LS*Gd50(ISJJMDp?M9Z-mdu=s1)M#LSlkMFeymUnS(zAcm z^x>xBDTR`sM#M2TTmnr=tr)SqS-r8CS46Dn{^FjgkLetqn3?I@-#6@WMW{Y4NS1B~ zvi6n}v5yIcIZo9^myoTBLEIt1Z9Irag`MffDk(K=@25MR^YT}U7}&E534r5te9UyN zvB%fporAE1?+CSEF@`Y2KzN6b-!xFujjzUbXjaV{=QwY`+N)9Tsy*aL=f!F7B(~O$ zdJf(oUVr?z$!sTj?N@Bc7O`I!>F8xewKB9sAp#LHPc-1B<}TE?)k*!#Y+*LKzM7vB zp3jGc3Tpm<^_EIj7=Z@f(+5Xf3>U zg>h<%D?o5}&H{HajyXNniooV7+84Z(3N+nv?s1LuWJ!$gzyqEWxfPypRe_ijH9@^z zT!VRi)F4pFiEXb$^ty6Mm&jp7a;oM~rtBJ{fwyN75|3}UM02?0_^+cWTy!(R+xt|Q zuCT4gvffv}Bv$W3*(o(GUIC)Nd+@Bi{j~M(J|SijXvfOxm`LzQ>cE1_Rb*$8`-ZQ1 zDLyay@vdoGMSM)mp<6^>baLYZa8s@Z6-^5m@#rDNoF$m*lU?3KFRmL-*yPf_9ccITNU10;D+u6*ubdor&|6S zjanq&4e$2aONfFw;$=$=vV5*M#iggh*%Ovg-UAd8EE{pTs!ZxlT>jlmu@G#|PcWzm0U zWV^!QCb$wdW1z9lRhn8r^IpDEjUK2wd8DCMOQQb)qA)FuCaV*PuLtBdEk^0wD*}xl zTIs^|Z~RmQ_2GlX3Ib%&8nGse;R34;qtl^bdu# zQ=smrI(xhWRe>mM>8z#@#M5)8vp*XH=RZ11#<;E^dH)M#c-j5~{CHikIMsG9ay=FxtfgMjW<*8&z7>ER43WlNiu_pRLt z*FYUIv60AVHjFN;8`-rdSA|$TYvs;O|KPk#_kQxk+kfAleivIkHG9bY_zl$z$-bVV z&Dt&$;_2%3jWfLav-rkQZ#B*e?(Ssbf{eh`4D&iKrRFpHM@hDhn$<>2ZqevPpSHyk zJ&|zNC*2HKXHLft)db{dT1|z}x;0f$HstRsCS~>x=!!aPVw66hP;@NDHUQkFMZ%Jw zhc`rp>Z$LJ*KSucTw5Fw9dl?frBY+7^|NYZ>IGF!abfQL(6g@&FE~rTv(aUU032@# zA~6Vk8R;q(T+(0R`sS(3Ozvzb4p^CA<~2x4DQ9`NVoYT$P8Z1O1}}nzVO7B+sRccm z+lyA7$whpz18cszXve)$N%eh~2^ZvWWn-h9_$-!6&WWAuOIbUC-0)Ef23caeWaim2 zp2&}i;ir!zw2yA;4zf?gSjMGka+p%y=93lo+}%vPN;(|pa`wBX&#O*MJz4diWf2#{rV0VAx@OdKxO7_( zCeFPn%pt32sU%isvJTXEv})h<&dHH25@V~{?IQo;a8J~tkYSgQ4=V}* z&&K8a9Qv9Lz!NjHkW4ItmYy!3DePjnH)79*dX&};*p}$js`a>kas9{z;5+=zh~j0p z7}tN{C<<`KEb{6A0;d{y;RZ>AF$Mn{1uew@j!_5mM4Y1wLbeGxA!UsR^vZJfWzd zW#gYm4^4ps3!G$gCZ@;>hZBo9bJT|?48WBuy4e@;yfZx&`{RNQw^Ml^xss~*W^zg) z`PY<;D!Wa}hb8lL=XA8)d`GY9IF-E?h7Z50@SW-vExi6>6zj~@y^Jp(8erL=4g=ku z){4o+r_QAhVme84+Pm%-5OQZN(2ozuA{v+Jl^0_kZA!KdEI(>JWTW(6-9D!hGokF~ zK^Q(#JYzCR7}4hUN{_G78}u9wuP8Ca5Hdc`q+P|pf}$S1?h0=NK|MB@nRN;TkF(!V z@xJ}xuEiNH=RH|3SKqdH`Q)3?dbwfg!c)mXFrfHR!qcmMp7$YE?$1(uJqBiiSvGoJ zaXm=?yv;>+JOVl24bcQ^i5=;c;F?VJz>=j4NU?uB02Kx&FeDsTna1$LRu2%cC`R=! z?2uy4#Muj=Mx!n9(?@8aRk?7q<@;qO^`aZT9N(@*D(2k+BP}R-)iEvZ9s(NKBGW&g zk!fo%qiOx$Zv`ZRm78`|N`Swl0+%l*J2O3bp=&7|ih|O{IRZF=`j>#?itNCin}!4} z4efZIVnW~yO}i*i$ovCURmcQ)g04*AMgYpdphV!)uVtEQ<3Fn9SYAA;%;5UYxSi_i zZU4M&BU@Z%T*v%fNA|0^T{I{(mKB42|$>Q8<&u+XC+3zEXfww zk3}%X$k0mwgoNhrnbqj%(I=YpKS-yT`tUpxj)TjbVD0Dcg@8_1ap`{cfOpbx-~P)7 zS(U^fPtH}H@4T{Oo1ri%pp$ONSAXDGt*BOO23LN#C)U;9m4bsSZw{Mk>Niz6O8*4k z{6zz%y{b4}%*FRA&@8@%lg5uB{2HCuL~z4*psQU5m8zXkhN%nu!4k}IF2^UGK+OM| z!Y$3;wGZ8;YR`dOUc!IL{gh!$JXkimZzf)s_Yqve`3WI;m#e~RJaa~io_=Z7J!>j`zhh{~)kfMM z+*z_Wie3M5Z-hxJ4-dPuHAVdwUZ9KsH$=8#arJ_llRgNMU7hlPJ}wVNUrJMcdB-DNOU;CKKl8i|yg!W(5<@=03uKkvT)T zUx1!;XDsl)-yAd%CYFDNq~Uty6thV1vo@I*1yC9?qIlSu#O$_BM=rKZb_q6v)#jOX`f2c97>OxL2X+j2mXiZf$!J zwzQ*@z(3tz1)&NcWNgfN)~6$DfNKzzVxJdKwH%C%+cj2wl3F&2eAn~TZL>tfZMEPl ztI}0#pwj3Zxh|f@0h!v=ubsX@n_mKS{`?UNldh86ByW#+)PksnLhJ>=Wc1sbX_bvF zimAzPY0d)zQ#!^>7~)cw-xeh`)FWci0Qs2r}vHx4lOD#3qj z(UBoKXt4b07L`FtUAZFs0`N>)vPyBLUGd}o;=5*t<*Dd~<%F?g_RGU+DCzpDSqId+ z8_JVI!apcj&M7;4v{w62M<8m$8|j+E&}a@OXb>FfRa_eV9=qnF*agtzOs4sOh-R`#Vi5D2PmcjOkB7Gu7c;6| zXMqNKdi~8pe6j&P3{$s-d?&-$?Ar}w1-pY^4}P^d8}C0nKBNkV>IGQ4J*6N^DqTMy z7JfcPUEWDTO^_Clx_(U0u)UEV3Q*4?-g7zyR$h^o=Jqaw=KMb)eXG^e0Q#dk#;?ql z??q|dQmE#v9s@dLjF>FAhdxy6$r!VM_jy}&21f~YAuql;SG^M*B&rV&lvH*!N^oMq1&6n zFE}EHJFR8?1G*eo^x(*aLbV%(F@(c$R-XZ+#{!mqc0aV2ANIwUh?&T(a)rhTyGVk$-2EJ3balrqN^AjZxU>cz0 zxQ%gNnX3!NTmlOwwb~VrXw{)`$#ka^upvdzwr(k3>KehD(msjpU-RD`%+*;n>kfVC zol)oDD2|~=y!Y9N4q>aayqPg^+fpXvG52OdJIdc*<6u-XrhRSUYSfrfsmMQE{25B+ zzo&dv@lmxu-=s}0f09w!m=>=|yLt2%=7$+a^y>LoWF+)3B|~MoZ7Wy&p4;?uFuu1l z;o-s_()B(c^ZV*7wX@eG50)w9aB_{O4 zd;e?x;+Z2g#cwk%yMsUU+8*geDt`PHb3Wnf__ny&5Yv z_cp?t-zaA3ho9?}$MPnc)9kWJ@z*?ym3wXzA!8mb{OecTxV`wis+-;KZ2Xe7?w=L# za#Yr^_6e9WSta>(znjv9Y#U$Hd-cN6Ik-PyRDe#L9{b$kH(B~zax@wZ@gl5@l}p-t zG%)DdTH)p;7iR)!*aKo^;^)eao%C_d(cUSDPX6T%!dSr#ZDbpyQZR;Z14MIb{ogCL zBIB63Vu$ySlx1YYXP$ZQ;Q!tT^&9yueBD6%{I(Y5foE(PqF;;t`7PAK2WMCgfh9q3 z2GT{$sH^DIUCV>09gFQZ<2oIFM4IxdMnlu0Xmf#)CqjZakIesVt>{aMM137t%1+hU z)^s1V&-B;O33ombY?1zOGub%jsi)ONl0O%jKReiheWS{B0GyhUY~<|(==Xhd@N^i2 zeKv8@b4$6T{fQOiCbz#87T%s%UMS(3KwB~CzfY=!u0}8!?XkCSP9LnB-hF1HT7I1` zvaP&FxY`i>NH`1wH+w<8BLD;URM7pXKy8(^PrMktg>Ucsdoyd9S6t%fD+ezBbDi=I zC1x7EIKwuOtdB02v@6o4znba~te{=?`d6p#J2}pe34r2j2a)NTYJ>_``E)BJT>J;^ zvs~15V}}^4=f46v>D~Ao4rqeXC84r?=xwd#p03yUs%I z);9}8QmBCfW=2yn-ecEVAenmL{88TR3uXkg?M35@YHt-f_*#|4I4^o6;>f)pu>Ry~ zVy26TRYS9YM>!uhqX%Fj7-s#On{Gt*IzpB`5Ont`K&L^X222vaUeDT4&kP@ip zsG)xNs*O(XD?rb+b;6xkGj`pz2ZCy~fH)Pygd7IGsh0jzulmx2n!NL2o^Y7k9`#7^etER>`&BS7Uny zJiRlEm47yp zmKlt+1=Q*J?9~Uqh|Yy*NW^Ca$^^vo-KaZEzWnUzR-}c4t?J2MrHZ_%SGvnD)9;!x z@(F*%xqWexKDMRorq*QDX8~vvq$ue!e{%Ft7T|NzNu$N=DM5Vlxm(w*$a#`QkQ%>Uw1lOVvEaFPFJ!&5l-C;5_^qH&hIpRHBxg4N)!7zdqy@SjEf9tmI2 zHnLhHs=Tq_jiv-<=ys}OY%1&j^KK4Jr>kgBX1OKV7AYcr8~eq!7ilU!(<;gw9(0tM z>iaAgNKPyrwe8pmk8_k(zStQ z>X3Jm8g#1b;W~CL`RIFbnaUP{RYHIDcf%vSNslL&)x)J^bNK4V4{8gnzSz*d?cbs4A-whpxDPpj*tuFt9jpD- z2&buh>jlQ9>NW4^aR^)d?-bWX$LRjlIna7?895tgAjD+aaEwkzS3gdqSZbbBB z5Z&p}J6*bL-n%6L9iwQeL{#3Sev=E051M*Nr!*@Ukixt?8d-NeKBk$i?j&k7zY_W! zP1JU4CgEx5U0W&tGjyieuBC2IvS>xREQk)XZGwtf-gf_Dm_7GQT)*G|6M#_jgb~bFuc@jROo>eeGZ#4E}CMX-a}n*fLX!S zkvXDXI&=QbW>YD)S|9dZ>3`AV0*jY2y;i$Ao+W)${@>*sw{BA}y1fU9rVz0L8T>b!2^`9t9_thxV7@jGK zbbJI1e^p4l@on@W6*T6GW}F-EM;#Qn&B653!y+N7txN&BRZkPt@wDH&*&5C(A2N-9 zp0oo?1Ty@wZFLP6h0(+s;t|T*Asy>7<++S{h=o-$VRSbDc`>8pZM<7SfAj)@^wwc# zZ%gOdMq*J~B(zw$;Fj_!i>f(-$gyjDb?Q|Cg4vH9{J5z0Hr;#hq(akpK=n=OSLl{~ zcse^u3KLIOQLd6Rv(f^tiU2Mt%%#H|2bB=J)$SLSH;}Ivdi1w`T-sC|(5E(9?+It}EUvNBfyn-qfA|Yn=%J4qw2p;KW|qY*j??m% zCn=Pqt6CkO-i$VcRlWk*M6Fac)YP>lD`u@Yn&EVKcdfMRg4QffR|Iyjck%f$7M>b} z%VvHrv6n8HUfUkg`yo7zA)S-d&GsBXzLSy?9kehdglZ(o72%fvKEz1?LogxpO|NmN zVY&@g(M|99<>z%)#)oFf<>#z?^*S=}%V;6##Mbh~-6qv~7eU%-(CZ@P`NG57_5NSu^ezwH&DaPTntR|D2{a55J*DRT+^SXe7jeWfly zdnn!GvspIavis5Xc9k9PMP%lqv>pBaFMQ7ydwDlkNhtD>sJ-Im(Vxs)>iGyH5Z3;p z#lA1TVO_U&QF4JCX!Yx;a-tHg$Ds$l{Yv7~gxLZrDy7|+7Cn$|MGA3(SX-<-VkD1{ z|MhOGT_rJ1-u94pZ9Q2LGi&7NqBFPb9c3V2H@io*eS7r2pcJl(SGx2@L@5DyX;LP*iOk(OYO?nk*T@Rzx;&MSlZYV`g71>pt_Ht^w5=vJeHxwg5(p(<);yYPal z0RbnOun+cXsHpDtsx31#5UkncrjrLTQhwdT{AQ0IJFzA1J6c%PyuiffRTEeChry%f z3&LzAh0BD2n{szgXn&kPNAD2UvY#q?KY{P+_#$7+-ai(9x#Uc9w(v@PWij-;`lpm_ znojvRUD%&0*YWq3d$usSXqNv9SY@6+Nqhsvx7m&SrQ$nw6OUJNb9-Ivp%a6+ow;drnSjvuNM zrku^<6cAFrd0_f}WF3iqyfRUInR2s)HXVoMy4uFa6yH=kYhygbyK`XwL(9TmlD)j? z!~NO>r;ZDBIiStjAj0U|bxD~J5NqwMxc~JzlM4T6cDD9GXg{X%Kxx$~_>l^>MPQ7^ zXVjZmQC`CTI6|Z|4b6xQEO?|!yQo_&!%@*k>pB(dwZUA(IQ;O8YRMgIKP;xk=#WVO z+Z3uO4~0EFaS9i3GGML23b*Ftt*9=r8HtfC=_#~VppU%KM!L*jsoy*;QBs-mkuj>j zjeIW(Yc4OY+T=A#8JX=EnHE3884oH(invt)6RqvW%T^f_%gspW!4U#S?fQy6$d`T0 zJ7ut;>f#YW^8!vF4xWI{yZI!tQM^CH|F~eFsNK_lA-bH*r2orj&M&hU|TIYf>U0zJPo!d?2~vY8kTw z>JLS&q-;U3plB(*9Gz6dJzI+Q(60SmQ#qNEp=2ZQ8zKH9bRbcwZ#{5q@uHmaEn9E0 z6oJ10L^%(^?m5v=D*y!;se`=&n2e!D=dEXtFz;J#&O9Wj$VA<$jE*LvqFb__ei@Md zv|UgGoKX>{?O0Ce2`b%v8i}Qi-y|w-R-AlEjQQ~H42Q}42bd4yO1f|uwtj|nK0gul zDD#H)5DRVA&z0t}5DftC$`?#3T6~6A)@tj)g`0G6^>n|3=Vtj7-4~T+9`(Ea$OVk-un+Qc#juq-OAfB>2FxYZGSk>PQ1ZPWQ*GU^%~OH~Lp& zxdC?$LyyX9v$v|^=L570juKhCk`|R@K!TS9T>N9jOGdLrfE6y;Zwat#df9L0g7M@eD<{nH>pIK+C?Xm&yF2;mab@UDM_E15v2arQ*Ow%v zUAvvUZ7eiJr-SHY=Se?n({yh!B&B|c=@Mwb2$$%@p7(r!e%PN!@Ve5Wo^Fh?E;h6M zQ_W}d9PNKS46I?E+~ciSEAguklvm``a!Z|me=~m$qaRL8J+kiai~ngbMIGwQZiTpS zWbuaB(IocMe*no=UPC)W4F|;ge?`5(yKANBr*HoETO&Q;z+&yA2Jg0oF7`VC=*^as z7Ty2RGNS0Xj|!pHZZ;Zzlb`A9U(YF6H|Zt^{c(W-QzjCuOhZ)|sP$!k+iNwQNwF)uAG!gI|y0ySy~z4)0N) zAk+L4OV3wLZR&85&F4Ha-?KeP-bg+YN~sAw%XxR(OZH)=cJOWQs^xPB&_Haa&X3AQ zOmy))3rUHcy~jQ$=R_He2ywsWxDrAsaG_dhYM(uBV|hJ^wb``C9~j}=`XG8PK35|r zjlz`)$4X#F>Fjt;<16t6-A4oe{U+ge;Hu}_*!$(#H>i4Lop*2KmaR`wgj7eT;~=UQ zEBHuZqz4QvNRLP~4EhTKvp-0Io4&Yqd6yFzz6}A2;jYG;HMip_3NHqkPe(q{_U*Ls z^ZUnB(gPo_Lf=EU5F`$>A*|?rS~)Nj)KRhgUdmXvajlw|U*l^Ca?N%nr7sEI=S${b zS@82pL&p8vsCOy+AuUCD$*j;8nRTq!;jbf1m2#obGTPN@Li>|+fivq*!+HmlcGJ7N zXg@USbbrn`Bp?ym{0xvRX9iET*)^I1ua#j2`qdjek^qoVJ&WqFA{%t(>YTnPw(*xn*~C;H6A64@weQ#|xRMw;43(>rHm=3V{0*m;D^3=HNfP zTYf8u`Z@n$AKv&*SjcfM!0`se^8r}!uRvmIPnVYM>S7XMn;1QJ`gql_^Nh(Lib^DK zp%$ESrVZKc^?KcE|Ni&opiYkF_&|CBi=okx7i~Szg)niI(zpO^5qLOYo>3 zMOmGU=_D)I(oj>yF&!zyt3)_(4%B&0UFZByjj6R=)vrus!4dOu3bdl$ic7ERT%7+2 zR*D3qM!S_ULItJw9cnz~k&bAInP-<&qEAIfKz_*FuG1sF%ma#GE#RhdcD~eTu;s3U zUv}JrIB5TusLh3*IeLDr9gt{VPm|9UXh|y8Swu{QT#v*WQkiC;x9nHETm1`JSb0k^ zg8cEJW1pFcizGiHxC0Bu;tqw1MhAlPt)|1r{I=8Zox@h1*3R;E$LeVhIJx7%6`%KU zf_>3^AwX-)L0#*OzHC()ryAd6#d&XFpizr+={voVGX{?e{Wg>cd8HPb2yW7@7*VPD ziE~{6 zApyMWmv$Y7tJ(h+&tF&62SaXno(=RvD=26Gd*(wW<(Xhg z|4BiDPxDye@d)|D1xZk@d0ENrrRhta%3i|4ZQxHv zl|KTG+73h`TYK2)0nJs42L*A&NxseGx#xJu^0*^N+ zK$taMP8cX*YGK3o3V(pTJt-5Ff@k(llTVAU_k6)2StoRCbZF~KQ(G4gEAXWhCJX@D z)g@BTYVRd8NT%T@LVyZ?e;Ovv`J0RA%U28mrP}M^KqJ5 z7d!#1ldJfzgnbA!!TV+=EJvmNXem`e^C**~eaw85f-0?hC$F=0$X(kJ;VLd!<7&f3 zmJBFj&zW(dsqq^d!iOS6XRvA=?+6aRa|D4jS^PiD7*YJr1J?%eu@UCS>zns2V`qe;=;O0bUiBj29?66@PZsm#kEq zY&9%XzqJfE^{hK;W$amvtADQw^jyJ&RV0)gqnQ(7ae-p0!i|ulC649SRXNrF&=?)^ zr&iuv^PG(r7G(O^LDZGlD#z!2E;Gd~T-BXDEZ%;laVC`&eMx=|!m6Z`3c_3U+VC_79V`IoEe|lR5x;aGDS46Ro{>C(@GwB{=!*&d|$1qIczsi)B!)re!1k= z$UwH%IwS3Tu&<}FZv$-on0-BD)*RQce_-n4{K5^z`uRPa-xhS&sNMsOQ`a-bS~&#h|^zny@GcEL#$ZH!?ai3`Knso zmJfqkct1Q#LB_zulpNg*EZZ`75$Z@2iCG^`UwsH%G;{DAZfyhV!Cqr{KL{<}#@%U_ z`!X8Uogp7`9Xr#lt^{3*+1q)%;Uhet&C$~{);=bfHB|EjLCkaV=U<6}$7)BjQU87o zxy3rr1+6Q*hH;aQpg$*tD0A!AYWo&$6@M$*Dqi_2<9&;*(d9R5tNC^%A7%*@uRj+m zh^jE)XL;JU?HGfBj>Ll1!_;;mydkVpO)+(xEJEBLBl(;9$jf?j?6fT_>a(I) z8<~}5lsu&{yXP#_N4XOBl#)`XOjPMge>yK8wqd7L5eZHg2T?1fMv;GFkCwb1$ajD4 z|J>n(BQlbq`P}Quo#`o0Ig^?k0D(_S#|66wj>Q;Ey&4fi0<=h;+63_LBh}Ays)PN( zo36qNFRv>FS}G5fUGfq^x*3ZS&OW-VYXxFpvBL^wqm`0QW2KAW6*p}cQZP`V5+UPq z^|nibLUEMtnP1bRhvgjtwClXm-M=2DBp1f&ddN?Kbg^#ZX9~m|yg}Lp(k?91lkp7Y z!b3VHRIE5ff}*GGDSpPKxaN5Y6-4w zu4uC{W~STk`QLRGxiGL#-iRydgfGr$V#_V7JP}fYO6@hhq{{-kS09#l#j}F(EVh)6 z4Tn;90wsS;7PNUrXF%IW+Xn>(m8eG9qyvSteb7e-?^Q9;WBrknED}4&zW2CLg_yZ* znQa;hf}4!&1=Y^rhc~Mk5ce5HAw}r*xxBpKd-*&*nv#}xKXv)(vTq)-Du5#q{a)s$ z)cgy8=1LNhVvVN$!6ZJyO^_s)iE5hzQCGf)?i~r`v}TR}E{BM? zp%5$Mi{OI4s~AGvNNVUmwIe;ZS#3ZswiKJeKt`y|!VnSjw=~+Nn_bEz?H^`TAGjY7 zf{-T+JzXKL1cYPMhJOXB#y3 z$%3%k_sYwZiAmyh)ta@$`$i>yRO)G;jE;+r=vpqt7P@iT+9Gyz!!)lO3*W>ICCZoH z4y#D?w40*uX1K(EKTi96QTYnmu;*0WkY&Ftm2=mD81fMGn%l)dRG+)tqzgxA|FejfJw~Cu~&UAEo7V_B0f> z)dV}1^i+CfB(g` z_I4aSA=4-H_8`SZE(Ee+$mdffN!^YV<%GP-DX+d7wsj@cY1+ttRp_)I@lva)IjJn) zqub>iTHhW)xKMk_$NS?$a|_X6 z}Sq|I-x$DdF;w1oI`upTKv{;&0NN zC1`upU9OopA4&W+a<`@}(`qF?kp{a+_GUOO7!{wO{MsCI3`*{rc<~1`51C85n=Lw= zP+V*EeI1ce9pB|U^`@&?IKf$bMsqBBehNigzRRu95%%QjU6m;QE}z1z!{|vn)Av*2 zdmJ>l#^MbR)XR}hR~g5I%sXoKF~a8z&yuEN81eUoys{Fp6V=z_K<cb6Mah(d|6H6YQQPc8;=e#E7GF z;KX65_ZMG2IEE#@sYcnfS=h_TWZ4wM9%1ioG}eYaZlT5Wjvv!LFm@LTlN4B3WG*lo z-v;4o3e_H{WekL&hPj6Fwm-C6ZF30J($-IojEMv6DCVIap>fS+)GJ{|4F_1fNC&|(tF*@Gn(Ookc9aUgGl2}cGb2G$%g3b-Wi-FHaPv4) z9_fKzd*Sc^1Vm)-w&PS!oyG6^x{q!|u7x-j%Zx)Ak)~eRE&G~Ou!ex)y;>r6?r(;+ zd*NlVw2`Sxg~|bbx~Wagb4E^)MY54~4*;VeK4kT&hGhLP{qLz~lX4df3`Yrd|tg?h_;|BlO)~Zq@R;D5`?$x55F0U+oxbKIoUQ8hl$J>`JGEDHVp?X4HkDr#;y;y~#N z49fEwQTKa;4LP5OILEk5bkM<^NURQxtC%(}@_*C|Elgo#&;%;QzoZ3;(hSYg0ywml z#`-YNcCT%Yf9VnomhUW;>tlILWBzw@A`G7$U)ZKKuzW{fWfY!KSV1TwTD<(57Fklh&PNZQCJQOH)C;z)KHSMm* z?n&do>_Hv{VKTRf}B58Fs@SPp95{50rw~3JaaZ5O$$0p^f$0-v<9G+e9vFyNZJ} z6;GLqE{x&xV_Ouo-;(u=SFfF&#j{z}Ct)j>HHQxm?KNC{o+Bh)4er1abjOwpN!9g?4iPIM4Z9)6eG=rLAF5cxPj){^q=YOXej>uJUbd&y%rtc19bA8|MM`J{U2!fbgAD+EpqA`n%^REe1hExc6M|jHgR+)+4P-6&M>75i)3&hFM zDSCWfzY+GscjNMBbqv0cM@=F~+g$r~+EN{g5cyMS_>}qXh;P4z-gB6pV->(O7J8=J zRMW*B<}NXRdz%p`X=Bng4{&a5(NF)4a)M^F{U*LurdmqEJPRkWj;n$_GQ-268}6Ih zvO0+}OBr^5KKI2BTRY|(nMn!WS8$b($H}`l?4n8Z+g;15AHmG~hl<4J6wdb~FYnd&FP680>ettHRhT>mz-Q0lB<4p5EMQ1fd zgChE)VVW)JfUj!}VLXWU=G#+)Y-HMQeFjVUo0OQv;-k89#dZseeyxM)fw% zp23<3*-(nrua%6z(RhHkJ6Pma-JnVVjdmo$NPaRkboG+>sUr>~8*(JxBu`{GqL`X0 zqxp7q)?1WYblUL957{u^*xdMw%_jNs^h9OHJoIPmu+wJ^P{EWeskDh0wZ_96u^^r% zK9nppJKnSCB%X@P1BrHOm15xq!I9$SvccslTd**t%JMT^#kSk$e&@e?HViy>T#Xy} zW;^epE*~RF%Q{mAY-#DN{ip*$HWGv!SD5JYYPm+n_^;_mCS-N|w0sq`tXeLvon(u%DCWh&5Pge+jk=vkv5;Fb--yA&U#X+ugxvAD{SO>t znGlKd6zwqnU}tcCzt6u$X$8CGp&*!&L4{^4ajfdk$KQ0gM!T|iY)?(1T`aCqZ+Lsh z5C*1wAzH!CVp0v$__(StZ^#2awk7d#(>W;m1u-shay7cPV|zut$q&p~P5-1dx;pG$ zf@q~c8Rby6MR>N3$uzIS%jKrHA8Ne{*yS*1gi;Kxwk9y|%a%nKDD2y2X zE~8z<$PIxQjtQ>;$vNlH#BYBy6zHVcZKwbV_z}g-mhq9Ud`(uX8i@=@O1eS{-869; zemwvF5VKBacYO_kGTfO1!tINIQz&=fSm?p7W6OQ%vSKxDhfAtTd^B5tVEc`3zs_e)J7O!NUkv(icw9xeS{_5!WITw~y zdB7s*Ne{);Sh)@kcgbCw>(=J`-}qNBLdJQL2VFBF^}@TLuZt)I+3z+=k-Iqp_w$ul zfpI^AfZqq_)s%Hxx<>_&O>w!`=~jZma=LVlpkbSmWC28a4jJYR`;wyrq$FGR!7Y{K zU%3&R?<$s@hY1}hnTq)LN@&>O^n@P>P@mIq<*SLXEh_%2sYy^O-i8U5`N2k zImlknNH#tB7yb6B0P|5MROgA*d|0Ff68}j_9#wamoOsFE+Bce#=OET7zo!K3O^0|g*C zkhC$2F$IkNvYKwypzUQ=atK&84hL1b0J7Ux)6IM`9^7V3x#8#|8m;;&23t4L4xP=q zw|D%%Ku7&xY~+z}CduW23RB%gL-74&;`U0&Q2CEc=7x}*N|&}87cnBMvZuboWLIn- zznDP(5}>KPXx`2PR7ISZD6DSEgGHq8``!}uic44g??iD;aB%MIUXaXc-?c8%J?NWT z9s9PacCs;!OTDA=F_#PO-xO7cNzW#DTBr(IZYJMI&oI3*x%RCZN88k`2i(_DQRSyh z8zop=bpy8>p^6Qa#Z8pr0{GF{LnkjOhhq;|yWv4{-26qW-3v5OBuqJ1o|fGh^GJ-c zyr?qt3m78V#MZOI!tQ}^R(!MnE)B1zR4p4jvvz7R_@9d2%=o<;dOd-*(`A|g~|4(hvH|R)+ zh=nP_d%!9jF87jhR$pH>K_Ik5%vqignXH$Ua0vd6^CPmKaydTitOKoNJHTx8*NE`9 zQ*WnojdP|_*jq0IeCsswtyNk9AA{Z~eo=?$L?gpiLAVXC&}vNFFAFW<%WgVG+R`J9 zN5bX~aXQ>Xr8mZ96?atp|B}1|lp*>)w*>0C2{lJ#6-g3)Ae)358&_;v%wVp??ilZ^ zk;Q=MRtUIUXYk^(C`iZx}Q;?}s z?Y9FI-4ZeBC{4#tAYqSWuv%gb^z^7N>D)`uBrv4&WKgl4Wf$c=f`~>fy>* z#WBYjP7~~`%k_5jc2l5e3Y;CTG%v39P@l!q=!ouW%^j8?NL=_VTt9zEeL+mV#PwIk z>2XSXy`>&*%RDOQk#Zs=hJYz5`%1P7le(rO1>g~ct!y4^+3P%J!J41@S*|chzL47z zI6FSJQ5cf9Nx6FUDJaB+bx7_|Y=G8(|9J8 z!BeC;tyx7&)_gGl(Pac=eOF%Q!+P=${w_YV>pQ2*>}|W2eMbeicL=H5l7Zw0IC49H z&;Bf}Y811TosVs@mGtQ0elZhQYoUeq`!_jc;C2WJ`aUJem0h|#`S}~HLv=muSDQVz zt{v{Cz(21GHpKvQb?8hO=JjHD7+q=Z-EP%vmYYP%DbVO{$B7E?Zf^u-?6%egaUwrW z92pIQIg*lrTDT+r?i*bC7W5p~w%_$ZMjt_0lT`MC*{AsI6meNL$jr#X`81$|Ig4`R zVm0K1u1hKRPY)xQGdZlTbL_H!Dw7N4_5aY{9pm-xha85C!D zMA*Wqn@2fvoTgWApPqs|VU#AXN&4ZHhY3ra2@wqO?rvdLk`jt)rkKHs@8VzHBy)J7 z*PgY&HXha9?ZAk!iRZO&qvVQzzFdY`=*3FJ9RdC9Y6Bh@0iK@hyEaIV&eWoO_q`*M z)@)ztXY_o#a^i2xyw7`$D*7g$e`X#`BUgJ&XY^N&X)kaRsmkP8p>p4tvs+;tJu2o4 z20h2h!!@!HMxvtzJQS7*p-JCG54d1vrks=NZ7w~>V^sOHN=1Ad>*V2TyoA^Zj0D>e z8DH_ag$#E!MVmHFTQA?lgZ!rebfx?clV9g-HB%dIlIr58P_GG!I0$y+eSNChd4}X9 zM9=;#spE)tQ+ItU;<~o)0>$!lRuCaeRz>YghD>p(3Wyp~Np8p^|O;G*QNQX^znDhq3czxl_&- zuEM~IJRa)2D4X` z9#;`Io@EBgs7)1gto$%KBCpFV4vpgXmh_EiYqytk>_Iv6BU@a-cd?jJ6DN{aXb0uy zJ56$O(2xb9@d=K0WX=ljw?UyPO42KQ<0xE#)I-uo0P`-D0m~3 zp)pu5;+yJ5tdgCp<}jZliZb4NGc5vx)YZ8a>`d>SY)?lQ(~nD>t7kqTpzxUM z3g>q-VB2N7D0x7LjfOl5J!X6zV+lo3Gj0hk`{!Bz`K5G&(CZfsLq0z+W8s5Z#4AV> zL8srE^B8C5l?1UY(aKjCy=$iU%bnG7wKLL-CnXGt-S#)))HU71{Vk>EW<=KDJIf-Z zwlNQ`uL>25VVXKA){Cx?Q0e`fu$sS_>Tq~uo9 zn%0k)Gvg$3S>YSCYX)z4NPJImnL4fTfw)+6r1PkpBvzJG(lr_T8BX=x_6@0kaB*G2 zQ_Vsdt?YR!B$C=ic5u0tss3S~{T50C7DvYQPg2Bm+U?_C+QvNf|fRXx8`A;Xuri8ye`Gai(yb$`K*! z-6BprDmQ6VqAE6>Ci>M!ah5W2+@YvH>jLTPHLa(Iw3ZvMA|cUG-PH-|aa>E2JWGTy znJp(pBI;g*1KRr!d~ljF2Z@lwW3CicM{>xE)3si-<9dS6%s^})8&j5uF-?4E^8jow_f z&C{oPz_%#t7#e;bI3@D|xGL8`DZa#Rcg?2n5B{3N`H!T0no_&DDBG)9VG^6gIjRjE zm(07Co3H7?6JZGyPoG16#)kNmQ;3FJDa#RxBzf_f% zIZlMpSi2!kA1N0Ix?KnCeRm<>9hXuKGZ0+lrIP=UJS!V7MT>A${0z&|gr2N~p^$IJ zZ1UenQ8aazJ#(<*j2g^0CFSggUkZDZlDq=H7Pq(q3HSb->omKxrD`;x)pShB8W5Ur ztd5!>HNi%`(70*H%b~jv`T^!*CuMN^5^$gznf08|-=`50_Tl1UR@;{K17hII0+Dykiqkn1!gOpxI>$#Nh zgrUHv;vr`~8L7B$th~ACSE4jN*O?vTOK$4^rH0W&HFyzegO9STB%>R}W%|)_!V2wZ zEAktu?D+=(`9S6?a%OL!5J8tgBtT*%5^Bl(Bah+b~KEQ*l6gwa*4n(1&|~dIfj%En>=*xOCmM5ZRLmdvzcB zhrnrqYs=r!IqkPq1zRqakmJ<3G-$>dR+f%-KrJv^g3vk4s{CYw(q}?)PZKK|o|YM7 zSlxIF#b<)Hw$F}G_hEHe92&OAWlyh7)1Qs?wRI<2jh36TOJiJvc|c7mLG<0{`|_Gj zw%sT+Xe9YLhy7>>|5p2jOi62XZJ0E_!Dn{5YSU~!@>8v76sT5{N)%Hf-o#lTmb+dV zgub>=w&)sLWepQ=CGACMg|BQ!hJoV-!0$o1>s<-^Zr9QI!8#2GT%+uqycx>2lc!o( z*5K054CV7!+?a|xK&LSj1gs|7Fg1X3Z?4o1Nit2OWmt(T@MV98j4492!GKiZ{$vm2 zVIy2WzMye#v^fbHVTPPMM>bHR4_BSnqJC&T!?3oE7mpfc_M6oEo?DM%x9vU}jTRG` zSv7gMZKQr(++6ZXc|o!`L+YozrX_SN+$;~_fwQOWhUKE5}A}1=# zwCgA02(D(_8$4L}^-~b{T*;@!F};D>pBL!^V!#bfGU?kGe%SLSt4OUK3=5R-Y@~ta zmPSQny6z95iNZ59C#2(VxmAf{NJr}X@SQKAc|;TD@1=aC%?B1;r1D* zKTJV^;ipfEXMBV}MzRc+36P%aS()8MEanXj@?XNnItF=MXGO(# zz8jR44!8tMnu-(o$|_x_Iut!@IFie{ZSMqA^QimQIXWXE-@+(n5;-cFOW)ypS|2#K zG0-e`XEU{k{xg(E2F^sXL|8*}iW#)~nm`R&ppF}@=Ks~`yFx367}x$3wzXadD%otg?C|E?n3<9C zUd1ydNg)cw)|gy2v(!`BpXNYmA(Xy~mg8(M`Mzs%;J-5xv;E(RrinA3PeyWw=|`%64?RNJB#^E%G3pr#a~f4yQaO8Hrr_2BDy zTgSQALUnpnN@awtxY+W;V}Z<90oGkH%z0aOSa*Oy=NqjjBe1dUXkapU$bRWPG>O+z ztnK^i@_Q#7{Uy7K5uxhati2oX*SPK0JRjWa#w>YcZc(n#CryI(43}4$C$($|gvT2+ z0uvl?9F9F}^7%6*P~;r>jX#g*qp&D9IzVOfYiHD`7`X}=!@zCt#C91O&t;ab$IvTw zLj2J`pivRAL%~GGm;C9xEaqZ^QPl+eXHi$mbPQ9Gkt`=EYSsRF^;b?p#2c8{wpBl zwt({K!MU>Bvw&8t2eVmjdgtx3t$7Xli=}Ww=hvNlrxZPqIpM+lr3XXrZN1np1f~-k ztyp-Ic>ba;r~cyFr1KsxUV5Xf1B?z-IK8fW*%77oHJS!a!nnzLFQ2bkyceq|9Jn^% zY^2vU)n}SUVG&)N_xB=)tV9a{Zit(96XmhoCS|e^Hcl|xHF>|5d!3Xw*4Ogjc+wO_E3eCDGdOMtQM-|4GDnp_`>!#MRZ1J4T+M)x7!`huJWNS{gp;q{NlkPJ0ZU-L zuw})ij8BWRHKWXq1&P=4P!*ZB8BxY|4+Z@~dT8lL`OzKxl$rJ3W#3!%zyB91_y}xw zGc>PryqUQh0M>_o#YXhfVg9oGMdyY< z=nd7NHrT{RNK!In9*{CRrfNS%t$Hk8qKV_Sr-=XW=pIm}uZdc>{~78k95mR|$@wIT zq$2n93bTb;gqTXu8UzA~y5n-F5pnH4#N)Y*N$WR9Ku`p z99O6nz!;DRB*mShWf=r}8tL_`IumS-WV#JpoI!pW#88^>1_!KzYKm)?=rg(jw7IQG zr%5Nx#66DF5sa|w{bRXrqljPzw-#D~EDFqi13=Dft5kU|1FkML3z1P#qZ%wcU&-8) z>Clin<`zRg+>j8m-l^OA%*62~yJwfd96e`*)7%%;KF>w~{I{{BlzGt7d^BL0ztai8 zQ)w#@J`y(0NTcaW5!A4R_jrn0*eLD!k>y4zd~HR2pBPPUcDYNWo+;zItpB$l0zT1T zmqq!a5%yS4$Woj<%|VFT8g-e940RbuDMJ9|NW}CO*gV z9ABSp!-h&fW3Wf1oj5LgD;H?*^^r0}aHA$TW{Io8KivFbIkBMIn%|wG>d>aj+F~|O zaMvVV>R&1P6UE7RuaSPG96oDdJrmcHg{2m|6?w3b)2RjaicQQWJ!Yexsw2g`>Aw>$ z_U4KSdFC4rlA}MGAIh3~IsACOs?1#LM;Z+j#5WN&KRd@lyBwrn2(_bLX?Ye~Mn)?2p&Y0HFpH)(21&hqF5ndOSPVgK}h27LZQX4js z&?@j^?l`Cj0>pV$MKl2 z>8?ggnXCQTgkMHAxzBgS@4Mjtcc$hcD)@_mZ*}YTRgFI>-TE4Gt9p_?^cebrI+Wfl z_=K+c)F|dJ_>}%F{PNn;e<%9=f9MImhQIaro`;MJ2xFKjq1VsZ0Grk?Loyxy$yW}| zJ4s*&DUIih-zPk-dOkwt!gODFTanF*exnF#UnFuWvl!0k(oH}BVfLG!%Z^I{D^8}A z9C4EciMVgcp!+m-IsHSK9D1Sn_ZTS-SEEe&XYM`Y!1Cx1RQ{*UIL5B+8`<}H64hH8 zT2#jS?v1lbmmO7bJ>OtleGmO$;N0XKllcb~#emF*nKr7Yn6qWxs$a$PYI}~sg6m5$ z3_M!N2{(j#`8|u_5-;!+YUEf)a>B*YP^w$}Hi7VA@6-0IRuPvhVb%ADGo@uf$E;O6 z35oUik%aR*C)rB4a>JhWMuR}CL5mv>TFa}O;3$!(Dpd2bsp=#T5rZ?QckiOJB(D$4 zczDZ{ss}S1=l=?X1^*U}TO%s`W)+Gjo=l^ZfsS;B_^C~cwt-o_GuyZ(01%$zW>FN* z35-V-oJLg@xdhGO(u5Iux^iSQ30scH!*;{^##NzjyzH(cg*6i1?P~KwX(T3A7PZ58 z_(MEaa$2RT|F~qN>0-rvYKc*|x4ic`+C8YZF`w;I{B=tZ(4)@XEWY};m6yv32rEtL~qrRA&qShr|m|zZ!c7FE@hhd za3`iD&eTr%txSdOJJ)p*)cre;4RYVyZWWIz52&Ebo7PzP5i6=kSDi;WMtahivblp% zWmZ{4Vs=4~zP3|LxcvgEowjUzYW}pVP-*gMZ2PeDq$uUr(3^`c!wn0=vOG&xCZ72O zVslEEp)}8vrO_b-kt9|1vGWWb;+Kcw>O#5*(TD&ynXI{ZUaf7&p+bgOmqb#Na9m4at9V!q+o?T@81od! zy{a1H#Q&vKs%~oB){=A4W$r~L|y(ik9jv5iwK}6;f7@%fGFhl?t)HNXvJSv;l}y zaZ1kgyM{CijFTy7+7|lw6_VGYSrRFFQK_?Ebpm_wrwu;A>PohzG5ujV_W$eF*zf?< zzGN7WuT7C`008@m3~v19m3N~XL$)tZp&LI>yg_9|vN;T%a%%>F`hMwC5x|J*TU+6P z{is!LQ(3~?6>R#~0qe@n=f1>2C9Y=6Tza%7_0rDB9!$NVf2O?cU+4HE2`)O;C1=v) zhr|Wgw*P`0+OB>N9dRz!P0$!=3Lo2rUCF{kQ0Ecip1UQ4KmIxvD5m08C7nZyp=|aQ6Kv$eKjc1)!li9QCI1 zerRL_a~`;C62nL|!GFo-6g-tsXmOL;U^X)Ubu8J6s@Ucecv_ zAoNl(cX%X224xSihrDi)U`d36d1wrz&zs<^qNSVgB)l!LhvHZ@zSnA0j?k8`(VNke zaTbnMQzTm|1Z!fN^Z-I<{N<_7g9GEv*5mT&^Ib#KvvLgL?n}q3>0U;d&N~x1j~~7p zbQ0G$vCuQ%1v#aLJ5E#=C!h)kBw2n|1|7UN^2*i~pt0u-&su%G-UIpCc7xOW#_bWn ztN*GY>Mh)cFI@K;OB4>9-zz}&5eYeWLbNVH?#pu?jHu$2C2=!@Y&pyg`^w1mUB^VN z+`_Ir)oZk1AeZryl-T+SU>*E`h-TV2U?K7>nl%MIhSF<3jhs&M2`y1J$OY8-UFKdH zyi1G2xeVPwMPBD=49e#VMMBcI8$Nd1&Kv5|tOXk`%}pkmVgA{^7CUtAs53+PIi>*O z<508I7XGRa)WHWIWNI#DmiVfm-elVni_^q`1tR6k4&m4-&FsqJF>Y@YZ=vxkwTm;E zPQImBF0WtOsx{-owv)Zpc3MPU#$|`Oe?6KfLG4~YObr3XLLYPPM_dvEbF?QF0@P*Z znz8mTuP~EQfv8*9;I5bA)m1VR1uArXrV`z@@ANW{rXMlq=(U$lgiZi$9X(=~Y1(y) zpVbcJY*6+ul>EyzQ?5)j1sI8i=WW?sQUxF0-8cdpLOR#D3(yd&c3(WM>H9|3v5=x?gu5O1cE)6dEL~TO8aT{^! z;W$dkFe;)w<%O3r`@1;TTh$-;mlVuq{?m&_2dq?9^`E`l_;U{rgh=A>aai&T$vr}b z0$~4qc@reB?Yn9BSJaLY^-v~5`8!U6l0{j_;!KS?N<>5-NOo~&$I#WmG2e&qVgSdB zWaS?oy+1rh2J_DsQfh0rZeg)llM&(N!f9XX)NcE~vX14`axYctdlX3!s=VwTiuDL= z?|P+(XiofcPK(zEoquVGp#}-Di6&1c?q4%1$f{zh$VNzb{u2?o?LandG>wyH8rX)$ zxDKgnp!_+y<(E|78B%cKr>V)#lLXHq-5B+!am3*MG}emu*jKyiFaC<|b}7@R)Qu+h zF8^?z{yS;(S&<;l)8x+RUk&cIp5lwAa&>7+C<`UZxC&Dz1Im>oW-%pkn?@CD9AX$gJT=kuM z&^YkVfZEK54oGgPk4PLIZ*K`iEUO@`G z5GodAQ4$_be29%Q|1_RI(Cmdn7CzhwO#Us0Y6!T8@vXC?M;G!am-#)E2tmp_wGytv zZf_<{OeK^`2It;GD%m{_U9uw7ooJl8;?DJ@CdJO0Y^9l$;X$v^x2j+G0`6#JCSBW7 z0lyunx|=N9P}&tOv-xfyL@sN@&VBnzYSAvuIhWZ=zOP`TdFSGU+L$He5SCcN6_)E@ zRV5o{z%uV{eJb$HQof-B=T&kW9!+-o%d9OLHaUY*#XV;w*X-+T4_~xS+;8skR0}nf ziL|5q#0KF~5o2$BB248yy324vYBw>PsDH6F|HKE57DrDfjjNP>PxzEapr$b6|2v@$ z9YIdqc9S8=W(Jpf>ohP7lnc>ju$N!`lx*L~iQ)4Y-n!@ik;;JBprmd6UULoa$3#us zxR^GE0Y;_3y`A%;9LS-4s*Z~JvqTV@KJHeSGiWmMk{nhJPY5VEojN_-+O!&%J#HJv zkcAtrPInc-?-&loj2OcjwIqf_+?}S9uGmvr@I|1B1yx~eFl1q1|OZ_pMo~bm9XILuj!oD9D1ZI8*_Uj|l6|Gn5y(gOwmEce*JCv!nBT`v1o7 zIIp2LScUd`yTTz65IWze3iy|{SZs!o8Z~9@w)R4E!(w5!??Yl8{380Myw~Au@CC6K zLT#0iy7{suh2i$$qDo&2MQU*69wT3oFon|s*>WHe$GN@f_XCuwUn@i3B@H3?#!kfP zFnXIFmQ38W&ghFXCO;0r%Z%JbepVhAbPIW;GF|)df$}KhY7l!v4XvK&o9Nu++nM93 zZvn$SLU)%EznM^{g8&v{V?nxSuu5!Qu4OZApz4Ukp8GW0XK2*Q)e0XRd7v>=7aBi@ zU#fanE$)6-*GunNXuo?dTB=$D%J zq0~Hi=rAG{d3NX1tPSK{QiP^|LC3`7f=+d+TSz8Z$d?gsqUb6nFkY+XWa6?R5;)wA`NRqK z=fT1_0Ej)2&TJa$y?j~lnS1vc%_!BB^~>L`tnOYqZMLE@{0mgULm1s#8A_6hQTbOt z1OYXAdn`aKlONrM;+6lb5ml+dPQTr;3H|%p3(_x(o9)tq6o&7sfG72jxEFG+A0b*n zw!SeSKxq>|K(I#$BixQ z3c)_xBYXP46J8Re$kCgkRaXC{{b_g z--`3~n8=1l)5fr=Z`H%^-wmtD2NX#)TK(^y?A+G8cP|_T`)~)vQ!U}xr`MknYf2vfE(%XHJ%+?__dC#`45p!w;yUJg| zs_)NL9PNLUW55`vPC%8A-M|}nLZdltfthh7$>Pn-I=>^(P!Y2C|M%h zCXS5tDSrE4?jF-@+4kAcg+=n}=FlBJZuhs)lycWR$3gOHZ3=PczY}1v$=}9*3ks`0 zR=)kpeIQ*QPvs%XbU>52{(4xZ&mX|Erw z_YJkrZ{#^I%k(P9b=ds|iKi~+ZGIG1@zoZS9f7CphuieNm1%L7B^Aq*b7!Bqc=L^G z;WtEj+)35?{$@);7c@b1g@wna8@JWDq-4f%(El#bFI?Y@2x#~Lo^EV>_|;QX8+_6C z_TG(sL#O&z$|* z5P|*_DC^4|V>mKCgOVT0@?tE=csXpJUGF`RNN*74pY$R>YlVLxGKNQpam zXP|OV?09h9CF^64iO${U<1oh?Rf{_Dg+cw8jfZj%w=8aFb;W6$iE?^oo~9Zd+Us_> zia4roJKU4Gps9LJ4H_t-&Ioksxv*Wjz|>b~{@d{7zY|=D2QKlC+HZ9lr&kR+%z$c+ zZb;HlLfSaQ693q2ZHvc^TYMggbYq1_=@u1>a{{WIU4;$;6AKPj;8^|7xO`h z_{&@z6~#;r%+Z16UejQ!Wl!Y=+}vrYTh__qLJy#C zrsENfKkmZaa+6ekj4eh|_a8>r zBZZzw){PjGD$qUhU48}m$4&A>QJa)Y)E7zY92u7?j4ol`-5{l*I1Rnqs*?}Mmu)$B z!F{stSJoi*ntv6D>C=0}Me>HfD~xOE6lRyr^q;=yOuMlU@Og7M#Xma6X+acsU`zE;gw4)7IFk-|@qPQRXXDQiAAj(q6 zc{Ki2#4gYnGrYZ+_XZX$S~FGPXYZ*)SZi!HlK%BeZ)hTEZrDrBaoe2?0;Fo%@@DdN z-{HQ^*+#35M03P@Ff)MpF!2Vlv`cdIb!tm|xyE43n%S?-{^>U&pD1MBZR7*4aqaLu z{^?*p`~rRT$7i!&JW|X$Knuj-`BG^w@>F|&HEiE7{cQjC=jZS61Jg-S+83;wSpBQd zo~J5+<5LJ9qbIT!02VIi&!aLl!ukV6s;~PN2&Hz~@R`^{9Hv?waH!8Ol9E!sVMfAF zx(|L&3qaJ`O-*Tjd~qZtQta@2c1h(5uS&PVrq&&dsrElISMGTOs&L>oP5dPn5YY+-G+r&ZsRn?;?^<}Bnv zjOZO4r_Y)ptZ6U#Dl?Lv4TtqU^n76xn%*Lt#0+P%J)*7aPaME58%6RIeUqwS7vPPF z1y+=m?FXXGpWulw zzCBJU68Owsb*+#~M(7Xtke^O`$1l`-rxn(pq{bN^I5Y3=TMFOef=A5`Q~$SfUEZC1 zf2Ti4dH%N87T zmMdL-)&&aP7ykH&tEIQdaTfW+1wVT^g5-_JN@gi}=^k5mbLo(rcz)AD*8evuN{iFh zcX4a-N};wK`<3E*R^m*n97yThT$F8k109^33Sy{7{HxcfoC5V?BvR~k8&S5gCLLyS z*q#V$)o0s&OdzD5$H}J>OX3+((f)Tuy~mgpt1O03%p7k#)7=RMUIb~Y_=UXTjTa=# z%>a2s!7)4?S@iXsSM0>@T=TxtIdgSxerpxUEnI2+^}H{=Vc|E7cjB#Y_4b5BET;40 zrJUJ+i5Z(V_V-xIgKs4BfEo$d)aeV)8s}d(%x9mOkbP&O$xL9iQtG$QHyQ7lNA8Eu zZIAL#emv$IHOp1{>QJ83pW>Q#Ox>kEFuG0IYzqiPT(1@W6$pGZzerzu&%2`nAh;XO z1EK|Yxn-1g`=`!l#h^FpG@>lX~8sJ`w{ z6_TuB>I41Y2wHLn#xz*7Q95VfBN(u=Wh+(XD{CnCRzN zuV`-7jLAbR;bvokpBa=l)G1ek9pa%GsLUTK$8!!MlB7#)vA`e$7sw+2amoei_`rAp)ZiAnG==}#uhMzw{wyQfk^Chr z@3;8Ic&(MbD2ZSSIQ`^JejMnGeQ5@d5z}M%# zN6bSM?k>~}0Ky}lWpszuTP?^$*}eUCT&#_YQ0v)pAugo>nGc3d!@8yh0?-e7mB##NlfwxO=?=${zrf;rDb;PEQSH2lI+1s6V zq`Us*m^x_#^qwt`(4j1%9Jkid8d+O~f$3<5NDS-m@8CN|5iZdj=oQmK3 z9*R4~aM#005cE%Gu*z|9fX5#CNa=?S4806ndZ_`FC!f`s4R6{h9hhz6J+@SDBxK;k z9a!}Ikw}->F3AKN(iQNx9V9-zF&Y1ywFdkK2U$gr^!l%pB4P%_6ORi9{?{-dy-*U5 zS<$;${jJWj;P~WwTGETh2c~L83V<)P#&70>J5CxM4%rChsY&0uxY)gL!fIjmJ;Qdf z=elz*`4d8sseCb{iUV^X!XNwN(CYmIfyF(^&o9~@Q)>M7P?1O4mTO{rVPlIc!$D*4 zL}R0#%eI>us*$}K3PL}Ri^9mE0ZC)vfe>SO#$#nP{|zbZ9rF58>w#bQsA%L=6K=L1 zK)Mp(XWdY)e(C@d`*8fX?1QY{zgoYktBmWM4_FD(v>= zQiuO-cmC;KGS7T3@f`_dO004NPwkrPq4mgYmK3^kD0SiUk*ampTnKChJNvtsyR`Uh zSYa=!Jx~X16nk6-n=zGb{Jl25kJr4EkbIhwM>I3UE*=!E*NQ*O}ELf{cte@ zn>f;6xl8wtPR4)veQMX|R}oJb__Nf+`u8NX#^iuTKu22#WuNaI*m@o#`+)2zmTEHn z27IAf=g)xY!jYDmp}C;#X~uY1i#KFaWqs~Cwqro}`V~g{9E;X36g{!KlWV(S?#^x~ z)h4#GF`CI2`^;hccE8eFrfxgYy4QI4H8;X~@s> z#-O5atUUhT2|}8cSd6js>AX;ewEC9ys&!7|UPhLP$8i=~x4=hvEa(%Z)b#DemBGqn zkIPBYs`qPeCiEMrxS{!vv-4X*9%zhrgii9E`xYpq0t$G54osZc*4g{m+jXDD?(Mq5 zUUCllAMe-#lD} z53$Ehp;_ghAlz1ZXm|D~do%7Zy?Ivc*$^V+m$3BnFTlr;sG_~A*G?7Iv{xDURjB5F z;(4JOhGlW74sM#I049&@PRvA`pr)-OIFLAVjl6#L5m)Gk-7pWPm8*Sp- z_<(qb8=drr2ebQn27(3ack5MXH(>x}>GcNdk_m>FWjrxU@W7v!Zi}3C4iEInC%F1{ zm`OQ=EDl;Z@vKA%PJQ2dE&P;g|D~zjWnzGA2ZGSs`NotNmA$+hlMgC+X3)s#z2yUo z9{Dt}74a}&QuHhg{%o!vX)Y}_dG)IkGobBpM9)2`sV}azM<otZ2g0{cNA4~U{EH7-? z4yQ?`|1UPfdT*n~TzzH8ytX!3K`P*ip&6kMI$B!A-sM4-ngjJpmFqJCXY2W`ibQ;j zbl4>s-H6}7&DxQOwaM~w4|(oJgir43?mPWWO=*}a{0{E`TaY5}%T30U9v3!kWZ^yMQ}y3p-n4l8 z--#BldeOGKf^)r0&45i`T3W5CY_n!D519DeO^QnIa-hjj0^tmGae+zwSD$|?o}=0^ zFW)$M_P-MgH)r&Gj(`TC0=%6kfVV!eyP~w&E-hWSBFTHIL5)Jt9yz$J_hIk-BfTSK zN9brg(QWdDh9O7#Kx3zrcVRx$HvkT*+fCid^9)*#-pueu3^&Uby+{6jQbPlLGrh0# z5NQ^tkr(^!$37=5%H5C-jt@JgXnRK<7yYC_Zz!H6uO7<~Qq}M0dGy47lioKd8@7M( z1%dE2)u^zevs-1x3Hpapdiuj)r8Z02pF(QVUG~YHcG9dp5s%zs@Zs*rBinF5qVs=7xRthkkN8CwN`uk&kv>YeFRnQ`195`vPYv@I(Gv%4XWk( z$eEl@zBBhYm$&zp-T_zHi36b*KWa@DrADGfQAHVNIVc7RuM#hdMsY+?jKt)Ou!P?^ zuIwF~c_HPIO!gQhw>8dQ=1dK5u?cV0vYRXSu?h9QjcvV8({CdnzDj9v$v);BZLQT6 zp=Bnaw_g@0ec*7JH1vSH@TXsmDVv96v8=w>#hudiorSvL*$VrLLZ-WxViz}BDQIe6 zJ_;GiM4epk3YQaz*vt6Pq*-5sfCw~jgn(~D)$>@FW2$9Q+^~5Cv^Uf; z7-O`3mXrc*r1f@9{NZb1s^nNa$w$iKwc$ zM30y0L}rs^Q8kP+8KNE;GRRzT;)u-VPpe-`F}hhK!9Ra8fxG2ITOoJBk*XL~m$-7lr*UkwXNjcX~d`F=|K43a+Ye)%>>fQufip+ zcG8yOpwciG3;e2gm~W&h4Z7@$ut4hBmRJ5jYkqxBw@R7LIj`^SSW&}pZR|%E z8wH|jQ02gZeP;>Y3lKNcc$q#@l{rT_#$AsVN_$>HW%C#lR!L)LH#7$l3wKvKG*U8x zSbgYzyoEIUD?UhM^UXitMMM?)QH3#0Ch;b3d{^8Bcc`+4#8~0Coh`FECz)_G zP=cO+NqcNR@g|OzV8s4NEI(83jB~cS%YJ5yp zlKZEcXlQ8mcpqy>v(CVsT%RFOP<+Q)Jo__GeTOQ(ov-#noT_Nk{&rI6Ex)D=Z`#Nv zvf?st)y_E5)KK>3NHMh<_%4bwGE8zKmOEBX$cCg3wujni^t(jjiX^CzU5q{P$t?GN zDNhW~iAP5EQz8{w2&rgb-Y_ve#dz`Fk^9Ta0Xbwad?VRfpJ`HqTuoT)ceS{RS8MG0 z_-(7;|B-a=@l5vrAMcK4W6L(D*_<}aIW{vy+_pIrBIg`7(}%|zjn?8^c22;vu*n>EsQu>8=5IPm+r!b!r8*8e{U%Uf$ zGc*qXpHPfQzqtG{fm**$ejRTHuJ1>{3DDuQvgObxC*UAvvNvp8psZ5P$zV3o$_{)|ypKpOT$NWsp}c81nJP`|Ui)7DNP$(0_OF9_Y?C+cG;0CcRpfc3lJ zw+7=-+H29x9jM~(Q+Ec>xadQTjk7y|4l@XLzp?^DUS(g6I_-IAEJSa|pNODm{vvP;Z zOg_-5ifBc^>hEtmf5MH-JyCOx(ANV7artVjrv;_6w%87N&D4?Nz>M83Tqyr#!bmfuO@jw{mmM=$e zIo3`Tdx|NtBs%u@8zknYyUgf$gI7Xy&TL}9tbZhtj*)VsPRlh2a%f9aA|&62j+*+YibSGV&=FIXi4!y!@)&D5klHp@K}IS_KdMH@M3bx=U`??*G5!e*5jWUAuPu21Daq0-&)c zv-Je^LMzell6l#x|9`J{0e2q%=8TS;8Q!j_?nQ}`R)H?gWO!VHAR4p%u*?r=#WEfq z;=Ggj0?n0otoEjQ)3VyVE3*7e6~23i z!Dqip=QNM2lSxHG^$Gjc8Ul3#DR!7bZUYr_{MfK!RVf;pSOy9=qef}tgTp@$G-m-c z?z4q=4e4}~FxM>QqwGu7W+twi!67*qQrhrqL0Ywk*pSR76&+Mc?YHF2A88%LB0F=N zUHyMLDx2qnLj`<-%oIm7U~6ezf<2Gc+>;r?BG!l)>oRl_V`v8x>i=3rJr7c%_fj3a z7NnOuiLAh?JIEZK!0q#)@hX4#p2+zQMfZ}rbzT@F6D-v|q#jdV&Z=s#tK-3WETe`@ zEhV896Nobe+QdOUwc&mxO@F74kUI=HM|JD9(|g;T0&;Gh%2`E;hIHAiUsia)8|ry@ zoZd_3u@aOh-x;UNX3piYt6=#tn^-`;5#mJzbXuc!Q!ju&pgi?&9oP{EX~iVC0#YLV% zfx0+zL{NcaKUyCt6TmcR-W^b;he*!D!?eX`KiEJu$&IbvVJhuQ+xFZF`6CBF<$0sg zqux1KmKX1sZNDOmlWNvYjW<_>xim(ll7q1(+Csy=Uhn{y$65nj;>=fBtyzEWyIO)+ zGAT@|a%2x!78)BUm|B&kn{vjm04cHIL_Z4Dp{}u1xp`$f)KAo?%R&S9C@=#E#~b7I zY9mk@SeM+j-z(f6SO0}FkAmrDnUd+EVEe-wjpfiS7;L?5PxlTZR(OEW z5$MUe{+Gxq?rJ}n6%)>*l6|J-4JPIFQVfW;A4xiOJ#k)mY(wAd2jZsYJdjG#ln9Ez zV2}qlTa-$O%Sotz!G7w4L8+UvBY8ISzRLZ7tipv4C>GGgyGEt3FqdDTo1%n8Ibu&q z4Cju#`?OgD5F9ACk9#>>H7(&-wwr*}uO5AL;?6UH!G!Rv&VcU~-1!Xn!EN=&9AfHu zZmNsil1IYAwFx`LabsKa%R1EI3B!DCWn&pkGYYcP~Dh zTG5SqGuT1OU*N{u%BtgPSBHw1&haS^;gS~88__X}Gptj%q>k#LP75R0Ix|2bHU&s$AG}E6!0BcbE?t zc6%*}f3jq`U9f4hx6^Nql$)A;_q~t43C}ZQJ*kiJ)PCKKcdWTTy)k{F(sG zawx*+RXr^)Fzzh&0NKn>l)2~B^!@V8w1xJ7*aidHvTy8J75wNa#b^XPo|jlUIQmBq ze;oskmviG7auL*qQ>Hk;)QFB1`FL61Y*ihA^Gak*N>(VtTW1?g%_N=bJF&ZOEv|Kq zSB-=j3@J#f>~#tOZIPejd16g&XYjuR(5yF7`!utC zPZf<;Xr-9r1&~p*5^qNODRX@2oIa~&X>qJ8>DV3%O%j3OW-$0jWA{;v=n3TFz{Ot_ z`05M1WBsrn*^L#fljR=OqYhYpn%+fuuOU7xyc*F2qQxU(P5*o z3N|Wf6xdNg=|+8Q0PQT;Dd{VOaBN`|#~c;J8%$Dz21~QYN{v$OXItrb`3ZI4R>PrTBjbh7U#Um8)+MxEV_r zqu>ce)oSfkh&|HWjb;c(+@19^B!2|qw9_NCdk%ADsI=d?kWCK}ONkUoES08RC z%Qc=tM?q15F)R^?jxdc!i&?%)DILb+uzaxV)IhLa2?=RtOa{8r!ZZpTjo)ByF~rL$ zhAXqNG0Oys-U!RTP7G@yRI4IgWxUIJ#U)X&YOvzfKeZq-gC3I_0@h&X?WFfT^>%CN z^`?;loxR%xBf(JV9(v|IaNWX8EG$zsPBt}GO;y9ATtkh!{)$o(px>j`JXTIt@xdKXpL*2n z+ZMOszxVJ}s!+Q}as<U@U=EB$}@&v6@GXz)@JVx zu+2n#TWN`3mEHh1XI-6BE_Kl-m$k#&>BCF*rcD6^<~vr*_dC zA)Xp2iK#EIc2;aaN+Wd|1!8OkPqF?%YxaU0Wmr0%eM9_K7O?aNc8z%wFcRrFo>ze` z5GZQK{4;4ee{l!FO585HT(AvH2Hs%Z^rld?3zANYYMB~Xxg$j^oHDr^0bWU<;QOlq z38aVv>zEPX#559llc-I}o81v(H(Yk7ee<&F=<$ubL~rZ&@=u56=w`dz z7{1l)-fa*KI1Ap8C4=31l#Qoy&%}xgkkrb)A8O=r7{jG8*3eO&Rmmbvc^28VRp|wYlEMj?glkRm!BvvNU{&)Kg&0j1jvQair1x=f z7x#EQ=C;fWJM`E_xy;yhK5_p!6UyXvaBeaCHgdB9N;4#Y%CS8;;&8-k8@pnv|z9kktV zFyVhmF&1A_%nI-u)Y4jIiYPcx3$eO>9LcuT-L1(jGdAq9*As?gOPSW-l5eiAmfH#+ zGfoNB5id-$3aoWXT2@5;ua<7i9^6WbA0NDwoF34b+sz)HXzzNgvYbPZ^)N2q6x2Z- z^6XbA6_+ngb!l#dPNzbaJj%HiVN?(2lYiP5X_9AWt`>R&I$ElVqB|1S^k&{3uu*Ju zjTYKkT-3YpdF+GSo5r%H4XYlaReKlbJ0VtPF{HlVd2x6|VAg!4Fk#Kn*xmd?-&7ac zU+4y+xmsNv6MxfRN_jH8gXQ^e*XJ$-X=lN!EYN56CHJ<3NLIlC6#nKuFKldYmamn- zRJUYF*2}b0yJ@njw-TQl8Hi#Ql5WWrbu?6pJSrP$vYwuBb-6g%w!zMAlikwkkF;63 zB5Zx@5&&dz7wn+d8*QF%o)}2%6Z@~(|Ew6WQ%kq0gV=kaHc=J%{KnGVz`NaM=T*-^ zTdB7phXr-2qnQTvJ9RKig6oMDnh6>}=``6rb2S5Oj1k4yB}kKssWTo^brAxGUJ20^ zJuN2BII4~FvZs(j35PPs4sh(09D|m~m>vYUF7v_Z`TJ~$5Bjy_M-G&&v$s%L{xbDw z6ZE;peBYCKZ|(Eiv>sY^f#anPfLAAuu=LCAWM{@c4sOQvBey84=gM!A-}`K}mYUli zb5jq5H$7jP;aagf%|P~6Q==8u^$mURZup!8zIPGVPo=R&j%R|V4Z#Q%D|7QgU{!~$ zD=jPR0rN6(wSGghVMxcIrhLZQBF!B|wulLLNj>jhGn^TxEqcNPi*t_F4c&glYjRAf z-9%m!{tx*$DdOM-&B&_zJa(MB_ucw+mvDppZld0;Ay|qn`)Lm_dMTQ<;~3x8SeUrj z2dzbnbfkOD$XD0)K$M1urXHA7j#(MGBm{yGpl-spvzDc*e_#c%&g6@<({lHf5=Bvx zI7dcGN46BC>l^Vwj7jOqyiW#s$wfFMarSbpV5v5c=C6auSu55Izecj`P__+Vm(CKelS>) z;D(sk4o=ZCSM01X(Db9F4)>sg?ATgmB$02xhl2E#g!$!!GzRB~=m3ukkg$PW*i*uWW$HYZjP>&{ys~scDr#lzb16QtZ z2InE5S5QrV-E|C4DpK-Bs{LvEB@zNNsTOwML|fT6r|ds(g-B_wwbS$(#-D>eXwK>& z+@J+T#3!)MD~CQN9iBg_2AT> ze5H=hgWd;$O;W~##&d2yg$1@neZ$RTqch1XKG#P}iUe*%9-B?Aa#>xAjxsQG7iYKM zm$u_|F@&S_S0^iTc3s;n>54yH?0|7NFmhh>hx4%CPPLMQB$|(QY|)~g&YWl2b}VG+ zR?a)4&{sv*vQ-Rz?UpgvY!g=;x0*BA_Z&k`qjnL~P3!^ThI5|%P_zeug(2&eSpl6P zMIw(>J8PfD?0~TL+RmZM(yLz6;`eS(vc=p2OASK>pvUFLygl{^8&a6^u!8&>d9u27 zrfR}Mc6~L3TPb%=rOpx^I?nunpsMUssB~1UtT}GYie$|T2{=PLlz}VI##2f@osVv< zl)mCIlE6v+H$d^gFwIAlqH1W$8;|Vl{T?kN(%=Trmbbxm{=MB{IIuPJ2$ytrf}W$_ zoGgv6em$Pa2u3VUV@$gCPs*!W9gT883m00Wl|~s{9X53ylpYnb^=h8vfn}t+DT)4I zZChp!PS)?Y=eh3gwAlk9`43u!>Bl9zjLH+3h>Ds0^9dzewhnq71ZUbF|34=R@9s@m zY6g{1f1k(Y$p;?d%$%kFjyixyFfLnSIVEA5YOOs{qor22H4LtIq>f#ql?VNOXz9A= zo9x%&PJ2)r;YTI=e{!8*OsvO>6UPr|?_3L^nx2!y|FFrj+-96%huEpaboKmH?s;6NL_ZU6pz*0E%2H`? zm}5+QG3XPuJ4sB1@kJJ_f84eqwGdv56V7_~FZ_Nt`SBt(r7=``DiX`cs}7t-S-2U> zTkd2V<@W0APAv3)f5Hi&8t_oo09*CJ5jY2jNiY4stDXWpG%){Yo(sIj7>n(gK}-*T zlgg2r{x=8UpSU3gA@i03_s+S)0(`i&mN{-;%Q~x9MWKMr?ZbZ@Mfe@u~&4Ze8qtd+fhm@Qf@(Ev#<%2uV6EyH0R(<4{REX2$&m zXHJzRXUEoiS>y}dVbv6~{V}MM73r?PiLnpz%y!~#DiZh2t+@@drMuc zohI>8Kcu#K!jW=F5Fy~wb97SX!{{cGe;?2X15-?CFW{9|MfbX%(zddXZ7 zdiZR1XIJ%7g+7S92h8|{YqY}rx65cg>7_J%h(ZjR8Tm1DK@abOmhP(1GqIAXQ zGBUSqGW`Z4Bq>`PSJBNd`3)5h^q=y@qw_mSSBtletGwoH`I>mzLh0b0ZIuhWHo=cF zAL))uR5(oqZ5mwxXRIXXT0yw2E} z%<^Mhd9w-KgR$B+%N3b=XYU=+cS{ZKGo|UH<75Z z92=DxH9hmmfnz#!?+!Ti6d1=Mk(Q;5G86R^Xc3R@e+meXUK#UoM7S;;@!9kbInl!ibDx$3CSA#}_QfpixUrhl zd~Odd1-JG*=B}>c;~mWI<|OqM?^v4Vp4^RFf1huuvY>6bqs104vQm#IRKI#_{p3*m zgLR#F$+?g%kEk2xq~nAq@z=f=rtr^EGjD9|O!cn0vC99tSt4)p!$9Z&d2nchyrD}C z`8g~?UQi}xf>nuDQBXRUYAH=dxcp#cRvK!;!p)dI3 z-rm>dOdj?JPISAY@{f^>e3KUadp}i?rs&bdCF9<~#^}Ct>gbf8f+A9p!ZnkAeVD+( zuSLHpiO)C)&d|pWD3#K;v_RjN6L@PV`bkD5sjs-*ti$IvNISn+|1_?IX2W$uZ+*U=GHGV4}xLUwWOa`g*% z5}iEYH>5HU7tR`Y;F0EJpwjkbntrIhV2}9T-Wbubcv9wONZwTPhEGTS7Av|d!S`ik^*7#%?T;t=fPMVy+=_k=x+yTlx)ppIT5&LQyLa?F z3hWa<7fOwc$-RzbNR{{s0?k<9`UX>$1EEbJyjCinFP$_XzzaD?EQ7)H%GUN zyKVI(MxD~J57yz5p4v~Smd0ZPHg`Swj6Val3JFR3LO()+cxNg~+ct1V8ig+LJAkP& z-kRLhS`ApcPGj-C4)vE%G|gMPZvhVHYL(cRY~8IcwGzCqOwqDkcaKm5t?fI0>x6>sSOQ#>m zv%?FCMrTg?sHRE!?|C_SF8GzgMyLae6t4D+*sg2%rmy~aOvlO_6`Qe-LYrSyb97>` zCK*|eugyRoi=G>|5?IEQ%=WNblW4m#(fF-q3^sb2JlYCLMk3l{`9?6c} z*%!id$>YY+%K&hVO}sDE{Xa z>4r;rZSsc*F&-or9t`o1j+!$1d@-e9zFsjJ)IfIi)>lBO-+r*>>>U<88h0T))JoqD)RgJKNZ`lk#kX zuh!z%iAjG%T-%&sjCd#RO zBKKlnWWXlXg5M^cI!SK~zvKGWFwjgT3_1yMjfiVC4~)|po*6U?O}9`vmK~vWr-&kLi8!V-1d{g8 zPTP#|RQtZoIocWQB;xt_zk%daRgzO(*twzFt?>y#Fy7E2$qeeKT|WA+eH^^G{n^WF zE40M>K(ol0e>9CesgM8FHfXnN=`lQRmExALVU=z*hh+7!qK!F82n5h~x?w~yzQoZsieBPHrP_K@jOdfMrzyw@m8HV^vd^N`)0J)}npgjf3A=>gDY}fa0&0;T8;EEM?9_BNp5$MT9hW7Uy7DXUU@Ek9z67ME#O0A zEWF7gKHW<|*D!0YTWy;ug+cWndw#%`badGb zgS;-o`=@F~3SPUi%1x6e(<6-EA6gxNiGqP8WO@Wwo%w;7zwHioFXi3OmLMayR!;I; zrdB85p1I?;!M9{(N2Qp?x!%3*ji+xFv|3bWDyI4z%I_+-Ho0>R3syER7-XG_4^|{V zM>}Jcv>{Trv*6;pK0Zj}%4LfJg%?+4K4X_#BMvFXs`UI>-a?ePOepPkd zW0{lWJAK40^sv$F$MnBV)e5r)m9h#IVq=I*&>C^{Y}*meOfVpJf9eJD(xij7x_s)P z9jTGr`YHThgtbjeWZ@4)k9xU;HS0GA`D~o-F7a)T8Q2+;nWat^qm^ESO_ibRChthDG~rDn>mA2W(4V&m+PSyr5! z=$aj<-E-Ow#!`oMOg8nxopq4$>Ky7RaHteuv9^xx(H;7rKTAiyoKx}~y+D);5~Y|1 z)80u?iM(_t`DPGOZy@$~S))--)Q9Z-B`PR$ov_vCjV5YcK@6P7-@~cZM^Y_IT8%so z+UDK03uqci-22=&7J@Sv=xMRF3#OEXk>yQV1QwaMK-s%?$X_FvF%4YGH1c8_Yy)?H zDg?7iX-#hUa%%LrLGEiLu3}_8;n$Af87sp#9bWhsYq_J6@s&uBLCML5+(ryxyHsWM zrb=mQ+-Oxp%oIt592APE>**qpke&hi%`|=TW%iMXPR&^(>D|p}yUfd)x#V>HpQHCn z1q}ceXG9YXAmI8!8>!-e^DmqdK7wrU-_4SkZ*K6P!frBuYMrr+uO$7*ny6hk=oTP2 zX=oV#)ZHvUZYSqqYD^e%be& z$=l2#s<@VR*~^c;nk&#>XEe|Fl>TzWs-@aJBus76XtFbln$ZBddwqR3|C!REZ%ckx z>Sy?woThfh&!;fiQhbq%^_yNTBr?--_v#$<0W!#QIvY>dX{~I)oi2&eLV6eBI+rKB z`-ko^Uq8h^-8(38tlqOgFBPGm-c)~4sv_$RM}C1N;W0h52~n8W>vhZeGSh$P5Y~El zzlMsr^f=#n@5LeKCFda?$KP(Ycdz>0w(Ow4Km2PYIA3s@abstV^_r6oOSC?!(E52a zOJZ!*bP48=#vEEXv}QW8;T%@^u~cL_e5!NWpK)|g)dl4}&rg(UX>n73e-OD3@b9XC zA+C>23%5%(f9R`J^02?%$IK$<*^6cImS$Lz+d11O6o;A@B+)0x;M2OH!~5GgqYux- z-##jc8)Q8=kH}l@4O?eVeeb(oJErxryntPG_2SaZ&IEwp_<8n?Y!jW7C_C`4t`1_S z1^%@==4d7gRkG>nF1o0S-mAw2ku~>}l4vkDm!cFJpmiK?FYPyxJmKH|`wn|6gl)K~ zYP1xF-b?8*(*XtCT_mB~pd_tgL6-cRBlo^ac6XTAZIOhTcDc#do@;BU+fLp0a)VYP zlO~|2=Dp5@hPF`0;$NrYVoq`TTHCdz>)9P@M=^u8;RTkP#gSjV?Y-pMlu`%e(7 zMEnPje#cHfQCdnmcR!mC%4avoF2*fOXo&cI$V9hB9&&#YuSD#Eg>E8)69FCsc z{C-#AK*iub%C!}Oi4TlQU-o`2E=qT*?~z`tzib_D%7t~5{e7?u8ZYhfk$5;tY` zAvs{f;2~(!1uf4BKB(?nl$2D^wd|(!XpLE&9dwO7_?O zm5#WRO`QQ052ARD=@QXCu4_Ge@8}$IV3iVg^EnDB%dA#eS3mjfh|0}M1x@`7D%=8b z{|cn!xU#%8*#@$FadxA)N((8gRWs%FNAYX|R|QECCLYtx7K8vVk7(w#rh}L^Il{A& zqImpZ6x-wGN>tE~)xg%r#7J~7Qt_zV44^n>ERJd;OgCq;O-;8M+p{6_PXu8EJ*WN| zTREJK@k{H2KbFVIdSs=>-&Q|f6kMF3Nk=u*4-RTNp-9DBd|Hqi!w44Ii>)BiS?0!My5NJez&Cy8gQ7PhKExTA*P* zz5DJ((#cwwQ?81-W|-MS%fifn$MC21r`5Wf-Gn5_&LGUTD^IP({Id|S_<`x`8P^v= zR+%ktf8%zvUKW6#I}jJwxe>L8z7n1L->!j_N#A_N$edt%(@LVWT{O<)eac_n2tc1& zNK*c#PNf;&iBGgf$=w;A#?xpz0Ouwf%f83Oh%pi%O73K+0q)lGo1|pT6_0nE-#gZb z^BscL4%u+=B}CF+d#ba?7iq~oPb+5Y9h{d*HVQ>2XOy&RJVNbc>x}WLd2O3D>)BUn zw{DIesJ^vCv@K#@8nT<`H&#`y9mr9rF?#}OJ=Yjo;u>onSv4W-0a+hVi%nzR+&zQ% z%je_AP5*^UO8Uswp>vRC*yBrlgMfaVmOW}h1*_@df(=;80JNuY6Y;hUZW)vidv~^G zo>+hwdm;O?_G4r22siuo2c*@P0*oO+U7t+D*9&izw1$7Cgcp3Wm^QE0H!1{f$9U8Y zS_CkUsKlq*US*plw9-tzPyLv*+G^ZXZOF2$vCaM}Vw_GeA(i;X+$%9P-m(yV^6dC( zy5^H}=yl*lVDu-y)#m?p6F9w?HsH?r%)fH$dJKw&bn^T>M=s!Wq8K8aemL zT|OGK;E}H^Jcs;&{E1tho|~B1m^rFnW6gwSne`nue~5hcF)Ob`1Iw+7f0gZ!&Fgnr zV-(kkvnO?YB%9+W2EZi+w4oGh%%4iPzvY}uUtuCx+M4^#GvT3QowoDS3Dwj|dKb|V zeGU@62Y#f=zFt4^Q{h*~icM)9}8+`jLtgIyhL^Neas@M19gnbcsa z-+?6ZUO9TUYF7G;k*qSV)=?b(=9ey}fBC+;R*^YK%Dp{ihJT)=dFcX{7~Lj)Q>Nl- zS(ryzvMnpCe;&N9Da>LYd@AqE80U!^gy?Gt7q|+J>h%Fj9#>)=6{T^uZ0oNX=VXod z)=@lO!le%TX7YrwnNo~&!q?++8rPir3)DQy@Vu6Ap(nhtcyG(9K?oKGx zw_C+sPfhD`L)ab%#WwD+j*Q}$?WqXahup>NkE&h-6YTL=;=YBun?I)l@f> z%bO036A$b;%)AACZKWaj!dKKcSw7qQ3$CWF(B!mxJ7h#6B==?0N1WFR|FBl-g<+VP0xDbKfe+VlHjQhr8#~AO5>{#wpf^p{0K6Z5Q(!!{)UsiL#K^vWw$526C7X^{^j1L+%erI6 z>yHhbtY4n}fzn!x6kM;6WEZ+G+jeXk^`HXII5HCdQGN#(j{x$P-2wWMS~5IJWR1tB z1R+l*@ilya4HW=RSJ^=?-z5qms{b`#k@!d><`u2?aKuLFgY*L6#C54xHDBuX7;q!+ zXrS%RNYL|Pnj3f=)taSaWqml?+m6N8bN zBID*>v9KWUtIwN|PQ&9ZZSgVQ27?KW38nG$8a5`At+F(yxMKvag&X9~7m3WZt~GKz z>FAq^`4|A2tD)}+gwH?Yypki4rrw8H9^Er4?=*2EPk}^V7K6jL1F$?$LqegQVuMU} zc$BrN9t$(S8-5*YZ~v8vwL;XU?dz&W9rG##gN&Gtp$^i(-M<(wYd5J&$s~_+f)2Zj z+{`Bqnssi!R94%Hlm~n2QN0RNE0)TyRodJ4yxB5|9M$s~1>7adHw`rr% zoW|~p7~&fzo^#wS|MN$OOw<)zXG5R3!DnJh5^sb^K;q$+AtKPzVP3KIL$yFPVyCPf zgQpyBU+3j7B^?Z5+tcz=E%b%=N;B-vubrOy1~})sFW|jGJ$OzOjY2;P3@#6#JI6!q z_ChN42afPxE&SxWnYs!uE^n&D%f*``YN#pa^aN9}3xhi!yQs{Vu`D6Jv-4p+{?}}` zY#yu$vAgJmXBJS?!_Z^jvTwdN1M9HnzkpHNsqfAzDR%E$JRxlQei^Lz%EHJ@K0k6J z*?DRRdEsjN?cU+y+~?HO2R`dgeNiL-nH!T)r9wxI!Fj$~$Zo{TC&FuDud7$$y&O+2 zmzECc6^o~T0hE5xf2BRW`WaF7zFo8UzFM3M^dFep@FtiNxP`#KX%fGpK~L;gy}A3# z-iX(@qN$;B3qg^^W*r0{v`jj6yLc6BCR`BT`e(K8iA&$i&p7h2bLZ{Ist;F=Vs?b6 ze1)3u%TmKfcVl}4^y|~JQY}a!q6&b75Xph-NUJ|iZM&EC4n0t^e1k(|p}eQWhIW3` zL*ju9rXtyJvrUWRqvSV$S>kVYx$o4;xJ`HGnr*W=hTfdbwtuel9_z9oZVPlNy6*xj zU-zy-wId7y(xJcuTJ5T#X&!^^*;lJG?ajH7`7RvqAZofK!>kQ$?WK1$k<$rhVci$F zO}74nUC|nS;)>d1lAza@CaMsN{m{#Q?&Ur#6iVuLw!yjxZ=G15!Yab~L|F;%`h#Ks))=?h~YgUD) z9g3UdoYyGSW4*a(IH;9)z`P;qy4nW*6abI9>EbhE)_*zwQ9ml_S)TNz(uO%@%6Glr zWZt~Ye*ve7%lz^Pp9L`SeLgUPAHnGDx=48jldT*eDP`aeL0FWnyLZu zLS#Oipi9I0&mSZWZnSC6jalye2DruDjp_JC-`3LX7uOev)sfM9_xP2m zUQqVh(PBZA*tL1#%Z6P?T`47#&W?pVxjynCd(u`>R<43xS*1sD<7Sq@&0p_Zca<*2D>GOgW{@a#Z2FKq)tz_ z2a$^9ytx-2gwKpZ1x2GjMc#Wo7xld^M<)wUmkeEozp3dSMLo~`pHUX!;R9sm4pugv zxtiN`=p^gM-SY-BdBNlZ@&hIGhJHc|6^ESKuvvENMh!!`rl~6*`rkp158Bu%cB%W# zSs-o`peX6DNdGJV+DR%yokv#=LdPYvo+ox z*H+6n&^v*yrjhKkdJ?tc0`RS5;ePS!xU+>bJE%hxweh`=Cg#?GYDHix(fwZ7wZ)4H z`Z2THh+mf3?N7!tcTyin-$v1nUy!xR0_OIse0@dsnXd&7Cp!L@YTD{k`B9eJe1&uY z?KDRGy=4RfA^!euwHj!U+Xx#4^k3+*)ViQNSdEBc$w)|)iV8$a2uaUC6X_ZT4UgUq z$Bu>FU7=~h3__1&@^i9V)+SKn@XXgqsdUEJ=`{1Dsn<_GDhl;k@YFm+ifF}~(*FQP zUm|V*Z68#eZ;Y8IGTqqVgmFS}W%mA$`LBa&YefnYUsG3K4e?Ht`Nx2HjQ08@m0x?D)Vfj?n_F{ap*;iC+f z0vlzd*2`}8X(5jsbQ_5{?dw044A13?jH}{aZ5!HnyUHYi4AQaLRsN#)uW;Mq^5;fl zIfs3D?gInca9Pjc=Ub=2*X^oFwe!lVojX0bpTnAQKQL@9$Ecl)X{|7EsT*7#vj&}^qPRCZ2kixPzyXnO_qT(61*uFbA!t$oR_?`6uomtH(arJ!>>Z76F z9haCMidX42JofaN6tOl|$2&b#W}NYg;%Fn#ZFh8{oYH&;_x!ax0PZe6Ve{7*6A-P- z7UQ&#g&RhFQ}K(_#QY>C(?yL49Q))|LWTN*0c&|C@>v=6Mdfw}V?&y*%Fp}VHN1-jz0oDF4d4f*Av zeS>A=@Bkhk+@=k=4166AOn%>UsX_gp#rWb@ma&l_j{bt1jf}{sM%F*PZRvUxth)5b z;?mObPuh7ZC&pOq`xvZOJAlK3&pk#s0;*2y|CG`uX1nol>C&mu%BwwoBQZ~N#}Oy3 zcW)T>RyGp#O3f1X#@|-2y#{LTIAgiA4NfjSg1>VCltPM$vIY0YmnP)A24VB=nn_Q; zIG3T@s7^Vq&f=sO?j6eYr1CzaO!V$$AlLDCg=Z%7XB5ku^3b0EQATE!yALOIoBUIf zm%ZsqGRZtP1l35O7rA=I|Db}2Zt{ecZ%E(L*){f|`hdnrUU(-4SG2G4Uv0!awAuMf4Q1Zypx#2U+=WS} zGh3^zrebDMyl=3> zkR2*eW%A1O{jvHh$JId}6e}{c_Xa31#|#;a2ue*Karz(j{)P;szTV6wWrgJg%9n~^ zx1W%7pGSlhZX*hn_gDYY3B)QSrm`c>Ct!ul%gJbMKm1AB8UIB$6cTZu0IHVPoY@dGa~JWkWP1qyjg`02>#-HGyUQF@f=1PN0$^!#0itEBkJU*6Ri6i zYW^|Oj(ITAu*xtIYdgR9n3s%W*|^8#BJd$O;q%3*m-i{nF24WA&{>8xx%UD190?hW z?ol#Eca4yc0i!`eN^%YQkW^=*H1VIl81{^Z+GAN9j~lL_{!nUq0`;cJ0fv ze>}hYzR!I~s}?ixG>uPVodnMnVr12U#30x6AN?=+qE&8T^vN?7>@b`C#i_Tg7=7jk0A3qWs!Ca#$0{vSx=c?*!mQN(U@m^re?m|Wo%k*l z-abvpGTfV#!YWYE{dUR4r7%$*=;)d$<_n%tO*Q*%CiNc|Ty2P3lAW30G)9*tKrCF} zcP^Sw#=vaWFPQcmMMPObd09fLSsPXJyhTh#=!mP{8a0>2)Aw&pkMoOzWB9M#>8x-?<$c(P^6$+t>C#-GUH$tSsrsK`m5W%Op^c;-GKL^oejY}|g|FoCk_Y z9$<%=KNb+)cAnQS6`dfK3QnDbNI@rGn(+u`Qr1-W81LH`FYsz}8QpfDsbTtj-EdH_ zH!0{??cl3wijw&(qU1ZD-f{++JsFqrj}C$C+zUyqWl535BeQil(>~LX?ed?2f-<+o z$I((rz;RZK3vGsW_^zn*hr&N}d+Dk@hzM@FV6Yb|$s8{H@ek2_Vf!IwlC(??xQf_M zmU%BI!_F`p8F48LyC6)y)$^@=A7a~;W7pYRG@7k;J&?O)wuOBFPr7AwEwt0iu^h{@ z9Shqh8%&L|5Z`Ac8ofJLlsCFz|A>HVp+&Czj!KJ`5e#T-hUfqgC?@ydY8L5CgItgR+3W3h><^rOO?`mV z-<(&=7*W9{puORE@w#9tq(x?~J#b?3Yog|1g`(bjArV{Cu;aq~M`mZL^)S!0z&!Gt zO)+y~+OO(>lb((xm~}+b$Glb0=vx9W)VA*gzJ+Ujmgi+@GtMWn$8603%i8#U2$1 zq4pY{Zw1YRGBWUCvOF(17XilTrgLTUKo!j)LALnYnXZr2$#+W;S@jvdMoLN}jJ{Ji z;MJ+j<*jQ}5Q^&1T3b?4R=KU2YJByCQ|byBWQoz11Dmr`Hy>wv?P_@ zD|0bgT}CPoH}MPkCwq}?JcKI!$5>pXuww}~pbFz9F}@-pi2QvRK(L%nYDd4AjlhJAD09E&pfc5w4p1${q7%q1J- zeS<4=NrTSsg+`o+-TuYZ1@GU2-V+tnFWvv7Yb-O_YUViy+1g^EZ)v1VnMm2crTp;9 zA`VBMZdFvszcd!kZc2u)iiEw?^-`tv9TjDwIPEiV_b=M;ef2usH;>LT^%?w9$5QmO|NOC6L;Z8ol+`K;0$wCaNYy`;pmf@oakM_?P74q zz=H`Y#SKd43Ui@q>Sth@9?Kczj~Go#{>eGq6HmUIoIxTzFh3HQjuiE|%5DwkxZg0k zRecHlbkYS?3Hl3kZV8=&kc(>~GtN{>bL35$$(a-6YH0V>FqP$`9a&h138~ZoJcsCM zJ1=Qxp2(jIOMfR;(Izw-ZOF`2-w6BPKO{f16RN^C-2I2-OH4J?FMJ8L%a@gN8i7VRZZxg3{)$Zk8(&XVb zI-6%M`j;@4Ey=CX@)$>fvgtl`S{{S)t1P3>g1N4ezQF#nLF`E-x4vbUyY~tUO$&v(~sbfK$wHYt#MBF`#V9wKp8n0sekr;1$v`+nE6|5Ec3I-JpPufWxmxyK)_s1)b(avS z9+t-;nvtxTW=*(C#4I%g)EFXD>Ly8jedfSxWl#C0Ot2gpM+zm@CIk2oNCB=%sDDM4 zb*m_DrObRO^+2of)uJY^g_-D=90Am1wumIIHe-Bqhe^i}dKf=-QwpG29=wsvxPJ+9 zZ%7qQc4C8O?ogy6IpWJ%+VI<|6wCuXobHWp<54I2~Q+DLCc>w??IqKv3 zd=S;WZnvsEEH+E@ULiTCmrkI?FZmA)9ecHlfQe(JCWUYHjlbjfl`l&K>4J}wcFx?G zy-qB`C3wO&Mt99t9CHfQW*No~v=hMk+FGqHOc7@DJbXfd$P6p{j9q?uTPoSC^_Vv= z0P@Pe6tkz6(2!U1FHiG$#GHR7U?#fj-_A!nF+QK<| z1l2S%Lk10ai3ULBre{j0@AN4??j;=U$T{=a4j=IvVTn(dRSjnU%@elSx8nH2m>zR{ z=Z)(eZ4GbQgYd(o7E6v9!|F_c0j&I_cY@l+UM%P~)te{uAg15E^Y>D2d{|#(>NGS-;o8wU^iy>Qmai>ZQsHw%f z!@S0FKIAUMaUB;*V?;lUW)y60G)*&kQ?r%`JKE9AsPUaI;nZgX^NDL@llfYUq zmN8xFYp&r|txj@cb;{EfAtu8TrltQjEs%++CR>^0SEsfT%+2FmWiHucW35))1&d=^ zmE*Ar2Jj>JIQNr_Q-$lP^;kM97_F`j_*=qEB44`gwzM`=ns{{{n=s+&i1v_Zs{^pc zuvt3`Cq7Ga+zGU8m*i_Wka$n$ypl^72o6k5-HSrbq>Kfev?FSj5AtL1a+Ls+xiZc= zF`C!h4^n0gfsK%12IK*l9At$Y*zdlYsYCx|v+@dti&~7~MWBFVPU-HrCwyMuXP+T~ z&zvrln&L3&PCe%-rd$hmHx>@vMPfZ#N{OK`R2<06dy!RpVjHA}Cgy@HDd>Y| zf!|=DI!>Q`aP#Bzloc>171kD)A$>2nQL|G?KeCzvS%NR|_38Vz2AoT&XGoNH0=Z_uyUaqY1#o1 z!}1G7gqO$F9v&cG)!dM(GDw~7|B?==Y3KeH?T>+~JBGo^FgNv`ys0`>77AA$F#Uis zOw2OmMw#;F4MinCEZrFGUjW!OZx!#byRN7 z8wTlc{>`vCBCH4$Ah(J=FKhhQgbi(#N*!}LKJ_$8k3|_O*yFF))UW3vnE-vilNF)F zVTA!9u|+~}H6y;AeF~gDw&+iWa~Tvy@{l>2qJ_=5`5c&UDD_>hFiQ?e^-$=C0Ogg@ z!D!ZsyI)cZax7swpQl+grMx^qQAvw-OIl!`b{gaK6*9f*pJHW-`D+i;a!>1m;o67zq=SIQM4VCyH6m>p!ME zu(3q1O(~>oZZJVT!)KnBYK{C5toWOS@)^SFfb`mZDak{k8>mNx8>8pr3xWvA#BPHk z_&?Ql9kF^dw8ky(JMVscJ~cTv;-i+Vde^xRn6%cL|3J(qrDgVMfl@{s&PAEkwCcD} zg$}OyL|dNmo(s#7c3p?Q`A*WKVjXi{2=3G%Hji{^@>xV0-O(;02^~q1cDmh`kr0D# z^;wTf-6YBTX6ncB?Xs?n)vI4ZGvwJ{fdw;%g%P7aaHX|zJQ)+ncDfgt(YgTP zRA!Nz(f6GjW4F4?c?50De=9!nOh#`OINy^u82HW_9~kdqut5p^$l;^5wEgK9OV=>X z-bLLt&HK?=G=_Y;5M$3%RP8fkF0*b{TWr2gbe`z+~Gvx7F7etLE zPXruSbpDp~>!jRWM&vhJ9`^+4>N{Z$+i5hu+3>W6>(*4Kh{||avbxRPTR~_ONXxbB zSuFQ5Qbi7U&TaJMxTp&2FZzvFFRdVj^#@@=*mu-_RZqpBTMW6t2({b9rj{bk$A*C> z1(aZ1cJ&*6z2~kt33rkP6HO6mA=}<8xbW^)6cgn^cXBQ-uSn>aKbxrFbD7s?%#I}# zq*fILA!uuANo}3GqTsCgiMs)?eNFlBXrdhDuyB?bfSY_e@-CG z%`ldE<+1#H2jYcCjZa3(j61~0fy!k~$2#D4{7vJ>8_6`e?Me3^rpK^7(rFoLVep^& zf?}CcIJnL8M+o!Sq)fCmD~jdztxdm1{loQQ#WsVGxoR8^CQA;yRJ^n%n;zowCQdFd z-pMC@)GqRn<`S(Y?1ieFb3T`(ReAm^14b@U$SkCxjnpv=Mhj5!Og6KnJrE~Ql1=JW z>6HoUj^iIu5V4#)bNoFaJ$CMzytN$T$<|WZRkk9zNOko0P7)Q3;ndk}c1WK0eNI22 zpfdfSFCgpbSd$qcvt%L1;~9cUZDh64p#^buLgBvr-R71t5zjk+>Tk1H{k`FEK@Yxl zU52@49^V^>qlIs~i?E-iJUIW9XhiO~aLNAO=~oX94M4d4k@U;|KCj#}b84R5Ufodt zI(;0rX5x;&pLY(;P85j7;NxlH{#ECXZmS( zp@3joGN^c_3vgYIfZ)2W|!qibeuh0+! z@{D$IkI9!;q89gCShA%+KQ~!Yql#sKWwHx7w4PM39V5)C8#4NdTvQsajFpK!6D!gs zTQ+n3R!jZ8NlAN0hqgwU@cSue0W%J|RHLCP4St{b6t8C_8S9L0a@dl6q&T0E!p!!; z&$_N|*14w=^3nd=%_M@fHCLI!y#0$oWrs}Po;TwmP9~x!Rwn@ujZQS@ly7!$LV1Kw zlw~;Y2Y$?oOGx@gtM|hK5Y@6}wQs>bD@f`ssp|m!{v{#H+|DXP`)v9V^fB5;sUlM! zS~|_}UW^fAKQkm^y=N= z(ybGsc^V}RhmscM1}pn&ir@~|sXD3RzD|1EzEW5*#7o5GHi(qA^1+AP0RQdiDZeKh zAdJsGd^0pbUm9@Bm>4;XrqILqX5kw!2f84B17*d%jQ`wtAx?IU1Z+qCFfT7gt0C9n zghRBv;MY&1$zvj14V|ti*@u*-WTbaA8fY`vp}xiXAU(pjRX?y6QS$Ka|Ggdc^RpXQ zsQ5$O&vHDX!?fxx1|P!?760L-7FNg7#$h)rv1dDc*J?ALk)-2kfawCyhxIE<)l9xvAZswL+XXf2Y;BLQlsHWg`9z~CF+DrBTf#9IOLx}? zY0{Rj^{^87a9dW1a{6ja&RMZvUM+wOA0G-d8yOaQy_RfUx;Rx@!Z{dSc3n$~ zqBAwUyYTe{nxWpJlJOX1^h&{QdcXZ}5M>BRnp^!#_g~?J*qq;Q!F5?*@4CdqajV8q z*Q$1Wpj3HRzs$g$*`lwDM#&U5W-9JD34~X=6llPtlTovY43=*TbBI! z$G-FF>5o~w8N`cqhP2DIiisDb?a=|=@Ciwnk%0*eSVdQKth zu=E$CxB}xlUL(5(B6KM#NSzG( zk|vhhZ$1F8KbKnb)kP{Fc+c67@lSpBW6=EHKQPJP9=2MZCn9*oT(PP8&HahiVXr|NTq6}PHcS=1wVbtUUKCFL?BBsP`kag^ya#BI%Otn@f$@|QM zy=HDrZCrp&D6xx2%oJ9Yf^Y(pEUhTSz+Dp397L#PjN06F)qlt}(k&Uw9#G#WoHP!v8RRsZd2|HaNY zK-p{3#r;6dP+@UC?KsXHT{j-WV8+BT(zK2@Zx3LkUi3GemC~7Kn2EurbR{VKrK_EA z*sENmTQ-b54!-d<&#w1OG=EnT%xib*)*(IGt;4X+-7FnxL;h! z)-tWI09T3*qfk^(o$gf1{)_t=H4k+Ruy_2l9h=h#GtD4_*mHnl*~$ zgM-x1xu78O9c$a0^GPJa_`k?Q2RE9R@H;!&_pB1RIHnR1FV*KbME?yAOV%|FKT|Vh zxnwNXzq=2hm2~)I)CveY{+n$U!9MUDfi973j`MK4e^T;DyF{Se^hXeAy4N%ptlt5dY#U-7XYjTTb#^I*o5sW_$4l|47`xo6 z+y*mS+qG8bQ~t7EsKeJX4Qny{&{VvseSW~(f^5c2ro-^otTf#ElzB&7*FsRP4(nZy zpDrO#``~$)^K~Ah_6q~1iYm7%Ozuk>L1JJZL|`*6^B^@@`;JM>s%%@*89lYtc#HC4 zex(Y1q1bw7ef7OccA~W}(l(W%@aTX4q&b!P1-|o?SHup`6y8ddbN95gk(%T9l-_ka zFNd~pUUeil9jtOj8K28y#LqIt8s)3)4It-&qJkK+PfVrd3=`9`106j`NCPX{ zH}Zx_0XPkSz8Q5sQa<@Nl;eV#@uHZmrCLS_mROhe?bvTOoAlkg@_AT=0ccX+`Yja{ z1T8qXmJ(&mDt@K}5$-Etnc%=#C3^UZCzXNBPLxE+Z%plm1XEI}Ow#;VU2>jB6K^Gh z^q>vs65ZTa_Zo<)4TRI6sja@#Vm28_(6_*w`>~O(wQpBifs0>c3{OfoqB? zw4aY~38LQCYud=4K%)awH_O~dAMi8~#_IB5b?mFIC{uNT?Uy5)#Bc8ZHfak77MCa% zo^$QsLq1m@5KQ6EnqybJk{P?IyK^i3`w*8!&&QxW*V?vbzWV0tfAq?03=M4Vh8@Mm z+=?%CoUiAal?;GiMzccE!xd@oUAb*ISm$IJWB5!AyWdj+orHg^_xz*{_H+Nm+hZU1 z1oKNS;nAJoP2CI{rWcrvNgMsW zhcQ2y1bxw);E0m3;=p0}*ALZr#1&#Zb6V+KuEr&Pb7w&C&y5+bfrqryL8#obh5Pkr zZIOcc^LurCb@(_W!mF8!e@joEiVY2^E<(2K;>TYF?X&L*dRizp+hJp;*mW2u?^J-+ z_&acm$W03vP8%6l5Lu`D)F0Y)^vxOYyBJrlf7wImGf-8Kv9Rjp;*sNi!p6( z0ZPq$Pv@qyAoFmQZo?}#C5k`j*MEMO+MNi zYq_xI!sCqxImX+~I!+sCK5a3G=^_{DP0OSSk{;*W3M**yz9(TnW-=GElmhqpjq0uR zd-2`OMu`BeuLO0erStp7VtJ^O=#sw~pC(j|GgPGRvn{cz<&CIRuf+1BBBSF-We*fp zn%$%RrqB7~h8X->jcB-k@2|-jnlCEowWwbB%o$BGX793W_Sn8iGss=pG-n+{=w-o& z%=5UiLsl`-C_0cl!#3y~!kQ>eAx%?$P!Q@T3uAB4J0bcvJe;hZkDcNFVv#FV@o6># zUI2HuHR(&&?Gj^E3M)_ zIwA0z9P13wf?NLqGC|@8^|7hPgn|ib6NfmosInApDz=t4KK}Q?(y_s8htM zkjKy%1u2%GSNgSp_|(2f!%g?;*TmO`Gj`?vY@}?kzn5>F2`>C?wNVFCq_c9qRU7rd z>;TK#@d1s%M**Q~3CZ1?kkn-?k*f4i{dKJ=Fbcn0l;ZMN z>@T>zBrMQLWmxvmH6B%GA1cG;8`RCVNq4cK71pZEoqOzT(pH&;x9h2$FyB8Drl-Eo z1v)TG1mD5FN_ar6QdOfW*J*=b<)64AYt-@dGs;!k*L(Pu4|jd)v%Eri?45BU8_&^o zW55NR|CW1e*)axo|B+XGgyo^LKPFMCTm4r4H!Ludb-emq!@nHYnY0^Lv|n1Zxt{PF z<Y;N z-ru8b45tzwAD{2Rw91!$^T`f`-Z}YslF)x9Hv2c#>!G+n>C>@#g~2z%3B-74^$`Qi z+AJ=gVthS6X}as-4^@WFA5U|5w^|w!fQ>~2g;CEA7&bIBSxwTORQi`&jMfj3X&!T0 zTRl|G;81H*XYJZ$#WG>owKNurjPmOIcMup8M9$j0a6DW0-KEq_e!$Dk-KnHfV<-=V zx4C2#_nZX}KRVn(oC#;ZpPcB9rQMCHgd18nY4RaPkbNf~=z6S;$fOFu=C10oIa2R~ zrI6TbI?$EA5A*f*m4Nx4@0!-`oM7x!;w|GlCxRuLaPCMku=5|tOb4-+ilNU~t*n@W zjF#8bw%nM0ErMnWeJP$FL)Uf24o3>@Duptv^g+)DS?_E>Rm%=xyA8O2>wEL9G49)F z5$cu)?ZDZ<0LgpD*9zsHWj~n1R6U6GrW^Gx6m+gKlaFr55C!4)V^YwycoGh;r^Vw< zJ7*WDqAXQIjln}X<|@|&7nEtEhc{n;%Fv!jf_TMZ{$?%XPS^yaibg9AAFFaW{^n)Y z%LH!w;8pHgh%|~BjeR1N3FuyUUo%aFsHtA<8in(z`sW~ri;Zd%(QBsI?iuMi9Ljw@ z&YaeouqKG*m7PzhkpaA#KH92!KYcUc@ygCA6vMPq`LjGtvi?Pna9A7RTrL>NSEh0O zjeR%=MJ`^k-WMmbEgxT!qf#GlmS9uJ#Gu!$`B4AUoh_a3eG_UhGr#=vh14&aW85*@ zagQ|A7fVG>|M$;tnjmv;41ECSAG&+Jl)LcWr-2`ahGV27M048#r=_*UNQ~63;|uJo z8vVnda{brBTJ&5-F9K>3b)WXJFT4=6wl=zdTpS?rvnug52i&0dw_-Q;6KP-qfkK`w zga&nrt;_9@PIk^_zwXs1nEmnwsr?e3uVt8>9bSkSA?-aI`o+O!3l1UCV{V}+>h zuME1$ok{zPItFF`)A{O``p-?0J#l!ZZLtIv#d9W>y#hlSZ4NnR-1wVl z4pA4&{4CTcJP(XFf3+>H%OT{8duoXB>^Yyz76cNwe@=&Xhp*fuV%Kkog6Tn;-q-4>Sto6>|gMP ziMw}j-&hGINvj`xSV^om<4Z#fTdi34LDoxrG~fPZlQ%k^ zl|4voU4_Mi*`-2M*m;HdpY*92Yosl-TLLm3wijbs9gc0>gXzp0A6@+`*%;oRnpSUK z)unNODRN+}ik#2AC$SYJty@Q$3qH1*<1dd&JFJWULqVQt>t{34C9_7Rb`&LbuvoJ= z$_uelLbex5thsv66}5fDVtiYt}7C!Ad?o;cE>2*M+J z<#@gyxakk`)CE>bv-P6z0>iSQ>cZ|zxYp+k?u@d4oRzKMvow`cqW%` z1fuR~@U?gog}!s8`g{n(*<0m#d%&1I^Qf0*)87)(@7J3dWhdwIk#DKBJ~&lZo?~oP zn0%>kaMBfbVnG&7T4pGuza%@B|jO3lNf(PqWI6EX_t`=6E6iLfV)^GYWJ zbZxVG=*S|cRD$yAXEpzug-C7~qASS{x<0imIriVi@!Xqxrz)?*9AQmnv}rXJEBy`| zb}22zMdsA&0ZB66J(;g4K_O0W!&6H*bIxB1KKqN+2J$>$3Fd|6M>iZFZS|yOg9)La zm!c4Mxy?+Lu|%*&b=19j7hZ0{FRnQ#52cc_WE%~eVvH0LKJVH=s2q|Ah|PAYs9)Sk zdTB>069Kv>hDjiH->pQ=79Xc!V&lsJS-TT+cx~C}cISx-y0C3>HeC{OHpmblQD^3& zH28Y^^`MeeA=@ z))u1GDn26Si49X%=zJYl%@%aMo>cb)>4Wj#ktSeopQ%U_EE7w!XgJ@G{ihGa4U@8p zwsZ^*LL$-vzReK+&COu+_3N4WD5}pU+;PvY`KuT=y9h`C^js@A!5g&p`eY*{E??zp zkv}u{Qld=?>P`4?KWOY1!q;{qj8GhmgFT|*)2ODrCuT|EGT%Z?HO2f2oZHO5<< zuOt(|xk@R7Z}kS>`_&Ar!fog45n_-5$GPn1&f4B6~uDWrEwy?8S(ZQ z6}L5W-{DMtQhZ80ddLgwfy@@If2yjU^nuTMdZBIVHJ39ec?VkSwz za9DhAynNvZot*C*!BCX|)SF85sWUP9mcK`2-~^2=-)MpI%{52wkL}_LMG~#UtXxa8 z`p!z?<&kA_+^HQUhxeYO-mN&XWf48K@G9cSIlD3Vb{UEam zD@C^t7sX1(72scCkjMLB##J<@pZ-{*c8St*iv%~UUI;|bJXwT~`vL9!F}OJhZSHWU z;7;u+oXUxGVT)J;yBVBl876BQL|u_D`9wr+8s@Djf_K;(ud}F9uGRj9nT47VKfUQI z#!3cPZaB>~0v*g`X4v@Vktf*_1gu3&p^U$wk=77*DXTMZu;HgQ6~vli1=VW>rXj6z zruc`oet<^nF`)PHIbBH9wF-xaAFu2U%t}4bk@zU7&y6{BWT4`izF{!3mCeYjmCdEa z0qd(T<+VY}I4*ej`@U#1Dva!fSI-{TgML9GJTa|h*PL0ToMB$Y;poQ)vn)VV=h*#z zTFYTND}H6^qhm{(Bf!2!zFg%aTmOz2j;%q)^wbkoyf66F=F_>-#Ba9Ev)svV$ImUg zg6_F$Gz}dG;Ephh{@9!&DKTgMzc;PGUekFV+a3WJFA`rSo_RkZ6D)+T{cdGH6y3|n;xcqrWCnTPQdMho+v1r?vO&3q=Q zmU+`LTypvNS;rwlpFAM)B2OZV<5KRx6yM`V5F_PuPO)(lC#waWkmL2nJ~)ER1vxVF zdB65B=0r*~>8sw~l3IINdg2B?VvCR%nNjEwf6F*0#efyqb+SNwLV_duPjV*gG8#iE z74RZjZOnCki*7t1xje)JgXJu^x(CtDHwZ5mmN1)7)OaNN*oe3z6Q9}iV5{}v9G6PF zMF!R=n)~{9tgSqLXg*fjnn1NHC341*>ryb)u+1g9!|v~ZM(~bSzHF`4Q=gta>bh&0 z+2m2b`QducZs?*c1Mm&fJJ$tr3}<9BE!@0;5W{Us`RSl#KLyG8!NdJIPSygDjy!Kz z{%AVG(k>astP?uj0d%*m+;8B)uS}f%-2>agA?hWv2-Vq-ibVgQaHZldOzm-m{bNCI z+Vn(W3FlR0ej)9fKu9Y3x-baH_6~o3ZM{Bp3Kjp&r^=J!K)=Vw5vW%Shd=@|8WFvC z6E^*jM-8W#d@*YI05xwBL8) zbb%(yG(tGKq_oJ*yku*>HKYdIW_wk518{26!1Z{G)2VwNT+?0y?%1iMheGM4Z4^Vs0Yr|{Ah2F=%){<0B1PAU0g#M$-Zw+k7U>|h_=E0Y4t zdzA>+SQBedC#yb^r|Of}6AmTL{tN@c9bB~4j@x4^8mevP&-@d>!IpE}Z%WB#kI?%Y zf=ONo7PH@uoToky6pgs_0h_tl(j_fZMW!rz#nYj~WR&%(XoONGd>x}5+`@D1H>%Ol zy$<)9f=h8FBf{Fv&pU7`JBI6%L!4bQC)Q)HL*ug8t-j*!X5ddCm=HVm{tNz3CaA?P!d9L5RtP6-p|0s4f+k14k zJhPC8!<}O>F=AoUw582*e6m>O`h=5pxCc}O3|Ms(Yp{3iu1xv{kY+&UQ$eR*(QKFu zOEa9~Px|7ygw0br%alWvO~a?%60Jm0>dsh#mM$QZsq#6>ENLDVQYm#Rs%dt82HE_q z@S7CP~cl^Aa z{}VwpY)+PY&{se5i$XL;{oJ3wZ`hg$d<6=zr!eaYC>#@GGiHJ8tER_mU zkN$uUr(y(mC--VZMe2iVj09;;A?@gFZ#jB+H`CIfvQ_@cggCg#TxrpgS>J9P;17@& zMnNGxI(DA3$s@E3vjRKTn2_6C29^Kv2QJ?BnIGdTr*B?TfaOY@NnOerW|vm-3A^MMai32(uzSdP#$O zmXeYSYGSlf*^EQk(%xFJmpR(1XN4=Ch}}IAOG}lGfR!(@9ocAN<+vURqw&BWq;1+V);+kU4Qu_o-zp9+->a!K;O~tdA z(WJG}Mzul}wcT^+IR4_?0(IU}RWXcGF!v>B&4IR`YuPjqZiZ;>-ouusC)axB_KDW_ zi#V&@mh#ok3gLzRVHHV%kg5>hlJNJHdN7c1%fo<9iq!L_&EzaxT( z(Et7OW!z^&;@$!;>mc)x@TZ}#8!NZj8dKJSCw0RI&y`dfHj}q&s}DZ~bSkI7Pf;Mu zYk1J+c#!jP;J(_HxJO5xJXw$bQb~DSV!8o+YnDO6{5NaSjU%%s^uC#@DeLd`8?O82 zdF0$pS9--NwQ%I_=DNWwrwg53U^$3^;w!UiM0|yK@=~rRsG2$@-L_7x1I7&dP1olg zE5bn<;whu<)+rHS0Y_G|e8{#$#V=D?$Z$qbpC;CwS;6|?#{MM2{WI@{DKdtHPC=!5 zYBL%mfTip6ye<3;R=AwKvA)TkcSOL8BaZsnhc|ikIDf8G!kYyrL_;7BYntIQ%u}Ch53)Ib z0ibW;gTf+gwpL*B1y8DAW0UyNr_G|3y-t3qt++H&6wm7a{z`N*xG#E8(ZPZkG+fAc>u39wI(ES-ZANQ@5P(jfzFXwri?R< zSslYzGyY>U)sB3}g+*@IzEbQpTS(ayZy`UPZhKiz!EE+%Sr2Q764Ax{ev zL5FC6Naf6>WH!xy_dJVLAYT>!=Ofk2ZHUm*3w@{btzR6gnFUIDQ%KsbEKkRbmnWSi zqvfbGsnlzLOfzMN-Nz@3UU>surv2vLiP(JZiU40M-5AGd;OWE&h^X*r3z{8~`X*eu z-Y8yfau-d;ch_8d4wJWxoqQc*_~Bb#?D~n;Ly8U^ddA0qAV_as;6UeNQ#L*#)tKKNn9eIt(u^Xgr2J-PXCXt?-zdR;Uw2II<#~@xp97d*odL+s~uFuJ8KZkD80>~!q9~!91PmFNg z>e;Jb5zW1jH*UgCy0W|qE<9HpWQ*oJ<1vePPYx{Qn%UG^xthEdxO*U|op05VL)k|3 zO&A*tc2v~T%U51eF?MOD|fkIg&(}IZzjLz9GF( z^B%WRV?I^*^Ou;ZnDC?~m5r5T@gz&v&>9f_d6@Q9{sz$h4Y<0=_NEY_d2Y>O;?BL$ z_;EMdS?hXQb-urVZ}me90+{=>!ot-oJ#zq^C*~?Pu4&oSHiO)f<{T$00$5f)u25+=X_15phm5%@>!3Yg9F3C#cLeUM zF&4(H$7pfpS;E0K0@JbCZT|}z5K8fDRA|kIRiF8&5WnVhQ|TC1m+5O^VQgv!;|GEO zA-15j{s=>s!w<3=eEg+nni6Q?&|vy->{%?piI^Lp?@Zr!d8S^4vT=7~`BMA_OCD$K z>#lLlY>bj8nZ7zj-knz-lBWsmp3S#`HlNAC8udWNGJuQM-eu&(^xhT5U^JF3mbvTm z^Ns6R-XJd|G-tn@*!{4RI!Kn6Er>8x49WzIa1Z&8d)QSY5q1=cKdpp5b0(j0+Oo(0 z{-GM59D=euCgMc1LC>JPJUzMh#fE7N#1d820%mGGU{F5l$%ZZXs#9QRD)mk&dr;Yy zSpMd-&BC7a*_*%kHdpT&UB2Zv6suVTtt;?p6=Y>ij&Zt(|Vr#B0f5 z_P6gNt_4Cgiw^9tMi=*4`pb>QXymi5pxku)y&*AK^YQI1QNwJnvZc6cuUDbB+s|KQ z&z7P->ks#wgSXM#G{$|-YMy#@W`Q*NPZX3l8pM9E>^3Cy; zMQIt?kD)MJo)O@xgzBlnuK^$^gVDSoB(+F1-v!c~L<-kpBe7EYK4@T~?I?3%>(nr0-BEZzY; zg{K>Gy{n`ol>)u8kN_Eac$(7zJ)f_!u{ohLQ5r(76U5tQ8f|XU5B{OI7Dd<(O7;^R zp&EVV0Dvs_`x`UD+XD(>E0mxaQiXH+x#-ULt|6NAr@~dyci_+GN9(^p(=mb3i}Zca zXese#S`iZz?46U_w-wv z^l6NYCBsADREU(!p>&3>>SeJP+E~THknaZO`N6AvFW557h8{Vd&b)M~obLH0LoQzv zu6NRV#+{)A#;gu8Y9Rz$@`)9im*ikozywwKw1Lgw;p4F`ODDPSXOQK>7z2J}re7rT zd%RFNU%Wz9suH+pil1opICs{=yo^`6aiH)E2&vIvnfYy<$-Lt&fw})`d$OrdFjAjw zmehnOh7tP&0`~63h?ezSoDN#f7 ze*GHgEajcRa$E$wue?#)@61XOE` zrLF|o0{!qk)4s-vG8s3@f#hH!_RzgA>j_TyU@v1#4`YtwS`&nL7IOjWS+)w_6YXb% zv~ayQ%cs{PGvU9Qt|oILm9d2=23fk`gcZymuqCQWrARs9MpXFsNp7crOXci!I%1!f zDETa-adOGL z`+3-H0U1$%U!Bzcw5pFBC4j1Aa|#@{!0f17;xPh+64#?ZWYQDb&#ii&`L503>hDQ` zZ~9`HYF`MF5{#T)W=?tj)#U!CO>73#8j6{%8*%{c6%p2+cjlFUNqwu*vHAL}L;0L5h-Xt* zwA__2P~##zFz~S}fbKpvRQ}Dz1)Hijwdi2i)I8XD<%M!oMvcxBx8=lpN%lU$ zseONGG-vvsJC+&KMPeNs;Z<$@&!I?h7W3p!=xG)J%B!IH01N}K;Ld8-x^^}-Ul+0 zn5)gUbVFj{GBuoUM_=n}UNwse#n@clX}}g}|5^j|ymU61dSPBB#9F=Fld-Oaw?MKRh?w)T4^L$k zo_LbBqrz`Kpvn@a^0cNx+D zGjtyQY_4qp{*J2JD|U(!V(*B}ArX7;O=9n=wswy##E890V^b??wyHvq*sE%^YDBB0 zJ*lRuI?m@`$nX8V@15tqp6eplI~Xkul>fUt&H84;{$JB=RdFtd+>1u(-f~SIAu;pH z8;r0m3!@Q1UASG1GS4=fL=cX#c%_g5+#v!>4=qi(DP4}ivh;>bLSG8e)bn(oLhf(Q zfV#c25V#4ImXm-dBLdSAOpzfkG*Z3Y=p@$mtIpUqLD#TcMf_xQ>&YuUe8kiXRmGP_u(0Q5nURk^K6T^;j$(OF^H zF9Nj&-*$1B^PvG21&kRsnJclIPdjSawL0=ANbA(1S4Gw5A@jG*FC`9$w}cF@9!Zr8 zX2XbzR1VnRq`KPh5TS==q-;5$rlO}_VV7Cpxhna9g>y3$+rL6 z$EJa3SH(%>g)e-A{!8hdGU;D%t5FJ}|3PeA!IBZk1b=MMrek|r$LiWjRv)e`H?xcg zn-2a$+7{+^@zEc(JUSQKeTL=ouAI9%54$FkH3|Ql40RH5eTYPDx{kCUOlXfalH(r~ zf*S6RVk4$qyjj1GGL{x#xrKdb0RxQH@Sxt{vykzS?y#FOf|L|@4hW-VhXjMfh~m(x2nUihC}P3&oK=*Yv|R}J#=-y7eF zvB2Pty+t$lZoPtouk?AO5$>c)aj~rB`DovjkNj@x;XimeKT;wTFi*wGcr^w4GIn@P z#_L;ACRs-DO9j`>hF6uO5Q?#ZIf1#d>Vi)+k8MU81>T)Sm3ifNvyO1~NWAz5`LM)5 zgyDC+!bir3^OWbn@-u0jxFn8HeZKkCu&^6}{_k$IW&Bpc3Z5hZ5-CYyrZG@E{+B*Q z9i1Bz$O|!r1pYyl*|69h(Vzb?IRz=+2!oaf^}eB8TqrZ@m_9_m*2|xiZ^(bb#5zGy z(7M9i>&bL-1AQzCi2&==hB;+0%GC9=VdsR-HTbpilL{NZ?Y{?wI5_??I41N}JYdAL zzp1+ah3x=9K#+@>3W&0!XX4N(Q<`|cj4byrBRSCWH^!Lp-4wWHNxe{@A~BPGu#z_7WWpR6cca4mr|q$k?_G_9P*B|PbRx>0J6qB4DU+O< zRHKkS6Cg(Db%-<(?0~m9X_{*Q70M}a!*T0b$H7Pz?k0ydk#Da8SRysgFax@hZ zZ&Xiba1lIYn`W&s<4=aTlgbN^fxFq;CF2uL?nm+>>z$_pE_6qQ^j~>jiz;-EvigAT z6^=HkA0VV6`(GpMblraCnZFa*-Ma{U=Mc}I((PIXR=B^IHFl*De{AuWRZV$Vi*Dm7 z{P<)BH$SR!-`3vs6Bk|pz5&#N^(AVpNBV}|PewaRff14ozmr(!Y$%7@zuBFw^1B&x zzEz6FMY4`Q&wCW){veg$PB_my;;J~koyIj-W0h2EKS644XIb89S$;z9H3yia0>%Wl zMVW^|+S`8^{E7SgWa8AXxQze)rkv7cZT2Do| zqwkaLoT-;8)aBi9?}tXm?`JuR8FQI9i7~|*rUMUCh)wI1=(=Q_RzpKd?j>h(o_zIp zh78=HyD=*uZ3kUH%@$`R|6D9RnjOA}UE-cD}F^_?B z{A6S8X7PLH2AUGuTXjrESL)dtU?-C+oRrHrjnXK`bT8M(RTT7RA~H~-(*+r5z?qG)9VpoU3~1kv-)xu6;NpuJDn3D z+`{mlMKYVNtTB9Nn67oa$J}qUW^)G?@mzqAH)7)mpI-&I?r?7PbsL=P`xVwHvciiGPF?39sJ1I> z+Fx1ycJYl_%I%!TnASo=O*VDB!?ij&(4wg|N8-8IA4|w<%>Cxwo3-L1qB-h0qZeIb z`}rHUNi@~fW`#E0)dDiy$#*v>hr0_us-B$xN=zb(XN;)?43panXDNDnU1CeVtsYn3 zSfox{dHP)SqHs;LC6Jcn+YJ=-c3x}_Be>LM-Hn<4fQ!hr47*;gfuXn^-+r}k7$?OW z9vj_HL0<|8?6Ny%byS`4+zL4Kq>v%RQQLW;DHtH;rP9s1i?1`Qs%nKk+64Inq{o z8?Hw7m;N6ViF0zyQ_Bxm!9k=ituIZaQ_=51ZhadQKLqXnSRhvD1EDAx$Xa?buVoW++?Fj6KC13VDAC^VAg@3Zay0$e{L>@8sn(3KdhrR z12V%y+RrqK3B$XXoy9C0ms=P}!|#nx`W?NLrorUcF%)YcI>;O5!rs;5_gy4UgeT*b79#`c*k`|PJ=H#h2` zf?w=Y&d*GPdaD^pG)U&cK2425s5x;k`+MwL`{L5p7UWjn+7 zcqr%uSf9)0>mqB(kV!8ljaK?&QlxZ)WPahzxFp}xi<`2ftfJBb;AEWT4w|)t9TsG& z{MNFU?8&dot)>P9e7v*W)KU$zJ>K^l!{pPqJ}cO9k$1Xs=X1;9+C_s$>B~4jCQ#$G zgsy6Ri>&Xd0^~sH55Aj+SH=g<7KdCWQ=k{xG}XU zJ-@YZc?3fJC4(S333biBS}NhvK@PfNYE0r)wg<%a5Gpkx%rSnQ^Oapn2zWeS)_}lE z_TX7bccB)yIFstAbp86xP12;@uNOfw1LpxKR(I&O!oy2$S7eK8n%m~v+>%rOdDF8$ z@FOLYbhcr$BZI9kI>+P081>r;98Zj;Ux8W@q+`}Or{24wJ_$CAEIW1-=Q9~8Lz>cu z)n6`GdP`@0gG*(ZVMszERB6XmqZXg7fVX~{uE{`Ptk?-Lquh9clsqERm#G=QJOx!W z?lj(I|E}pk4G84pzzutio-Ji|8=4bio1#QSP7K7m+s+S^aB_IDjHXu-N?!c6LQ=f< zVrT5XoVmNkm;PmLloUZ(0Y4KYJzJa~B7*Hx#l{z_ROG2Tb@!Rpd=tVf;{ zXNTmcfbtDE|JCHqV`HhCY{nH+;s;+40&^cH6ngil^&}kAra(=FTHtfZ=z*M#n zJ(m$NV>d)Ps(Q}Wm@SHQKX`yD39z0TaNa%-;=bx#J=%4{n|_f07kghc9HDAM|7y{!+a)q-2yYadst_ zMZ}%o@PitgQHx=~VC>>t#BuMToyx<(4U}nl8H*fp;T5A*>loruEhq|SpD*r3zzw|f z!aZ@j2aN{_ha6Rl_dBbG_UDw1+5COn`%`V27%{fRgvSwG@)Mx1wIy; zDpUW-GyRjTaQ!(x1Uko;8vuxnU86{8z&fMYUXK{aM^c4GAJ@e!iFd z=jyt9HB&eFYZ1`596&-{avZqo*Y)sF=Iw6>n0?50vuxsNh^HH+k)Hj@!&&Y1M|lXE z-6E!LrZjdQ#C~n~OS&G*H=Y-65&6|m&b{{&*V$_Z|Y7r#X zg|ZusA-?e-l|{jr_I$x8L#YPAamSk(?Z9_BG!#pEJzqP?0)n~T^;Ig!t%s)l5=%oJ zW`WBV(NdFJ3VJR;=|zj&z?Z|SHH|R&x7mG=9Q8fgGEQ~4!`y_9%Iuip!E9_#ieNe?wkKxozyF!^KSjLSjQAnURm0m+ z^n>u=k#2SHsSU%g6~!cj>#{~U%RMahyxdj3sKa6Y%$%pD&xC@mAH5O2;B+>9Q*RXb za{R3EXn4y5hK(;{?73}FaWeSP9m$;^+$ubF zjUaJS6KQ?NZ$K@acy{2<-RECsucovViQe}nE<(QhVb=<=UmsRYKjo|x>m4gJ885V* zYfZh8-&8*+@xgX$&f5pyzm>D?nf9C?NIlh+OS4yXV*Xg*x&ueyzn4zYOeUzqbxxwj zsRP{EWX>YPj^5dxy@*C#wrC{Wfz5BC!GsYu@p^!g4USN73@NahPJTU@sJ@XeHp zyoq%sTz|asJX4F*avt5OrN}+k-0)+&FXNRY(pv%J2KgplY&5h=kLza?X!PN$pT^+@ zi#Mza8qAa^0|4VtGE$j`1%4)uh}?*71kbXl#vS01(Q1c<#EwNsq(3osPxr6(+<*hUA`9pj*FgHJ|vUfkzy$Rme?PCWz+77;{Ic!9f5K zyO*i<9Kqd%4VW{)ko7+V6~u^)mp(H%{{hHb*quifWMdRK=vsd(=YvG2;L4D@qMOly(8--_MDL0d#M1JND`fNxH?}5YGCti6ku`Pkew}YsroFsl)Z`ZtdjVg5?*R|#UC%4m8R_#k; zR1&Lemizc*uli}rhHYNjCjtl3^7n_U-l0N^#@yy>0h!Oi$ytxbOJGMzUrxgq_KI@v zpbS~AbK5;Dw!~c{+J8EaW{d+6)`uZtE%cc)N_dfo%-Wj6S)de?z{2-KqpSW=R)MY| z_*EtpxDWi&3a|beJfiGbiLWY2$0}au5!HZ8`%4zY-eg~i8ShiNCe{uQ%-FN;%O(Y2 z@%YS{{AUiQrnc2bMd3_jwVxu_g}}^G4Y4sK!H^OhWSDd&VYR@dAKr9vE_uS^;1?V$ z6bPDSX}iC?@>oRccmH>$vz`X>qG_|MINp?m|FpdD{(awy{rqEcexZyi%Gq4Suh7mt zxG%Kl3`nouSy+q};tWJ7Rs|5VfYPgq6{l5i?rfzcseJX!%InU$I&DSt7LHYpcLw|vYRkiyZi#co^~D8SCdm}l zU*l_evq)dEnO3~B{3~awaQVP(a?i|1%9IA{EoALOrWp7R_Th)24y-xlEsP=lvy!jy zQeO8r^Gx<<`nf3k-`2oU6p-@8o-38=YbBw>qZ{&Me3Vt%bWK*TParHMx#~u)eTU*n z2wYZ@l|Py^b<4K|)Z0yf)EQ-o2=i9u4!6B`b;>xvAs4urVPmLQ{qmOq+&57QHc z){ZKfzQ%|rM8qhbWu%$mN>j~& zc3SSxyZ(}x<&J||pq3%I{$OuqHy(RjdbHQfdBl4~Ej4J5q-~#CQK4t3fz4a}gQ1ZZuQHVbLo4BOan*`~dXlKn0 zMDOn&?g))r8B_@i4p%?|>h<0Q$DK9d&(qsq%CEKs-nCb0GAraFn6Rm{jLQ8$_j5`}-CLa0xZu(Ag z({Cmn$=b}6gBc+Qe0C}mlSer1c&o-1{k)2_CeFpKc2D}na>lOhOT|7J`HLU9RXAB? zLmXR0`lDgh$K^^TSSe$|Gpa(%f{JeoEwc!%b>{2eoOmmXYsr`~nHFtjJ%6DxM?in#mlaXj+oq$os4#yMFzduZV79bgA3N!`&H5`FX>zK=Ekk<$5 zj5IP13`-gBBUdH$*alX ztmoTat5l~O?oqT&-E{(}S1hNhb8bC~ZG^2+hA;T?CaxyGLGL48-O5PS2OGNQh5cpf z#-d~uTn%4f`JZb@w~(X#Fj#^{`qOH}uV{bv&wEy^r9n6ODg|-=ww;bfT6$^qsk#Dt z1LM6x<0Y1JC~sEX(i%fZPGn|CZIjNeh18ejvo5IO{yITn%G%U}EL{Rck}cA=TcMtu zsh+}3`lWViB{!kS7`EBb+m2*zZ}tM%tuOB^-U_p*4)OeFXn9&3_4mJ% zKA&UbGt0w)Q^Zi;nArr&o$#ql+{Ks$ha@PWh*+JjWrZ_<1;Rskhf*a1P~scX{zaD0 zJJk5ql572qXvB8bwc7kmbEI+3LrnjU^f~CS{r++`^m;SSU~cMb3}ds0pl2}QLj=#u zkWxQY>!$CUn-^hhs+9|9NmB00xg}>T4BMn$u)q}lI+-=jdui|3G4kEI>N`Vu1DL;6 z*RjQMIpyE>LK(~*9J>p(sO zm|R_6d}&>isQL69=@a+kLYPPL)RkhreDDI7kCkUVo=|)7^g*`2H{QW3k%zNSTBJ%p=yd*X?2hT( z1fSF&-E4FXkHeGlg<*qPM$ns9%|E1Qe2gY2sTZQ4!^u4YKRpC&sGX-+GQNq-`)>a> znMt31>;CC|u@G<9Zb+dDg9zzb7Jg9qNLww?aDjBWIiAa@Ml(Q<_XT|&*c0} zaInwc1-*09xMOGn_dWG+FSHSjN?IbB%>qS6nT$M;8EXKe)?KC)PUI=y6bE;3DJGRh1H@>PWIieP@K>~lJ1$2NilhINZJm-L`gS~A_iTnvB z_e3>UA(&lwflIo!)_oJ_lC0aF72U-Ye0-ODQ}064rtN5BZ6*65?f$tNhN1ZJgIkPbNpZ* zBdb1x)L}kdP^mYWQna1P6*dQ^q*Yd#J`2Oot6Wi{Nzzrqr*Q|0JU#I{BDa6p4y8qq z&54OVD?>CEI_{||z^ua1O2sur==?{!5jM7|6UP~= zDbAY=m~rhds8Vz9{ewf2OJwA4elM+!Co7wHbGErupq!v=qijq^_NcN(8mhBymuY|h zaM~292nZTHjUt;a?^&w&51q>rn)e%6QMi+dbs|*a{i*q$zZGKeMBpCR5p!{|4~hlI z1dZu~%DDOkWk{6^(#py)vRP$lRsb=uF{)a{dy}0=lg%M3-0DpaaLf=N`rDQBN3oc& zod{NhO-z_EP+CpMcm!C-MHW#Ni>xLD`+4g=RZ}l3=o@y~N93zm31Hq>^|!)`r6gZr zuSd;G71)j%=;TyeYJ0Lol|~4<=MR{)Uk@jpE{x`}U$aOfDA9R}4DnKR|2?{J zkvn%LIw~26TS196U}yIX>fbrMS`h)vUm4U+NyXPxYm9#qVmPD(h`*(4ni5yR z+_wrJRBv2@V^uOcCY-~f(o4)F$4dyiGfk>~tPu6lJihylC~skEViWYr3|_g|@Y;Z% zcqXRAL(JHR@g_G$pTZrys8YYOM+-r{n-4TFuk{VEWAiO^S*!6~u~ND*aB|^~hN}2U z$i0Lc?DRpVRkei{^v9-YJy(pO(V$hZl?p#X9fFiW3cM7XBVj0r_sY5X7=it=ir>ry z?^At$)Rs5snI^i~$+5;b1=Vh+8TcQ>grrX&6jKoN-C5aQK46!ExU!=k11PbL{$r~=u!wVm#G z!Jj2%*-r^AL+`&i-BYn)VLRGTzq5QE-WzplT0kGhYPj`R9?JgCx$LNaa2@E(54;*p znSpBS3OZu_tscZhcHR24fH}-$%0FOsidwR#2@kI(R+%|ik6(SWj)peYr>VO?dJlkH06~`K>6fXGgG8&Sk zIe*-;UBMIe(*y8Mi}X`5>!qq$+B{ABSFy489HWc1bd;LbfpTFZ2x|t&KIUA%rJpeKwlf9`>)kn`@DNCrXBGKcokz9zxV8 z#(~DS(FWK~Msx`04Eg{t7mhFts0`E%b_$|vO;hhz(=a*%rH`ibk4~&8W?|4<&YQ2a zA;=rL*t2==9=233J$}}BnAlZ6c;U&r2mCMC{kwzjJ!Ic*N~uGiOe>DUOv@R5+3+tS zR2+m}M-9U3fG!xe;4S}c)mxvg?w{SXbW#}%RuGCZ4Xn`kRR|$@)`T6C-q%01*?5qi z7T6I3_7DFzkAFpc9~MzU7*=Q&>{~$we5%lL1FANHZCL&MD~>1VjF6^!3|~* z^-@FiN|lI6lh}uqbcI;9h&EM~ksD5~VonayeK8VEr4G|#5 zH1Kn~x8oko%Q)aLm&mk0m3*2Z((D2*$IU1ERkg|)?w#>Uv$0)O+t>}5PX*aLjDf}n z>`hn~i^1=4d1N@O@_nTj8?=!4$u+!w@+5SaYF3;3XXcvAbcSSVv0NO=?Iv8goQ>d= zAfKj5TqGLBXzY-h!J~KvySWL@SEAw|8=lN8jNJhC;sI#P?^Yedt8g>*V|d4@MX&|k zwy{4j;5MGI*Tav7aucD<-Dr$|!?A(=tBOiYxx(N!3eueC%W7jjpo=_lq zmF5j9+*ILczprRtWwXJ$=|g-EnKQ-H_}-HS!h`e(=&(fg^D$FgwrSRE%9QD6li7!r zPnKYhEv+Cly%<=WFp>9pMvXs#x4qkWEQ8EZt8Z+W)^`H?bQqCb=J*cy1frM8bQZyg zUM?SQH+e`pVD8iqEwF6hSMDg(irVZ?U|*h10fgT%14M60KhqAZl*+CtZZdEOX~HUD z;T96B$yFjILz(l`?m?P(N61~tjhU;Q;{$#D)?@I64iI?g3JsoUvCM5SK!aR1--@+g zd-OqDOTVc`lo_{VP0;@fyJ>?dV+?f=j*H5WiL(ZZAeMW)1mgnJW4+_3bK}J^WD~5N zma%hN(dk%@pULS=u>9+doZ}TDvRB1f+$g$Yx!l&lw((b2VMjS8vgFf|043~>WlL5S z$q0h{$u0fMDtCFkq0u&anZN;aCg-=}1c-KI%8owwI>}JYKbdph;@GIe2>iZJ8{Cu0 z7BBbq_L+FA4`j(r=6TP)uyTbcjh4NS<&)PmM%hr4o)vflWD0lQ71mMp82=dN z)+SGSL2vUhW{DT83?3#xs-1_YU%$-;iLtXJ*`(q(n_*U+EOf`A@5LjlEz*F3c{W-= zs=D8={DlB!!F$`w>C+iqf>}6Jnn2E5MhmDv6#|RB{fQ>A7z0uqGM)ofLW4kynSPY; zevupEuAJ`1rW-@_j9bl@!qDgWOsWV;*Hgou@er)cV&(?>uz*e}&yXay-mPg%!`_^K zDWPlcVX*p}j9a{ql^19J*|?KBmAX62ml$M&Y`r^sbgn(*uNMZGmpbA}{@ayi;#^mI65BF~B%s&d61apWhHu|%{FaL zW@-$)04nrP+61E*3Gy7ss8k<)Q5|~iMC{(ZCl)OGUP520EzuEBsSv0hA)xile@Y3b znnPxOBVh412L^sp`=i##EoagCFXwv#W@C)O2j)uJ*{AcQpECFZjhNaN%il-;;!D1% z4m?zZpFSrPRds(Oj}|fg6l*#RL(a8;+!DA10S$+AnqP9~v@b}jrrB&+ST>;?=ZK3s zuJYc!eC|Z6N);XprAyxY)1(@Jza)~nP?V)Kbdt8HHI8>6=!*6DI~cLqsj5yD>^bXa z|6R_aX0kiDR61i%a}@XUk{N?veem{-XfLUY31gG)fh9jxjrEGJ62K9S!9htEL~?2% zQ1I@DIlgQ#u+4L?i>y4)8Ik{j?;!lx@S0ipY^fRy2oA@|n0nRYBv%GNBGa)#)^v6A zRE1usA4es&27x}6ykiy@Ia&)yr%T+MN2JHz!G7wbhBjC>bP5>RWokLOh2%q@UW(59 zb~T0;YyPi~qXqv&)A8Fe=a@cyqmoCR%0Sk4+nb-496qmcl(U>^4?kQ3}(SK}n zZHId+TDO=w)Czjecy9H63VdoqFWZu_@{y_@A?Linbe+t+)kHMN4dxL_u9hIOb2fbo z3goLAR)~L_oB74kfsKdny}l$jK-@?LV%!=BKb5c`moBf-iQa*i4K4*wIryYPtu3uG zKjbFAV6azpc(6RP*&CVjLL6bP%iv!8ZU4>T)F?kQKpYu+dy4+~h@tIp%hR?Z1>enY z-z4C!R8YZPOiYk3}>Bml4EhT?tgoSs-E0 zlrrvq`qX~x)Oj5E#58zE5Q%iz9EB(;I4X3zs-#bT!S+#lCMzatcz(c*bi9=eF&`Tq z%rpopoGef1PtSb7@S5Gb^DleBUh@JZ5TC2pj#cq}*IeA7A~ROS!o(X!OtVwMNlp`| zi?OOs9c+umOII)X4RYwTQs*z$XJ!vCAnJq=s@>14-_4bEmAB~_Kc>y6nJC>nllx48 zDfS0|3^+z1sDAhC{i;+!cU3x35pXl=)>9C7AJk&ms_rJsW*(1JHcjbB=r&?+(NlGm z`GNQ+$9od9pTr<*7o|rQoxJfsbuGw4m%Nl&%18wLFcWXY3+rUIKWa^(7>ZSyJto?M zG4RF+qwACIKhN1l@G05A5Evy!w<L1+023;!^1@QFq!6Ip|`Q4g~5Y~dv2JvxuwJ9 zT9~XdPxh3VG24^!DdntizdD9(C~~2;@Ip1`sZk+to8KEKQ%nrZ@IV-w&sM1iuSI@l zvT>8yvyqPg8ToLQV#L)y+Jy|MY@o~mjbB6`pHQW9VjZ;RebB==IQp66s)ByjJ&rGa|OEO^KP{?S%EGuatY zX;2&a6owBs);lB{j0S19DjyZSat^gYc@xEVgol`Q_Qf{Dvv#GcQ|^*_Wm?l7(^o#U z*)2#mSCv9i;Qv-Dt`2QQOA3~BTkZb$KcVbh=J{r9}n5(U3#P;bc>m-sVX;s^Huer!Zp32G}UU# zX~q*g6MEvR5FI?m`BJQ8@(I%4ns=|L$WtbZ^GcrZ^ClzE$!7W8vXPy0+YaFP%8~u7 zd3GO*x=)8^Z80gA%0>t&>#>2QKwJE*w?06fOGma~^*qnnM*SO|tA7gVcD`VVwHtPw zcnwOL5Zn>j$WNT)r$aIBWxhqqni4yuy`)DWXW{O4hZ&kX!LWNm*$0a8!-vAR~ zqi0n3=MS+9`h`!I+*U3Mj7XF4!4D%%;9g`<&|ew1NUt%na#^1?JKjw4>aBC4htJNH z5Om1?#Hvvyil@4us$tvoEKIj^&v~SAnxtl3(3p~;|Chk(w`2E}7t44r-F6n$vmZPZ z3wiq#-^p#7q?@t;dpA!vabNA@{Aso9`332`*Jj0kPZKbj#8k0pDwR?1szxY*#GadR zO8^`ry27nz1DJ%F57mAbI{%B)Te`_g6P$=Bdi7e0gp=qMr`UQuFNtEm{=3fmso1$l z37dXAcV@M)v=n?VqX)}b%&Eg87QkJ_%c<@UKa)ZkvIcJ~6{7tKoVAoGZZPGWfCyr+=oODw`jr$OP8( z+mg=SCh#m?x2BH}`@$`IY-!Wil@JvDJL8@~V-4$|xiN#>_m;!*72h~n$p)8eK&!Ty zrg!R_kEc#PRlG0lI0VVK`rw}i7kpi&81G$xxK{&^a$99Izbo07S3`O@FN+R9a@VZ0 zGo#n8F+8x}ND-0EVr1fwCbM6NZGC!s-v?Z!tNaQpO$PinKEHKhf9iiYQGrLMiK|Mi zLEQBGtwS6(SHGBN4Q;-^RWF|?;{ZW*Xt-*MMqLA1d&Ao!&Sg;&mt{;Lj_Itc#xJ9e zasBDH7hMH47)@$LJul#|xuD7#PBwcs@gIG=vX~vJ!H-Q-(i^9#RW95BE7(o;k$Qt; z8o;Wq0fWK3uGHDeUCE7v=4P#uEBVYN|J=Y#6J6tZp#%ix!%v>Bh*Q||_UAn5U<=0i zz+Q!3b&=c=>bo=$)9EQA*F|H9vfcLCS3>PA3n6_5X_6>L1_G0PFF2m$RKeno4)u(xrQ1yi;%2u#_u?Vj`sW*C&ZPXP@8{T zV#h-M`zz82TmPE{W`ZuyPFSk8kdJsxzvqYy22-iU3&A}AIgL|xEhEk#CqGng+6!lt z)w(;K8e&@x#mtyY!6yKqifOcrv4^9>8(b=&hKH7_LMP#y0+tk8dxi&`W|J&@dqN3p zpBy(!9<}dgo(Ms2J%`s^Q{H_4X;|?{IN~QzA4JKr%7^Gef^N)BCyfRk+jYcbH5mHW zK$G64>UcxrwTo83;Fl5-=gRgiQBr5VE1ezXfU=&`csI=+Qt!^x3N?_!1g~5zQJ;CM z&64m~{71WlKgK<%(}=sxmI}e9`FBPzzb~+iFvkGKm*{qqxq-?S@g`I$ZjJGG;~&B} z!1c=Y)v(2q!rO|$js9Rsg6&3~V|qh}OJR2`uA)RfmXVZDtp*$QDKx24N^65&^NRQK{N!?sjaR_!Xct zyJlfK|E+3PWK7xcF~#*lbfpK|PgAsHEn`;IEkqdANpB}0W$af-Pbjms`SA5~BCYEV zZ`OT(+Qy9fM=`eMpTdlmmw@oloCFRzRR6@Q0{9ry-fENC%>t^}wqJxf;y59D&gN1i z@_DIZ-vb!BI5Z^p&42$3(8y4NdrEz$uj2i@_tENiQFmP%zVo$sMCZ_|{9Y&e9kj62 zz}ssu$GLUTOv|+3W_R7A_3oP|SZ+~6bvvBw(VEuBWHhtKCsS{afLI9hVD^+ym#A<> z107cAAEE@E4$eJ@d`@}uvwOKP1~4__gXg@cg)>-Z1V2Y;7g+x)vg|AzDC zE*TqCc&X$ZiZJ;7<;iXey?IaSO1{4bV7@kLA|64NIe`HJly}q{Qk0FI3nX>60ki@ebtY6PkA65ewJe6O*rpCS+pueh9Nt6Tr2D1TiJ#{B9aw z&Fd4__Ta1syB$6-L1aFb68-OgM%4*c1#?!GH*Umn54^5+)1vaG3n0M5C<}?(T5V-5 zHqJDIieCckm`M{p)2)(}?9VPf{=^`KIxg;%D#OpZqJE9hH6xKD$7)g@upYFGR01wW z&Ukz};ww%V0uJWS%8(iSkVaIfTzL}R60e!UW?ot}lnthw{NTTGs^=c>=vr33_PNID zc^~_bcIp!ExOs1UC5sDkKmPYWn``7tp?pG*fEd|Sialre=CBP*8gg17 z8uFH1Gm)aJ%QeDD?YX4|>|TF4$MLDKZ6fzQ5Wdn-im_C4H6D~v9OqgrM%sdWOaWeWcH#FUS%xZMAq53vik`E(9q9EpzU zN?ai)_LQS!tt;EMkF6pPX*yAtH+9By^RNMZ=+g)%ZOzq-rNVz+GTzaA&TFcrHVOTE zD>CFmYVgBZPNmMg^xOCs;`Y9yH^i?-OqUEurfD9qDKt3R9lky zC1$A2r)t)4sWL$8d;oeAhwO~{o$q>x>bfsQ_ktXzI-0Fk3f|e$qMk=hJEd`{9ALDP zINTn|2I+YC?%@E{cLw`a7x<(|%S~zTn?9SpKloR^N{^VhouVx6qI|li@yK zVp23v2O#TLzsUSE-PWrv1E7WsuBwWO0(q%|_v?9IvxU~5dO+K1h1!n&ZloO;Elhak zMd!qw=_uUV>El)Sz`6WQH6!oj&XqJfi3=Qcsn~7mGrRe;lc4eGG|_}}4$+>Af3#&K zG+K}|C)786@}vl(tJ2Vnf>xP7ESa4)Ymh)Ds zI$g#B(y(_7#$d9PmVQ6q|Eak%5Du76h?N}9cxjU=f1tGI_Np)g*z}a0H73jlX^vll z)LGwC4`60epnI&9bvwhmBs_Yq#X9MQ;ZP5Urmc;{dT{A16B~tiQ%b>Hudojv9x@=` zhq-Al2;job-jPPS>C-FwkCQzE^CEXvW$Nv=#N*Nv=Vg!f*-FqGSH=wI>3?+vWoBVt z5+qypb1QIzRjxbfNa)rx>>wUvP&}JQ*MBMWFC$_wxN3ZrGhNFaQ66-?S=PZb-GFrg?Cm$Sd@bSDphAWY)wyLWUl8?kU_vLUr8RIzk zD(QKV%!cqkinB#(Dns@1y|#G^Jb-bcS6x&=`UxTF9E%mk8<}05Y|VkAY-1LPNpAqH zni^xTaWIw#Kltx|E;&ARou2n@tdMqqTxS`8U>o|I+~Xt-g#zXTZkoSw@u6T^r2UsM z&WotGC=0ki#+vc#ut_gW+LJZl-5a_UU}7@%u-EgaD?dlA=!AqBG#diTf6#}>K{GgC zU3`k(g~025&%o^4Nk!($L0J(_RarXck!G#Z{!d@}^dJv6O_Y^C+T3__eiBQoPA9br zTz)BJc9RA4&bce=L=?(#*Z)q%9q%TW!aaj`E%*hEipBX^%aT-{$(sKVF?Rck75aL$ z?rV;XGBQ?+G&}t4I8`NnifMJQDtcYG^0TYJJIjX#?#y4Bw9KU5nXm(cm_3&8Qe~1k zu>Lm7Zr=F8Nvn(XU_B@bIjUgw`)`pg1=cS#S>yIiY#Yg40;A>VNi6N z2}JICpI9@zD13fAcn!PP8L?mDMqSahXEb6m%D)Qz<#&@K+zMtE?W24D(CZkF{buQ7 z48$_p%t-JTmC&f zz=y!3SQm!!Xg^hm38)-q$(!z;vqrGyfIkF!?ky`aMFW^h=Ubo~ZoAmrke!k}XWQfL zf8hz^HQl5%xa1;-F-LTPS;{XqAySRtSA3j@P-nDOj0y2}!-@8r=o#2rYX9a>gba3K z2?7#n|I&&zUt2W~{TidmgR5 zKW$D=H9<|ZJvy1#>sPRF6*w3Wat%EJs4EIws5EmDqcW#3(9NRaDz!#U8Oo(b$R-d+!mW2rV&c zRarX^O;(i)i&9 z#v0z24W1=dlBJ66sBnd1dS}3B(K+X&NErwey5wwH^|5vT3qe~ig(HgYKCM7d!TNQ- z7uD>wtK;^RL@b>L8$-3P!q^8!t3YBK{Kjaw3duWkbXM+)p8(d)to%5b+219dc%{7L zmvz5{SQpKVWL~oGGZZC!lG)4qV8&Fqk?vmc+cZM=!q^u1^5AY|WznNlWYm;~ddMn? z+FJT9U=zUe&VZW#OCV_`sN~R@?>bQ;K?R-^=Q~kU{bM(ycA48W=G<8Wk&2Uj>L{o+ zg&3Oc#XSVQYv&PocKDj85PcQ&B0?W2`-nBif66T@&lj=d5`VZbwdt=$&_W0Il=Gu( zi)OsvuJ9$Qub3c{ixNqtyUK;(0j5NGFmK>X-83`m^C$pmHTAQY;b5`aUTT;R_inMO zd#*&f`UtLf#oqO?w0TLgc{=UiXhB8Rd`=ZH&fIYsRS4YDJH+hhPT6mIGlIsL+B0X> zQ*0zCl#%c5vn|mRJ7>QrQ8AZJa6N_X7Vl0PE>UnK37dZ7O{i{Z-)Xw@c^Q)>tD>I~ zu;C{P6B;d0kYB3}k{MXwe>Z%ehx?MQTp)Tq#xbYNOzyjxov@Yo6*C?y+mnOs)kkhG_D+dYUyW< zd>uGCRRK0qcujx9OL;|e2NCWeJ-Ml<#dWU4g0_g4eK4=(7-dE5T3ncU#WWRNmMc1u zPvL?tXj0Pa;3)T^+8XRM<qkD)Ki{DL0BU@xdwJJmoonREx;!dh{ zb4W9!UgNjE5oJN7*S3q29zxhlQW)}m(FND<*vhkBv1i|@%DSmW4=WwF?C{Lo1jxls z6SUEYfQIx?{rr78cF;2sG6Vz34G|IJcTY?jKb zoc>)UajmuNw=FLb6uB>ms-X7QS}@Iuhm><)6fb9%SKyeXH=E9UXBE9PE}w6tRM(2 zJGkw^bYO)-$|=NvF{CD=sDMZFylgLndPt7CiC4!}Et%^hEWhh`i^(0rLMz180M&2`UG|HE`ns99Fl42xZX zoQsfPdHw$V=OIKBy$*q`>n@Gs)S30F#^0$sXg&Hl!Mw4KD-qV9LWh!YO^?E&*22eWBP0eir0gv@qgc`Br6X_ZqLm$NBiCV3PNcWgTvnWqhWQ1-B5 z9ZG(oCC~9ALB+xh-bg*v;k$R8u?CK)0%_5TVA^sF1MPslD)_d1l(xf(Vz1YAhk4~6 z46hWKv(|soSub>&G3jVwi*>|w)7CqW`b7l%<3o?2R>JLvFpiNf8(V}r;=jb~+KlUyo z?SFqAuFZ#pbJy7Y^JM(2JULO^`>B{BJ-SKC zk(c9R;^{>uiGP6ug>MX`xLOncxT<`{*k~krb-RPAU+?q!mB?blbxQ2fn~~>0@y+qr z6h5qiAtEh{1;N7aPaS4GcNF5HcJ?&HBTvtPB?biR2qlSuYKenNg`BW{4~qUYO^gz4 z97DVnt-u2`i%EI)?Ca9I{3wT|H|5F2nFlX*J2sn}^WHv6lu>RtwA@J(M=%<`8}m7h z8uq>O-)yTI>ACXB3imSVMo|h=(~_ih7k`tlCZ(8^ygE(j(mk+Syp|J5EwxUVJ??yK zbVXNx9Tk>N_{maY-xnFTm1TuilnkZE#sE5`+~OJlb24ISh=wnR9tLN%c9t4NiO>}| zg-IjdrC1=wiID0)I)wjDU-Id|Vg*8(EtVmMsnU?kM=oX4iHB1fI}*LH+#~lQwGh>? zZj&4ULV1Z$<{i`F8{2U)HwM zfhJZm@Ui^Dspp!d=u<2HgZep?@Mu-yZlK_$AaJiTydzjXIq8|FNVs+s@83TenIufS zyUs^aT8!lXtX-=vAqD^5R;Ed^Kms{OhK7TP!$BOWyp!@uX`2`jb!I^6NoM5oW2W6jf=1T652qj720Q8=9meHFe7oeTo`l`9 zpu2A|lyG3CbMVA@!%I3btx{SYkY;*hLvJ6*4?*!$A}Vj~AxmySEUd<8PObTTbHGNH z$$wDn)}?yP4_&$1T1MVv`-YP*vBhI0lF2UT()+Fb@&BnnafQUG1$?GaoKgZ+sbzhL zPPvny+z6KC{e$47X@z~x0xq5EeU#lfy%T3#{o|A1Rl{kfv~p-a-Yg7(u*}z{WTX{) zjOw67zkA7RsSV>YU>+)>wY@8$xi_qA*+be#btFpAW)4Wh?_MwH|9MBv75XoII`(8- z`1TMTQhG40M``pMFwk^vKl1G;_rR4QBlmaYfS4YS+AOHPNM&E^Dvdq1IeG(cqjo29 zIvECJ-0hgjec)&GD@!_S)U>kBxE9fFQ*sL5jB1qlyf+olrmNb_{m00EmcRE9T^Ala z`#Un&F}uAr{17oIi#d^f8~ZDv-|PDF+MoOveVoSRi~9Fr1_It^_JY1*d2GG(cbI#H z@}vha(IwRuW$Vw@u7y~pznpAOCTs7z1v?d>TGOur#v0R2F1FZ=S(jJEeAxW*iPEue z?nG=;I?6<&nA7bo!qfo)HrD$frWC6ksHsU`mZ^3v*m9IVw?r{Njw@XKUF9pmNR8xv z5z$i(+?Ny{r7Tu(t@{7|I=zNyBplujx~>$nUEgpTrXnI87Xl#niT16$J#cUE&{9wsrYLMW5m2l;uvIP9F|wT<*SE~_@Wcy z)5iR4J@%Fw<%(~2DLiT{+X~rp_N2afHtm6-FH&+RGENxwiMLqiR9B#{od zpVKNYl-IQKW2C_A4VyCbiK%tc<4y|jL2`_zOQ@K)W^|USH&`Oc zafflvv|eFls2=y2Jnyuso=-><%cYmlA^x5%*Qgf*;v{h}y`;f2lpq|^#^bkn7?ZVv z=CRoH$j~eE_2pQExy1VKrUgw3`k9%;`zra0zTydr1^O?`70c{~OyK$tEQvKIa{c;~ zV66)5`{aVl@-0Io_4<%||xHW^dPFN4*j!BVNXi^0&76@A*!CqMo*7KV`)T>*ZicK9W!9P0Sq@nLQkx4JSo)IA(qEg$#Z$jk%H~$RI@O?e{DB+DlIwA@p zTAbCcaqmQBCKqKmLFjt>ki3a{0ozI6IA^j@(%khc@HDfEO@p~dX#|+|)20*Db3{{L zxu=aYPns7X4RJDhZ7NR5-NYTwMM zLFOde$fY+WYo25Dt5GmM;n@a}5q zK?;*}fxqr|QCvNoy;Vrcxjp)9gHzwZUBGqN6D)4`itAK0yK;Q|Z=F74PNAg2@8+K% zpg3pwyT{6ZSXjbipI18JITz`sOnb{lyT;&Uj4q*vE@L3Mj)xGjy(<#3paAH03-LWm zS@D=FH;V}(;j>iSXgzUWbW(lq8{uZ9g3YsE+!Gku-C%q5WW5m9pa2Iz5OJ8~9b@u^ zI$0$VlN_kX`GJF|WmfcJ8f`x*GC4a@Dyt(Rl0HP2Xfwep1GvQoJNNuQ~{l4%1C+P_(noQ=w7HGTmH zinV8qL$Fys4?u;ZZoVw};EKPaWiyL&T!J9zBAR?hL-rIWNoPPYU_!Zdz&7Q;HlS2! z}ZIr=}Y;O?}9U~rv})UzTqqKr@CR(jhfKk^HHS~(kk zfgDCFd6`!G!iFfLW8RvO=trVT+W2aA;BMcDRRi-Q$2Wwms^3PlQ01j?AUo=MO=WYL zL*A+}>;+EhQV^`#reW9i&POOhDl&REikZXpwGAX$BEC`|(KR|c>=)4XCN|MNfP{QC$-ikCKdc_ z>pRbDK^BaXFB}ZOhxC?`wrX1)@ZM5Mu4k^jTP0Q;kk?2Ddbh2`BXD&w|s|?<$`I=9}s&~_F^A+wZyi(heJns?vAg!HEhpKaCL%YAY~oB4@v_Mkn} zUkb0TI+V-5w@&XssTtYOmb4k{5}f04LC?k726o0Ci3eJ;T6cPYW$b@)Ps6f3&qRYw zc46%8)ZmQodzB`6nvwEZQD&zJ72-NFbTge?3l<2vNnrXa_;f~9clP{3%KKbZ>EQ)1 za3H@g;TtRkoxi}*EOJkA{}R~!A8Agt8>*q8w6yo_V37$O_AzJEB1Yf_lcIQh$EP=l zjwkj1O}yYEe|L)kD5I_C2*N&LPwyV3xN?RF$w*5TNpW58y}#%OvB;`M|C6Pr$hHNh zgN+G~N=2jvWUdDuZ_6_ixgo5>~@~|OSRsS8|`jG?NzRyeoXEKmSx;*t@&t` z19YR9+hmZ$bknJDnHIw5=ex7eR_MlbYG#Z?sRwWlj+iK+ev&vbu!W`=Z>KLB>TzXW z&l#b__VA^fx`Y31m*hsE(pnhseFyu(X&Om?JwrYFti^ZY8J7`=_5gYE#a^JwlXJuZ zS*y$j+SJ?J{SS>e%%H*&>DF`ku)A7<_m@R}-Fut!NJNW;U2I@U>5|>(29Hgrc}j?D z+L`vNhQ~8ZQ_kh-PNEH0UgRg>pS(ufcONenu-aY7Jiql}7wNnK;LMJk`8PtHt?39$ z{+H}L`nH*U(z1N=3fr6Q$#kx0hHwCVJ|^H=ami7Rke6b?)Lq&iBSB@48&lXF5q#X z&$CsAgtp~4JSN=$t^qOEp%yZM0ocGVj^GHWB*xP zDwXHdZZgMsl*m>mku2h_FSJT%gj@oCM6380ARQzZq4!L!ZQD6* zV}|Ah@5NbMpQ0N|fmEFEu5~RqC0}>~vBI^8xv?E)Km94wN6W$}%|SI@W(OD7M{Ex@ zlRE~&!U5<0qvnnJ+$}Q#Pbbqx?d%PZ#>-Hi8k$hXo1P8n5~HCw4{$xxA6SH0hEy!1 zU*hMGu5Wmv8Q!4}4CyL^_le1hKbYLL(gy2thht`Pq}ue{rAFOc#d)G5oMz+iQ`T8Q zfjoA(QcCP34O{?fKpn4Bro@(0Y2TngxsvRr)=uA|xeBy(kQFWW?4pFx1&`A5Jmb57 zT7Ty0=8PdItP0tBtdT~5A!c}4&_F-^QEH8q;(WeBP&~8fFw=g#hH{sV|yrL53h3; z!n%HyuRP(!+e&|BX{KrcI|MG-asK!n{>KfImmx{9G!xviGQ#A(st9xFEkV@B+;Pp= zqsOi=djuZ2`}TIo(-gPizxtd1#O<=l#Q7RFisS>PUQjE67p%yXhUiWEcdAp_)laj5#$Tg69c$XpOP&)Y*g+1`tp)&|VOVd|7V)uDmn*&0(nLQ=ZC&+A zAMlR<(d`iPa0^sxvIF58RG@nJS%H-=J?Y+ry#~~HCEnPqL$h~P$}W}TkSNyfYu6PXC%kk0OzN8?cnrq|G@N=z z?RvEiXwp}}uROjl2(B6tQd%|B>9hkY&`UwuR*^7oyz0>$gufy&Pab3Bk);3t_*3vb z!i@{RSv!k+Op<%m%u630nkh78c#Y(U*`zGeFhO%Ge9wJeR*Rj?if}agO$M_%kp@$- zZLeinhbB7PKt@hWxf+!7Zo_y?| z!dWad$E2xvd@-iZsuMXro|7|oK#5`NesXgrpO$iI^hAwEwaQr@vH@JK!@FP1hbwuU zryTE-*HOOy*R^T+FA}RAX*8WRKa{k0G^9%kKwc6+* z+~)=B)=}|K+OrNY<_WG3e?auX$vyaY>HVzO2-zWtLEwLXS-;iAtE-&JS>Q}cID@ge zh3M7SU8gU_GeZja5_~0L)1Wo{z3^` zW<2?M>)iwb`gs2hFglSYmrx`ayXSA>@K6GWp%uGJ0wqk-@Mm%d^R|jMqejO*EcRXI z%EH_lw#N=fGmIc>?{{%LbckAd0kFoE2R?T8c+ZLH?Ie$}$1ftBu1;Jk$ci4*&|*Y6 z(7%aTK0oYKrQ75D_P@X4mDLkng9q*ZWOPhL{^l`JC|X{(e@NRlBXw!?qHO}OC_c06 z4b533!hNRov7)?_$##=WHm)J(zG1QJ&N#^iGAWO3b|p&i2vd5=w7lyA5wmq@ayNj7 zD12<=x?-3XHL$#$;Hr}n=X*Sf3{4XV*%3JQN)#ML0WwNK_;cj#Dyu)^i|LH__eaHC z9s}Qw*D(En@HJgsKNj&#?Xpi zmI*is-byXXc`8G&6Q}Kw^SFCK&74aoYBG0G2G@O$-ola~dqSfI%T2Xae_)|3S({RN z%z=;S?rKv1%o8P=*)vgx0m%o{wgIJ36yRdUMoZ+Krvaf3(DIZmKW#a8%;o$3K`pWr z9o+em5*PwmTdMRvrGF4Ft3};Ak;#9?9i&GE5ds?pFoKAD{(hdecawIwG%T zbO9WYSCIh^uUoChMN3OP=c^K^e}8WHuB||q2=fz{bxn}rg0_Fg+u3HCI!>o*E;Y`O zZ;1vg+Xa>-hZUb46J*{!SK_|K7{>TeWF}fLIOYBF@@_&DtHC2OrTUi zippM-FFH0nlS0MT(&#Jx-V|Zz%4BurVcIJeo$yOl$w-hk#5j#IsN!!P>A6tS ze4mybM#dZ8syJ#Bt|Pf_LnP)Yn05lt1zLi>?0#hf!Uk;#>#>Ek+FTZ_2bShz3xM0N zPj5wrQm5lc+z82yrS`RdJ8I`d9;c8DBNlU}E34ZdrwuOp!Xn*&a!#_6M(WKlRgCS> zvI^hmk&cns(*=vrL(E5tr2L9_b-? zR9DtwGN?<1?y46Y>-MWQql2vaS?OZc+5!fD*R53;(lt^-uXzXO&LFG&xRQLa;xZN7 zC8I-7!)2`umWE>j*v;ox)W{^nQyZfgy8SiC| z%81FOPYPsSS@||0&L*xN5cyE@S=Jo^=?wPZlvAx`VdN}Vec(>K7Ko}~AfS}8CuG2~ zO#VV!JM;oi;m$b%;?VaiR5RG8!2iCAFyM!Eq!+Lye;2@fS|KkuF@R6oF1Q5rN`!WG zeh6@sdDwPQYCq;BO)&nkqqFH98B^_NQ(f_(K%2eI-*DMWq?UwK8$llKm`xDKEYBOlC|>@j7RYKQ|lyAZnhfklo z#`tAOxW6i(4YF5d8aQVB^JW)dWx$4QQ;|2_wlGxM2>mXlDIXUSKy*Z0G0Q(Nuy8JY zZ)-nMj}Lf#6gJE_18izmG=Lr03SVCoVJS>KB(Dkrm&I6JcM@>wj0#qCa3;>UL#;s~ zkan2&0bBL7ab%61Ip|f>-|4o0EdXm_Gt=IM_C4bY*su(;#<`*U}lQ z7G%)MC=%AYi1T=9Wr}Vc13z$2oE!ztOvva|ES=aKLfuo)a#aD|E&7b`>)n|#zHN&> zb)$5vi1a@hdE!oYK3rdXsO+6P6D^Q)(|Z8Hc%h=^-i#Am{Z93u;3O6$@g0S-T# z)3rxRzs^q+(!`Uawc(L=!+uQu%(2+0oq{?BdS4-xTO+TOp!(x|3;;uV1ZN{v{3czO7YLCJZFV|?$mVsyMUNQl=#cGNhERglS+IszZ_2yTj zx8go2&J8aKT$4rPA~_F;UYyrTK3q!|VZX-xDUj($lKKkQ$uQvD`b(fsnj1vNjMvWf zROR{jl*wE{x=xjP@0}_>7r8UYtmYuUtelt^i2LO92?Wsxit}R%PCX19p$e+p)bN~ck6Rs3&)J5GOJdK0MCk3~S8j@V-@Z!< zBfrt_X1P4stocf?&d%^@nv|GrZ7++(UsCdMc9dbGe?!*}r=s&VH^D<~!E zMD9|v{8-LJ)EOAnhotDg-wg|RscqbQDVocbKgXq0>$oC$KP+WmOhxPj5sb=wVDuc- z^aV4XP@eF#jQ0pJ)%?2%`5AwlEigbw&LuQ4 ze+S+i`QKl+%>RS#OhY)6Yvej}9zC6!k4UsUL1ZN*_$= zVk#+gUpdUXyrl_+()t{$$8;E^5;aE<%nG@SB~#(43YtpSj0cE&`Ga(Ci8Da4S8sEv zuM7HhXefP#qdUyur$X{ZyA`leT^8~O#K%`Qeyw2|#+8Mx!I{sh3^R%s&qlrr+6=LB z;6GMt0*^;1qc6z6paIA;c_&Zgddj{RdDOdSA|`qN4@lKB>x{frmfl6qD2&fNyiDXc zd=2xQ&ZPYNYz*L;pByu2#iS#4m;f?f1+)VJoZjA*_K>3cIKA$OMEl@~TsIk66;e;~ zm#$Nlp7O*M8|_VtHiH&sjc{aw{>H~9r=_j@lv$0bZK32ssqwQkrhN|7o~@M-V3?J= z)Gu*TB~<}zE_JCaxam2y5M&oh5TJpzKtWdSDUsyNrhM0@Z9h4l7Ai}u&@ zii`O^pc93o*pbpG-qGI;B3pWmI$JD>ECc5rmdy9s7`h$hxjio`=8{y;$F2z2%mbzoXv9LF4*tXp?fpw>fRX!zC+7C1csU{hAl{P@xnH0X1}nz+!Q=bj!tRz*Cc96)=uagE}9%B z&PmS9oGQ+~tuVwvK8_;d(8j#<4uL`!nOyPlH2lOdX@GbR6I9_T=ReGPEPM3}Z7f1p z;zs*EZhO<Wd1^O}^IbOfj+V%NalA2|bwW-&bux z7hKWze=e1%J9QZ*6fnu8ILh51kA-qe_?`Y?>brd*T)<7PpesDG)MK4I*Ajkn<|7Eh z-xc_GD>yjMH#Sp|-V^os?=G&C6=TgkW#k0;Q1iyKP`N6`eo#Z+6!WOqk(0+1o%jLp zng=Djq-E?NP@O1^Zt(w|QL9DUI~Qbjf4zrGET4TJP-3{VO7b5s(WwnGzx|Nc1)HfD7uz)I+t9M%) z_w>ge)J?(m$l-WJ+v`RdS2D8I(99zK@9%<8m6Qa)M1BW;i@cP&>&5?kH$+3v_1gTR zgW$0d^#?sVP+a;>N-3|Kut__L z(H6<31j-5YRqOdamex9;P#2I4@@41B(cDUc2`4S9^L5Xr;sacvw^)8WR5z2&PIiQstY7x zo8tmuwTzn*zAW{xDH9pE=vLh2u}E6{1-t%0DNxMppnWkWzrSjqW;ggZ zSZb+;CXy(h!5H{GbB@WnwhcS3@*bbh%h!&#d3SV|@wu>xB=nLU^;t1_R>c8*9&q2f z52C*K$a#^xX?Egir@Qym&@)~GU_NBprOJjdB7G5np9%ZA(2`fkXIi|zGzqGU&C}mg zM^Da>qr1gZTxZHJPQzcE$84AKWCEK!<{sWW~G2>5L+bLR9cQ!Ljl+)KvU zQZua%`{IUN0q(l60w;<5WH*2&Ej%mBXSq#YbGSVi>1cI)gj|4a1lQ6VY#D=h=|RsGVkWYJ7-E&d+9hYSAN(Xj=x;uL z;n%;iyC<4B57HP)r$>J5SDD3*zkuIj8V=s*J8;>cIN)z^1ge|d7THR-lxM;<6~j?P z>G9x^7C298>WZL|W!z!3w9bUey7kJ6xVTH0xyxOS3kU}ajP-!zY~hG{xSYlIAl6b( zj>UG>BRj+`pcyGl^=qQP7`9Rc;;z;jg$_|ARQVna;o)~XPL^A1y?2bZGge_3>*Gwj z0>j8=skjRjsN{`*4=FyH>n1%i(28nmQ`RS*fm~_x5Xsk-fX~<{d7wj4v}6>`+32kQCT!C zQX6iG-aJw{vH9ZzHn~@801J6MX`N^fgH5^Qq;lt{wHj~ZKNBB?DT>UFkKJk_**@`= zKaKzQ4X=&GouqbN7Ou>&WXGYYwTbxjIJ-PN8?hvU*LyzA*GQs<86&GRBh3U%|_NIRE{f?@IaKUl?&gDgEVW5DBBlp*@DVqBBUHss

  • !XUkaI}r{MKCP;?xL$wMlF(JpLWsW%~#i`-u?IF=6-_8zK~Z-AHhcYwiy95!+sk9 z|4H!=*MW{VloSAxoCmJ6%_<)zSE$=wN9mfp+@rleg;j0(i{r|fINQ^?{1Ed_h&u;& z6p}Z;0Y#UktMyGrx)QRI+m)+p^@R$lRLv!1l?me}>Vae@R6l|<^7V{>P>(;|6Otpe zdz2nYc*+j#hy9bgNW5EBaTQvMDW=e80e-f5EUo0BeNKP~YNzXlNF`Bj=_8a&BJ+aT z?rGk-CwDmR)cMV>E2niHOsS78+4P2|$LAoLPp8wz1CRjkX7vlEdhpgBbQ!jfM~{B`*H;rHjb;l53qLg5u;%=Db77FzB|y4FHddJbos)`r_0-zTt(f*rhdpK7xKx7|8J$vM;uq^)Dx4~$ficTjLC&ZpKn-2h|5<*>?98#W0pvmM zC(JmM;bhZD)AU6{T5NbjTeUFKsOCC|m^7x!Oigf)lrw$vCmA#B$7*WKOCV5UG;4J$ zTF;q{t0fhSMGC&@h_A;mBa2@B9_d~vXGx^aoM>v%4in2h8u|g{O zjb#~gO5AxWbJS&Xw7ZAUb_`zb}-J&i-^`vnDoRK^r=?)DlQGaIP0a#@!VpWf< z3K0bJ%O@iAr`P43k%sb_+!H^6F0PfbI35x=C;&5?|Lt1XajeSG{@0gxk9X}07;+L- z^bA*Wo7}kTKQsfX{as+$u2zR(LO0=uj+onzh;N}5JU&)s`4HbkJwO--@;7H*Xbtmv zY#rT^a^QliRytE>xQ@W&gVFw7S}~LU0>yJ!pHWJa_Z$@d)PD^9qDyRLFMSL^QXkm`_IRrDlYElf(#*Ih2!^kK=V~%f~*6A-mQ){RT2}3^iPg8 zoHpZBGBpo81iRag8`C7MEPX-FyUGeM$1X5@M)m!YmuiS>>!H1@$$VLA)^XP_-=FkF zO|-H2QXnV1{FisgVh))~O^l(mT-Q{mbo=zYIQ3z>QEDYdjnFaZ>98Ap7MVbwIVpBy z=Q^QUc3V)LXOO*P^)xqyg-SvUGKq0qI2urikiel1Kjr>PWp}_&-v3sSd3ezYmjL?~ z_&u!eNZd!>KlHk`(GVESiF-mjhS@U<*tIfTCBW;-tE(XG;RUdRH6Eis4x7~XXM?=MEJ?72bh5!XR1*p}JJL<=~Q&^f0P=H?d_B0?VfiR+&4^+IOewz-z=|F-fnX6bM+?Vsy8Iji{AGs{ty*ITbMfnXnZaJzT)Bg& zdmP7lduRAV!d37{IzdxjsP%rJ0n7U{e;DUY<>p|m!}q=8OSz~Pw8nxDYbpO%=`Cl! zEA5`$nUoFlFMe5AS&#m;WA5hc7*75wcr8%1AByZeIr#cFYj^mIMEGWnYl&I^I&X&|E^Y@Oe&oT$WgE2aIHE}M0=*M zoM*I&-y{X_ULHkpCIU^W#YY<|-!rLHQHmq)KY7-cg4v2@mS^f7GMo$ruW@wxWY~P# zJ6zCw!BB3U+;x|4?l3sQ0*!dBe!Mr~p{vKbQD|-UaSOn?DC1FG&@Tt$iXC9FSSaBN zF>!PDcP_pu?(3L#!+U#Lstuf`LXdnsw`(zefJ(!CVgAd)jAAm6-GGx|O)Z491~c|QE~nGM}P=^IgSKUL;}5i>@*y$;!a!69BUMwCcm%~EoCavOOP%^A4!%k&ENje zoFXuT3QL~(qK&OuE-&01wIZ-Ls`5JW#}p5KEF?Wk z4{yF#CMX78uue=LNR~6{Y%12x`g_?)0P4%XoiMG>761z44yGrnag)gowvL(GHi+rY zYDAit1|X$NqRuTM#mUk;-JPd7405F{l`6!pCbd~ktl=B}JBT7r3`lXrNA_`DJ(Sm}q8k|wmFiUCK|mMoAUXSItBk>T0IPO1q!;Z5?z zk)z1Pg0V)Po1ZOC1ZVU(F7m&QxR#*k_(TI0 zicK7KEdkzJ8;KnDN$>WMn34^uytPB9nj+xV@7*Se7VXWmHa5$j`F~!{q|awHCAcd8 zi=Ps@5YbTou-MRF-w$)PHA8KAw&*h?BI+D=nYtoH+T4_M-n7&nlNe#(%*b1i^j5Vu>J_21b|JJEo z-S4=Z<^NK1@95EO=t##9qj9y3@Q0?bkyfl@hhJgI|NdeKB7QnS&b3E^;8+WKt&sPS z#Hg62QBaWN<~B{yc#Z|xy|hzXwQ=pj0=dw=llGj;X{i8J_Ycp-DMStENGUR(fMc4j=7OD`2_cPV`J z%Mg>5^wOyCIzxTVUQ2-ERD9SP@}946i0ZCv8taMgRu9ba{qii$Ka)OMdZAA!-8UAa z$RB(wc|G-?iHmiDy_Fn7`0->;I#x)tjMdGqDzatO&xz}p2-QssR^o_m5+9-cF`p9N ztpxEIzDT%Nt%7Mx+S5QY`QFeZioEA2&fzx4tFf6SD^+Gwk#>aUcqXrSyeoF3oI(CP z?6Cd=cGqG*FIkA7DdCKcVeN(q8bYIGBxBD`k}Sua;+d-LO!k<0y5BXuWGlA4V8-G9 zM9iAi%FHT2s4L=xuZMF+xi^{o$`c^HnFl4f7v4*;cFc3P_~UVzu(4>+kHj6Aq)Lzs zBBe7s*{m`{%uJCtJC<=c)?K+*LLT_V#_wHDP%vZ<1Jx51^1Q0X76Lu zl<0rsdB~23_T=Z*5xH$OBkR+6RQw1scx?WozUrewak~paADrg3+d)?WNr@h=5@YUT zd-pok4$D|3#j9{m)Tu4O>N@S0&8CGjNf@1VWRe4d+H}P%^}YsanpZ};iW4APKwUF^ zKcmweuj|G?s#0)JUG|6e!$iEYjBiD#Q6ax`6-uW=;-o{n--9VF1_xb1uFyh>q~H#V zcH#$uR_>!@zc0a8z}6(`Vu*$UuUCBCZVTM{9kYq2h|yIXhF>Dz>cHPk0-q1@9_tG{o>#B)XStG8=PjB5zvl%u5Jc>n!n9gJhnHN=2)_FNm7 zes`S@kG|@k?6c{qC~?f?WE@bx*x9uk{O_;o4Nc?NuR`Acr|3M~+3w#q+@GRCQhUaX zy@lALkG+E0d&S6?(n<`?)DxoFl2u)jBZ9_duslML7A;C}Bu>oi29i!9 znX!rp8UL*2OChCtE`T~L(>ZV9gTGT(RWZvQ?gXyF4JW3fVqVKgiLo+-3Ecc4h%oSN z^^)%$b-TXpTb1eEoY!E9Z4b#b7KX_NRRMoPb}_Ygx3F=^EFw)3Zq%issFxYyMf+h& z)Ij__W!oOkaW3xA^m`M>tiEH@ou);7W5AkGJ~CNSv|Ma0WJ6fi8pC>atBZBQB5rYE1uW93&~4|dLo(dpjZ)J_M|B3y?}6)Tkf|jr?eG#@V$_SZ13)EoxZ62dG9P# zh#ion;$Prb2=_19O4~k~%Tg(OP2HV(X^iQ8_R}g zM;GsVA)LeHv}=6-u(hS??Y+O%7X9g=nadt;dwrZ=g&^ zZ+v7rLpDKd?ts&)@ZgS*4Xy5b40}NG64fN0un^;iRQg&h6KMQao#m(Cvtm-LGnyhh zV#zb{^zud8iEXn%`W$BXc<98*6O^FSEr69*{L&{}s^y8-XEo%n#o<`0puNrOz!ov+5hWSl9ZEc3 z(y;jA0HGNt;HtqBtGk9DO26NL58qH$eNFI$Wn>^DmsOt$)JiqnjS6!q#vbh1%R>LaelF2;uZ z_eP*upi%K+JlR6+?+yPzq@;y#WNUFy(`u>08*&&Vy_x0Mn59`cM=g^*wie%9?F^1< zNTOE-#tsfJg|k^iiabw6i;l9wcu*=8dneYlwmc>}Y;Oh5SlsBVg#NwY$JNYfB4ee{ zzZGs@^V0MRkYk3u)o9d$;jzlgrR+MKEpG^B#qJL;C`T3DbNsq%+Do*WJWB5TRfD4 z6y?2QftNmIoZ=F4n%otcfe0 zI5*%9V|U$j*!FIXkS*LOUs2k_6B*SfvBet=k4v@XRJ#7zoS>D-JId zZfY!I8NR2B`x!Keenp?|xxVJQ33da#eza;~d@S;HljabKO=+4hK-j2U|2d+>M72XL z^K@Z{q$J30rQ7urL)Vbym4%RZD|b~us=0<{xQZkb081F zx>mlDa;#>(EHp)BW(J)kTQt=0L$7b1mO9a1zl{D%JlY^m{D2;270do+`4i_dN%k2I z%~c+)6nQgE^0A-z@9@5f0%JWnWDI3QwosWR1U5&$$ev0gMOsTjki@8cYuiIp`AK=6 z^m~vU>OZ&G>_1n7RfZ9UKg8xzEJ9sOo}3NKz4mSMtB4Km9xgHb~*e|-cP-=~!$WZigT z7ItcH23Z$N_ey|noo+(5ka!R3fmG&2p4#Y2smXfVV1OO}Oz4*^aOi6nw(ZVLmw}gg zVO9ltYM-q1U>3MEAp8vEdyhtGqRg3=Zla!lHZo|}R+@5}f&U8lpu?jHsUQcgR=Ic9 zFr-DW|}mCBH^+f0sT*p0(V#6A4tpwiaFRv&&TYl~JRwJe$g zp_-__b;VuZkbyDJD*k)pR`ec5D>paOkhmbC<+h%0D@ad=6)}={Dl*(Tuw@S<3Uq;X{?kd}H#F`ZUp$4sbG-|n@oIM1Z zIkf>J+$OO&n@OditA!9_vD{+4^*`A^rl?Bs-aNv0gW3of85P6Qz>h*-vb8Qr$?1Be zsG&Oj!>-i6!J^VXXQ&t4uEG;Tx7&-{=tF$aPC8y!XC8TfZ;V_mk(Dh1YLPEzadaR^7HQm6P$lNV=F!+@rcS>Z}L z$qkQ%Q5Th_E|T70BcZw-glr`-RJxf&1HbLsT^}>u1BmDw^n}qR&30Km_~8Li4OBa#MP!t{k`$sgJ$;;mYH7c;n~=%^*H1GUaQpi1u5x~qIm_`pSbiF zT!H5-4IFfJ87upaF5eP33hn;h@LFsq!*#ATtP4-3{Zbs5)x$%JT5yB;s-MxL4EiFu z`2M}P#ZWQF0>gPnKh7e`tfD=gOkMWpbNcWuF#%nLi-{NEh(t;BFa9%kT?d%r!Eh2C zB&t*Ig%k^0yj@7AR{^Qer0ptGxo}Xz@4!*Pedj_*(XRbW=*9KK-{^ZrS9BVz`XP)n za8n{wD3Khr%%BATgI($RX6fFtc{8*D!xm`ro~7E1=xdmZY?2b?9B+rRWby)2vdRGxoS-~maIg$P-k9n&oBpNfzH_&L~ z^^N*O-zAC3Fr}67lGt}!Eb|RJ{?nw1R~rX%xsx~R*n%4rXewtW8|bX~$&;RGYHQ&Y zw{X$R4B~nKb+rsi@-QP*6lzKHgL;QQdmv`_eo$#GT(p44W!{{gWtP=c${Poygq1 zUu=Y1bo!tXx&_sEuO zl2GQ^dozA3gRb-woMYC&!L584D~tkG-)CnpU@MzdMt)3>W7$BQC}$bA)K3V^fLEt|eOBJEM+94Tnq$2skP>jv-Q4X+6hImg;m%h&4HoUhM$ zL@l>}8jMdoOR+m=C@to+SnT0pW%Y64qh*fHc=8_>8W-Z*A{pnO#q{8RG%2dPo~s^0 zb9t3N<|xiTX3ri2Oy?r7P8I;S+sM}miW6HXc2-KvFwgZHQ-zO+Q7eKL_Uzt=?{@L|&LlBvYV{7r8z;6X~{oC(KQm-Z?l zQ~J@VeCx19^P-n-0W#+t8!pL`OG!RfrZ}rPvGSE_Q5?l> zG!+a#yM3 zbWf7Gp|pt3IrDvV%cws0Sh|qJ-6DT|OGzC@V+|n*$`Q5WIDbQyXwg|3Ffa;hpXc-U z2AMf3@=xw|FXZz?|9+-A>yo~?AKR6=?+mEL{GGw7Kc(ssqJE)m|893AX#c*6srvn; zk|2Y85H#Xuy9>#kmUzy*yT4!^RfCcLUXv*1RRJx#;|36O+Ba(oJR4g$=ksR5e8Nbv z_Nn|vbF@HVl8%o-+eOjxCg!;H>j72(64%smSqUxvUR8kU+5QiW?l~M-{-vFb*wChl zt~Bw?mvm4JAhncL?8kZkscek^-UTkPApF@h&u~+d9Q!5P-vIttv$uS5n;!I`cFURs zmv>8(=2gII_u@pFh7_ zoYc4vZ}6d<;@95G8?8RhL*zmA=dbfyp4jweZgz?KKr$;dP;^GG!t!lXk%w<*f(R)h zHE=-isS-crK0S(cvMplLN_f%NfXBo8YuyyNlB+KgDR*iyJMRBmP^g~|Ax?|t%$eK7 zJw*mKJV47neSZjzupik--+g;U^M=$EK(|}dRe9TAm|Ps_h#J!K%A0s~-pMpPhnEgr zGyh%*5pB*p?&~9ISMm$_qlEDt5;ZzTKBAg{4rX+UpEmV>&+E22l<@EMfcR;}e-C#0 z)_%|~lMC;Q_JXWc2^5X&uNp@#tbEj;vg)R#%UlMgiSH9(EVbEd)_t(DyTeH0*B$?V zlC0P8euh!7k4mQLyv4dw#SeP5-v7M;g$q4nk7)2$O}TUJ!(iuUDt1bq<0C6h3PC?l zS?4ti^<~(w&S>XC_?Lxxjm(jPU+zO4^$zk%f?!)M$F~-sFBq^m+70SsWN5UdDPB}t zht~9SW$depe=36Ou)V`#YYK)H-W%r}0g^8O)zY=JUek$#IWX9YJEQHsQ@E*phNiT6 zCo}7=Emf>t2$pQW327a*nvJWM$VwOdnf`7p`$5Uc^4t8rWv-(=zm<9eg}y~Nj& zyF!mr-HKpZB8F zHAu^X`<`4>kHq04-0xKNDeM;uLs&jK_*5>sPpH4tgq1M}TS`$_H)Ly$atn)OlWdDH@iZbj?-0|;16VPNWDLu!pN-CI|Y;$ zYn)`6DIX*>CItiVBgoUYWJr8Q9u=4IJD22Unp2{|tJP%}(AejP5jpal5;kq0Bma>A=TBM51Tlx_NE9P9I_hqehzr3RH=E&iK*Wg7OZ;)})y z?~%dE5DX~zcE{FO-lrqTMDt{=J0NqH?hcbl$mG%Gk?`$7Q+V{BinMv{HRV1PQ}uC% zN87Cl9K-C1J> zJ@`k9*R&V{428dw;x6VQ`LE6|j%IyTb@97fjgn!b6xVK{v55|8({c_tt?TgwerkJ2C{cp^kER#A@$|R zAT>y%+(^kn`53axa%H$YXa5yzFY36T(+v4)_gQ~cdIlW(jUyK3&#~bh1BtguV93kA z&i>LG&Hl+ADD0Y|qU;@LlyMNKq>{vKQeDynpUY-HkP41_2Woxj1+jzbHbAm z=CXnm)UOZkXP_8CcQk>FoTTO4;w_om6%kS}Q1*RM@-AISVU}iQVqFLX<}yj%CMD&5fo%%eV$Z97=g$(U6YHq)WV79wS z_hgD>;R`H@a;U4kGyJ-*o007BTkHPmSJubwZrEGFyLJzw)%mIvRa0acUz%v^(ZNV7 zei2m86g7R-ar)9q!AbyxM~F>7`kY7bJ<#VcY~UtJoVH)b^;F1Jb{7&kUXfSL@3j2y zx{Gf7-dcr8KoF>ow(zu0s{Hx@Qa@u405FbbG%jX;m(%*LaPS0KAQ_j;M9kcc!zqfm=RA}t zaC=P6;>w?-A)}Zl-Z;C0AwTrF6bYSO43eXL4J|qpLC-TYPNu%s5G1su>8HQwqS1W` z1ijJq`hO2^`OL7yb`_{iNX3P%7aIX`FpRcPo+$l<)Y7iLz1@-r=tPFDTY9}mLW28c|_b&;QA)QNJlK}i*LH%}P_=oYnL zQS$y;5<^;J(>qCZc!xhgV_U%)~iv{jFKqE>T&fSmOr(J)T8zpJe{sr0FTORuIwl*eLHSfH|mkToY@80 z;$s86OZ(nMx{T>&+5mbU-VQieDyj2*m<-H66Z}&NDDC1eNHIE&Mxg6hdW}ZKxDNE@ z6QHR{*kNzlXIGx0`L&V{9yzb#=hJE^B5Lk*wiQ~&5k~E7ueMvJH6wp>NB`W4 z>gv? zW6h*-AtIY`6BSJYSj~`Q+ay-iUa{4_1w^tCoz58+;AMSgQB-nFW56*NlTS$y!Oez&fmg0Lth=F+Zr4#kcJB4cUpu z1@~y{NZXRlRWMZ-q0f(6vtUosu(W_)UCfz{;M$SV9Z4K0QU9xqrE%*vky6Ez@`8yk zQZwx>SjZM(4-z**72+JtLstnSR@78aswkhX)y7Pzeu-d6qEN$o+U6Js1n_a%1sikv zE4Knf65y+3s=;-Yeo92Pm^D*`gl|pJ2Umu|bGGb;^w!H$GCd8Ll>)2%t7Z%q=SUMy zdJ$NQzLS~pT!RhKSI;e3*(Zse4izHK{N7B7Nwk~5^CiYFKOf!l3HLD%eD{634nlh* z$7D4Jd8~cAN3J)To3dii1-Mj_?yGDkhwJuiBh>wig!p4`GeJ4LRm?YNLgKT4$7ReY z(#~&|nH7eQl?t8z-Z?bgW3 z&&iw1$MBx&z7Oqy5_;R$yLm|}8#$nanJQWBreD`cOxWLDUREvM@JoH!z07CBnN;e3 zt{9wKpR4VQYCKv8Wp}ko4a8|-REl^5B%?)28#vIHtbTdI0z+qP4R|#|)*VK1#u?@s zx=-ob-0W6#I|qTdH6Es{EQC1S(Bu?vfIRKK8po^G6c}`F!GgzB8XtWB{R;9KFbtr? zN~^+ccpfKie0z=bP?Kj_Wy;~ZiQ%-uYQ?EYo?8Qhk!dWy4QuMnnG@u-(z@Oq)%1ds z8E^^_7Q&LxLGP%;>`FpX0pDuai{e+RRYGSrw=(i3yzE-K|512$sISJ}v_!DrsJr=% zvln4QH=M8N2P%1?$vVqThUL!u=8<3ifw>=43Ciq}fIlKNrcSHmowS_F{I_ZJcq~Gg zaWfm3x#?5B?alDh8^ zx>$vPp>KIWvx_sE4+b#e9vKv$yRCUX;X+_ML3)ENXp2dp8M|(N*s)Mag z#*Ag?%Z!G=x+>mM6iu6{Cp%-)Q_L&E&wN=qsk~9Z!l?>9>j(2}VJ*Of**Ws88xv3L zwIv?An8xrAefG;NG*t1?%on#adR%Rr})+k7HoEF4G9O*W& ztSatP^2iCn)K9L{z18G)S*$mDWoBxx0T!G|i$vs027443Gh`ntcOfjexKP~t$r$sG zfl^{MF;JdfW`_SsPbAP~WsMr>QWqH#sF_UniV`TnqQaOqk+_vSCS8$2WghHkwVqqu zgxJZ|LvD!X+F=k1V$c($62S24Sy+K=h}sPz7ZOW>ugf8OV`@N)K9zG} zr-wDV`(q{UtgA%}67~%pyJnP(`QJ<=TgxY%YmYAinD&?x4OW&kp#gJt;WC!xIXCD00+ttVGLr7(MNSs`T3Jp0n{dNqrRLjWHps-*`pgdJF~8T zZ1JNWcO@P5)%eb%;pCl7~e%imSRRnx#DKbBXMWY{Ku!!n(snr?wlBclU(`@FBel z^pz8v+T5{Y^sfhAnbc~V*-GYx0cGDP3y3YVR+`dUt1(;bX7@j=hGiDTU&#Kq7iYfs zG)8y-ArD8b(8=v=SU`#5z=4Aj{|lqGvX^eT|3kW4Gnx7t(Qd@w(S7$3%{aO&CM812 z5YuuD8qEe<&V1%K=mhaON;ojVimeFD9!*qCT5cg5X1Z zn|4M`t~J=}n0=cjUjPB*8^z^rWZ*zq<1^(pmiI)IGKRX-gifzcHykj7no^ZK`s{{V zf?VqKl93UL44m)j9JGbWk1#1&)849#7iqdBL0ILOVtMVje2OLiuoRtWR~0ytgMg_p$e*P`yFj}rZO~ZwHLyeo z1GLhh6p7cfiiFG>808?$2E+a^o%z4WE~=9;oFh8y$Sqv5RaXbI-n-);ZsDxh5-q!b zZ$2XpJMen_jKxYhFwxOi9B{Wh<%?9JNi3#$gtJ#(VeWZYz1r|sYy=bX|=;4e6}G3y&rAC_o+kMW(lz7;e}r^8?>mvZoUV_o(Q4;~l)i5>U+ zB9}2_PHprST5mbf$#PhYX~$t4r~+fho4D|!#lEL&o@YmUMNyX$ni2#)Xx&-#UWZm= zJ0BNeMJQRaufiS(rH(}A%yqiN#Jr$}KgzuIvGR$l#3xFI^6JltW*WZ0K0W#CLl8d$ zLRh<&#Y1eUAt1$MU5e3m(m&42b$Fg@*cn&Q4-CU@CgfuJil&(HGGgjm#j{*HS|gHa zJYf95)7B9ug@@o;DPOy&tjgI zbQbbOlh*9PYfUNI$eue6=)wIk+u)C=v6;I^L3D215I|VA7_-^C&pE;3XI8!&mU@s{ zIBkzmPDrt`|4IYNLe7|`vNK6kFmc+$lJ-uDF~9X{Riy%~ZFQo|3=+E5r!`J5z6(fE zl%8@27bF2q<2H9Lzm2Wr?q~m!FC@+3H95cM zevvanK)VK-{FvuAGD{Pa2?=kNBQBwpx|0YY!a3wpZefftH@X_ZRjmBa9tXm68lpg+G^$UroYVXVz{nIUOQw*m5-Y|RaEgfKRxxEZUaQp zgA5Pvoy}a)@M>Z`EYmU{}Z2f7S zpKqV2QQx_mPDbGw{whBR z>G0|BGqi1Mz(23S1mAw)EroemhSrx;%t}gngm~VHh8lgs2QH)59M&U2|4uLf?oanG zvPZ`HFq|=(>0A06=x>?uC5}vC0=0KVU;_v|@ntR}DStzQ!x_2l$e)+P<%=pZd7LG* z-yCPb%@)V1Onp^=i&iD2CS-v9fuT604ZcXV)1a&$f2>yT|6@{5X)iFL`8qVq{`W?1 z9pk0#q#ZQeHiRMskI_lOzru9kRD6u3BlL}c82kQ~H0KInUy+^*0WNjiH%2vq87kZv zd}8`!{xplHO9~!NpBeDza+adV;4GQ^<_;bAlfvx(NTd%u6TQEcnIauF8ddJG{W7V! zV7^k_U4RV{d|%~C=0?lwIi_CsH&UBPPlFjgcCDT{=4|!-!x!DH1Cf@P{f2w@^bM%B zK9_JHCORWu98bHMQFk+(N66XY58XSkEUup^Q=d6(PPdCMG0&H_R^904Drzh3+|ZH-mCNd21&Q@~XNeU^ zi5o41$y@AHA`Ta8C-OctID6LVSZs;&R4t5R2JRld-8c$p@K2{`*C)^3S!(_vdhYY; zqfxXMZA^Sg1{JwN>7L*hv-Mgb2`K2kO$Dh` zxO>7D;Y18Bd(s;gR?_?~j_X?S`wL+wnIcrUOgDjFk6-k?$Dptl4mxH@XLV$P?>rPF zgd&O62pl(~MHssIkpejd8r z2Dk7s_FAr=Nme;=nM5xnYu`F{HauZSpBR0M-E!!&e!5L>RipW2`UKLwS*-Y+--W5u7^&&Cy>At8vxb<*>tMWz)mA7`L{@t|=){Ive0Q4zMX%<3e3u*z4qm5h+kqJupVJ_VbDK0#817QWxlBlB+-j7j##! z?O}m0A3g7kcBLMhN?*-N<_H}IRB<&saklz{#mR*?w{zL%7^WR-#U1`KS?=IM{EJH z#Baiz3ZAV5Ix=|&wnfr5()8Q1lj$|={W}xVxT}kH(+dfm!zo(1AIQNLc9lkL947EW0y`i0aJ^wQSRX%{*BkwR0 zJm!}y5Elf_mjx#EL7|_HXS4Yu3$Zp2=^SX@_e>3i9~4N$?J_Fw_W%_%FX=y=db755 z{SmD0i1vFCom5LbB~Y5~<1ChSv~V5Sl*d1htAE4H_a;JwRc9_eVtWY zaD#SO1^&zl{82&C9vu1%scyD?m8Z_wIzt#Ds##{#%|5*RTV?RZwM!xerA zuNP)BDWje~QJJMhzA}wewjXaWK!iKOOv-$Kuc_>p37j+^k41kEaSn>*G2DSjzE;I` zQLB;NKU#Y$9u=dD_5k!d+bp80Y4Q+dKaRjHp(kY-!W~8iX<-LNjSqX_HA#>a;)0^X zaKk;j;UA=tI&1y6_X9Ie0R@03?sKw+{g8>`cyz9q5}w?)lBq2Aju_gMisVI3|WGly z-uKyS@+w2yQ`eGu&`OJr#LP5ZL!XI?F1hKN?M>nMN3?$G6P2N)frZ!SP|J8p3c2rV zVW~8NB3;~9Cn`nT%=h$KNsVWkkQ)(IM$)NuVv;Nss&Ja zIxX0m(u43F@8#{nu7UhLku5i4N%oITA6g6Jl0!viK3!eUbLY-U@)XQZOy`DFGKdF! z(}mSe@olofr?U?RP5D;~+28oP2Cm~{hI+2t0_DC7llal64bDHers~KX?wFv_uizL=Yu9i+bP6JX*`_k1*EUQ<6W_!HsLZhsgD& zV=>DLf3`s15k-wDEZyBedGvDJe4Q|Jy@sz(ggniBk{48Gv`F9IKn4{b=sQ<5T9XrJ z+InK8P|fd=H_9=eMz`RvY)Dd^$O`{SY>j!+{%Fv6!jY;-X`SGRIO?lU_%@?RXgH(b z@`fgQ7g~eJCL6aZDo1^zDTN{D7);pCNbS8wQSdyEWN=z#_4X z`z}4>dOPgvTld#3^vwc$nk4NVmIXIA(Pat6cJ=h==Q>Yj^UkuulgY~i3|#s}(s${| z+)|c1ooJ`6M1i;W&9kXHSJIR2q2q@R)_IppWUpK1!JJ8yV(B1pPDmBeP03_~%}a^) zBb2-z(RjTuKKXf~&2Y(`CQYHUf{tZAAQN-k{@zd$kpyVbiTHq$L~@vtB`RH%{61hyb7bs&&~gNGSX;2%D&QcBJ@*)yyYFb~vR4 zY|YLtg8w0#Lx2U<`Oe%S`au|iqDA^-!9@5rtel{aja5y}sEu)~*DQ2d_?-wAtZYGy zZ!?HNw%LP1%HHT3{JOSzaJZ=ep*@ARSdlVQs2_4qPn!oJDSXTsJ|ik+%7A-%#X{UH z>LMCIIrZ5h)#5*(%^VP^11C$v;Jb=H(nWa*Ts-Yk>*YOp_*x78j3-~MAYVh1Ge+s^ z6%(?R1X4%t_3#~*8c7cBXO@6(mHWzwQgn>GyFd%<@42@ye`;PG*usxt*Q&i4kDN_N zNCRb9A-Llz_^hSJK;!3^m?eXIaH-3kG#v65j6P-3|rB8HNDF6*Io zDt7HsF-Rvz3S=9EdVA9jIQ58HCSh}D-IKjj#-TxCh~qf z6!H{!stHu$KKtmwKQX;QMt%;gzNSBSO}1qULwp99UH9Zo7QWEkF82A1Rmq?&`>6?L zAVikE;V4SF9an_kX;6X@@-}s5!;|uUFddD+Oj~2-84L|PoLNZ~tAlE%$kLC4IzZFw zt)52Wf_=U&_#y45mYY^7zjd!)C{7cqv|-rL(QUc1ed4@t}qG+Z|7jU>4#i!2iK|)Q#JXg*Yx1oT}Ta+*nY1?F``hB`Di{s=jF|z zz*_QEY93wS7oX2&kEq@XvCb|`noH)nTgVZ@*{u79d`)2i8V!X_R!kPCd4so3dd)u& zeaFTv(o#}mIZ-EO?=(cmi$sv0)M4Ec%`PHHRi~1rUQBi%-Yi?loNX&(r``BTnJ_aa zx*Uzs;;n&-mMNbxy-}z*eSWmxUqJlsWOt&@p(0Yrsb{JMN{hgJO21|ZtO;CZSM+(! z86KKy5wi0<7-UXd5`W51-YjOx<{v+dHInzY&o@z7jN`cJ*sGay#Z~EYg?G!=wxX_^ z$j?5GZ5VkuZ!XMk?v4tDCynFRu%IVDZtnR95+3Xa|8Ps!6j9n1O?E~(N+gvy8?vdZ%h zHp;s=*9QHZvBY)tu4)H(y3#6|zBag8NYrA@})VUb&AfO=r#b1y6j z7|8E$-!IwL2;CX@ZP%DZm%3Iewhb?vab2ucaU2xEqO|AbdP?{ zGnl?MQXd$>-Bs?#D}6nmdAyrxo?0EJEqoZp8YYGpB5e4r`PxY?QDGQ4JX)^NC)nkHP8j|eFp?Y=s*pQXWWiA@g*jxUQ6%Fmpe!V z1p{Zn@|_EqCoTwKR2H7eA$f%SEUd^`_h+U#h6P&(F&o9V@GIxH-|(_Q4j5sskatGA z$Q^#LzSUFpXl<{BfVAsWc|X`<^abj}A~evfGCfMmch$sn1-EKq(DPg&swYdTlb}uv zL9BL5x-;r+v#4nIMtkT*78#V$uK0%KJ8S^6@Sa^S?|is)QgE{z-@j)ig=Fb51$RPt~(309Vk)Nh(qjC@*76C7`i6yh3>ViFMY=Q2tppA#;}R#uk~CWO=swO#Z+x8aqi>& zG#bj#$LUwNqDT9HEBlOP&8y{yr?8`Uwu6vA!RxuwY!_9`qtsfMnA*Wo0Lft+j5ED; zEK?t*qbXXm40sT{#Ia0%b)t7S8Cgy0mEKRk5ci4WSTVj(h(Z4^cDL4C0~h#9j2RV) zWj!|24f+CVPTx!rmIjOm&}dkBRzw>19@}egcnNfezG0*@(N*H^WyaG6&mxEXvFfe`G^IW(g$3t#Z&0(`Yw8p0bk`5ako|_E zCap7HnG&-%1&8Jca|ph2G;qlKL^)8(y&S*BX*|3|{ZgfLKH!8~&hTx&0F^_|vBSCx zdtnRJs$V>GQRvlBw3+HyC;Yu3F8xBzpagC-jgxMc zRyV@>Wfwu!jrMKj)rIOS#bph=bBjg`j#76AIubO|E4NjGKb#tUO4>H`*t|82aWtJv zP-Dgw2_{YVAFB$L$Qv8H7O5<35odU6Z@*fY^5>SYOg*J`E=42HfCKo4w}B=Fx&PW> zsU-zOdnwN}53mq;D*F^qs_n^0$Y$foIE$1l2$LDyi((yJ7YWKyZ?}h2ydd@G6aS?=eSr$-F0&9^4caOxiYVRtHJ)!)ZD=6?{Z z0Uwl2q%G`AH|HB@M((;Dl)+CwR+fR>1A{hpjJJlFR~PqS*{9-6^B)wZaWQ6Rqg^v% zTcv>~iDDGdzIQgccB^T=tB+eg(#_Pu%o#^~O|82pre9mfy+H}<^_|ER9k;d}g0gc} zXr?|-a=a1U70hHRanhMyryel7zVhFPN@_fMq8IOsFIRQqZl~`^HCiOrYvxJJtx>Uw88acVM~JN)5wT+LQ6u(NRYg?~g4hy!H>j=lXsd|5YZO5h zEvIR#Eso<@?f3Z`-s^gv_qw0^{{8rQJ6?AM`^QfGTU`ZV@PI}~h?hz={VFv$*S*zW zJUYV_Y|=kgqBr%rf73)36VMQ@_E$F|>)$-F^vKxz6pdve1W8=caSNrgfF2yP^EK$J z?zy4hZS=dMZQ^o@B};SBsQi>4F))eq-~s{vpm?I`lTuZrnB221^S)ELJr=Vxq8ou&#Xy6ot3s4mN8g0yrGA1-AZ6OfS2qekHJqO;@YoX#AoCZQAMhXw)2e7n$XB#lG^? zXMVO5u>YgOD?~DFuaH}1F1v4Rr*hkcxDOL@1Kgr{L6D1b z=S!sRb%fK06IPRrdGMz*U*IcPZTDEoTkIQG5u?VGj^Y6|$mRu)>l-#(3xHm4D+|8y zh_QEKb@3)$UVBr1TMi$aE>*1+El_vA%?vo1Z7BT<1f={dIrW27KqilJGFY1{Byy;_uI?}<6O_Ek@PdKLOBCju3n zDTZ|ssn2{0+bG=74$(*mDaHkbLj~f}R+E{Ct6_SM%M2|HG9y#(XL)bWiKBG~32Fr$$)|OU9D##{G>T`6PH_KY6|55@E*bJ-itxJe7Kcr2x^owczl!RA>>ALfto!m zF3i&Xs2Z|&b%;4{Jz(wocnY7~o%gSLi#1A4!9|KoklGBNSsIId1w|UP)CiRG5V6tHiQKg>G33=`zU#T@dq=ZcwOa9X z>}K95hdDzImcU$kDJ@d6eBnP=660;M2C~dDx9C8V*s&@nB{9MOI7gpt6Y@{`*>X1s z0X%*iCAyrx(#CfV<}#OLUy_;<$Yw)-QsWg5=Tg3kL*-4<2zNP zXY0jUVlC5fAKER&zv=q;&O-NhI@b9UlNX~=OYlPVN!mhFlahBwZt|$9P-U7&6W8IH zprC4ro`j{~!gyK&*gxtONb3QoX=z4!)XC8Bv}>dMOdFT@caGKgg@bjqPtJ^Il$QtD&Db6!+^52R1CO}dC~;+ z>A(N`gS{VHpw3S}-bLvA&7ks`YUQdtU18OeqQm=~mJ)1~%KuWd9Nif%&54-UlB^6vD*ob?v`O@lI+R9J8t>A?1P5pk zb}GVE%S)N|g+iBWU2Kb{98f+TXL7u>eTn{;PxU#PP0!4+{|#{?&7uW9&a>w+yD{sL zOWz-R$4aqejG~iQf1B`C8PX)TrtKTw;9PKo^c;B!B4~-+6+2vYTZI+5bXJ5lEXll= z^}^v&Gq(sSZW;P2TF+hjMqWFh!$#rE*E(7~ulB>7;Jr=Z_{Em5e98CqPK1hl z0-lrlE21P{@UzK!EHA_Vt-=&7d#1HEOv`d8t)-p?=KPgB;AHbi6=)dIfoH*wEc+u_T=E6ZGmS{US-tMbq>v(c0fQHY-9a1>mrfAx6MsmP zSLiirCeEZi%pya$n!RR+^Y`^_ZjqO$#;*b!2H;%FUC7?mHaezRQ7A9AytFYZxDnWG z816kHqH+rJ2zs$_{VM{pp8pq-5r|5{=A8?fp;wrx2f=|w*srb%q@96~le^xFEtxJe z*lo7S@VGA>7e2^#Ro%UJ-?-1as@NN9>kKdhHzMZD&$}~40GaiT5((;=L?pzz`REtl zxL>sOor4P?^2N_4^BLw*3yH)e-xY4vIe;J>cZv0#A!`oZP7Q3xkWU8*kLt7mqpK~e z4+|Fhl{tnIUOy}8PoERIeFYkWe2F3r`M*VN)e7sB^GPBqQIN-eaJ)a6ai6Sr8! zzTy9NOX!U+MG`jqBhB*05I$oWU4jCJu9Q^6IK1VbP06WFxspuK^z>l{3YT2Tfm@^HTA0su)d$vm=l-1Jp%seY2GWq8Jr;N zERDDTHFWEwgJt(E2uWWU&Q^UE3zt>Cs+V*;TyP}b9A07_e1rP6Nf_9jt_Pz_;VuFP z)Ues%z7j%saG-0^)w#H-8@aOsr72{q7j8EasMZ(6rnFXqqn%(LOYNR0!Sm!SH_(qF?O%jefJ( z;HnOm-W(z);aC61VSHO$p=+!(Sp+w>#bghb%oVZ;sC99c-PRC04aL@UvV+7?h_NKbvG zHW+T->)M72PIvZ>75`w!Q@0E{1QwbC>?3s|qa{0=B=*X#H3*$!3(2Hv(yGXF6GftQ z4QnUobVn;2+abBja{fHhG3pe%uB8$$TPJ79ylbPRWHpoO^>`assJ1SgOw7uy^z|Vc z^oi@(0qIDM>T+*y(vzLDfX4T5@22`U3=h<@P(9sdot{66bCzP>k*D@EK^iaTw{2%*y?)>Jp~kwNhr2&6KVXKq zbpf(n(PyuuQ_uOgIew*>XOG(?|EX7=j+ALahGl-WW%*k?e5N+~E~T)3@m>92d4q{QBFa-+;m?!!G2ioyEJj*6 zL{2okLwYyN=(nq@%h0liieS^A4#g&K$-EHQyD8$ULJ`kSt`HWzOtDRK9k9p$h8a~CE0Qq38zOHWkmzhPsc*$%XrOQ3 zb0)i|O0{6_r0?H*dY{e8pX@Wd zI<21BWLh}3`e`?0Ne1e|cqGGu@Y$O%U?AtA7*_+ij%4B5rNbAW9jCiFszZc4CX&de zLA>m4xUzbZF+dQ&(jL5EAB+>xQ@dZuz))≪E&Dh;Fp-1&_vYfJKgXaXDh3AK4d~ z254czaHU9>x=?Ti+}M5%72uXROuQRqrK1p$)8e6Fn|Ho=|cDab|+hSHCI3!@E4fH-IhdeY5!9lShupWcHgFi zo3<#>k)3=LcvCnHTGNa$gFT`U7YY7^XP;?4LfiP6HzFDfs~nFGb}H_i=7y*|%cIK5 zc85`IY`4Q|B{6?@_WIGu)1zc+KbN<2z@rtvz%P8q z(QzI1`B=cND`)FFTSChK*kMFI^rkWL?>e3upKT#n(xcyg)X>@>9EC_S&Y>|K;RKXd zHzTJmfelysd5<&|>xDSPG)>EOP?&23DBqDw70d@&Qg=3#z2E7W?(hpgB3tZbkQ5nN zM1RoHp@l6M5x;|WDTv1`uMQLE_HvuHR2=T`+uUQ5b>=IumcKv|1d5`L_ZlfA?Rd0!*)#NAcHq2TSTb7QViWKQ{I?u~NU0|p$E5ldv zfXUZ!uT(8vBe4t7qFs5rfu~qTJ1y~EDYtd~W#3Wga#ir=!c54c1nGuZmV>hXyJOBx zzwYcgKFQ*`m=2hqE2>#+TT+mE9OLB)|6XK*kKqHaL12**q`9^#9w*iR{b2$6Jmckv zHbdbz7~P36E(Y(4U<_XX`vZ*-C$PRf^f~#TVXZ&Mwp_&DDgRt-m?!_SEsM%9a$GId z^$BwQ(DhL~Xf#O~(*0epqVPS#M3T=oS`y?yU48Q=r`%sSby@WT1y^|zbiJOX-=i}A z)GO$MUt;gu$o`um9r%&s-?5&F-Yb)L8t)a~v)71z$QUTZ>kGcg$R&Tq-MAzX*1N0G zZn7jB4FvkO9u zUHkX_QaX1t!>@^)JfjLmHDy9ZuG`d_AKX&9mz1Vgo`gWWk8ogmbO1lq_Veh6tV74^ zU}Y2aN?9Yl!DdfX8WsC}C<$W6t3(W!$iPDH^DtJ5HXZG|)3=74Xm0u(E*yGAU3nQI zPLE!`-0(!3?NwQnwwY-67jDi&-@h#piIr zEFM`fsR2t+t-ylzek)P}(&&?jiTsC9&s>jDKFxa5$2zx5k8m0VbU9dQ$cgn850r;t zW<~_Q+)Bc)>Wn?1L9G0pRq1SUsyZiNlYndr;|c&#_859v^39@bKVsVD)wyIg$b_g5 zri^=3*H61GrLuFp11X6GGW3Uj|UEUq=}(F1;QLM45(rpN2U79pjv zv9mOy;Vb#^SiUvhjO$_%hW1uI-vsL+ul-Rk6|dr;vj84k?pIP!xS6>H&h?(#0X6GI zC*XCG4G)p*VKSIYv?}1peUz^ceCxtF*sUrVLMqUd93S2->g2^=KZ$3U%sL-!DsF6k zD=Yw;DTyocBv#itl6yZekM9cou>xAP6XaZU7UjUb`1mi?mXU$%-;z0Pe=c!)E;=*y ztQe}|-*Rvgt9G4#muqtVSF)I0HzLLmh{(8R7kD2l0Df_8(z6Hi8}~KvXh`d(nocB2 zYua|7VAAx&?{?Qs4AI#4Kl>u1t7i=55Fc2v0Y`|{B~$kNm!gp@{N3<(dv%bpxlYLe zP?H$4gj0etoI4OKzvHFWKkHc^!-8~F+#zf?NX?%qmOPnS|fqBi9o#(kjFEc@ren(xe7=U6|i7oBClyV}wD*_^f{9dP?GWLWd6hm%(dyLdy~K zg0YpcR7v;<)x+{RFTv-0Ry9^>24ee9w7_%SYv99u>`X-l%{}$sEC4x(lt50$+uT#y z`D7S#p}AK}UpsDNbj;ZE)7~e>$>9JHOoQul9(&iGF@fDXjq#48m;WQD2YFf{yRQkd zp)4zNLgnn}l!6FnpoP|9)H!2zV>!H!8cJ{W3Jf*Rxy@e}a_>l9+As(wr9`+f8s}!p z62Z{`XZT-3PiB|4^k}N>&PaN?G{oxRm!n$x)- zSDp1U%sXTY40S*Cv|+N@zqpg1*xeL&9{gwNew13`9M87=>_%BpSGt>3NtXe{BeCDt zTb}Esl=#_Xm^dkqE84QcXdE}l#InC{F=7g!W^*#z=OxUyA$KZKDbfs!)0sJFZFU@o zwG75~5<&zn)w(C~MOg+0f+37XZc)Z1x>)9sQ+dN70j~|)F-}fW7Gm1+pSS{Lg4YnC z-b$%jOb%e_8r`INsVR4#)_;L+#bBt*|1O`e`Q5f7yekj-O}@&K2>LdB!^A-;JQ6oQ z@c7g}_2_f~S??*R4sy}~XTBYmjXk>&0RJ`I2`1@kGAosLs(|caO1mo~$C|Kp8kmnu z|1#)XRLZ=_A6o}+^?NSU_Ani>7IX%CDTBR8+ep=-Dv*(MKz+Jt3KY(O6ZqdBoY~Hv zC&lwhU%C*aoFNcb!J}573hFAL?{I@zw$wZEBYnu4;1$wa4$ zt+5uaB&OCdF-@>nqBB*==~f7o;u%6!g!aChIcIi`^{*0R;T`e)n3+>2C_>Q$DNuIK zSCgIGhYu+`vt9D){4vI?*V>&=SzP9GB*u)KYW04@r0hIPBZ1BG_EX-{ohY>}W#7#F z4dl~G7gC$jl0*v-JKlp%+(4V4kz#L~dUumN9&o8*%1NY3<6=H#iDcODiDzmoWnC%_ zi$qR?A!uEF$P0@?X6F)ptDXz-=Wlp^X5r@hM5kfcwb8XQLCF}i) zS!J6R0G)Qm;K;U0ItDD8mc{>QvMer{=_zEehh-Yf?5 zzy+A6{JDUNVChXo)jO%+CUMw}1qkL+ zPA~4U&0CHoe~b@c445T7{>2YaiUU;qI!Cy%h{HHP-;v|r0s>{Ej6cx6y`u^UH^$4e zK9XitishiVW(~3V$&f`W_|n~PhqQ35m}Ni=c$9}r0UZkBzQL77Wg8DAy_jQvXEBu1 zP9us7rY-YMRTyVucsSd9T>txs>DgOgtVD2hwA|*cJ5s3tNqcoFNb^CGEJHkIpMuof zcbBXEGPD+O_MnH!i<|k8d#5jN{+5LEPW_vSFuKQ_X;WNkN{B0tNi-`e=X?;h$64d~Kvu*Jyjo1URcTQheSSy~#4aVzD2j4PBT6r9^+Jc9MOK z4H)^CE%R=6c{8+#Jd_T!yX54=oP*Rj)kcJ54^2>N25*`-dDi}HB|a2i7fLU+IF;Ja z?Ucsm~hi>Y_T)UY$EVq!KTI2Lmg?cjc+Byo^?0OFW>YCxl@PQ-zNo*`GsD$s` z5Oa!k)I;%<%H&FJsfIS#Cnq-T$`kP)IZ0***DTJp#CV&~I|;eQyd4c&-9<=%u%hgC zldQ3jPep@5NcI}-igUCG8L#KlX@RDj71`(X^TE#;M+L=t>FS6!QevUPjC~!4k6H5d zkBA$?YifItvXNk)#(elz8zx=mUSBKYv#TXOTYM0GiX^3gG6twer(GE23h<{_^!Vqx zIE)k`kW>zJWhR2@xVSd4%D`^*e}9k#yCq)=lnoC!DM$0<;p>I(U^VL;rd-q8#~dHV zyrJ_ldMu~msP@>auJ1Rky8ZS_{Tl}-f?;CT;c)sFHqdbn(?v8P4-{Rjk#TKtilE!& zgu`%f2-FJtMI%DxAY&rwbl(a)l_t3|qRL~(@gFfSe=KV!fqTY#0V5dlzKGM%1*zyj{= zPX7XqMAz!bLFWaQAUhjE$c+}4nt&q(&ZYBOUa^9G%#LnXp^~z8P3)%$ZaOj5=1unV z(V>ns@SR{%e;C0?f)oPqm3imFy&}hVm)f4MW4RZtD7nuQ5bWEiX9bsdz4R3t+U=aNt8!~(no~RUpQlvwsdKS1 zA!o1r=24ho>hx7gEg`w}HQA49)n}T4`2-p657m~7GJ9|rroGgn#eYv<)^6!VmRy>P zPXJJ2&5NH}*#z#Va5}=J;NR(o7gbh-AC@ zGJ9>WmfDMrpdY;v)|GJL!xwuZ>iW1K?in^q@uymDzbswA8BHWZ_Pp=Rx-2s`wOQXYZ+yv0CVzmMk#a zNY-Aob&hb|fmB%JqCQ-urOw3Q5Qd~L=(^L3s4aI=xG?)xZ48QD9Prich$x@xe*rpi zW9GBVNFhXRNnajoK|=1pcBP#6SlmGLfwPl#CXF%sL38TT4#^F_w2Sym{_8OLCi#iy zs8{<i)Xd$b~i@(h0SmgHM4nsWd$b~z@k7%;k4vjiGzH^l!5?8FM zkt2p;ui1YZfQK~rPvj~tYW6-J7)+5=qR?1b@1$hRIncF(^E=^VT`Gu@k}P*3k3KHN z0fP;Vq~d+AzT~~=V0XY$6Pnq;OB%>}CaJBQIvG?++vB5@l?k?ultE(W zS)|K=clI_$<OD`ll<7AY^SKg^_4 zazA!IN$u`dL;rrOum^lV*|sk3VnOexlmV2OQrbV11B2!#IeE8eOn!8JW~qj@o97|@)W-yD80P=o zJCT~*_4IGdDvi!JJ>uevQv45xG))KKZ%#^ci;buR$}* zlt1%NS5%f%Bg|dnvOvyfHl=U4IHjUA-F=x+xdU^~*DOWU#S33Rj6WpJjPBMZSpHND z)0xEdU9C4Me$?t*{p02~ay0@0(!`hn!*DSC4&*CZ+#W1Fk(MJlTpE(^#(f-kG+vF`x)7}t!>xIoJ>k%L_U6!R zT8Ejrgt3%saNO<;AzzOFQ*^BARN_b{*F|WkL&%VZZuxAnzpz7#k8uCC>O%3eCL&w0 zlWN}YsVi^-xqbDq@`tI(LjT75IV>0>Upu~TMP<&#F!}+Ggqzw}BBRp8h>jKQH2sUk zaW{6OO&|(z9>l@#aRuh5bugOm{Z^T5zZuKk3kxauez;MZw6~QY*Skas+jlfF8Yja2 zA2PX#HdJdOqdMEr4C8MYWBh~zvQ}~{=U&1s+b0z}K0GK?emt4vcfV?2h?bLE)Bl~u zEO%nmxc?hqnffbkMLXOnc=nmI+0$oVG^^9|SVU~oGE_lp1h7dqlPt;QL9B_4-v z)F3=5=c}YwRek66hLuGN72}Kz_)-ix?r-dt`vvfjKq9>`<)A$2{fyA=SRC|xM(Rkn z_g6VcjjxL75`2yk=NG>(blf(*R^X$8Rj0jK4 zbsXz&rg)j8kqx$jBXx_>vNhJG?obPxeY1UcszrophDzl}(b#?8EF&mFf5dB2+528g z3t#Js3W|f2tLyt>x6EJrL9~99f0Q)GtZN3u8K$7(#1jRg>>B1>-(ft`8v0Fp`~#Xx zUCgSbZa>_Zf-f6oNH4hXlrmdpIW`%>CS94(y*9U}g)-BI$hBj#3)&tf^bwhBvaOfG zo#uf?o7?UOq-G4y?QzHZIBGJtQrPWUNDL)x}QJH0I2|7Ur0dZa3Sq^I8yepkwcllgmk ze(|T9W`15;4oimO7m-^f$$246-5k2ee92E}rZR2+(WB)e#qcy|w zhruO$m|uLB zTgvs(isQGCky9_{RXlqoZ+?UWhcs%muIjHsQyy1#3#2&;{9Axf=4E=}%F@{TIEsj&^tb{3^X!lVOJfD^X4yI$N9gM7gM(-m42<@Q z{Pb0cuLN#(Of}}8M`@4n0%l$VEU)15t>DgRMuL1UF+F_F0&AH9ilOw4GJHtWn&q~q zy>gKYH#kw7O|%sefsx^T+O_8u=u{>$AS+5J4l%8!Sy}gCJS%*FpMulc&%Fa=stFLq4<{w=^5gQV7|4 za7|$p%Z=M7bRr~UpK`(9QsBJ{3?pco)syXhPl_K`nvRA|>ez7fC0?IkUlcNeN&_5c zIiY!KBPZppnSJSUOHtxIxshW<>`rFyfwx;8?p13SXOoIoFbl7RMQYS68`4HzA=l4U zv`lg8LwC>@vGV4m`jd_-1H;9==BaJilQcYvg)kuY*E&r|8?2!r(w#PGCFEba&CdzW z#8&}5cr@dbl=zBVM|P8@6RUg479P% zdN%E{Li)f7PLi(~)$?L*+h(LsOs^55_lU(a Iob*vC#V~D_RBk3AuBDg2`~HIS zg%xkS@Z_iOz@1%fff% zy$;4G{z}!B*6}nq?1El#xHouK_bsH)?pY2PdBs9TV;O=g02f?}|NXs>iGIwRj1iuY zRX;LNU4nnzl(=MdpjN1aBT6-d!=<)*o&B9wUeAuf$LbIT_Hk>rQftSP!-s@#q<6A1 zlChXySti+hK2b85_D+W=xcy?urJ}grMiBN?!1^%Me@{8J2GZL;uQR`&eyZwmCXsF} z_b^F(sySdUBpsX*VJne&_&H&(hbou_3RmCws~|4@!LGrbtvG%ar)SW~F?W*a7s9gg zLl!sH>wb?gC+RfOz-SuzMA#My&5y90ipye!izBelahi=r??m|Na{{@#WFH)kK=5Qf zm>P(S6w7@9Tpox}Eh8Ii`Vi=i}#x&q+HBulhKEmUkCjx?G+o!)&1{grDh2Z@MfVjmJQKwWUx z=(XIOU=&LgA>pdq^}%l(&`l(jJ@cyYOFo zHW)$>!0y)923Zn`gK>Xm`0K%@OoPa%{M>2E^Bd{t>=^I4f3i$&(4~4!;!u0m$-D8_ zEKiBnkdll*gl%{a9l3)T9kGx?{RU)YF?kt^M=Temi$PweNU7yZL#OZiufVv7%S!Y_ z&c_zW2X@2({+WPXg0CBe;F%W(XEj!I^hgC}4yMU;C@KH%51JaQ1C5yYWaF3TLoAQE zfq5ULtsI6;P!?n4K1115Uw5)`pY4dCU7OjVAJY8VGM2S#vHdl>$^Ngb`#9Mva&N?o ze>!iezYksx!Wez8J4VU)7bigDO#-WGr;|e?6+Hg?TS5bWv^44xKTpT>;I5~Kl_Yg# z~ei zSs0rWYNEW`s=XsKfcktyPO3N8T|V~38}PeRy|z}Iw)3Bcql=eu%WV3x9<}DQ0))%q zUSbg}eLsVIrs7)k(0?KbS&8$qhu3A~(291bf+p##K@oN&4x)FSykPnVRIy5uM1s<5 zA+3tx+7ZRY-7=71DBzy})KcD={^({G^50MD(E(3C-}Lve87lSUaJd4$bfBuv$&pXw z&Ad7GbsYhBrfl4#OP#XHpL0tY@40DFML$kXq)(cf^GwhWWj=a>u94z-PqpUHy0gbV zogkC$xVzA$H*kn?1aU=2oGNjLrUeZ5WC=*SrrFS0fYBc}l1~u*)3j+CK-Ye3$2W2f zlr?HBZEC}3Gkfe#C#Q&Bm|GwFS{!}%moxb%4Aw48>Pe3cUOo3d zMq{U}@RZhQYTE6GmgOCRKRB~si&?5YYpDY*9mfeA!+G1FVe|+32PZ)n7Mj=GB4llj z1cuCMW}_)*TMMz^$KIhG`Poc~>rf4FOYMS5dO;uBc=sONmz*1Mn0RoyY|}obn)xs; z_3(F!{}29PkI_I&@~#~)VCiq+XyE7SHLvU49^3TYY!)$|bNyQbCcFhV&?xctZl%yc zx~2;WuNUgnv1-UH+%Ul#$~VS!$(o(?5mLb%-m^QMqu|S@^XTAR5P3ArG9!x_d6yzU zDM7LSZkK01(|ADflpm`W9#BS7ItI= zZFGO;S-O6p$D>>cW->FEVn*-X0L_&BZHb(c?RIcb~4#ewAG-gpTz6G0fCWa9TTaMlq6xd93cVa$X0vBq5^SS&g%pkVm9 zOY&qEQ|K7+aR4SlgDWOJMYcM$nQHJlya?(s?U|6VQ*Elag>wP2lqI%f*m+W;`h`-MqKO@OI=5Xp7Brc(3`5xasHK_-8zfH)2ylZB zNP3bXRDaa~4?}9CSm1(_RGGX-A)A-@PDk4Q)DiM%tOz&x%9!VxA?P@SDMB<&`x8IB z-WU4MIlihV|0D)+UX?60)_{FC9gX?UXn|a1ZxpjVhjwn>sMih?o2f*u|45Fr>N*>o z;_b%8<;v^JKIyT+_9U(&sU_vG#8=+37-EOtzO#R}B=VZKSn|RXed#r779Q@fj;r50 zX4s@;fm5yJKG2fSV2Vt^Z&M<8G(`5SkFJ$mWBhJ=)$hSObcIms9rM{-!FFlN%F=AY z{0gIrCinr`Wpi}LTf^`{^qKPFy4OwSuZjTqsY?{*`AOQwD@6?ejv|B6dBO+5D0V)X zTUQKevj1L+=xHKi-K6L*Wg`A6-a zJT-e8W4DuZT$?fg>(>_mqN&GxQ>7NNp-I+rM_z#wKgNtfXm;v?h}VAjYq7v$a)o%ZY;I346zz4ppzq2xo%d2RNhOZq?bs71oZ@VBp-$v=mA43QCg^N3_ z3FNR1SCHzvu`xjU*`bxA2wg&0>XnG^J>BS2+hv~S6*E@fA;JKMfVf`vl%0^%&5985 z+l1;`X1bHOxG{Bf8pTxgm^pX2L5>Mmpn@4Yj ztg&@vjR6ss?*F}+v$$7#4ObqBze57X3r>h}3vrT(c^Tq)IfD3LGa|JyNbsci7-UD9 zse*aNYo>=y7;W;?ZfE$&zP#f2q_|zgyg3vynvgCH`MLOCJi5d|SS5IGY@(iZ@#Rt$ zDvoI%@BhqlgFfP@atQkfdQ5#{f2JRAB{*BPNO9vm(6+F4@Jb>SxZMq2PvYd%2MPp3 zZ>KdGD++nU*6eWLAI%=4p zkvR*l?#$aMOkTjSv08;oC-HQ!w%-&R}x% zgIaOaba~cZHjEU*`vQGSt+=cl2`dXO-!Dr%W9K5ngP40+%_%CVOY3bPh8|^{ z1qB84f7Nc6YcMM~m$U1vizVlIISz+H6x8KT#`3z8oc!jJy5lw$3srqPA=q&Q*4eaX zF^g4?$4g^2n*2(3El+LyKmGAEck`U7wVJ8z8rxqv1z`z!VIZz64FJETUo&{ba2dBC5?h9RB*cCH$u z67NA&*5*vtvr_;SX!(@(wVQtY4putuW|&U(XoPk#BP~DGDBNC+Nac1t#2uz>37%Vf z*10}m8l}3NfIUAE>Yyh|Rem&)Zy*wW5@clq!H^8}fC5BgpFK!{O+W_n7`cM-DZDE^ zBcgXE+x5wu^cujCM6=kaV`wF4+Ww=Z>Q;Ai;h1@~jcC`m*@yeSFvf=RDAbLmh4%?= z1`)^bU{Yp~(Te+Q?AJ?B_==<8OYeVBOwYsh>J&%yeH3BWAyboG2{A1LfZdgSV++9G zguPVSs3*iTej$d{n`YNqApQzADUzl96k9aln-I^Ye;e^6qt3uB9IQoV8FOH&jI$RZ zzC8d`X*_v-sX(8E5sD*O?j256^d_H$#3<|z(BU5lE)sEG%G1*svBAFt(J?}UxH8IB z$)h8Mx$N*vuBV-!Y1(9sP7&e*YB-s16MIrTFL{CbW+5vjI7;QZ%#jg%dTJpK=G%57 z4KNj(IT{nkN^xj+#6<^08s_PU^NKNyvac2;^<|z0DwJ?zS;r8xX*DJQX>_Hz8+sik zjK6;XygKTgdvoWn-ef8cPiBqvp7hO%P@UKJn~JR4j8Ac_+`$o&2>*neAs|_-q|~xV z!=9;67}eLBHd<;V_3)TD7c1RJtQKPf@tfo;E%`h3nf-Q)4NmxQd6RiLW(&T6-V)yz zS0dmakLabMU8Cewot@_SaLLHX7*DeL`xHkmZx_%s`);vyKn1QHe2B7v{3KOphP-D| zk}H#^U#^0^{?xGuhpU*aE~Qz&VW1TElnz%E>=FhSvOekKf3RlH@8BhPXjj-B0$e+L z4oC2>rP2nk?Zn0l{~Qyk{`Ki1&D zS1$M;=t-9$C#rBu9)FusonF`SW)droN}j^ZF42ajS|F>P-G8}C;+X4`<^_K+r}2!n z>qi!N^~CFs%Nh`S(rx29q8ah?LP9`-NtbZ zpolroJ6ogOHQJ4Eg&aiqT%uI=?|fW3(()7%76AQ~9a3KAxmyV^rjCPZ9Jm{l3o7x) zL}sJ6sjl+J5gI}7p}@&xdfRgBnF43D(fkBzj=PfXTqW@=N58-@Hroh#^Jb4)RY~tP z=BXPZFc3wn*==l}ZX6ZMsfS9TzKIPHO@B1jsg1Qz940Cw4A}cdj%!c;=yv$`M%NaX zhErHLxB0hxp^LwN$oC}n zxPl?G8Yqmr&OSa9kgPP*5C-#+N+Bu5zk<#4)^XF0gePe)xp?A4-!Jh`6M~2H#Y?r8 zHFem@Lw|Ic5sF{d^cLsI%9fS!P?r4j0?ktL5;%eN5FcuFE4;S?Jv&B@1~W|=g~;QD zX;0*Tk%2X>JB;%_gDC~g{#TrDo;7|s^i_6_XPgXUojsi$P7dXzu}`_EOF#1ENqy8s znOP|!K@O;p2hkCY?c;99ApXUIps1|-NptzISR%v>5E0G$am^RB`=I&zE0P_^DSW}K;TE?V?QmvKD z$yg!H@nLYKri_S3M-=57eZqX1@0i?M{ko?8amqWCnx==8R{BA3#&K%rA=Zg!tNtks zCX%2urQ|@Gs`gqi<0!jS2Sulo?858k9BLzE|J%(q{>Xg47Pr{_q)x?pEQoh^x>9*J zJky0|S*jhCOm@+q^1|d)#7uWRWGMEgd-qixRl6*G(>7Ku7mOR~GsTa!TA4GHqzcGgxA#d$n{H{_E3(M8h)s=k(a%vr-E zw-3}gysoCh2pmS_4Jf4<~I)(McMY}V->AhANea`+5N(QV7mqseHT zI!6Z8^_?>OmBQG7jvL3Du0*(#t>MGOVvc(ak?o={J*MEL;wgBqSqbVePTlo@tAWC8=G zc1$+ag=a|QqfHJ$h1+0=WdtnBPe<^?-t>Ah))t0XBP4}4p(DASG zC&^y=W@8w^S2A9k@JKQ*Dq^zeCHq2`*6bUO@9s>Kf%S;XxPO^S^)E@h%QwIQjXs4D za$rNa#B>k%Z)xoD=qs7!B8+TU&8^@hzP&GtZ&}c-79X~`UF^UkW7RyUI`<075^0w{ zhqLfbo0zFh=LpFk?`Ai7BFg=5ESA?cZ0YlN+ud{I19gD*$9=J+E0wVSonvyY{9_UA zMSjpFCJ;PBd+7orxsVT)e8n`{$ZQ7cS~~CV zgYBHXjh{PH_C1>1L!pcUJ$VWvf`nRYTTA96Z+=G^owOne1-_2*7qoWD+K|17st zGwSNH$NrdnakY?D)hI1zML}*HXm96Tl18rqv-D&cX`IS0t6eRLI8?j;S$NKs;f70l z;j}R?IzkG2G=)`x`x(U6Xw()D+EObJKdQ2s=~Ys!n99j5LCZ#;JjYkPEUCe4*R!Su z{d31h^T6h;v7{csAFW5&+E7Yci#T`7ez9*)**;$PhG-idchQtCH8V<6(bowbfU0%CI;H?*A8CzF#Q4me`&vJHpcK2*^M4P1kgZq7t`a*edY`KJ>4G|A>y~_x^ zdoQehN86kjsJu$0>n*utprq~>SfSf*16Za{Nt|$mbqE;hDegX-p&t68V8)s-(2!>` zexgAUid27)c>&=OA!id< z1C=l2k4lpJB#N+ib(U#Jdkz1~IV%`lwP9__9H_}?m=FuPjjDx=s6~ON3;6zvjNu&T z1eZkq64)^E5Eh7Gv6RBTB*S{)CBa6Zu;W|b0o!|()YE1Mo+0}}`ZY(}TbASMeDhG( zD<7Ep8$SvxnkSi9O&cGljy?e_pk)Cvn)E37%{z$^lgeS~%}SGTRqi^)UofTQD2;-# zn;?Jct=Gqw`^C`kciV!d`h>xIIC0dwwCz%=nU0fN_ix~=ssNjiT?Z|RZDQ9zy68xm70ih7V9fGdydk4;YSDY<@jAx8GD3e@9iC0=#cge;%9Nb zeuk1u{oL9rYRc;lCeyZD)c>DTqx%>n>&W<;Y+T{s4!#X2Rcd@Ht>whs0^7s)va%tE zMTxvHwYJ%p82?Am4YZFTBH-7~2FtX4NM2-#I8<%``{qU?P^m*|&W}5Ebz@^Eany4k zm+~GS=w+w9S7u{c&b4B9ib;*h1af~%##v!=6JMg^TrPuV(ZBci{n&mwY!H9=4A%s; zTG>kR$w}nXB9^i?Z~_v7dxMKH^YXz0%(l!HvaKs3SzM!)PIWR7lZ9m)HS*sz=Y4Dz z;B9|Qw2EephAo9kb9?;oJV`z3@bd}JxB%mPs|(tRS-O>G37f~UIpNV9;f2bv)fuXsO&53Zo>9>|FP9Iq)&u`9)Z;p*kb zP6o2uVtf;a+KNkyXbM(lHr-K{^e#ACQBgi{OlFIon8-*H??r}Ea&Ij6HyU}Vn7M2N0yOAhLWvzy%%Zm&%gM(N`u;K(luRe4$Nl5Fz6v5$8dpY^1lJJ(;2DViX zaR+oNhY!~`VaIxz^1rZ^R;qt=EYuFU$FeE8ZB7QDbdFc)H6_ z%zAQu%|0VSXv9dp>)V#!h~a^nr>U|N-yqODq3bHEv_xObz9`{wIsRjV_U=YH7cPZv3i#2hBY(%5(9YT1#3 zE9A3{B?pNUtjM^YaT4Ff8@sS@(cS$p4!cYBIv)Vsnmp!=kFO1dBli^vvW)PeY3%4Q zG7&|p=lWYz&u?jE)bvMVK1_I^iX>*uyH>R7lV|3gEZ)6pE`-{mx5XizNZv1(8Uc< zyV`)r3=^yL_HK;DOU)AG9(Z?L4RfpvdOmI6D)BN4Sj(r6FzX;NN{H6}lXdo`^C;II ze{(iUuePp$3##wl8t{_g<%g0IoDh#oW;H%zqy_D$&$+sY`%}GEiD&`}nyg*SVxSbR ztIFi1k?De5x;v=0RXM`~E}21I$0Y8;JtcoF<;2*-RgqH;A@%s8#P772wu$iHKmn-m ztV#SO&`)5HZd!0{OSuEp zZ6Y09PFd~bntq)(-e(p9oB zPY;6@7%%4e`4i&Jwph<7WQf}n-Kj-IO>5I9rupd)EQOj9#h2~>@B=+L6!#O~3(SO= zh=@wFS1G;H&iX{N+8uAAW;&77@b9^`3oq$x_sKDh&eEHoyP){9SZf(7WmmLryBH<_j<3^x?C)&CM5@>Ozz`y z)1Z@dN0>gOw*tTelfV(H>n;MtUCH?NI*=6Am<8Oxb0I4~>uEefe5OQk4tJ;m)atD6 zZisTeliVC>ij=H>nFih*U5@H&B-|z7RwAQQK0PS=s1B-hEd5;M()SSM*wIHLv)>kr ziFyW+_e8X^@+d`Rh!K;=AO2&G78|+^j8G|B;pE1M$n*tdHXEa^n+akhF^AY=-CM9+S~^(R z_P2N$z3eOTcPsbp}NKR=}q-oJ?qd&jkNs;a*Zuu zhJI%`%td0UQ}tr*UQ;lR+gLELFQ~Az;Yi)?X$nr9N;Oagb2*a+8&De-Th_+h{eZnk zeo_SXhYki(of<*3fc$pYa+av~1S9O|65e;12eVpDi%wxB9HUb35Xuwaw`-JjFt`t; zPWnh)#)Rb=wLZsoM?PnD|0E@3bg+gIdeey zMtqpKxXG$TWLBrBos$Tm7l{vZgRaslU_=y4085ad5>$?nu&^4`JXLI_#cq{FPM~9E zdq$+CBshEkO*NFFO*ja^mtN*s4=F?jgnFp+>}VQnv z+oq|Rv^1gaH1SwFRcBXR1V~?(Y#|0`9^OztW?E-b7X}Z*1#jwXaHC1iL4|*H;xK^^ zd5BkhBmMtGzC(c_+_p~NF3PJFnEy9geftGH#xA*vA7%=d@q(zU4ix>30lY(90-=-_ zS}zHEOJ!i@jeFn$&n-1B_?fEt&|XUJrM~{O_zw1MAZG8ql9}t$?au0{N@#i20fpcr zihm2X0GP{#%L2yaVDEBr5}X}F!^80F3$Enxk3p4;>Q3X>gTOZ%BPe%T3H+T{FQFG= ztY;qixw)xWl1r!={*`^b+J0il_`FcPALMsTo|{@4ZbpgZ z){V&Z{jq;%5-QOD&SmNpdD|rHH@cBkEWijHt@(wS8gKgdw8S!@*)%Txzkpf@M*B{a zsmmR*LKfpMVD@z`(Cs8ibRoEeuAW?M+Grb{_fgSI4CDHX_hD4WW8wZg`Lb=#iX(^f zaMvxYuYSEa%`K2Ey%gRA`iB1r^QRIR;TRvkL3~+6_9%n!w?xfYFKX^5Y_bb=G2)Gi zmr;UlI$Okxv2ufalgU`(JMo;F`Q`n^u*728SbE~*8@`w!q9NlCt6075DL-L=XkHw%M`>EK|qOfVgkoo*;?TT0ufU{{Hc8y6bYzAfg{gO(- zS80ig0GHxQFe2IJHD%a0sg~BQMubI^9`-jKmlfAlq`j@qp_yk;=};rR1e{=rVF9 zkl@ZxO|IoX ztA{SS=RJydp4@y%wD@gE{<^~ss~YRrW?ybr%=*py8)7Oh$K;^ggcnoi+#rA7)&X$@ z>Xt<1SX+d5i%gCUA1WP&{P$a^?S0hpwg?mWrCp+^L&_q%%nX;e_~Z7aE#vCI#Yjccl!3BH=~7U?cv-JHxte zdMeGO;qQv?M*icO42=DU1xo0ur{4xl>w72@L+HXJ@%HGtA5A0Ql)mb zaJ)1-|M5qb_#Y?Xj*_L~<)e@aCG>DH^5WCAN!Na4F5qqhh_VUbZrO`Jv{_YMlv@!& z;gO}Md4(v%%dE=qcMWhiu+ zj^R|()XKP9`X<*5`Q_4EU52rYIz05?yT!0YHsw%Gy8FQPtJaW7vclZoEYb?yuKdtd z>E4!UZ)KIlHO;`{1474kDm;c1V6ioP3=JlRU0T$<0#(`~X{i-IUPD+#juWr&(G4%LZe>OOOPeaGA3uLf*XcFXJie zOo<#Hk)!2&d6%owWa~>VMUQQ6`Dm9#Hro3a8jG!)^|$Ruu=|9dCxqt?$Vvy9gN$IM zhO_?oOao&?gz;QCb%Onx-1SV-FDZ{+zqwH4F(#N*j!|7W*75r+9z)zvd&!CByIP$t zSnG=mk0A!$78teRFS35DcYQ}-k{@I=qQ)(-pyj}2J8mr#ZwXsd3Y#hZJdr#VAVcw4>qP* z%@SV#U0>}|>K${UI`U)9xl%8P)R|I}M zZi#1*GkLe#f^=;|2tM$2Y&ut&x_-IJR7BG*U)r`79-;;jh(FYvVTyv<$+BI6fOCTv_(M4&2_7x4|TWJY{!JmH$!lNqjPoL|#%UH%PB&VUh{ zPfrb9ynp?x$Ug%4k)?8frblUlO(4oJZ^0bE=9vordR_x+04GEjeS4_xziud!J^EF| z=Ey?|oC~Xjn39u5(n&#u7IzSXw_Y|IQ*eJ|QK2&``1@z-B9Ue{u6Jk`5Kb z4OYhVfJ54eh(}g+nK9|xnWh4n(>Xh|yR@c3_SK-eZxjOo; zHQFidLCQ$VvPv?9MwVQ__X4up5OE7NDthY+{cIDouA1iMZr~9(|=~q#*CZgq4re@<{JBwKr@m{Hl%u)ii zr@u8IU3{U>t&fJa`n>Heo{9EFV$3ZowoM}+a5!Cn6;96k-hZeu6Q*6|wV$x`NC@x0 z`T>&G=tZvAx;%b6iMJdI?s3dnbQ%*)h3)xAE%?8QjZ+T|Bm9QznKlS%;(6=2AC=(p zrX4<%ADc0{Bop%w9BU{YK{$X+DOz#eVFq~oEoCSZ4`CApgD9=4D&wQ4vCCkyR z)U90naDRJ7hYvt0Z%-FrVaXqs2p;sONV~=XW%XNfY+8m69S_tJ zCq#38N!AqTDXa<~yi!t(x869=rr>*C9T-%BBim&CDxc;nbt!OfzYZ7>m07hcO|smy zi#hpmUH&H|$nvG)WBzjN8%3sQ%X=Fx?!Vb|LiY~Dw2+ckxyo;gNM0}DCTx2`YK6s3_#k6nPgj)8=zeE)VmwKpz1A3YD z)RV;R3N(4cLrHEH^Td0m!=a}N>=mOKR5s_HUrl$E7lCX7ii9Sha&4 zDKWiAbIyt0{9l$K-mQ7hCQs|2IpPa9y1t&@U_?`CFumKPV)pJ4lkj5@kowHohb zaCI8&-q9X(6JfGO8aub#T4MPp*kVwWQ7=jOhQ%yRliQ((e8 zl&E1*z+`1y>1Bcd$!b;{_y1F5W?&%_0*q@V(wXm(E^vKo(Nbp*IeTsYdISEJwC2RE z(X0WNA5Y0A0z39|$`*{a;L75O%L`m#52L~`>W!w0%U-57EUy`7eI}F^CHsDrW9cs{ zRb=ngg2$Dimy}tC-I+bawh=9W4$3Kd{V1z3hF(|tRO$>_z9jbzU!EWaCpO##i){zm zwkkVcqm7C$^$F7YCSLy~=A%Px!1>IFtF3Hyoy&Qx3Hpvf%1!%wR-)~&NWCXZxf99* zEcww0Z4Y3Bd4d^#pRut9;{Mw=D)?poDZwqm z7bfE)SXs(A;WT87I`U!E_Q}AL_gh@W^UhTGy$D`EB3;FaW36fO>W?&GUnZ;x603oIhm#k zuK(lNVXtorI^{LYN#Vx~{!15@66rY`Q68ybAf~4*Z?ncsiRDW<^|Q{unm$PzmAbft z^PpA2>6iEeyC^T@&Hg@RbJxcjuoXSOSWUP}yw#7FBSm6;YmQZ_{PPAl zCQY-Z*mDqwE6u3gS)a3xgD8lyj#{kbw;8Sytr0%0xGXT6peRP3mdZ9Sgk|vVj#EyT zIFLP%TyEG02~HOMpJTjAEyti^qI+%~PwY35nA}9b{t^ES^$Ou;q9@PIA~U9|BP>Np z*y&Sw2cGHq(qQ29Brwp2H@s&dh3f`oBBZAskeX@L9L?U3QHpw|m;q@aDV(aB>FTM^ zQV(ttIsqq<7`p-g2VoYi#_^`idy1mX?j`D>Z!Wi41Nzi2Ha^QeX?hyzXOl5tjbF_Y z7?QIHY?a!zDF^5ONd7e1pAbH`AJRHW^~if);|&@%;eE?LlL7IWqCP*I1kWEoK*4X` zk!nNq9@qr2={R##i<-3|*7gZK#erSqa7!t`Ac^Na?z+T;LhNWs6u>jf6R6WuS@V#{ zxgslE(5%<*D}YiPQjNJItV8IV(P;qWc(PaBYqA`il?53t?Te3&T^pTmjUjePJuX)0 zK3K)PM7dbgY2d0*br=) zg2Lw53+JWfOe+5tt&~vkb2nk0v}~=M-jW|ku8`7X<2Ur2<*v%MFmmDQOn`xkHNSf1 zS&>pNXjz>BvqAXG>1y0eg1l}mcmKq1P>uR}tMRaGAzEeIHiaqZ^05ppV(OwDq4qc^ z^*qk@G9!CQD;1$pI~IHhs(1!)zR~E#R`H%Q(qn^lgWZ{?(;a*a53Dzj^Y8$mY8{M9;-L`=(>vg^ZN=*Aik%Z7S4zNUs@cq%6=c<`j?-G&^6Ma?>hnsL!1?zB#Rwr0g zt~@u;e!vD*>kes#m7EAfO=RI++6?Uddv*j&C>3&c_!N9_X-7_F(tvD_^+>SHO9=`2-$^o08Z{JnAAPXwT3MDL4dt*jI&&(A`8>era+5fU z79+3Nj5OL>2X?V7UDX~oWD}c99bKM%U^92` zH}y|0ClxjNj{M7xA+PY?be*K0X+UD+XX;3dBxkR(Q<|IQrtXjf8*-xP%OOO;dscK2 z`6ynKCoQzaVyb-~fp+8Z40Ww|j|oZ_yE%BAd%gNr)XymOHP@jay*qOSflsukG=o{5_{$DyTsNT;W(0xtM>5N_EY9`nD;qiw~>|4CGwH!8I0?Iu`_v%r5NzqlJ z*X{pnjEDdYYCJj-%lw;}A9$pbnQNG&PY5^&eDETy$cg9pvVz4M6PzEm63k^!e^u4K zmWAq<+;{v^!OFje{c`t%vT$uH2&CI0ijT;bPT4E(}Oq{I2YxaQ7=d-W6mS zr|&8HjtEsTF26xbd%Q~iE4g=r+;Ed=EWZ50#gb;ckosmTcF~-KW-|kejYhRjF?Z_@0Y$+u#DF&T+J&L& zf50mqS_J|e3b9DeAx_Ly5c-J#eK z_f#T5(o7a^_G+4-T0nIOW_b|$K64r2cxF(jFZBDnavAm&Ab=q(nUy|v=$UDmB{HA@ zTc(mouZ{=Iqo6V6o#h{!QLU<;{7~?m(4?+>u$nFU*?lCZ_1;;CZDcGRl;n8?ar;Ud z2k|uVhy%V2+C=%;Rulk2zpTpbr304kMNm*+KH)e>07>nJlHv92Qp%V2i8FbiSKaT_ zl$yRfW(^1SPE5#K`}aOIu+2eb-sEZg@7(Ag@*)o$WK4)7=d)PSs5v%4dSRXU zaX6zN8^B}5tJMmC+za@j;&$bUsKn8{?;>)MXJ@~&YpCu*bdI(Atii)$^|2~04Mb0d zpHxmX++LSP55jGaixY+-6Feh~xa%z`yZ!ssPDfXtv(6G%12Az&4pCeU&J_o0-4`?^ zzIH-*wX-#+0qr*fZTSuqWZpkd|G~6lO5n-Mma}DYatq0`i;Pk)!ONN;pNc{_U1Bm+ z2VBZVi^=%89sHI_zJUbCP^r)d6w(B}%u`7N$^QKa$Rc`h&v zTz}OL`cx1(FEiQ>R%|>a1`fqg|6}@ZDcvH=e#zjDM^$6@Dx)W_G#{S(UfuQ5F9vuV zS-K#_^l>WP8F0!K!_R?Hoz=hzSHDExiBlGII&hb>D5`f8a=av`HIMGypW@2EI7u@# z=anAk!i3`OEmg3SfB%Rtc8IZDy6BxP0(SNM*nC@;K#B4?fR{ej!Gn}Pb#!w66z*bH z!Opshc&4?5*ZtBiZ%`(QzBNQ$Rg7U(a0tFo9DDXoT+o9Wg0`|(y{uV{N% zqlE|wQF5bk#ado(P1ds2Y71jrTqo!qHq>?D_S?)hQsdGMEtLzOKUIoc%DG`DO)jB- z5kkgKx<1!~3~7+dB{43RA+vs#j7;GvoyEAf)6|8AvAaI(&T_rxqQ2rNh)2+4zBkhy zFhAsQ;{CH$owsX#9vBYy5~Lv>s|yKYsBb%m7H0o2FR}{O3Vlu%8-bYxW*|q~6P<90 zJS?8XXvgwoJqr&-pw1l5c06)og};h#plHo9^nd4w{D}hU2Oq=*jh$8>B~sR}NEKb< znUulF?%m6hh{DL))m^uQFR550D+j2k70RY(4D>}c$bv7A_4Ughm?)>!RkcZQ0>9rY zXCF|t0p(Nk?)P5I)KAN>)!biUTum{Owz4kUxNTv*j?G)nJ-$tTfKSp|VtXt&0y_X7 zA)R*3d%z8Oiu>_sW^|m5BJwP9a=oS%7I2GSI?vQn@q!#H2O!~v(tmPsV(TtU5u}od z?%(3r(a+vXu?Bny*LTc`Y1HY-j>DJ*hRycIl{R8oXh0oQti4Y0RKi1B-E7Tlk{@IJ z4CGDRaY5RCl%pqF8$HqHjA46yoBx(VeO7U~ZTyf9%a(t_SQs+be`YGy=S8PN63aPa<(ml&Ac$oPI=PELP z2hsey1x6{Qfl=I$;9e=i`-M$IGl|H)tn|;>w~~ZB{NyRe{s&@Omc+_|7pQO8FitTc z568(0*qTjc!+w|?JDjSkQKj`*we3(tCk;Q?xO8{esY({D&-DV56j>EQ^ZBqmC*du` z8~2nqfIIVHjDj;>O{_U05MHB4D@I+40HiVWXL$&}&D)Uv->Fqeg0GvzkAX&@r#@BI zH?|{f)~t+r4B0G>-cq*>lv@=V2$Q1h^Wu5|;1qV7)-X}pwce}Z8>ysCPlMPaWAi%HzThcc)WhGoqKTJNYw^Et>W{T7*; ztNsjGu{f940n$FQn%@S3vBKB0YIa zJ`Mv^1oAR<1lBEONkK!CwLV=1wq0XEsc_{w|48z(7}b^;o)*EBGVROabITh-@XE=` z`@r#D0*VlrwYT~WvHIEZk$Kr_W^Xc<1_F-|;y*pXJh1dH7D#x+r?lwhe~kmIZ)c`V zN|r3yz?iS&jZ_>cYix`qU)5|TDd7h%nwV@vi4GbTn<)Q;o{*wkH|3eLY8tTB>y7fG zd29NBTwf9pLkvP%*lFK;>&LpgDFa#6COx*qO3JY;13Pj8qY_Z3Yl_-%%dAg?+pcC! zQlf{Ro@c5=R2HlUDh~z+JlNJe|GeB>l69u{(COO z?v_Xc+7=6blkQjLho}a&lA|skC}3f;6{XW8Hp$<@7p_}+y<>tAuPZj%Z{MpNedv)( z1sPsXYw`#wv8V(53G~V7@PNXcxt;vgi~^3NEpF)yUZ+xeo)FPDKkz#Siya0MZIS86 zl97Gw4>zt0!X7!yJUDupCurp+k?b^pvJWol`Rli}<$Kh}&T zpya5Vu0uDj&gknEIK z8=??a?d#S3B>Rw*)tirO znxpjtfhL(!?O?2-WW-<-)IK4%tobOmZ_y1obYQYZ+_HShepRfFqr!y$c!RotHTINX z-;(b8qdlO!yKNr*kVI)*@4^2qiZW{4e)fa&vwCFd;Ok3CE~sig+y^#`30FH0@p8`r z8-z-B(}x&JRe(WZ+WmIAE~8O=sWbnRLSXgDL%tc$NJw zGkv`BNV&}l!ZN8x5^)ow-q<)wb(Ee47hcK z zrug%|_%2<-FooG{!;gWPR_I?jp=jezvUX)WBN26BZm2l!}X zJWAy`H(<|O(hIyONTD8UiP-Hf$!?+l8i{tciN5i?g-%n6A?hCj1)|Y#YDGJSw{fa> zQ)sd1sK&~9;V&&raekPgJ``{2n`h%q=@!zK7(H<&^9ijOw`&2e3Ky3$^0Fn#3t#o z(?29$lpZMHQ429}BH1HeTHPq&0Lh)D6l|Ny(Epu-vr_Eni`%EY+QRw!n>?0|DZDud zc|BBe;EIsjCDo-y=(brv4NvaeY}k{Mq5YhBt7ozoNzx{wNjjIr^h?+{ET}a@i%{^} zy7jbL53t4b7ddrlbN(fx*#aqSTXf}r=uY1YQZI6=E8*)wSrnZ(?2DGsLZY)9{gWMv zX<;!MeJ^@46bfI_;SQ zFpFnH&0-7OTd#G~AnXwAzQFaO)8baRe8Z7Wo%WFI)N|>^{vZ3!sy<3bC`R%Xw-urh zOXf{2*T?@m2g^LPy#)Ikuvz zCvZ7mGn);ct~U{&@4Td`?^t`U!8vrn&mf17?Vc-@{1H9!5B%VpZn9S$wy6t9TM*`{2l#*MvCS>w5tRb>oiRhV`e7-@pk&I)p*&H#~ zoJNvHvZ{cZ)qC?0N=Xb$5^opU%bOO?&zRX!+Y&Kc76_B4ZE)wdH68*deeD4tH}+4} zUXS^z&c*L1OhlS(i&cv6!zK7QUeo6U#<-6JOeTAfbgrG}6Z}zt7~q~0FJ(UX9Ygm> zxS9xi102l-rn5IOK~FKH{MfW|tXE=I3vZt5wO|#+Bh?s|qb9|IY4Q(LMnMgt8dU{e z_N|-bW1o(!^1hSTksmYFIC=lbc?#J@3F^k(5hc4PH$puM{tfZ9TpQuEnj&R&j^*0~ z+==tH=_}?l(7>y13}8q{?uUUE0=jEi zM81PsKwe@brNmh+8ZQui9nm@z+n{o+dK*O7{=!#yUZeS7iOc$Z(Nc}|N9bG(q3)Kp zyb)*4YmWA(Vt}^B)yFq4n$+sVAL*tGO#bFaG>Hjw*Js*p%+l=)Z^&f#%DNELMJNbr zwF!p+C=v@_YV@aW$c&Np2J}AAA1$2k4IM11mXye?ZY8&T(0n(>Wgn)z)MHx$M-1rg zMxd7=3FyGXJtC_)Jq$d%5B=nhp0W)V@k2~jaDW*`$8ddj_W02mu`YNCM}IcyrdUHU zII!WjSc?H_M2O+`o&2f|gH`BcU;W(^=#L%u+!Ra&iNz|uOBl(>p=)R68 zs*t~!%X%r0ME(w%x47Fl_<2Rd`glg}msIYgUeB`;v<&0^^gb)bZU^ zz62{f&Z!4S4ufGIDZ_>ZyiWse3rg}C(yG$l9)Xzj`tR*2Tn>ul?>biW?rMVCRmFoW zwj$j*$8$X9*$c&}m04BCH*!Rb%bPzutQS2|+>rN|vsE^#5er5hD=2_(zpQ-#ckZF zS>rmTL@u$v)AA+0a!wd^B04E%-I}FcWE1Js!jVsVEP8Ltda_}bPJXN%m~K(!Ln28K z_--jSJ_9%e0}a_9a*#{*v94|2a=ht&qqpmHlg9xmT$CnI)$r}Jzq;r;y)BuDuzF2s}AEw5;o^MdqtNb#!fo9HgKWNgzRqz8d z`B6+3sx8v@W-8h{MxpV4=Y-(pokfwEy_px;<->#$yGSz^SoU6|U!+Ab%m%e5-?A5g z9vhDODj_@uJ*CI<8o#h-O&@1@>r>XaDy8SeD@rE$njhRqkJ(iE!k@1)(JXjI06;z7 z_cWBOEI9WhA4FGV`}FCZ`M^JRT;3B(MeqJ+*(uB^j|F2=ds?wwA$SeZnTR8=C>zt< z!ezSE28_i0oh1Bb<`@29`?Qe~gpFF@RSp}HEzcji_l3`d+1YDOoUtBjvF}B_!FNjQ zMY2Wjt@~wc0a8;|-4*X|ls5%E-Tuqx2z1 zD@ML%^iv1Vi4fpe%}L`wby|`$J&Y|=q-1OCfp0|mq9#jsG7G?1^i#25p5CV-+w=-i z*(VqMY0L8>p)MAB+a{AO5cwamJ#G!>Z7+B2Z#fR?()%?g;TsqwHF&xGp&i$f$G(KJ z#FsQ#)g&Qtbsb^|?|(4QdC#CIr}-n4JTWP-&v&VsUW9*bN(hKda6^1!8xRwBsFh~_ zn8Ax5tmha6?9-Zl&SZBOQ06Loi68u?W(tFYDPka~Paip5SW7cnX;x`kMDZ_=b^>mc zT*jx!R-!hn5G(rjMaubEd}U9kK(~qS1rQt4BgFGpLU2JsPM-K8Z^A1B-sv4pd(m&x zdRni_n}H%t=eq--W4Tsa8>tk0n`3Ar-xiDet4|_hJgcEFK3A8nuqRD(&qWMR{Z+kB`^M9ibl1 zj`g&+cEd%o$4;I2M7T{3-FZk>dCk11N=RHMa9^K5Fh}#&*CK2)w5H%BCj(fRbAEhV zn?Z0s106uXjD>$u(a3W>1|*AK&~u_;O8N6AM7_O4bm_B(V#OJCwK>~d4gx^_@5Z$J z2)O0QF{dCAlqA5+Cm>X*-rXf<9p0l>>a-t`%Mg-tm~z{>dOt4ufWM``Ni`d*dnqMrFy?mRAT0w7&bF1n<-rT{Rr2;18sX|s}rpS#iye0ATf<)fdM%=BmToq z#kSk-+vVco>A3w6eB~)C3m576t;^XS2xlk8OZ{{72j(+|Xbb)0Rzo0ewak^aRqGJRP~)!Vty70d1m<<>gIeF{Oi zRGAv+C)EiL;_Y@*c**tnu&5x)`>`?Y;GbvG@(4CQ$9IZ=ibfHuCmP&%lFR+evMV$q zzrJ&0e{Z1Gj{e07eyDo$<9p=ffIatp`TGYCIF|_82idI#)e4Q)<8q|y^fo!-G|?2r z$v^3huuU6?cxR%dSMy22OoOZ@w#%lyQBNyRvupU5j#@sZHPg4B3CcX^CFPi4cU%?k zE=L^%$*v>_iQHVn>~jK*UUc5zDH%J(qJ&-`lZKu^{m|;)O!XG z|IpyB^p@&lg-={Vx`LJQdRq(p`GOEJ;dV%t`xoXu*jKz1vn~2@a{0a2F@)=Bq-~!> zG#Z(E6!t8)X0nsIVkyBTqw-1rB@?vo5^rTsb|e&*dl{q{)%@jX@+FSb^G+_3-7GCm zm96nzoFcR02<&`hK;Dzgs4YG>>(I&XMpB2@xBY{nN@TZygt60FdZZl#!fga&^)31d zg&z5nPyAyc_$RVg(}EWB^mk4`SpR`|?c-W{RT|3wal6C5I z&Am2cqL<0Dp8w$T3goJB_1T~OpP^9A=gJH{y?bj5w#|=un;tt@cm^k%@=xxCgXW9u zW{O`bABVSXgs?B1atnNN!FbsUEJqw11K$&e+st`0FV>Pj(T2 zQE+1&oD!_^_Ls0v$h#sI7{@-i5nu`)iuSk#`QN$UVkp5c^|S_e-wSL{m+7|ldDq}* z+-;YLy-fdd;OxWn3EwQ;YTk`T3~8r(C+`z9b+k&2VWxH`4=2+q0V&!LKTAIseEcV? zeQTV&_ip6P-Vs2~Js8ZTbDiuOg7w^LY!nz7E2o`{>>!9S3dfKdFdeyp`d2o373j!%hMsrIrRJ3@#yxxFMGk?|G;5O57RCy`2}RR&&TO@3T^!M=m4d2)6dw=fhzOL(?+V{?yOtFJL z4VjnuYK?7$UI^vgd@Y(s_tB^WjIx;O0#lBy?~mi@fln)_l*2g8YTJ%D14n)*0}hvS zsG@h1igoHr)>6|n&hMzducKBh+?+9UChb{gRE<^FJD0pBS}dEa{ACdsny9ARB6^*F z&Wp$8g>{52nJ+X~9=^Io^7A|cHYW=+GlheKGoy`|QTyo!>Q*OH_6k?0$ICi4J{hj| zg)9SlLiF@&3P%CPWwEo)J52|Z2v?-zfPTGTwrLOhVP~E-_Gu1~(Ox2WO322tR6}lg zsc!XgPIjB!`VL${d)<<}O^|{9tO+4bDPT5vHXN z{1j2h*PJgJL^FRDqB6;{!~Vw0;uky<1-Vx zUGSMe>N->U-(MU+&)1AvcMk7q@sU!U1dG?V82J8-|F>uPVD7a!W8gaQ4%4|~6k(hj z6NkaRPCN~4+s-=s!_HD6h0j&MbI#v=!W}Facmal)U4MHVl?M(Vap-9LUSp_N zyvB&EB4zvr%Uk6u)#6ovGvZ9JiA%ygMy=gJY;2J~Hvl2`nu!mt6p?4jWjYwd&e9J| zmA4SAcafwAmLuRvBLb5kUbBjMxa(O=bS3E*orw3|VdLa+GrTsdfNR@?qMmT?-oad9Zxv**tHu4($IeRJPSQi(>(Q}MPxI6 zX^><&{eO3sG*xh$uJgldyd`0SO2;8gFcct{o#A?w} za;|XXdZrSmI3;>e-fZGXGtT_WWCELR6rq*-NE>o1+Yf45+w^FozvV8#F63?qAvY7B`OExRG( zJhe|g=yTy_n7SB}!)d}>1Moeq*Nr7Vn3kB!tn=07A~#ILgC8kt)~MR#Bm~GZ6A^2# z=*wc2;r@emp8>-D-sc%_0*zk%ld{=TXfX`>$0U8HR#>3i(pVsfV_%=QOeSQm6tuh5SRnz-srU`X-1?~9z4F|1hMDRm8<0Lx>wr3h? zu_@rn$QS`v#i(QN5)bvmJuxN0XS~TXtj2cPvRGA;{h1^*hlPB#+(q5ymq7V>sd%O8 zr6I6Tb7$oOZo%I$WM}$M_rg-`D(2yzG}fX4MMe-2)ouO9>v!GC*qR1OEC#g097W`F?h>WH=4WhxJuqye+(pli@8KG$S zsDtdT^Rv8dI%teJ)p zTO@w-HSR^H703(9{J*28lYB}N_+$6Cc=lqu8$i`uM-5M3Mz0m}MR|SB4#RqYQlx;v zm0q;fjRnLjq=bufvqtB+HCA5??S?QpP2TQ`~aa$gD~l7QUEnUbfCBLoG28 ztvTXcDh5XYiu=x$!H3SVRGpLVSWG2v111J>EoCrTZ$Qw>%K|>D`inQxf4gP<7oTM( z>NRTm4Ekotw8;7cZYzX+bSxKtzfaFGb=rZ$x{X~I3o?7o^v2%%0QQ8+^Su03%1Ua+ zYnp}^@Q3s@JTz1vz$O>g``=u3;h}3!ek<~0c8i?HUY!Ts`nJnGCQTMsDI;43qR5~U zd+%i%O@K;;a081HA5(`?R+O-?7JeTx+~{+cAqNELpF86ozxXII;jGauCv zxk3G8Me^J?sj^>c8D@msrLD8TB`dlWI3%*)ckPbGG8FnaPA>h;FHtE2h5(LuY9Y>Z z@A|tdxiRnKJg)#hkcB+#1Kq89dv8#M^V(J$s_&5s%tK*i)daH+@lOG3{PT0AYF56Y zlib`syaQYH1ORHnQv}&enm)*9QHVzLJOp<6h*|p|x?AE!^Q`wLSK=Isee+*WXsc%v zWC~Sp`Ah0Z=RA}iQY1qMJ}49S(tCg+J~667nN<90RfH&ib43C+Y+>rM6L{lo$s&G( zNli!L`lRq~wQ$Z;X_Lb@KkSXM;eGQC@sZmo_Ydvo32AOy%=tsUD7*d(R<8nkK6>q} zxV0RD(|C?>tA1ZzX3;jdMl%4^;9!|o0u2u99+GVQY=Ld3t7mfNAr&VSXa2&VSodg?t)%$2U2lx!YO{*dUw z#yQENS`|8baLs1Z!O&B9uh113_1Gp_F3`QZQKpIuFPwkVc=Ko0Ez8CD5A{lXQV(9J zm7GD{zL*_!6G9=c{-E-r1J96UHf~wLjEB63m_V!Er>W-5nx#-1bhexNZ3EGlSE#n+BaT{QIYse8RlX0f`W19Rr4VUt$DznM($7OiQ_?Y@0iPy#+@YK{#JDy?dUDFx^BExIR9^%CqB`KIUIEeqa)nyg3`83tLqsXg4IrJ>^`6L?V_;6g`aBOVkrBE@@ply`3HPG>(2TI%0;ko%#XbyhRZc>#Yp!QVch zS$aK^l!kYjQ#6_Ak$RIw%b2V;rM*h$Zst%r?C_@EFFtrx{IJ$)p6nR8>sae8!I_2` zdrU569LZ~ao~N??Ry@qT%Y2TT~ibdlW(HbqH&Z`a|LIXu}8U zeZi#^((MpnHbLMgIKYK;25%4Byjn2{k@Dt$I*;^c-@bcAG3CYC#xY5L^*58X$|LPO zHkJEu>q|YA#*==*b86EjYda`fmuKX3eB~8gKY^=2T+5@#OTQ% zTBV=N591cOa}PapQJbC`M7xj27HD+oOb8R;lhHNfr@e>Ps{}na?XZ5LVDs?2!>Nb% zgA(twSP3ZWNhLLjRZC#!5FP##bj#tcsJMG$br9dp+F4%4HZPs0xwn3DBbOFvkX$8C z5s&aXNg7_9&_)@`57&Cx?oBGq-J<{sZS&_vow%cMS1=!3GG%)J9&{Ys2hkjaof z;>wei${yu14IjAG9sd}Rk6$Yy+3n<8?5!lPsJ~2Qz5GpFvXFqnYb5Wf;&G7(8Tgq( zMO!>-V9u= zh=X5J<&q(kM%{ejT(V#;5i?zt|CLsr&$DD_awzh}{a7gfH;}bQh4Xa)E^exH zw)pDHLrcaDryKr-Of$)x+3eioaJlwrk-MC)SUCCaBjzWPH6S|VZw96;>L%fdqqFI; z27HC00NGw!qCvWJpfbRfv2ja+!GjXLc;!Xe=M1;u5g)T*kGt5prqbW+0P7+F$Mg1# zAxhFf_F7xxu&kl(ch0CD5h-97vMHsQYjEB+A+cyOz?nC~@1is78unt%@L8fhTZ& z&W;QMSC@7JE>X=pPSL`W2GhMYx$XOfpo+k$&fvU;1Yd6^Mk__bd$0_+ z-s@F5HfC{_xZTlei$g6-C(kiE2$8PPT`M9Ak5BV`xGS%&-Mnpm7DR`iGT4ioOurY7ZRJ~+UBf$+rMZ<< zmlH>KB#>YIoA98^OIc%iu@T|L{@nfXm5)4=$el_lf-T<_1z6sKmj*G$RJ#ccl7_MN z?P916TS((Ia-7D?blscK?15ai`-FZst+B{)LzHRB_-=x}sga{8#kmiYnGs2^?J zeJ6!dWH(jJXLe>96LMFeQ5`qW_j&^MTDdx}K`6gJJ)RGeP5i^^@w;&H%;TdHVMb>m zz;!bH9c5-RFDDTYjizrXfWJmOm+!+0G1#|8NYG=n?*(Y)AfBliLQLX;Iz4CR5bP1{ z#u{pMW;6S?{;gkN%_c&4+!G5gR&~!n^y3n9S?Ty&M6B+tRLIdnt^MXv$z17fn$*M* zC%Wd<;}XJ}1UWaxzc@dPT<_^7B^5LUHf#48#KBj7((jf?< z7@TR8d68kup9fibS}rGd)|Dq0XcnX(esdD+Jd~FWYcUJ5uMEaj^u(M>s`vb;_nE#; zK$e*Q338SICBW~c7yYrm)gr8RdkBbsoc{gbHPD(Hr&+Fuj*Sm`C&-GmEY8VTZzw2q zMy8tV(C);jnIGoJgq$fxY|$)sz>6$-Ta1vNaHL_j*F${)2eYr`h;H1r`=2X90a61Q zo}*Cu%ncE#jjl9*`zZ6mQtpNv;w zQiIYrbDn_tG=UQSd*-+x&9PzYcQG=`B`uDa-enPO?MIJdNH zAaG+FcOXhP5>YL~W zKS0WO_5YsV*1LpIp<-VL0(CP`&|N{1C_Idf$*((JB1aDoutBg`u2ZIVqTV=aaxW@; z;+UHHV|96tF(Iy)*#>IhXXhTP7c*v=S(RambU~IIAw*^}&;#lAxb9{m_AyhMW&STk z@zcVT4wzZRqrwxDslh1Jh7^v&X)For5+8nIebHo?CRlm0KM$zgbyV z3@tt@)n*8($hdAL|r^q31mdR43-23%KQT{du+yeTBh`yWgr&l-g z8#Os*1DsET+TMxAe+u`Dp>k@PsNF4#6htUvw2fy~&n#}_)wI&GI_Lg1RINWg6G3kX zwLDF~UOE?b<)442ODn+Ev`4H{w0+{~rIqdbm^Nv(PZi&!fSA;Nk#2qxQts}FGytk_PW!Kw@|Nu>IwE9zC!8G-~q@-nDw@*eMeRl3s~x_n$ww# zu$G7{Q~BabbA2&OT{_Qr|8^ziPCeA<#%JQ)TcnAL&h$8qJ}8xQWIx;@Je#i3Q1!bU z%-kHh2Ugn@Q-YTgR{9uc3 z3yTzX*$fCu!+x2!7V0$`8a{%6TaXj=_-xx};mCn9XbyJI6@j$2~d6kQa@);k0PRf9O}IgW>FqQ z!*o+sNkxPzL5N15vT=LV_zCPJxX$?6FC#T4D$QG42)X?y>&EDmVX>-g8^6=;YA^D# z1~U|jSM-kSGLW`KSuPmp;mAjrS0>{nIas9t^kNKK2y(T*uo3c`G{(N(!d8Jw6GW_ayPhEpfG-}%4#5k6qz3%x}ek4YGXM#nO zw*Ec~4g8%`{$z@j|8pj|jWmrFG{ks4E(((7yd?0-rr0h$mEO!`*rPV!up=Ka(!gnSvlU(79kTtFn>?Ce=3$6>$6DkJ*r5 zIcwibx;KZDGDLj1+5r!i9PKOGk8>&_gCE>6wH2D;F&7VI37n&ng3qO)F(mFdEx4wh zOXX}zdxb;+%Pp|q|Ne4NlQt_5g&)qZto*Zy``=%Ju>JfT{OX_aHBsU>nNNhPwHZY} zkW{^`L?1p6AI^57EC9Hbjs-681^-jhm%u{G;z{ps;QP@GfR{060CArG@FqLl+rBgxbRIwXMzxW(GFZ=_4vk_0H zd&x{yxkN{1xJ)tD5>IkcRt{O`d$TziR1a7qtAxyXCI%rR&~2`i@=|{T?~3s7?qTqJ z#%(&nBg`mn?j&o{dLOUgF3T1p{;@VMmIw^Htd$A{Cf!f+dwL=Bdr?pI5uZv=a*w~y zGkO|> zJF1>gCqFT6s@(8v74`tiknml_f%YL;6f^-}A1>xXU~I|DcSFY-x1ik+55AM)@tJzR>K zx~Z(Wef#eu0p~)FX_qMqa!>IAn~$BD$MF!48yiK(%*9)%D_$_2{hC!whj0d@Ix%oe zz+=i>av+#LoFWRhWnk=s*jic3jJHi+7o8JrehfNXr1BBjgY%{}eOSgZcAg7pu?|p! zlAifPx-@aOZ;L#AS!GbI@Dvrsv?x7uPV@LZOZBH%SJjJ;oX``aE|UWp(qba$=m4td zEOjo%`aJYwJ+nmO7lYXutAU*V{l$DZ-;!Z&z(HKi{g=}rhq)N_qFJ3CmwO(Ja%fzEw%7eGMT(}oWJJnvZ zE4c7A;>@eqPUl4BE#)ef`#?lL0LSr_3z8dbbI)m6*~XB=Fj@S6`4`*@uXapjdk<0d z3gAW`(;JB@C~v?_8n$HFVD6V{<&C48#;(ILk1d0l`q^B<-cxua-f*9N13t>ozzMqhi#k~3|9o%sy3wTz;>hnU{Hb>-XAw0qd!c?u+w>*U$s5?XM9;^}?|18B(2TP%?uk__42PXps1O#pK`RSgg;ucer8-ycJ z_L>o6Ec6$`kmhY)c^SU>_J?Cm`|QlPXzQn%eXTa%6e&S$axFiBj`DtvRgu5J9)@@< z2=J%7d*cV@s<@OZSrgQ$gBOxA6)MECjmtyM@jQoJ3zy_4Cc!$IB*en-%|cg7Q|P+7 zGNz0bmt7f^9K@1lB)7tmxAwSiz>`#kEUk_RH!mz$<{MhbUG28G#vUNAj0-wI*>LYY zqg7C?@}~;__g7XTb8g_UXuxyu?J5i5(iPsZy{v$eyV(zafK{$DC!ynwFT(R~y|`a~ zXfbVzw8n;8VBZF#`Pc@pQVUaCTY4NBXhCN4U8~^|H}`l4Zpf6>Ytu)rb6*HCT*#JD zv30pZWe5Ck7Sy2Sm*)9^-L^w#VfQeEir!t}+_j!g_ounMWlt9oJ=fS5qnN2w37%AM zHMBQpScB6%AaaYz?|8R`-z+|U=q%dR$~Ks#dET(3H#ID!xn#!?%DuKNSBts;EA{it zO1I<+E+#>Hp!#bi%VbN3D1FXP9Npk^9>NWLObCNhbbg-kzVyORj*jP2iP%s*c7I)9 zth0`gz3#D>CF4S^v9XI=D|u5d_64Y~TjefqnY1OWA0ikk&&%2Z{*z7G7fN{`czI}z zK9I(1@WKdS4w+ZR&i?EdfPc3)4mZOyn;(MNYfiKgGCaSIZP_^S*s*T-y&t()tNddZ z6(%u`+GG4K%Mcn{FeAirGtu~R)YXCHk4assX*a{o2M8}!kl;PBOJ}wG%UaXf*`u9^_GN4}xtf`%g0@(u$hb9VP1t?4Z z9Fo}AnM{C=XEKcowR3cJIqsn%t#8XNI!ZP8c~((*LuFt_eWYr^RR5@$k1XZl z`j=}wK5pqpCF2&*YZEyr??eA$^N@@n#ol(@8RgxbHzJE;>p(SM_UbCga^HuP*s7y* zS?V4(@^GxzZ9tm0uV&JyDb8}1$#G{y!+49-2O9R#z6WU=FE_ok>tnVjz&XwOgCHBw zaTgHZkmg)CX&R>|pExN}^02lv=lDY-n}~FFnHnfw#_0DIX-7(S{^4Cm)ITpKwvRLa_RlA9$6uCAvg)LU$Cei-j=;=I;dFLamdTkysr(5z0hXbhQ~0x4c>e@7a` zU;fKvuWvVaI^R6Cm@J^4=@=9J#O@y|kNReYXwC8P994Wp^XY6b)l_DIMj|g==ifO=VrnX{W*{x*Uz|6NR z7F`!IBTuF|;#JyCforo>ZHo5S<%>ec5O^&0w2U;NTNe#7QBy^pJIRGh2{4Hv?@6MTA2 zsJbY}jh>->rL}edc>4!=EHozQ`oy^ISY~Rj0oa!}ZwNUTTc2UTR5S;>Y&UE?K&tM| z+z>`x510(wekZh}&FiU7rI{cNrjP#^Z&n%qkn^}ce_0sJK5=q!O)223@sx(Pq{Sg> z(B$FW2Ttf4lyv-3fQjVT#7ka$I9k{*E5a?+9uBeEouqv6LUJ~87w#@T0XIZIj3I>e)e_^PO8W~7LpGsBss0#6 z4`71g8Mx2lGsFy^Z<8RDYlSJElBQp_=`)A+{_~?Aei`C+(vv(>NNnM}$0!H+#U2q) zF$>daUNG{!lug?5I=-FytxQ$AiBEm;D0qmzMxMFMkE#3q)MZRS2Yr^Rbe%7+3!XxG z2QIk=+k!x~8AE2vpLe2Z?mVIOZFcqu#!hhw#)cf(QlF52pMP{{62MBlJ%bL8{*-7? zE`=$s(w$U(7zJpqVuHJE*6aRh8qSRm43`=umIchDls5TiULSXs&evZX)ikpcc-dG^ z^HO?a@q_<9S{+<*O$m>yM7k$WujKndjeSAIm#f{QR(lTB4sfb{+75pv9 zSP5>%wO*$+DVj|xpi%er_0r&-F=x&)_eR#M8#qNRsm22R>@xI|4lZfpzENKJJWWhs zO_bRSM%!iEi0cZDfk58JXv(YUGkC_Yv*gOZS~Q=8rG%y0>g9u#6NSAkO@LOvkV~j^E+3=ka1|&5uQ4HxdTz1o z5wDf56fzu1*>$BqJMsLblG=qwx6-5hQP3@#I?bl5jj~ z0*JCE?bWe{HhxY=(S3#JaT$+uYJ!Ks#D*S~L873Y=hKw0P#+e~vsH`Bz|Pd+{*@&{ z@Jvgk%7MvTQK*WG%^-{71jy%&>{64o0xeh<6p1;goAMO@Hug%t{%yTN?;b=NE**}} zm^Cgcn&Immm6Ls_#Lb-M{*@jhLyeV2zB^}UUS!?9MGrFnU8sah$oqt)uaU+V&9R4W z3JTOb%fCtCD+|qmNgT#;*F_;tQE|(wr9L?08l^cxyrn1at|(xjC&nqyfs!$GfjD^2 zv$`3yQ)?~Bi@C4b6DcgCqc8f^uXriky88jS7{z;So8m$mR#~#QC8>CzPO`&@8x3_v zkwm!2F8V;KgrmU-3IJL2rAJ5c0$!G#`f~s8FPzEsN$3MH(*3@#6TzNO!nRLv6`Y(W ze2a2U^%^Bs0tlBip-iK!I7xZ{G6pqEJMQ^)DprDJbHAHDH=>}qhX0yle~AefUl^C~Q~2B!wgE$3}t)z_pBjtfoffb?6zcq~g!?Wz5R{dL2`rSE1LL*s=B8 zM)46S$0;&WYk;@Ws7hp7w^#3J|Ma$#-rdFJz^IA=nzrMDz z)yStr#3AObQ2wPV)9oh9A{AmjECP#C@eTMf`=?YDPTSqqk)Hg5^2#TBEb{W016i6f znWaNgj(@7^;V(FFhs*LN@s{uQ+5M*HPW#7c$v~`8Qm1?0;bO9;i;w{%ZB30#BQ0LV zvup8PoeBuJ0q6pB=J6~9tU&_&D+g(qhS$b=11dLZ58QVQuHF|h1?D2U%uF~>pVRh8l+&g9yExJE3SWpX&vXJa>%!>i3m$jX|g=G@lk>8WFsy5NQd6`%Y! zERV6YdI=H8Zgd)!5mAQFEb(5fQ+Z0rpyErVOVwkKpnHi3!Dp16_=^Z-c2pX~r(T+f z1y#-G$75N_hxy0W2dt1j?+EfAxmT(0i5e5BH(sV6w^pSt>=5d=S6?8x+ebQ+5;!AV zeQ`Bff^ajPhf2HQADU~|`EEzAaEtDa^=q9WPo4Y2(;NK1ubtbT253ce@2Z9zsCnWp*0 zCR5&LL!$7}o&}skJ^b}Ri$Z-7>p-iAQA{3ckbdsfk zmieb)<8#SReGw&?5I17KjcI}sW^ozZ^;5MhsrCtHPen?9bMOVAfFSp~usBi$*Z#&x z>W6?N%INddj)#kzpBIVc3S{f}SKamqW8O96i}CVlo@aEZ;ZT3c4?gqp)$Xp4ysQ#J z-jW#bK)8cdN9xu8{whF0`MCk)k4ab~H^C7N{LsvMF2^{u82knA^n(q!T5f4UdhvSE z`s-=m%$==_<&2u3cijFgXkEeHD3nO%_H6|oF?`j@<8-E{^LbaSs-6Qq4N1I z@0BD7I?!|MxMsg5R~Vsgpnd>jYYG5JGK~a0oy+L+RoS3G?L%4B$&WzOw-c4&pYr#~ zvXJ)?<#ptq=f=D-H6l^^_;ec%S*r~1G|qDD;vY5~1?q$_EWy0vDk-Qv^T#C5u4=pK z3I`#{K^W`iayJ!?ct$)Ht0pAK zV8Lf^p}J%ETsrj3@@dMDYq8ATBGvQd?<{8K8h>yK>^~G2Y_F`+7;)seHh3YnZl3 z$pH1wYyq~?Lr`~Wk(e9VQw6>JmbPZ7(VmBoF4PwP?N8DB4mRHJ9Gx} zv9;~Yvy}JgO`NkBVjq`Zd{2!8}E^$LpD%_2)4C zbDIjjG5SoNpGl~6hU5r;gqbnFMf6KA?n6(hdZ@+bTyKJ^Y=e@sA}za&VYM_0H)27i zOi!8ISo)6N2XJQBF;bShW<*2C&>U$pr7T?=PAzraDUB?$S5(Cc#0Nc+%kp8D zd1Vt;EHwYOy5WkIkGe_nTqg%XGJT7qmNq&x$+7KC?RcU?m*FgO|m0I$j+ybB$$;;Is+r(ogkiv8;Cu=*?MiqG5TG} zOLe#%;P-^-1GVbGNS0aiPpE+&%DNSO7A2I^Qm+0qLuX&{r(`<$K+178_$0T9BMG}! zY!*<5_Iz+-MtZp(6LSjpJrptp-5S=O{Rzyep>4Dri#FsW%G!N*S%&wDv~N)6F@jx2 zu@FnrD5sW_KhsFG&C;2za8!VT(S7FQ)!M5S*LvL$r%Ms7`n$aSwJc@hIx|~FDovyx z(Yg2>d*QtCo$qgD_<3?9`~TZ1mcds`_MR$z6iA?8QF8D2vJ4g+n(S)%=rg#!rR0nt z0q4(j3#;rv58nFKlm_{k7xq2w<-x4h_S${5NoWD6Ra~8xf-iu#Qtrf`s+Et&zbL!H z`1O*q!s-aIkx0$u7R{f=C*_~&ou_1Ji5Hq=Yg!X%V^1Rx{uUp2nh=7_a~r1^H#XW6 zwH=q6z0q%Y-@+s5E z=S)$t>}B=d8qU_=fPt(Wb|vf$@`JLh%1PQ2j9bjzQ$Hey7cWRAZmGgDb3Bsy^C_pt z2;XC#@OXQLa|$Ap`f9H!dajG!NhPR=sA9lCuf ztY=hKgA|9SODi0C8Xhr)_di#-c8_B8;K|N^Z=V=P)qFkG>GioLIU}r?9FTzC&tW3J zE7#*4${j5@PG#PurJh;Lwz|<+u;nO;bf_xqDg2At+R!Bh>?QDV>Jdaa9 z>Bv<*7QU}{bsjOb0PX#*F5sT75xm?6bYvQP)^|bK9V~rqWZzC%QETz5Ie|Z!$0d2Q zmHb)4c|@vffOGFKk*u?S=RKpO!d9EW-zofYm>Lmxz7mh1p(5>)K~ULDYhj z53Rl0D~hZ0J{t+<GTLFu{NkGu|1NEfXaqjOYlP;>a)f9U$|~(w0unK z>5bG=LFu)V*U!Ikgv3kvi(!MUat-)wi}~+r2V#sgKhH|~vTs+(oeHR$-d20`I!ak` ztYTn;j`IO0FVZ}}jAyVt!7W(-veYYJL8BC}7waW3pCmY%w|ceu@crY!H->k7#|qe~YanSqglQ?~ z09`xyTY~E6d_RilHOK6m+t1%8UaO#9WCLoaOXpK;M)WT`86D!>7ohSEi;VC+57nQX zQ~M^u84r*{4=LDGzePt%#@v+P9u3{0_1ae#E^877G2%I%Yu*DP-PWPT+_ zwk8HdNh1wbkk&VueXxaGzNQ&cijEqZe;=m$~B z^7!10FSK8Rx&7F3fEkl3S-v-=>+aWLHGLA$CEW0WCx$28moeZLH!wh-(nb5XO3E>o zkh_TtocSma{5a6O-fAAQUB$MpN!x@Q@UCjl8HFKr_q5H25UwY_@tG2HQ&v2syrVhb z2=e!&z?-7wZ>1#BtNJW)a2q6&4t;GaH|(U?UZ{a7=`c@|NwEPep6a;Q66IE7i|xLc5LIeYcc*^< zm#X#8n~y5f@oKqFSfej($4)($kwIkmp@#_eBRjFvH7Kf8sL!B%>DGfNp|uYCn%u)l zVV0mD1usN7|0-91?#-fUf3?Or#Zg(}PpbAM0;p<%dB9&5{RtKm?6e*4iD`wgAp82% zNm}Bfh-;L_E1zd9#laU!lK4~o1%9WOUxdE@1`YT_Adn&(D%@2NfNEun( zQkYK8$@qz$uMC(BlB26rovO*>fITHyeZq4Sq60d{8h_@)aih?0cYJ(i^HeEL9|Ya?a8KZGMUBC#%WKp&O3$b{=f-kh(4eK`Flr4GvjFYRn@ zKwfalL`(R1w7kwKuJRWzo=J9^<)&-%e|5Rje{F%2vBi;E#0-pjj-?3GarhW?p*#^IA>ld=Xy|Y^% z9f*or!F7af3AvWPgf(878tsD{a6t7b3KBuR>l*z=~CKb{THjrr~=!BAG807@9}{RtD70nRWTzm@FN1;-V? z1I~*``q^5AoBUE#{G7(%ObmT-*r)jphtT+`ZDNdGpFXq#Wr_~r)msX5!qJCz&ywe1 z4s6clBHM|3YYhFtrs~MwWn7fX>zTOZbb-u0^2bvR|2LAn2UMQhoO#eny+EI3VWMS^ zyk}6EH;{G(uOjnOQPKxr z)2G=4nxlvi&BjJc#1``;KNo5D7*|+bVe)zUOP0TQjD7)M?fUDWtUg$HXy=ttI9BrbZ>Q>Yk?C746{po zE#-Ahc%V?c(C19!*w=^^#$2dkC7%-(52kNVIqK>Mnp;|DC1IadS0<~%*!db)o+K&q z8ZSW|gI|Hav}YZV@ZKOq;L*Cu)QL7Q#<<=#`TBOh!7|%{gDwG7Gc)<~L95@dR>m zAek2~C({=e=43s?BsJqQo3{NHYLv24n98qlnAN8X^L$`75}f^F#2XY*qA^F$vkLb* zkAUPYu`|j(&8XP=KZef3pAEGQ!{?xgEwO_(iM?vXs?iS-o7!7RgxIR8W?L)7j#aBP zHl+kbTQo6a)vN{`L9}{K+J>s?JfHvJ{k^~UeV+Tit{fXBz2)`i+s%K%rEH%4Hcd@Q z5_(XVS}kYvyUC=tnC#YW=dB`;KTpXWsR8V~PY1o9I%9opt2N%$tRTprm(+X9M4#D( ze}KZH2-b0;i-IsOM0j{&A=XCkG*Q`6Z66S=GKO62e&(p{gKzyK(Rz2xQEa+2zL+!D zPR;zBhjvnA64ooe?04z-+~;Q;x$unMm4Z`A5&3Qcgl;}(xWQqh34X%cqtjhbfBDJ? zR!;8qbZ$H3hv^i5P;kNIE0FPVG)zYMlS$`}mXGW77kc9(mp2_YinFz2Yyygv2&zNd zEce<9|23GNwtMER%=$>NW8p6@#GE?sr+6@zAg!j(Lvs!MxAlJ2G^~)t6MEVu9a)v#!#5<1Px^Qyoc+#?hfp)9fduqtJ^AmCCGZ>&> z%b(Up6$ftavP(LLP^h1hiK*BJSi$V7jve+oiRe-fgxoX z^GRZ`Jh;B5u0mobF#(KUiPvpf0;64m^l4?l;0)TwIv%d|R3wa=@K&Om6 z`T(+bB^hj!qMEKKw*pB_C|id*9`%(T~XN{__cT+f~5HS1_nK_4n%=Rr73@Fm*Z$)<0k1Qcn_~ADF z7{-F`?z{6U&dY)2;Xdn7e5*i00n~KN z=%h|uQt2qDMtC{DLfH&rmsf;ea^=<8+E<8C^agwS^j_m$^OVwOZQ!~xTdrJndDk^P z_QdLXQ}@f%rEgP=yGslDO4IW3+?j=6-F|9X-{TofcN~9(n0e6#RPwd5FK#-t z`mj5EVbzWV)j4M%G@jxu;}$!)O~)clflH>a4EOPkP)$$rm-3J#={lI4=}j@=-Ohuw zz?s4XBNSRoTgb%O##MZI+>TV;?I~WvmRH?U7p*Y%KsEE?$0z6=Gw`Ew?BxN zLv6`RY~s^F2$^vop3k9w?>v0Yv-`k=mpv_~GBQ`kX&ZEKP3LUk$!~0{;x46Gn}qHu zt5mCUJ4n)Alo6jbDLM2`(w~!tq3y$#OAqS#A6=C#>~vYLe4Vc}Id_>b_(AQ<=b0E; zVa8yZ7>{v2Uu2ImfMu#@aOQ8x;gH|^D`)T`czkanbN5N&yR2wvf;zwcoXS}^Ak0zi zs!A1ZQPILi#ZEyG<|G{GfaY2ezW!6iRJDQE`RIQS+bEr^NvPhbzJI|&*NS;sVQ7$1 zIlRILg}G|sYRy!EMRmQIPX`p-<(_RrUIHp;t-~q=u=Ph)-iWfK<@;}r6xr<^bb&81 z%onH$cI}JHmdHnYKV&x*`$9s5YLp16BG?e~Z?eD+p|4|XeQQZ8X4RA9ZYR*3J+HbQQ9x4Q++35QxHEo znw$|9s-qTe8gyD_S=Hqa82co5dtyp{Tz=grI?98F}dulhOk zvSOmpe2ko5DSodkRMgC0)YZz;;k`vm)F|JQy(jma{cOuD%7kDqrLFv0>VTSof`}>A z-d+y!cD!rdd0PEL3cE!x;>;-9;Ty^TMHs6qqEB?cvHp=1gl3imWClRMV$VAUnzLnT zV9?F1NOTuA!Bl8#HXz6{LAfQYhZ(V3bHM_rma&NSEO7xpO4Z#I zw}tYOr9-AK$q{{@t1^?(i!fg#wwLb-Cy#%-C_RDWk6mZPZ29eWanvYDu;R>joOQ%2)~l?~HYWi|(y`q(c(#uwOdUg3qf zik9m-vLLyyq4a&jz-WnOgR^*3Obc%@uEQ_qEDTO>yS7ziSsuF zG4ACnQpyS?+iluQ?Y$At^_Ot6MpFIvcsU$^eCG4m2=*lM8Z%SqiP}2&+)zQ$_!!4c zK<>X^&T~5l4hH&R?YOUUNHa*Io*z&J_hZJTs7hJD?q>j= zHR7{0Qh}>Wk&B`#mK%6xIRSQdH{@xD%7Ne{DP*c;4AFl91h&ao4U0qcopN~j&a-dU zPd<)cu@%kDbsp-6G%{9Ka9Iy-<=BD;vzxcDafR_REroRhMwGrlJBRALh-@U$%~) zTH=5ndY5s3{!Lqz>s1Hn-wz5gc+A2<>TYE#l&)WK+m>qbl52kqJuhz;ex~l3y;g>G z*Rg*rcB1PZm*05)uX3x+5fI7triqN`pjlHROBa-4;8M6RDN(cIHcy?I-MZbRd&EiF z&3i0DUu5lV7$+oqDurq>TdTq7mpKO?_}bn{B#H}dnxn1Is}gyuv?_5nx!T9F?B%8~ zl%PYGKy2B*q#hD`nb!ivCn*6s3H%8@vEF;cYrU4mtyoFV*b=$_k5gY8X+Yr z(Y(0DsjjMK`1BrfKfQqXmWO29r|bG%nosyM%i>j|=KHoC^)BbPFqvP)7fD&#$+DMA z3x{p?NCR))UfIdXXEWsWbcmmJXuLi&+gIGDNKz|s$7m~k>x)%*^UIk2f*#_D`#ZqO zY>cl08vtwWCpCJ=sWpb5D&CXb9U3B!5_9^@%{cNHtqMH9>$lW?g5s}`(l@^AW;4e_ zdDAc1zB$91{QBE<$ts^gR#RCk!_(tmc}050X=4{$ zivXhrQhU${ow9)E#7y#$waad?7Eh;Aku$!?IEcH%K?pm_YaSn%xyK;a>Ce{kMUP2l zT|&D(`Y9GsFZAj8g>t$LN*!vzMM0(3`bP_`5XTmj0|#5P)`U^k9}1wVKgAwI1=_y& z@2}o(=EWqg1IClVzC}2$+d)gRv{h#=Oi9hksvECsx7@o`BRQg7L(Y^y8zd^Bii+7z za4XWaLdj7O4P-YI9NlM9%ym#u{EWAhkns3o(CMTwCF8=6bG(t$sRa+lo4s=Lewdw! z_bO!|RMbK*Ou6kyg%B_{-O|!X7qxiCrL1zcGQ7czWB9WWf2suS8ns9Iywo5$JLywq z*e_O30|pd(GBa9p*pRNOD59})RR3mXB9+%G?VyxODZi;I0BM;CbneOfXs6s+i|?ry+o z{gq9E-15A+Sk0(Ni05nl=n?$IJ6G~4&mZ{Er{=*Fy$g9PE4QHd0`o1^I~z1Hq7u`w za1EkomC+M|@RXPRAr)&oQX9{zICowSIxt<>1yYKkx%EjJh}y=?z#rIG{}L%F9+$LC z1B7jG2}tSzZ?pNtp=z#wSiKB@#mC#U5ngkT-zfcD8ewXRGr!2urx2-3DO`>Jbc}^v zyN~~~=Ph;JQr8DpkP6k}AJnX8i!7EF{h)4s7nVJOFUseo-$(_xs5|Q@1f1<&{U#KX z&a;~tvcvK*wH8<+SG=Rl38{Axbi25OH5qOy0sFcH}WUJ1ZH zalt;}!ad9Sg?gSy?(2r4Y_f4aX0_;MVtKO6zEO)2efKp5B{Zotdlcwap;+ zr*GNKeQ(66_Xcpw)TpS9@K1hLKt8(1i)%oQ|1rTSps|8q&Ol9HW=3rW z`Zd`xZ3Ll9*_&aOUHlUY)5U))5)?92u4!33|EAxsjHmvu`<66#WFSu2dRonb`VC&j z&%*4FkfPj7`flKr%W`i{PyPfWV-RT-g$z_ggS0X_GOEG(#DO1^Zcu7;B}@zPf=(+8 zA<82zgx)5a>qG=Gq7qEbfa_%mPr>i@KVl+a z2_2(6n41ffW$%)If^R7Yn*;yA)<337_DQ8k8DPlu1z`jy;F)UMw4qV$;_~aLtINS* zP-T5$rY)g&Yk4?D!RyvU&_(Di+)M7@SJZ{uAzJ$o0ax^rq-x&6Dd;1qjl;u&VLI`@ zkj6jxkzPyWB)7|Q{E^2&^(5ZDm|{lUx#I|r|NiQ45a6D^xnrDYQsU2H>6a{8;|E?x zw)bUyJQ%+V7Y07;f0qX}YU$^Gsb)vi$xyXGKF@mf-(Ri?W7AvFZ5A|{eE`cTT_+iQ z(p1cdiq$nm=%*L3Y8i9RBcibtckgt^#^w&?swjEhhTwtWtsNY%-!GXjCMLq~8iGR* z_x8m+WBLNEH;`4hZ6gEV6nX~|vit$u>BZwfPtxpv4EEzs9Bo%Ue@hCjldi&%)sQIM z=_pcW-dzK2wT-i=$o%9ohHQXsHrW;~?+53ySB$R4ZX9Lysvp z@O$WC)P*uB9ZjRzyTS+7B!rc`>xs$@e3t>brD!dJL}!e`BeFuO&QAtzGuOb%mEhZ? zQxUbb&1&3A@Ec7%oqmfIt&Fj(CtzJ#U&k-L1n*TTI{HQ?QD#k$afe2`i7HaBNN)QH zi~ia4Jj0S=kzm3Ds7(!CrN`G4pn`Fqrx?-onlogRo%|D+z7ucaBCM6a>UlgS&cYy+ zLt6J&v9ikIOh`QST`NM1;@1jBPfT-vf7u6j%-;3~w#_$hKcn7)A*T{!b@#>!bkk{! z9MMrBkelsbn37TNvC(XnNnn+JSuH`Qb%BkOx6-*+;xkP6=&BI&f=dZ5^^D>8?4kl* z#q{_%7Hi>GxCiSc8ds{w!Jl)IcAhCs%d?Or$#3k@WQJE7(TWA$}|)I_9nrH%lNnM>Jy2`W3!G7Uh8)WS7C@t0t^ zSk6YUxD_>4Pv^3a?uiMT_pC7tjxKEqbkNQ=|Bah+vDnKskKPw6)T5zdoPRa;b{7u@ zmL3FYi2_LDIy1AGinS?1@80%tA6+j}Cay}g`jX!&-J^{~KcaX);vvjd+in>Gy97m^ zC?8;$nW|MzsM0LGg22gE7Yq}gb?+F;3dKfX3{84K6nA;vGNX~ zGDT65SxpvaSL)!y4DFT`s{{Nq;w7Z5(04hLP2dmBOqNovxpaAo5Y28KoEbU0*5n49@M^06GE+>O?kgihHm{NoqR(6S z`>F@p7hnH?AK7f+({p@{*D_lilhv4!)LXU2P32^4wbT{O6}=It1;=Y%m*fLAf0$kF z^_3`p$$bSL1spw6?_@L^zoFtKI~iWV_MMY-tI~Z3fwzJu*1_h&|A<5g5IBwy9hz5# zZ0;Jds_lnCDmkQ9 ze*ej2zXga~K2l8*y@lx0#|#)we~H}J`73+36nSMbl*@v>-6d-0$mHfhuvyq?MkR04 zjpM@$*b8YvwvO>f3p&FmStB0*fGy|_6`uAX4L9WMt!oB+EuKppt9g}ztqf^nR#mpR zl}{v#JGB=3aY4FXM`3zu!ESc$36lhGuLeZhiKGwpQT)oJX0P0rp3U052u0Pt=Em@| zN=WKLZNf51n)t^$&aUQwH*Yp#3p-`0&f@Y6V`@iL|@sF!x=mq$V{Fv%Pa*_RXsu6Y83U2#fvEE#5&F? zWk~wqysGLsjD2SCL)n|Jl;F5=@cqfJu2&1St$cgYu zTWH_cpwR;7LI7HIQXIz&<1~D3Bhhv*FUN&CQ^Y5W+YoC&y6HyPxh+gY+@+TSv!koY zb^T75Ly$Kx#QMh}=WD)5E7~(uOOKtbkEn(N++4Y>7N5ZtF@e!2m3RYE7-`o}Es1!G z>y4L3SXVTjDx|`Q1*#SOr^c6c|7f-MUYA%`$-vs@r=Et$7LY1K3>|oR&f2NQE>Pg4 z1cO`yBbHE7%^Y}8GV_~?G3KH|2M~f3Seigh&;pK4>HeyWd!mYXYvvs2C-jW#9lxd2 zIb`%s$r7!f$nom#T}3cuGQ({q-G+;8m-iQ!*z)7n)#l!b6zW5vip0nqI@*fvi=`QB zbbHlHA^a2CPW(##9axtb^bKX(O3tfEaIwqW<`9Uc16bXPI(dI}q&ke7dh3@glDp~s zcWFZi$&&NKQ(y4Y6&FHLc{k3oa?jd zg*Nrgdpmjm-cY=M*EjO^-(7vz?bEWu&gJw~g>%nW?4|N797M{=SL{ieV;XMoOYO9g zHvn-Et$qlafT^Ud&)zETBG@)F)+JUI!hnyMMjLO}WID!hxsmNFPXm{KCpN}cgStAu z%huiWmL+PPcz59+`c0}+A8TB>*OaO-ePliDR+#%-I_@krmi%apD-3#jX4W+lb6}0# zoGq1dSk*Z5T3x`^L$3b!mnA`a{5`|9Z{UpsaU{`n400r#k*9=D%W6M}uSwqeR6Kqw zS5AR;qYQ1u#eQ?SE_D17@F|a=r9&i2yGa)LOYM`me{Su3W2!@;vpRl6@0Tn2EO*HV zFt@Ik;&+v^b%O%sAsoa~c=nhS_deLW4iNq(jYk1t(>Zmutv)7YYj-YV!JxQnKw$p^CLUa;&u2&h7Ho>qPZ%NaY!j<$2$B={IV-#CT6o;wT6saCd5i5seFoWk@n%@@`GG4G;Gh(#@tuAahR6BFY7%z3bFK9Ajr z!b^5ds~8xjH(833mzgNjg>Ad@L(hEK_O}>#ouI*&NBF^`#`pe)xMSzv{+{zbw%wOi&xA!m) z!kD(J(PGQlv_PZO&G*ZHrrn$=HD0s45Hx3)b1Q_2Cxy?Rnhno+)qIzZm^RKsLMpFp zY=y;YGw${Ic>HPBOIRXqm$vA2Dt)wea19D}{dB!a;Rd}~a@a%grk}aC4y7^@@92V& z>kgM7H23L7WS>=m->as_Xu1QWDugg+B0Fci`Esp!nT3t)>sm>8PhK|LkEh^EvR8@i zu1bx|o%;tXT9*o7>7uO7I#*=QUO=tUpL5r&w#!QJ-qv(iRl=!k)}dD==V)%0DL+dM zl7J3npYYL3);-n{seb-MR%-dbzj%L$Udnx05QjDp%7Q2&gnIRvc~ZDGl46LsB~lT; zi`HB{fDBt`)$yR}#o46~JUdGH_CzrI9lfM8?o#d_GU-^&C`dG2>mtC~x9qP?a?Vtr zgW40{QkIKQve+?8J%};Alm0OkU)L?Y-ynDku#XKWqWH9L*?tgYDcF8QOqO04(XMo~ zhH6NLcl!C)seiwbHN#6}M$Hw1J?me26bm(gR{X@Y{pK=lh6e)gKw(6Io$lIp#MlsN~AVZT#(cc)t0AJ z+sQL-T;}lFA|C>%kG|P?9*O!|PF-V7@lD3a;_k&8H~ONPl0){IYTGs(!;;<>_(w&= zb%j`UFU6y1%TM%AN;5velY1k~1c;4u{I<>&%=jY;pc^c72wd6>NyMJStkJJKZ@er%J}K>&KkM$*2(L>DgM6VCw0@7iWUl-7@YD|)d)Av? zwLVhUCdL)TrVyJ=X2&XtX~OOufGYa|tI8n(yYZV$pWddXD&zmP4xk1~*t`D#GtwXFr{PcPhx> zE$NNWc$!+n05k@rG8_CdT@jc00@!1CfQHkeB}!9oqXp_-ao0=1Qa3NWM_<=rOV~;S zI2PGATFM$LMVu9NcgJRcx|j#CPPHy!xibpy$F*I4T6=4|!;wi(tTQrNA22?5@V3@{ zdkj)G&o=nxo^N|3C-D+H=IKKTZ*i=jWUR~v2DQEz=e8Ty(~->>{;9JPwi=lb9Y}tu z_(8(j0xqv!oz%t`ZSd^6!FSHARz$5$^^MG`D^Hk^#vV~}r~aqOsErUVB1@|=h+v-z zcxGwBmxgbiE$o}vRPPfz3Dj-6&0jv{fj%*cRqyj|AUa!KWf@DN#zB}N)qT=t&G}n$ zx(N8eaT6cL^H%N$lFXHmZ{xbG<4CFS+C(P8j-9EpKOL~Vew}?M>83?ljEzB*tQ;#m z?xA9&ON|MU8aijwX&fi#z#I;7OH7?kOcvwZy&o19dW;)c z*cZ4u=y24(?Hl}uUv-YcGP5*J25kRqY!P$gGVj4-&`W%-;?zaQi^^5^<^_L#~Ee^kj3Z8x?|W`N0gx+{!6xc zZ`h*1Z;3X1!^0LD)7Pi@3teitSLtN+)6L-Prs?%tH_$8n6bD*ZX*oguKnJJCbhWpw z2O3C8m;aP+6F;Xh4mPX@U1TeHGnHEXh07P@xqNzcc_YpF?(uEzDs)JkB^6U%U=j3M zYozAp9a1u4@8_22@d9sH>1it4YGk1Qt*PL>gMOJJp>0(7lA%ibz=&DkI#_fEq_kc| zZaMGr7zl@`nYFndcuV)Xzu4ilh^Sb8J~5i4w_*RR=v*yAx9gPh+ljkXN=kQEBDGE@ zK;lFXgu7X@`k08qdpk7}l5=}`p5)r+Pv8+DNlJm6?k3v& zQEPijh64p>z0j> z-xPh!co?8<(cSEqB2r2w@kPTfIDp?H{c>%HP2#uHHN|*m1=E{MksY~q?#neb4m`RX z{SiV|Ox;VgM4M8f&abM_;5s?0_+|LnQOlN~zvF7&UcMdRzV7N1l5xWF=NW-k3Vt%% z+y4hACdnK2*iQEG`H)yvbT0IpW!UL>?mI+j^UL(-gd~<3mG)KH^cs0!@c{m5QS@IZ z_e80O@_sRP!jaSQ9knO2=rRD@${%S9@w9p{&H4HVsA8qwl9`Ib&1@D;G-VE`I`=)P zH{z_*cunrysscTi5u4rlRR#>Sq%Qp91w5$H(yW?%Q3o~#1j&3R4d7HGFVy)S>z^Y$ zQFm_N{b7z#_%~zx@kJ-Y5{EG5gS6@cWI8gpB!cZ^e4b$)0mZVq^bEC#ohOP!IZTkS zhv$iiJT|61cT=ZN5Zii!OdEe%QJP~Y+PzIlwIUi909)Uht7iSNZ$9QF^g-t(WIR&b z5{rqyhKI4y>V9#iaVg4|FS9`@^Q&UpZ=5Vph^GNy`-zf!Ak+jk*9@41`YvWg3O3NA zzPGTr(~R1lq4bv2(O%BNVC3xrrzRo0_2HC^mAQJ=@ie^DWk$ex%4|QtUZU&Cz|J%C zHkciRh;zyPCbUrC@l41nl$;*-ODE+n`}ydpaUt6HRw83V{m`pETkn&=o))LFf`fx0 zILx^Z6_KPrm;x*hQx~)vXAO(~maiJvzQ~TNSIM%Y4do?+F0=0@C~(^?4_XR2vFrHO zFq)DNh12zktPdM=Znl-k2BM^741CA|FEnBhm$>JbU>aJ->jj1~eg}%2$1Jx8C{%ql zHcJAW01qtpg^@}NzReOXDb#KmWWAC|O{Y5O&f0mwDWUP!MW4M1n~qH!$C0n+UFOQJ zu#o#>k2EQt2FBWeK}`=OQ^-CkF5&9wWs-NY=9JNusk?8p0$+PYNelhp&)x;x!9^(4 zaIEXkB2gPb7rN&Dw;?`0tm3fF4?H<2upFc6mB(Vrf?vrN zgYryDf~PYph=*K?q~hFt=CbOK#tLPcZbzrWJ|n#)(_y)$zziJA~+{El#^P)#F2pLy5Zqc%Dj zq&EQF{%tu?lI|%Z@uD>PhXy~>OCVXANtnLhVK9`x7M;*>uRh6)zvl~>Zg`P4f-Bq-6JC(KqoN1C};gC*-usGm+#CF zH8{E@<@>&{^o;X6<4N|U)WTt_V1+d4QKdrx4hWykE7&eXjahHilq%VA{#dCuUQ@Jk z*5N71Mt{((G=h#aJ=l74?p1jS;%=p6<)FIQ;+6>i^RgY<;47Q{izMy91cTT!x1Txo zt-OLvF;3xok`_55GBS*g{kr4rObLAGb}e7qSc0vy3iIMQk6kLr@Zr$=20(r{VE8D+=7yUex#t6rWX)sJH8{!`M1TxxG#~R2ngr40%^_H)k*u3%#2v$G6afHLPY|N&db7u2n|B0`3MSr%kQkXsz@P3 zGW-Vh-qIHnlZ8@+C*P!-vLSuhp-;_ETvv+(IB{7_^eu=x~6; zhR4aF>S!<4Ul8oEYQgs(k?sn5)`(e{s%aFIcCqv`3I?M3Hn=j6byO?wA}%WOjleis zzngI1NRoYiplavj%}4afRcFK+ zKROC=MEtVvg`d5&X{$xYmwAM;%pHy8k|8i`5`6Ll<8oagbJ2*lp{IrhciRK1Cs+Lo zqZ=Uihq30fgYX*G%W`Uh@M)AdsVaMVs$%y_-?T{?!MUp#1`_ju9~L-Qu5&VWBpV)^kNJs3=J zz@;9kYNf}r2wQU_oc$MrLeCxVA_wSzze7*60+?>k9kL@;KEFi%w9x7(u}QipLt4ks z8le!LS8mf6$A8MEqLXFc`h(aUb60_I)*Fs_`J2SP6Gc}VVWcbe;lVAcR{!54g)W)s z2`@uJwh66({9Uv~ z6Y;`6gKJ{i+AjUKdTw>chpQw2)Y0v$4t=5d_XcT+Epf_=9sKtfNg4OvHMOYF-=v zc?7^8jcx6I#&Jnr?Iu*2z6CCTOavvjzZZmxQY)3b!3P~Jg~F+le?9)raPEbf;-9@R+l_I!*&YZ10OQg z3MaLH=i5mihrKWx*e3tG;>i!(gpCPR^6i?rQ#7yb3Ns%KzPV6R7#IjIMMGx}5+j1g z5%ZVVmwP7mDF2#2Rwu3r{;L=-o6Wo~v5{xb?TK^OlzS{Ky$9ow1$=)Fs9hdCxZ5ZU z*K5y+Xhf}WNvft8gbSd5sP2?ZR-7xyb%M?VUFDqbiLP`x=XbK4sax)d4Uws!YGzCb z|Bi5pr?Pb6kMkLNsC;W%ynw=J2|3D`+xFAdb8#mIlKqZzlgyOK9O_`;#WJOJ(BF^Z zbK1gW&!fY{HCyEG<&no9xx?N!aO+i`BfTV7>13KGz{iDB3?R>VoM(I2d&9CXTezod zXZP*&U?5?#G7{bV|JHRv%5SrERyQ8rKh!CW;Vu}h1dj(yU0HYtjiTrrgftnZE3^## z!$YX|SR{z?3)t`Ncg*jxH)j5sI|T%XF-8TNOsCK2pg5f+mK3+2cywNUt%iN?nWEm> zUJ$d{tfY>IN<5Xc1k0KlD>c)+w53JNR`#1!hR!CgJ&?@15*HiH()sd=>~-w_$Y-Ue zCh1f$yLX%LfiAstMlX%lPKneuU7r z;R_%Nz%e=ejvMN9M{-r(e$@~<VpFtL z=lr=}I^z#(eoN}b{2h3E23Gr%qHTYV*w8(M%bAI$r+j~CKV`G4{ds~o0GZbncl!G* zywNQ+MJwP@D^Ze`F>Fkl$R`?{n8oFTA;141h=TLA>29~O$;qw!$^Z_y~Fs@<(ihQORlR_u~g&5((J z4Lg>t0ubwSf9cl3qn*Gj`Vs6A^s_=kQ{!*oleq!pzsrORFIdZ@@I&OX4v0|mN^`1l zmZjOid5xtNe0)BBLdy#*c3xin)T^bsAMbVKFaj;)%?28dG4$C2Bpz@^n4f>#P>jx; zS47fA>TE%1hMy)ZuNKQnH0GyXY>ZI4s*jj5Pvcor)f0K?_RG2B8#b1X&8~1D z<*=s8(i}6S&r@-C^N5AL(4Pm|XK9+8bIZqqFoRzS(0^%#D5DYG^!UN~fwz`yssmkh zsePP;AD~dufetP5JKz{0B~0B+K>wx|rsf8^(u+|&@b7|uflLK#FH7OF0?kCNQhFN9 zeW&kf3&@@1%D3MB`M$xYp^>9jstt=qGGdSXn+)90#sGU}?ZDx3<0H)rhU8&Tp8B5~ zWh83S@U6VlAYLD#d%HXw0TbYN%lQXcaAmtw2aIx#MlQ58`0)dtLFGB?EuX_*djgz-KH|!b+JMKD_SDW~$x=jXS z!Zj&=^{1k0{TAI_61acD7@La3i)?Y<;RiUqJkprbyRX?tDC);khr$|YTd)B838vMP zw;28K)C1$s&*Go+1>RuVFL3Xhnz?)@r3&YrC~SO_Zoo`|*}=g!F%?bs7d?8?!)o+{ z2teDX>Bc|cSq-Wb3bjlr*@h8@n2Us}6^BA~Ds6VMnQ|JEX{AVSVV%-b{bMAdej=uk z=@!V+m*?4Xvks%EIrpmuXr`#OksG6YJ8wVZ#?9PM5wecWBJlJ4t4guW?F{7-n!B8? z(|Y=gduxP02n2Pt@4`L=Xd#u>z~75Ryqx)ktb}XKU&Bh8qH3SXZVGTt_gWE~*psy+ ze~hD9z=wzjZ*go%kJ81n;pgKj+THZjVeyZT?5Se_R_mVLg6SUHFg@#3- z0M4!Ya!3=;aaMe-AHeA5(Az9}IU_-0l~O3qZmH}pa#N6Yl3H@cQDDjZ)2(ai2K0@uBOh}@2jAp!rs$FEXm_#GJ4+P}t1N+%U^oQkX zaK2b7eV8@#D%+B2?=8lZ75uG9j}(bfQ8f;!2FHa=Ul$nqRp-dAqxF{1{5pw_z?$=H zw`CPLS)j+A)1Q0HTyj_i6`Jxipm?EqhHYtqeNh6s;lv0c^}ZeT-QnQ1%5x&FJwc*- zDHnD_!Xn>8w~AEozc?1Hjw?9@Pk89<12axGq@}XB!woC$l))^5!mM`mDqZ1Uhua4^ z=;b^W+7EZir&Q%wUE9rwma}*bEnhyg^5p}HXyJelY3$&`$F^&7dcOptak8GJ`bsoh zrBmpRs2~Efy+k5i0u1E{Wa&hKM0{+7;Ftvnd_&?`w~2>zeFD=~Gcc=S$W~1EsGl0v z%z>q!Z+xmE;5Gwe`pzbe@kGYL9+V$)ckHj_Nd(_556N)SEBW}}Uu+{sAx)LYO{I1J zdwiG(S_3&OM{{M-TL7Nh98I(5~mM`zQJLt4ui?>NBaA)pCAv;)steaOVHy7|_H%IntVJ^&-F zZP)2#^I(N&4n%s^ajM<$U2x)pe{^ubwCJZAsT?VOH3lMRoRP zA#V-b3wn6)xbDV9>GRLXU-d54zR;E)r73QoHH92_Q zt|K0vq&Zpm(?UwSwQ5dx^9}}~M}8>w)d;v3pC({BT{!IiPLiVwK=bIsQ`8bu3pR30 z)Pv&x(1{Hm5;uPR2HJK2QNLsPVnC$UBxyIU$Ei|J21 zwj>5=($_yy3$+i(^Sw8@n~$Nx4b+Ee6p$1^kvKvh4)vKB#K^J>g)H|ux50R&(f^BP%0zIe5DnCkeZ~^Nj41KX#9{-41KB=W~ zDuahB91#4o28-p&4Sdy4)dSrgXAA@Gd99uasY9H>d$?HWe}9?vZ7l4JkUC2J*djC+ zxY7UI;Km=ck>eKH%_V$n#m=;HD}vWI3-BpQ0}1Z}79^sc9%Ylfk4skH;gMp1B=j{w zB~<4z@&20hn{Ca>2Q?uM+L4~*h?8Ki6H)(|iN_;zfxmnsy38Iibf3@4E{>_S$$P?N z$m3bf&mChhXte)v2P`K3`Fni(LSF>WCHZE{1RcrNubeM24=gX9+v(J9QZV6FD~i>| zY?E4lap`%lyMJc2Xh@n_pKyJ=QLg!~-PVUP*o1 zO@OPQC>@QBt=C~yloZj{05G@Cs;!>X}ecTU7bBg>_n`B=jJM@VGLg>PvF z*H~GUh88M8KT;8gTRW3dP3~&xgMp(QXcl)^2hKpy0&S3a<&+;q=`vF`I*|8rnUs&1 zo_3LZl*#}*txpr-BW`8}q zZ-p5HoqNp;UeF4OdT=n`ZYQaH9TEKt&Op4Gt`Yyo|A)vL_Q4|gS?u7)tF{si?SthI zlAj>VQxc`dYllRo7%%J#qf4> ziW+!n5i+YIQ~al3_Oq{fxPZZcNNd#>SBnX^AIDy05pH&8Lw5)OuWmL_1nHQ3`S75m z&bQsvy$z2dU2=M69+Fq!dGA-UxSIMa5=>cC__5N-fZUVk9NUpohW2Bc9v1ETU-@fV z>w3(aOCzKJ2`}#PE3BoPuqP{5-d_F~FCP*Xd>U2QnZ_;8y8VsK8*O$PX>U~)?Pg5l z0@s}Pl@`LcCWRf&!A>o%ab?UVPK1PwA~$5@rq{6U z!}?{3xVc&&{Z|}?^jqlIlYTPSO%hl8!7V>xQW(@o?`pz$pIBm6H?mzB7qW?3;<0N5 zMd-Z=dv4oj7L)+TFXRm|z5fN%UM0&I_!Zmps63TY%>PFCir|q{8@7tXPH$R=jL7hO zxKpr>4Qn}qzq?^s+|T|sF)j&xtY^xxW7|gLb)XysEPxEavd-{mx-z}gVQk^c$n zO@v$~YrN^cVCyz(6Aj#Ih@TLjy-d&;)(i%|(kO=oNP45Px;7@`?y`d$_zCZ3Z0Q^I zGB$$hfmG*%d4-XXNdvxdfoNUxeqNXwtscs#YJO%e z$b$<`T;pGfF;b;RRmHh3A1~At{uJpeQ`hPqm#zLkLudWhbo=(<`$1|njNAwrV>A+D zG~Nstwb9*-(IZ4fQg2|y=+PxOIwd6(kdY&lQX~|IOhrWgcaFmXt=NC$}dVJ1@_=UIn!3E^}y^{F`a$jZs zbL07_Ec3Kiuf+I)yK?AeM+(u9(z5*`h|pZzO@7)xqp>DkRDxYJnP@ntQA%4a8jmo1 zMe`Zc-Arbw!36s*_P0Cq6M2a4cF#i0=byk18M)zov7AF0NN6#K@NE3F5+-&4cE`Wz zZbg2Pqa5QBef4g%C#VNncWThmYuWDpcphYjnL{}5OJ>YF1V+2ut*~x3Hb1l)T|Nj! ztKW4xw>W;QDHMAgxvgfpxp_N#=7=Y>XrvP}9b=Qr+Abvt()uYRnJ5EHpLno-Cak3+ zaHB!^N*@AIN3q*Q@W+Hq-h3Q7TY zOB57N7&+G#m{vD1%)TI}4>NXoW_eMpiyU5DmN*lCx0`@HEx^lcAG!kM4lCf@<==X8 z6igS+>BRAHnQ5V=vY`Q{wu3x}5lcn|JtxjB#jtHad16%{-(6+-*}4!bt_!EsZzVmd z&a2*Q`;Ng3xDV3|62Ie>`(X{jISHmR99+g=fz_J$H}O#Np*(cBW5Pkg-ETn?+)-J6rU zf^-@V={)h*@0-hDpV$(iJ`aDiCiQ2`t+4~dI_HA&{RKSzv^q}knatCOh^;(Hy zVpHh&Qf9y4#Mc_@GK5^o(u&)6`+1IiigH*+HmSD=Yk+GS6yO=mBJt>q0JWlQS=2?E zXX~l`?Dw9BEOVS>zHJe{d8`vLR&&>LqCrBtFIWZ%e<{dSvznmZ7d++Dc8Gh$gFeW! zd~G6Iktqeff$a4|TjM-d@-gn6;tBN)VgF54I55vK&320@0}t%8 z_7yFPsHNP}a$m%R^A_)dG*eyX$I=5Z1G7f#f3G*_KB!}QA-MNS@aQ_avQZ1un|raY z&dCb^Q>29a2R72LdyD?M&EFSHnY4)W^BXtuMXqeAo{P{M(qz>Pa!WS6{HCP)(;ymG z1b|O3yh+-WDy1fVel6w#1Uz)#UhHQ?H5bk@3&AWxJv$;V zC009L`!KSn5uQnMl^r(q&AjJ$Kj6Jn^=4cXv>^{E@FSmnR7UUmI8#A99HiTT~E zEQ^S^kfJ1q-vX%{eG;Garm_`JuT*nJQDpZW{Zo<*f4os2EMoyPEVar4T)1zK>KIJM z8ONFOE;lg6Y6oItsfI@&pZQ{M-`o3NQ|iY`@2C6+^mWoE2uzZ*xT z#o1B$u`|@ZZ@();Y}q8Gn5SGmnH>J!oqYGE@zm>2#nHsBsM_XGtD6otQrMNQ?x1IP zGNbWY1(tt1)9`b=QXRWUYbXk1WI7W-Aq6``ZcQMICBbqQ%_Z8i^R_DM%EUM2ltI`` zPBPzf)p>GnXZcDUdlp^XfM(0ol46L)yVeh8Ku*pBYdGCuFNpvtYQ^ivY*y9t4%K}* zdQ$Zu=;9wDh3*m1Q)&N1J4c+?f>s_ul(qQbJ6~+GvgMh?tx%%)T;O@!-%A1loL$X} z)GuE6A?|J%$0-ax_-|#K+o}ekRo=6Ik2C!J;e)3h+6_ z_Gm72!`!{N$I*qADsJX&l!n7=haavJaCz(hr$ulPv(G-B{V4&7gVd(p@RFaso-x~3 zLyL1}xdBQl6kI9e(aTx+EQ@*KMe3Wi+0%O-jX*GDYZ0of(=vN;dZGtCLZvA}1Foqn zEmzq4pbf^Fp-ntR85*Ks3=MBr zOvqT$3s$fdgyb%a%Mq(!+Y+A^1<__Uyv+IU&dirsG-L=?unp1Y5?Nuqb~CGeCBc*0 z`3Vv>A-Ci$o|?Xrl79m9YL}M-< z>1heNWJkXJe8YD-&2iuyJ}1F`fJI(q*_$Yum{%4UqUmz()Ui>oD|@dpITGnE$EVV> z`alhuH4@c!A-rno9YGUjT{C(@AnpRQgU{|T)m!e@e}Yn-Q#sH4dTns`foirfh)wc$ z+Kv!Km%q8J8(Q|}wp^FkuWf!Ls^T!D_7ne!920m*M_+a#fW@B&XL1>(a%lSMwO!G&YPg_zJ|DPyaAQl})Vp-^*D8y1=yYDyaM{eBkmDe~l1bH!d|)rEu&(rwN^ z%&5(gH7TVioLUu0I}ZQLD3JgJ@!PGr<}=@WmW51*W45?%n}^SVk>f5^x*6pQoX1`491F4cDm z8bfS%Gj#&FgXfq|(HEkkD9WVi4I+^EEtS>;z-MrCEZ+_?%_ur@!AM1+9N)lPXkClV z?vIp5?Pl`Iu_kkzlX^?f{GVl@3Mb>v8JaIhsl#pc?y3N4HDR(^LhLw!xnRnIx;ZHn zh+WRw`eS+>g-RgM|Pyy20bd(RDk+ydFawT?Qf$?uOy3Qe0l_wPnVL5CUjpa%`Nh)__J#I zNzDH<2VLdZHbDL|Cgpn^JuNVkdDtEjr6?V=zDj0G0WL#22cL-(p0ZnNO?qhW=eFbT z-u%m>_43r*&YYJ?9ejtGU%A0Oi&4u4d1EvGb*7d|W^m?dJ0Jb1ZGCp0#;{73KGMUP1{D9ieiNE6{nir>E2W{JDaj}^@H+PRD` zR4pz#Y&(L1O_UJ4F=D`Z$Xq(ti!ge{{HMB#^-M5h2@nO|jcZto8UnHs3`^&w(Y7gC z4*2u$3~htLuucJbmBk%IlfA4cvpt5176-9%mOM<6R0u2cWo^9GE{u(He{}>p8fP!&HR?}b8=U1NB&s8&kE7**kO(%bIT$qgGBIVnQY4_ePPk9s;Fr#*^ zR>p)bD%)kN>YkH{j9$WhAuEuJLbp{7`c=xm<2#@|$M3MUUp9A>U>Pj#yJ=lRhqHvw zD?3_qD0@R*m#wh*xmsG4Q}k5009Ub64YS(Ag2 zSp2+Z&ruXj2#Ibu`~Wp;)xTvrzxiePUvHd+NQGJ%@A941ZTT+C&McwNq?9taVpG;# z`T5GfSRe`S?Lpp|he)Og(tZ6x-~t1Y=-2#sG~qC8F`j>^ z(lXq<2v$tWN4e$X<_k)Q@Js(^EkV@jF9}k97NZnO4}J!7EWHTL0o<8yr#OI>AcuP$ zZ^Y0vh-yk$!zsIfy%u~It9I0#9m)u zJi_WLihcL_6`}i+rkKY-D(1qL)S61NFWg|hpmZOgjuBf0LcAe;P*+t1QW0b<#;Kz# z)kkmj@R=?np^r|?AB#X|fbhZ_+E3%vvNHTgsz9ZLTHe}~ zzG-apv#vzGG9-5n_~wE3@VL$Y#emWD+~+GdTcMBaWB(f9I%ycU4_?@PLrKEuRW^Lq zR_S{g4GlJxy6@mmhnQ6!NgKMiS>0QO4%pnZ4+`n11l)>VW!MFn?eN$ei;^ zGT_HVt!0OOYWWlwhTYLHuSu^Vei9TNvCibhw;udYRa!{IS~mPbk4~{B4VFoo#vbd& znKi@mq-()~PW~BM(ezu*1G+ONqNr7ayyLAmgYz}7_&d8qh4S25r!rYh<~?PO>>FP; z4Z09aVwDx1@J;{8vO7}7Tr}A~(w~kpB5NG`Tr5djQ7MT;FZR#(;0~RTmOxQJ{wJMC zBTR0;okNmP5V(#R1P@+4g0jex){ja3+9#SbC zRz+9eq#m&)*GS!)UH;CYjJPXkF;6PJUc)Q#;QGo`9)NymR;D%No%@@RX73-;1im99 zbG=J?{k(W{+#)undGl5GYKBLgKL6z(YS8s4QWZ^u1s^ZTjJB#7kbj;TJ z4fwpp`=7keHZ%kms_hjLFFydIfBa)|bB*Rq`L1q+rlSRenfqTM?ZN{y%vpas^qBkt z3ABc&8vRBV{=MNSk98B)5$cFTdeG)%KtygYP8#K!1GqglK?B$5bZ`ogb){7Hy|T;`c(6ujp6JtYB=?t;69`xPJa_N@Q+KkWrgUMRz!E9^BAvYUF4=$| zm75H>p;h)L?wwdZzO7YGeNDs3Jel{%H z{1W$s?|=V95B0A)J7O<^l--Ik5qu$MTeZv@Jz>$9xXYBzZqlZYKv32rougc%?1;hB z7>AxpRC>mT|K@vkzVH2uF)M}@r&6wRE;yk6W?_#^vKo*`}7WNH;E3LSBMf z!qF8wG<<1b&IR8(Eax1cs)NQX6m1*s>6dhz? z4Sw^ItD3e!dsp?W!)thjj9yGaz%m2%}zM=C*_->^sbHH3&2p@f~*PRb#%lw&?y6vm2%wKRDGgZd`%&K&P9`w>}l+4`nRbqN@zLLoPTn z|L!EQR=dHtR$qnGDgMP2qcePwma>P|t2@H_0$v3hI7Q#y{z)Mx1IZ`Dh9num9T2kB zSx4sobWU*)q%xAtK1a!+0#Hw?&9q95*i|dHf($ zt7Vk89?TBBm@kRqO?fGX#&3XEwvX4u79YbVV;DE4g+(q!Ug0!}>UBuuD`i-ts+R-X zaHG;i#1;$}G(8*V&i-M&k1u=?ACVu#fQ=PQpG52E0!3gJar7@Xx`GxzIYnngcvo7m z=f5V7)oEk*Mz)XY)cPqRq1Ve)l>Te_B~42QXNyByvN|SCdRvA8=xhi3wpW}fZY1mb zb`1~6J>XGegY38r;<`u?AoY==U6yP_A%Q3Xf_5m;@L>B9T9o@2;1lX(CT36ivHZP0 zV5s|YzgU3N4CrXkb_>z9O=S0nuvKY(F_zjMp#a&O8hH!J-=g&z! z*YM`V#98#|%KGGXK`Ik~MIFTlChAaG_-9r$H}JJtJQx|?*^_+ zVst#a%>%dyM8lQS@u9#4*om&jEYURo^YKlJratz|M}ZeGPN7p3VY?0H#0L%TgnHXD z+kPQ{nacx8>bSk3V#Mr9tI9*O!Rv7n&2C7`ym$ju=CIn_e-=$HUb|NElfpyml$ahG zMG}>cUkaX-{I*fBbvw7=QGL^YWtrK{fA~=E#&};0_UNoR{uI!^n##qo3eALKoZot^ zE`+ALn?46RK&n>8ax-ZivST^J0yDze>r%(&bVlVCsnbn{h9E6oWeqg(e89Asr+w*) z^}R10EM5Z{HIQ*G>xij1z5;pTPxTb(M#P5@OR;DZzIM!`pZtYgT4Wozipb2mYq5U` zU-^lind%2we@BqfT#P!*W~B@xE`iZ#U+rr(*quH|)D`=09J(wYFz%8htvl$pwe``s zKMz0{5rJIa8t##z-VM+@D4XsJ7tJ<`{=Qi>kSO_tG*W!Yn=9@v*x&C7iav8LV;~lK z!>Qu?o=E_EEw~ttp7%uTIepe#he}8wA)&^zX;`J5tj%)erDRqy5Ot^}337P9&3r}( z@ggvvdt_xn@pms&U4}|Bvb3YHUwe(}MZJ0~uJMRm@PXUCul4XRD~AmKJ6@*3*>GLq z^~~jbGXq5ix-~)vx`Us1;h=gI`QK{U!uKp}h58!~P8r~&-IBu)Ta=J#(20GiU;~=< z0|M{H7&0*AvkDpmoaN>^&DWa#l!aL=v0&KWS^W|oH7{0+d3)FGj0PW%p!~_Z_DfAi zU6!?jZh9&!H5~*Ca79Ur&4;UHwC+$UW#t#BHJK$%-~o9G>pHvVRGeD&!yRaw^rLS6 z*DMi-o?9eNvXHp(2hWgupWr>-hwnaVK+FXFw=a$}InW zZ#VPL1)&E|he69(UI6*ouLe4>UkYwNMSM_+ZKZ~}JM#>5AFMXHhLhVDITfc%J*UIW zPBcY<4Z6U>HO^>@>u{yCSRbaFZO|<#Sp{8T!3FNOZr|3M04u|85xDp;Bg;pNEU6 z-&?g7bm6$!luPc23Rz4~U1`qG>WaaH52{pz_K%8YpFw;@d@?+M`>BPKr=nv@*cIE= zd|TQEH!V$y`r}OzQC0Wy7Xw($>UFAj3Nui^+KA8Mtd>2e0(CNz8i!9GX;$XnpF#%B zDucN*$T~*i0A=r=(UaCwE9II?f^Hi3p4;asXv~KE?p*!|`U#@R##^yZzdAM0F?Q2a zHE_fEM9{(?3iXNf)Ng%_VfSi%aE;h(-#nm9Ixu$qPZ`rPt={mb!eT;bFhYayp3A*F z?UFkoq?Ye}+JGms-yKOQ!dCeUqoo~hE*XK;M80oLe3q{#R=M++SI)L|(&yb85syr~ zq|$gY)3EU{Gt}(E%S(zsLU7+x{jOtIo-r&XGy1{j2+Je`@!2xd!jzp<_>0XKN^_?k z(DxrWG^F2~*hQYre*|Zn`7H#67Q-V=jO=J5l1l@U|7^J3EAsCuX!xaps}YhR;BPg^ zI2LflmQIRC_xHv@&uFCwCMaQJAHhV5Ejs>s?MzB~LqoR3CPMGVU?<*oYU@<9-c#OL z($cpV!9D0TXi~pX3j#ZySXomF*iGmGb{Q(W36fh<86sx4*+H>-^|YNckYT$~pjGqgDpeAS|z z60826I->SI%`sOf$Cqr@k3zls_NJ~u#`@r6g~d1vTW@ln-lS^2-7)zh#Jkt85YVVy ze8+5kc#$89=pab4{YrIEx)yst{+pRUyLu5~UBg5==__P16h9iTlrxz`sjn^Z#0oiw zlN&$3s6oC{#@~O_+6Swf7`ch+B16IcLO<0^p$J{^j$oY^s|1ZU%P2`5xyO3;oQm2{m5IgC|%J8*;IROi4h$pmA>CB zq%mzDYH{!sYA2MA0qh@8{;QDik<}+G$uX2seNa#r(jog4haXflbmu_&DG%`$NzB{g zc}F(4qo4cmg|k*eF58ATa3g##3C;KYMcM2=HK)P#3cu6CIMnNhXhkAS<{37&DCJ_D zKzso-Xft5&Q$VPuCvwE@CR7%p~5BSCUjKNJSs(I+QG$mRT2;tz%(zj0!JuwM0ugcK3I3Sw}R6a;; zN&y4s9f~INz2`k6HlJp<96Rh~!NV#=%r%`dTragtY*eI6X4JM~T8_=c)A~!KOhZdn zzI$qeEUW>xy{(I>=JN^}U@gwbG!Fss96f}LA`klUW+k6~sF|vbr{Qsksnfx0)XJfH z5^o8dKuYC{4!;z~U*v)q9dyhpV@A9F;!OchZ1F!xPnF8Kp5Yw%@deVx=QgXbS8s}| z0Y_o2S%1LOI^>R7^jx5KHozvNI(W)rUW*cghtG0~PJ6lOv|49Lvzk3wnI30$-#SK7 zEkDU)O`NHo=-Rf>xuRUK_|9iVv*p z6AKR10gbjrhOEULd+|aV2?C4%_J!vYOzhe+Pqp5Nh{h-L#9bk2DL39?iVdvOM_DRa zWjAbYM#4L+UxFwZiAK~2(U{1w0SG=Kx4z_S)x?BTPvMm6Y%Bxu9Ux-bXNqv3-gSD% zY2Q?5szi&^(eBwE4%x=)`FR*>D8Cf3>0IP4!fjmMs`p9D?W)gFex<=ND*gAFG@!Gr z7gbL)QGz&$qr3kWi(oJAOc9LtKd5Ys&;ff+k*DO%L7Qs>>X6KKz)y=LzRC72?)THq zrv|H3y|F6(^b_fo(ZicS`T6Zf25ob!*S`?u!otGg!?$oo%3^W0Qeho_r zfa3N_`W6$^k_hjnt_by)hUkRC9)Ww^AlehO^)0tKvKD#fWY(p%G{<@>$l78U65vVk z0$cVDtj46tq9R1Qg~|GEpQFf5pwMv**%7jr`(CMYtKJJ~5#h>mrlJ)X^?iT1ZE6l% zKv&3SSvvEozS?v!v!@L^QU0#t=4Te%%Lvc<)hoQrU${96$gn8Q!g8?NG9%eC=C5 z=qNhEJh$NHZx)U9&t!kaVLx|avvWa@>JeZA0zPN%^qqWDBmHgoLUMNiGW-U_)?!pl zpr?1j*yBT)mK1gO;8`u*#L*CUBUH$%*W0cfc6VsV?g(_%xSQinpG%XpO3XQ=$0u7e zmV!7PPZ#p=q=zOYVt~YROup97tT%sA?LQF#dc}9heeYKLWY&vNj4F-?x|Rn)FWlF_ zB62HPmDYv=n{VE#Q`+t@yL9WPef-O}_M@^f`yH^aBS_2KvG?bj;n51hvB#O3^_Lxm zi)gWkHS>O3)>=kUC@3rM?R)Zm9?Q#t1%!s}=J*=GYlzjkb-(!f)=W4(9RJbpbF6G< zvRJV6o=G}OUj$nwPCLn|>hJ6vIzEE`?=0mY^yj=-_^2f51an@D9pW@S)$f;T7`=mh z;`bG+97S$pz(bD>iud|rgS_RZx1E%%A&KyR9}4n^)TY}y7!g0enN{9hl{{@IHP z=9RZ686OdnADYL?Qlkb2NLd~M3B)Lpc9}vo;S|@g4|-f;=J|ARv#Ra0*=zJ>8qGbs zrEWDHHO8<3s(J>@?&9On$-GwG*y-(Act9MQY$74~riRIEf_r29*nEG+An@C~=>l4%0CJT_0+(f4r)!#-H;I_$s~%CS?ds!zQPQpACK+S2;(~iP%e6zr zJZVEO0l~)Vp;MckSmIURz?NsTZ1xB`2!5iv7O;(QocZ&+LUvfoT_vP7_tS$_jU>W@ z0$^ljdQbJ#R_*DN-WBuo3qTjXTF82(j%G7%>fevooqrw7!}@T!-z+6~HeUW-D5e8_ zOHFlQ=PSgYD*XLh%PQYmXiHsS_T?_;U$V}%ex%YbYVC*eR32gGlC2!oV>R-%lzA4F z#qGU3tGoU^_EyIDweRyJTDSI8T7c!%AZykvk!^J~?F0=6;u#iI701tcN`0QgfAvO< zq#wg9`04~Hg{D#oiPl4sybSwRKHwdmz93DwF6WKLXfxpVKFw)?Vn?>n(b$WT70mS% z`c86Q63xS{9Z_07(G?Q~^`os#%hgHAATmypIh)kspZ^bP&(@UXH+BQkodK1U8l1c- zFe&@s5Aw)k*Od)!s#NfnqlF;LkFnWb{V!waWoTI`_vH6*uDXttp-g(g?}nF7iHc-s zVnsfSt~fwnPed<(Y@R3xv@uV0boOsJmP1u07lx-fvk!(M1mLHxlIcj_c1pdw<*wap z-IEC0zp3w*7)jjFQhaUBz~wpiz=6_ci|r&G#W|aYpdd4NV7C0$=%I(#TO*6cW=?m{ zKRN4CSR_U{zxgWUbk`=`f^heib*%`K+9Kzvs)~+u8zuZpQYQ9HPsS2AtWiukW;5Ne zL(Q}-(Dp(s+s-+LTjr?^e|TD|GZdRu*3P4+@;>+T_1pyrD_F%Gjz6QNLLVD9nmW7i z_*k|#z5+YEm}tEj^I2Pb3U2Vnw%RVY_F36ZXS|sOxVc^T<@Ws<%@AbW**q3 z@DHL-qvsFrJ#UK#{(%jOZ3zH}?5BhdwM^^1lia?iv-7|B6~rMsq{bIP(I*WXt^sY; zycS!~Gf^!dbi%n8b6D{G|4vw6SUZc!HKod&8k;u8*$-h_dQE%Y2=0zA+(mNqJpsia zuXA~TJ+xN=2K|?)jH@Y^ryNsM&i|GaHq|-&0==6v)jcqCQ@VoTSu6(mhHrfoi)1^1 zsL61+fp)2%0S&Bv&1%j!$K25ZfIvm{BLnr<;`t6X)?*#*X1K zc(LD!{E_^fr%5KZ!$#!8?1E6!SA6gh`W#_Y2u|=|IRE6^11?(THVJC8xV$#vwP$Nw zDXitq^2WVwV9oGvO5safd|+4bPdQvI0Q-_Biq-hzWhYoP#9a>}a~)|zD2~gSnyf_e zOR{qYr+H_xXWZq>x*-_nGiSPT6Jg#v8aHuoNr!GBWvu$-Fe_`4fpMEL+tcG)9lC@n z#(5WcIkeGkwU$Jp{7-4!-eQ{v85_0cx}M3$=AC!GrC@j)=nBYV;vtSa!d$@PLw%$` zxcXAFf%XS5937A{sL!>&N`&^h28Q!vCoI+jG77gx^ts~M2+*zhXBhZuZepnHaN{bE z{JM{wpN$l+nWr$RY8q8ENBAx9hc}Pds)GjVf;es2r>NiS$qv_3;C?CUM`q?9HK`JY z#){elk$tWE5YDMd@N3fskeI3RaHFuPg~PbNg2iJqKz8eQK?EOr43t((!WD<)kdi0A z=;o8&9}W9As~*wL1gAhBaMvFI%r<F`T%|3rm!SCvSx7q^IPNP%!iz+WsQ#zRO?9WhO}-5k^mf_VhY1tf*+@Fnh`#Pg&Z zhR8CBm(gEW8e15WmUXBY^qxrZ)50ncX(LuH)p??9ELK;m28_vx0g&4-%S>CWoQdhq z=L9llqC#rzrfi$; zUifLbc9{+k{Yot(`>nLYJx1_ zz4Vsv5vU50C?w+Bl_M`^){+XuV(zB==&MLI&)X$RoN-5Q=!-727WNDq@z>>W(L;N$ zbEu+)1{ajGK@OOF!venA*_UfcoWpsnw^?i47L-jatZ!DnFUs<<#vu;Q0MT7qyr_>2 zp@TNudCHb*AdtDGBccd%Y&vfo1eEbCAQKl_G4iwRVcgXPhuXoMhxKiv0JAv!M#RC> z4hj0?6fM$Pfzx91&sIDlEX4h(+fpQIPzX~e)Gv?;{TZw->RR1Aw~}{K(3jDl>iFbp zArx0T<*suPHN!r>>n5kYR)CLHil%P<;3SzJ2RA;(7G^>Q|8U)&6&iEAJ+Jd@k8_+< zWjZGT*s`Q*xlS}#*A_DkhUzqcfbD>C_Y6Ib{u+*BKC@;f7D3_JtrT*M`^H$6`Mgwp zPN8YT-3l~umvv>;!}W7swLN!dFk4{JeaAD=BDEcp-ClrBF)+Y&Wxn<{$C`k2`_oUqd7I|-Lp)Puy zMx&1}4e?ef$SVB8ZzeI9ja-07|B|&qu7|dfj-*U3yjx`LIT-cvT&J5v40|ZO&qObu zS`;W&%IR&e8;TcS6nxRFH!wBnt$g*D=F5XPYomREty9_4HPX5#e37uBvJZjUNcIOx8f4mXP0%;;)Pnhy!{(&=X}KFsZV zBrFi%+ZX9>EN%MvAcUkN?s>SV1FNV{a2D5$16Z`5V`E?kitT)XGv`00!>Ue#B(?w9Qi74#K;Wex7Mx#KGu3z332(%t!C0s0X#2AUcB4+A*hbeE+4}fzrTAD_yNve037A*)@WGO!iXm_G)>omxyJDXuJi+6$ z#bSp`TGfAqd%bB}eU~l!lMCTquVpqp#gb6(*wpr>k0So!oD}NNlbjtEz3+r1V`Umgy zl=t`6KEt%2)n=V+OX#{Src} zzGo;jPn<1K%jKg3Wz!?L8V9Cv^c?0P>WkiwvDoS)YA;0jFSJ2?#feRg zgYPmPJxHcBl&ADY{Q?&fVcZEIkoFMwh=|M_vWRpLdE~YT0+WvQW7LEM$MTAKIOT%G z#G%DBj0R#O!wVZ&d4Mh6EOL2Ska8>-D@Rud8yw5)xl18LWs+@b{Kw~(HIyG^LxaM% zNb*JD^@`o3&;t9+#JlW`YxO{J86K^}Ccp=0`7;fpn!}q^#=0;hu)&Bujm;6fu+;PG zLLKbxh*~#si2&W`>vQ9JMx20l_5D=u`D<=BV0=M1lh6|JaB}4DssrAa#Y4KVXzs4&rghJ*hx`dx?$YMq z6|VZ|u`(CLr+UK*|Bz=5{};2HEVN3VWZzVMeTfh-_)<_ITIZaPsz|dqyaRDyt(d5^ zma7V8ePc?OP*O`Z;T+Gbc-ynFfO``ClUR6o^gm)Bubi%qQgbC9Kq^qgEX z^!X7bz(mNB7Ucep0SN_{Ug9lqD)WRt9YWPkRB^y5o3!sO~5=4Ra z&B|5JZ{%{tj|5DuLwIUcZa?XP=SsT4Zxm?GXdBG{j<)(q*Wr;~*HI8#eC9c`9)w6-=6ZuWy$QYfMCKPGhW_^R_=IA;F^XS@^Or%z$hI!UNRXKoT zY#`Ak!2q4vY@dG%KN<7{?=V@s)e3GJa9VV=V0QJaZSz?nXog- zd;8=eZv2B+7CrE%;`>woJho(oYa~Q)V*n4G7t}>kZ!d+3Diq@w3&Q1utcY$B#UtVJ zUA66!RLR@f#dj?tZ~>^)1YsugDM^+ke$z!WY879fq@o}Yz}F4cM3IYA73$dO=5iu4 zY5`)-p>S=2`k;r!v&DY9xl_eK#~DEuFTSsLdatmTm(#A=G7r_SEtkMPa8!m4WM)0z zwCOp9nMgN<)GAWEJG<*~Zc-)<*X6bkpQ7(uY4V%NB$4c?Cw{9Ip@UeO#cx^D;s9!; zEY9;tg`tU>Z@^sy)*1n(_1@D#;@d}?D@|2OW-7$IKIehRRZxPYRTEzXB3NyoBF8*$ zfGhYZb!c7|v$37lonlKGOnpnwu_n19*`-+t0vwT*E`(&}Z3 z<@DYURMMp0+k?aKC#a$t!06>C!|QY9DW=iVaKaP_Z#p6&IqzZWI;j9%rFQ7u8-E-) z59+REj)Cv`SBChmP0$ojr@-Lf`6r)l(icR%h7w3(om%$%{(Ay9OtW%lOf50a() z5VLRTkvVB)aKN~;Le!E>Ztu&4+|I8o5^8o*opXLM=i@p^lziW1=7*4GibP?vm(kKwNT?jckS$Yn`gfJ*FwLpo zVCrT_`6WpC0S4+dHVAJ%okLWVKTeU0w1N382FM24hc83MIP$~K1>6iiR~7_wS+f`s zRGD+qo>|IuPwY0zr9_xiX#W5X1Roy2BRrZjyfr#3NA^wZ4~M0u z{j*)>sWn0l1KI-AY8l3~O6iQq|Nd#adW8dLOd#E}8Y~<-h^7>u@-y#_g41vpQVMK3 z1LsZnx?u1=P@8NI*U1yV%KP7v#_r~`*1zV%Zov4fE1m!rIkM18OIjUkEpsleCq7(4 zE_AGUi+KNsNpVEcUfxMd?--E^Bbi8mzI{A!3rJ9(B=8ff&{Y!8SGKUk;Wq0%#EYfe zkSRi{)rX1(DjnIyK{4x9d2CbS8W0$6cI@TI%3YunA3t+0-aRSH-`p)Bb*iaQY-iQm zd8Qj_>a?sPllzxybM^$tFIm8J&i)XhzRzl4o{`$0RyDI)TL1F$K-IkGDko{5e)&X< zp%=@|fbGw!uLktd_r?j6aiJv9bp;*@Tur18_e*{fB+*=voWuTsn>b16yw8=Fht^2s zoLJ9CRbB#AYZ8I^u|`PP?Da#JWA(qYXN>#eMZgmSJlTLdPAgkSV>yDisQAjD^rJsH zNYWL-CaElV9g`R~E5WM2&RPd}G&(+Rrgw$>A5cYK%V9OWrYD?FFG64vXLr3k$XZ`< zxq+J#WpVv>PQpaqzDREy{e~ypbS`~QuuFAa*lMhlOz809FftRokC+isW?07hh5E1y zpuiN~q6V8LWKv`-wUc7`AVK_I{Ofvp-|PB7n`LBf#5g*w8|(N41l%*imozA53-_qJ zQS?mWYR`j*`@iwfmYjL?o~*gZmxUXVNCf0_0lIq;(1wUfrwR~!=f)V1#hrhqSG$&b zHysEvop$AAcXWdLQHvTUv5&;V$rWNanRW6&s%Ang;tQk5O=cLJT8963!fa1edRoS= zmSrmovq}uD;qo3macj4T01(yFIA_w$y>}IR8zdlI9OSEmRF&Y5tko5Oe)!4d zfFE+pdlk@t5&Fy@ftbT;Q)gi+xOM@0J#NeTjiQk&-eQ@8FyneS3n)9ra4P6H2r(=# zEIErM6Z6_oqHAWWe_;wo#)DoNhGt#1y^JE`pk1}WipN;}N_mKDOz4z2pvTLvtF+5X zML91@OQESP71?NGXNCsE z3FsfJq`T zn$Iu7i2>_LNaC^63Xkf2RHm$_I~@S3zAvR7aHXNmez&4dRFWx7d^KLmn6=%T7jKcu zXNGtWs>yas?I6Yg;o+?z0|fgv>F#X(%hneYx|QtP7!bnRFxSX8h5Gw{{~VQOn?S?b zyxy7S!|2<*s$y!krcTwe{J$K^CHzdol+X%SW(Z^C zmeUFXN!RzMM=6kV;0^a-0X&W(^Z=dLsQnl+ucqU-vf?_Zbp7x)#*hyqi0o%FC8Nd> zpi`~me+y3mA%*D81G@+O3A*OxV)pM3wm7{&vrEQjn>3HrmUod?zWQur|3NV*-+m;e2!W{jF?HRB*b13vGtJHvG*t?u_@JM zwf$LTqAeQDL=p7oF zpSEq8pvo4E^8E1)f(q_iI^QW%Y>;1vTek3qW1OUoR4HLW6Y%b zNEK)bvsg2<0Qt=0QvYvfIRsXsGQ}y{`5Mfqtg75VgBavv`@Gy^Fpx`5T-37aMfY8_ z(bztf6O4FH?pt^f?SM{1+N#6a)(J=SUm_KHR$x=QV10~S3?CvxzR&K}28XCKkmte4 zOTkFo3?5&}gmc+8gtC@{X~BbtOB$0L*6@J3i70H*rF;hq8pxR|yvK)SxS*aw!B>dc zW=0`1zQP;-RBW?PJ4xHkY^B@%o7q9PyYbY7^$8o}3#~}fV#{m7WTdLEL4m$ir1KU) z19UW;k)BBVSm5$PRpCtpCPxAMKLrX-{r=+{G%`aP+H^GQ>?;M2W>;_VX~q_f`m zowQPRGJ22{a(&O{hNKk4i0Y))EDcTR@L8@4LaHI7c>I`S<>lAH|c=|e>v~Rp}xFgauBURfV#?D=_Xf&b0yYCs(lZGPDfYu-;Bn3J7=}t z%|r+R<}00??lCM9*Z~BNE2TM)gqcw|GvHAQd1oE_>OFh%!qpOOGZ_37g8fLn%VV8~ zKkuyLYx`Tjmz>sQFi zV3Hgvx1U9KlNbHIU;7+^#{9F@VnuC2>HiY>%zV>AQ`2FfXI8=T4>diuRi2Bb3elc1w4IPN9TdSPl-Y#EtK8!iUjpw^0G_wV7AAI&L4lDL_KECxq0V56IO5k0 zu!Ie_ML#%IVS4d(;pHPES+U-62}cWxmsGHJijkdH>7K(}K4q=hgt>KEqaOxPF|?xD zj;r?&mX5S_W>@i(F_mc>4snrGAs`pMIAEwaTlylWKP)kHagHx0r#pA`-YWk1jEJG_ z!Ysn2V@zyTnN|39m+B$(vDiJMCWL<#hu33e)H{dW&cZsaKthxf%2|JEb(^T{^9VD? z=kD42f4%v2#{D`XHlDc7-{(dU9a%IAC_Dj7|6A$!wh4Rs1NVYzaPe^LbwuDR2wmzm z|31nP;dsH(cTNKIdlCG>QgCLck#5<73P9I1oAtU;i)x#H<7}rfn$dH~=~y?zQ`ctD zW~CgZ=3($>am3w)UPHbxjxkfaZa+lKj_;lMWK34EY@vlpq(r-Zg-E?R9kR@z=+CdA5IU~37T0Z2MT-bXDKHu9V)Oiiv@ z=#9y$ywD}auZm4|{#>2BxR>P~ZgWhig6nSm+=~0ayGp#{?J(@t;lt{G7MZ`Mn4DBy z#MN*$GkKx7kKnciU#5^3g_@Gzv!0wLazD3=w2%Y>KiG=`#4oZ62^8(4u-A+1uve_%pNGyPcTh zZJc?^8z0d1Qz;3n{li~!Aa*ahFLLJFHT}n+N8UlSY*g^tQ0_quHbUSmb0p_cBJmgH zaec8tRt7B)wl~uKd!e1w+1?4xd<7X(A=RI}vwsyaeFhB$6Xmcg#z7j_nFE;D%Yl+Q zz|ZN~vDK5qi#{=X%atJfFUpA;4;<=dlfbQ!&T4zOooW8o=PwG*@vRE;usUzh?zKMT zd?Vr|^R>PXBZy#)0r;d7Lx0MJZN7Bt#6O^nAm7 z_eG5y+dx*Ms2??kbAiz?efc&1=Uau@g?U5cR)PG2$cO_q`}6FOAjBL=#96nho7d=p zw0VUM3}A3BNP5es*4x0>1a3V%3VEMK!CLDv@MxV6!?bN;PF*8uK_Z1y3t z@9UrSg5Ri?+xQ9m?~g>@^()E7(khw;B~zX}(W`QACY$@VaaY%l6T%pLYvmk+U^K(iclV-@Le23ET93htFxc(nG<#L)j|KQAI~xQ~WrB9umi^*E;n(JidoE~A zue_&NY((@#m1wO>-xE-PlSQqH0p3Doy58);ugXyiER!{61Y!3?}p9ms#x zAz0+se5<4m@4MXDy9kn+DJ(&G2TeKaOqHVJ_Szvcso1sURS%lVQgu}!tz8(W&2SNj zNIJAH&;GETEv9n*evW4JH~npZ<^!9k%=U08ME=Z1vL)W2JkgcU3%WgZMQtN07*zwb z@>4HiyM^RcI?CbF!K3U#qa|SFGuLaln+qxbPClAKR=<#QxueBdV%*br={au@;#4Ph zSBqK8fpuLtB^^xv4!GTwdxwN{34vTwC&*>g^~(Cc>EZWpf{|V#Tbi4VTE<{6_U&f0 zbP!J?K($u(VPIK@sWi7qML`$sPp203MDQ`zt=~-+1~Mv5*0J#yv(C3OPjL7ulEH$P zXqd%p+Tcuej?<7OrL{0+042Qk%-s9aHSHE7m|yP)<$0?v@ahL5laWYk&@+sGhfg~^ zd1ApR;sGgA9Ze?cab9}18=w3eZ4&#p%4gsc31^w5Z|p=JX^C?pmrplJliM#z;uBk< z*vGQv4=?LPlau1Bvs1I(=t3!F#HgZKj+gTOHoV~y^IO3KB3A`kFfC=S(wF3P0em-l zj@#rIpYo`q|cGVP&^hmn`1T?WV20otTWBI zBo{U7;L=*I(G%{8IaZAHW5^D-9GDy4FILOgS>(r-K zqKk7QALS*NKF=ofPCVR+ymPgrFFt1cZ1F7E)#U`w*v`+(YOhWQd@@-CXY+tS(Z4E* z#XTYqZTTOBW8BGeq2YG{`~?&Jfm9O6(!vKuB?o|~D zbhP6~C%sbFI@aPCyswBaGz~OxTJ0g1Hhg*mv2QgeZ!xAAOIP5FB=MzyMgCH(vT6>Szmel! zy>CF_qV8RE46a)IUhpJlQru+>p%U;OQwqA zbYr~GO#AIP3oW__Y^aMu(1(m)=QzfS{*sdw1xp9k6e+=PJnR>qE<1a_ZPQg@f_W6` zR$<#&c`@GBf{hW^p{NVvfZ3~{O6hUeY7{qfATDS#a+WHGp=3v?I&TL=K2OMf5& zG?m1Ap0!!e4tZXN z_nZ)WBa8Wp^<8l(;r9vD9Cq`G%D6{YpB(asWN?EYJs}O04QAz}88z6?aCKG$t3x9N zIj*Yu%FmCp$Kn&-U{gp?!&5_0E!Ku5=}FWGt{J5UfRVpTZ+X1)q=yyV3)GK(*ke}nm2n|?HnPn<(;fsXEYA}NRBS;m-l=_X>b01&7K2th z1Ta<8q(){&{v$MduX0vHCYb&BSeG$DLp}?2UoD8s*VHCVI*@m#Z&4Go^$K9uO8$YXG|Uf^tYp`2fe>)loZM6ITGsocz=-IP zGg1k?sl>^Ex~-DUY}9_X%0T?-@Fgvo(S7qHvP@R+=7pKHwSRlzI`D~>?f29L9f>QeO`9Gqao@2}jCNY!jvtL)f^1ef0ZP`_6| z_ZgfCdK88S4P5}E9uhGt)1Nu3R=i+u_KOG_TknqlLF(5%6?m49F@Oy z@x$FVySXIPE2$kj5#HdUDFt%eIlxngEC2qKmdfhTbKArIz41f;$|lxxA8-oDcFfh6 zdpYqqlwkB})mZ}twwq23-kyBR9*0apzJi)9OY)I zLg>XFbvWIScYtuJMEe?L=;_56FQm|FWr})&YCmzVx`u1)U3mLY#tFL|I7Vh*+m9ts zdcq*#RL42Zmo(G^c*1C{*C|Q;5$%49zP1Epex5lz!6VsI$3>^0beiM6m*Cd~SyEOj z9`(6O<20IuS+rs+)$mv6o&lw2A z=bl+gqqq-&24`8lGu*6`n`!dA5(8pATEbBjf90_$4`Qt+7?%~x=Z8o{+%wZJnd6)M zLhx^%&JY}3U%kGTCH_V&|NFjJB%z--3gKEbb$o)C8CVOO$zOE%Cdp-|HwiW7j`j#| zOymwbrg(E5fd*U+uDebJI91H!_ji3S52}RnxLJG~|F4REd68=^tvFwD*k=j{P5E4q zfy56h3kLF8 ze*|)bV5d9G7u3uVLvous3bEqxYHy#LDbA^t9z_p0Xav#w-ZC&-B47T*_2%+-U7|VE zW3r;+P(G`?uk*&9CJ>c}X&IIn4a~5ady$A-(|>;)y6li1A8ANvHX_VAWxtV>x5^$` z*~IsS6Jr<7=Y)IqoLYE3VSM^#y)&asYYLyySzY-9;Gx5Jt1jaoWTFjZc{<_+t4vX$ z?|xSzv;4=tQtotrn1iF2y#Y9~&JDHvO>1s*1l2Rq#i8%O%!eF`sB`K`?G1SB$9}$g z$T4I?=z@DG6i2Wr@ACL^Dgv2ffD_!b6PB57q=W3{W{mcn*MlIVGNGp=%Ca0<`PDK; zMb~6X#SaW!U*a9C)(y0p6w|oO9OV&+NhCh5;-uOq_vUPJX+?%yg8SN*zUo8z!_IH| z;RIGiG6Cfb&ma|xF5oINpe86==Akgtv7?ana?KH1b#RCAJ!=)-b7au|s$BRkq{(`qn9hcR0dYIG5K!v@_;4Lv?F`*z!m2`l#jz7xj)XgC(^k??F8x3 z!Xy2q1G;7QOZ6P$&36xJF@eKp43zI~+YlB3YiCbRb_J{rB)M%idTtxrQhQDAL6q?^ z=3PE`%aE2#Dbq%YlKC!TUt<2j}kwWK65kt>Tw-7siWXVXo0-W3ApG zJnc5e8MNoJThhX=hLA*#RXp2yp5)xxl?eZ2hp($q80)fGizvtL44}_PF%P3AgITxC z(24~dXDO+8LTUGFH>Z-@|FX-n1|q)Ndn(R=JMD_17mMhT>A94h`;yoASv8glPz}yN zS(v5;ajsJ-G5p^j7%jN&`7`$EmK5aPSmLFV04hB<(2_#?7?gzbGAMO#{ys`xmbkm# zM`;S~7jpl+RUIG*FnO99eov!7xW&%pzfn{fscCny z3RMjUNW2zFGc`YOorx5i1FGb9JW=&bu;>?{@)e4ny;QNdlI&gy*6^P8^e4r|NU7{B z#zHuWdJj&;elvbq3t~=TLSp6|;wvU9g7kA3lXf)NtVY7wc^q7-5A^KolmGts zgb&P$Z@0k2(W`8AMUM2sOe`n^ttYWv;?*iesZ_iVL{!E#K)qm#!I>2DV1Jl+w(df! zDB=f6C}g|dT351C_Y#q6KueV7c!Lf%&*ck}2c=r@bHRgWq(~6zwv7+Ogc|1;3Rhnb zG9ex%V_h!$?F~KJi+F&Gr8^qZt|;v9{@JZoEXz||{kb6b@r~S*85=OOmX$skpnSbyxknlYwt)yy-cyw(cSR^t^C zPbPahYB32$Et_i!r-2f!-{F)4!^E8M6_F0hjMI{KqCfj0e4m;mt1DT^Y4M{Ss~9Vs zN{DoQjI}1zk&+r#XC&etYs((A?+9n(BkzOzzIhP22Cg?ic~uI4B>k%DXllmE6`S?c zU?V69y=0~ziq-0o(BnI@0KSKUBD-g**LA~84Jv0=n2y?S)_n5{^ClWr_!(K)q#dJ| z2$fNcwtGqDtSzXN?T_FGtfwhAV?kV*$mSX>T(-}DC(`dMxt=qn+1UbpH9jJ31w&G7q%;HGu;=PrkoV44cj93jsS~J7&MtL#o{GrFBg{n z>6i1v)M=PgU1@>hfx1b}<D=ZRg-C@POjMQ1 zEVefFhpAl?=VjjgS zZ_fZa?_3ERgIZDyEhLgdPF>Ut)F;>*u@2`Ks;2^M2hcS$mddwNL8bKtwmjQh>JU0u z?I6NjK*yXu6%b&s)M82-m^L+;#v)2qp(?p?Vhj5Y@lG8Vlj%)u$4cRFxU^f-3xq8w za%19m$j#-aZI&V0g~NTX-t4Vezd&uJOOJzkLNwG%>r60t^aqnEtuUGv`Wb1SHmJFD ztkw7?H$snO&>lUCCQ3_vKGZ<4tlh2&vh~LhDVrMaX|s|VlaG}&&D__sPI(QIzw|C` zdD70p9a~-!+rd;0!_NJ)$gtmJzYYwUjZBewLjXLms4~FW@!xzVl5Ybl0PbEBN@P#i zK9D-A2Kqv~-uFdO6H8-2gpBqmUFe@gw~edW`Uvs)qUF2W769xf(q11+1Gg>39$&XX zlkq9cMb%WY;e#)(ao-7e79zs z`AGS~=&M~oQf~UbFOs@gr?z&f0xMj^+vaZoHGJ3{DVci4khU&$D>y#41rgKC*Ob2* z;ZU!5uNlOR{@31-Ix&+V6JyI<^|jvj&=D%mop`dcXM99V{8g>+sEwKKyHnD>-@e$;P*~wBKExq5()CoPM+^hRgU-F>28M`NT8xRf@pKQT zbj6Rzv9Z=#py0f5!C)1Y73w=RI)(R4;hb`5b5`!g6SWHrAfVP6;pXKp;lEav6o;Lj z%RR$hxr8Ack;{PO+9tCa1)TR`Jm4lr7lSVShqX^SD3F96;-};6Zm`~UlrQ*^w^Nv$ zTcVvYoJ*EM7!y?L{^CO2o|AO474A-FCoXvigoD8{bfed}n{mvQ3M9!PNI#{D{@{PN z^FwA{3Gl4%dYoBzG^G16pZbUqs2&^q#}|h6oiq2}oVt9mJ=_u8$oS%vKi8)loepjt zq0UF>h#%^NJ)gN}$B-d?TzZB(pUDYu>7I+eq!jHhdGJj2IbGLYIrhNac^2L6CInNV zxZ)v~$?=O$#x?}W$brc35Gm-SgMIwfYQ7}dZ0mxxUqH#!td_9U;$N~Ruc=+tN7M_j z^l#FpsnE_}Dbe@7Lzd+wh8##-N>_ollXuvko;ECuzRhy&89CI!U$sL9p|Wu92X-3F zg$1qTI`tWj%d2o!RM*w3bcF26%GXNj{@qH(p9I4Y=;hQWvmV2)5~XAdgDp&msrWqj zplNV^OPebHK=vl389v%K@_+^pBTG z9$Vt9_rj*_a;tw8l!>!aWE7BfHkuBre4ci`gd@1ixZOe{LXukPfYmq9a=rIcdZ1Y~ z|LgW{YrwFPXx`+tg2$`&x82mgJEklzm+u*oLO_no)AFKR3(4qvW-@mD#VX4K+Im=w zxm@|D=559=&^<27EQ_$P+!UpV7j;Ay)y#pTIjo9KuCIIT}d zXl|zK$Y03ZVje~xVA^mi>_sJkg^$DZ7=T)M;$l#bCMS7Fz+=yYjl?`>3w0Cb|p!ozUy^~`f#x1CFa2TiL?vYx!iFEN5qOfDM+ z$tknOL%ggxb8&}KGZv#e5a%T z{t!w|Vm4Tu&WwH`wNRW_4>02{C|Bjt+P7S-wCoLVn0bmwVp+=WWmLok)(Q{X z%=I;_93K_2Iq|o{u)>wBIeGa~@bH&og-i7u2hL+3-888H6I0qNHyY!zdfPr%yoy$% z3-kzfs;=ZOiA36si>Z%E(c>_BB9(If zEz67nnr4d11#w5i*R$22`^lQPpMDz|Iu`MvMhBXbDc}sD+<$QfZrLY(VwW>gY}jZ{ zb)!b>mgW<=z2AZ-ZNq_H*IXk-%88>XEQFsm@!?wDyI5_61gfJvz?=jkFdm4VgpQ3R z4VEE^yj{}BAA2rAB54hUe^v?5A3v0E9}~-nFn!A|H)0r#Sp7hCl4yAg%tM@Gw^PY1 z@f_m^-oIY7CcbybMx&p>(7&2HA0A@~>Su4dR{#Ao-w-`P(w&b=5l87v=B$joAixzY zp(#e`bj>O{+xMG-5%eLbmELkFw^qQu4j-O&UFYK@3d1#I5e&`nc21zMeP3_6V~c%g zmT_iaWFKd8&*j1C|846R7KbV7%e?P=Eh{41uoYG2*w2Yc zfax{a(X%f18@`k%m~x1&!u1}r?gH7bG<6hI8u>4cGSX8pbv~O%1iKK@Ennoa@M@D< z_4#y3?na%Es%8!;T&(2N>Ww?p7w-~RRDMxnuY!`Fer2epZ7m&dU`=HStV4N z;Ye=XU5-m7f7gi!SU$(KdEUlhJ?1+s6Ep!;CK6u+j+Hpm+`jGG@*$3zcOqWk*4AtzWyU_<6-_Zg*$N1|dg4FQYz zH7flu*?vRn;U@QpTK$~w@6+qk`5r}W|0dx9l=W;Y1;Z`ljYkyDhFr7FK!TTQ;W4uL z&1s(3x%fTKT58ZgLf_u%*Cg`IQwbT(8fSg)8}&P_7C>D^`6mB26flY0WR~f)sFu&3 zRE>_VpL%`=SZ(mZ=?B>DB;{p53rq)3y#8_7Qm(n&LQ_vT>p)w^aILg$Klg_MJK^1D zI$ntNPBxO9CWDQ*S6WCPJ+wl)oHOX36}hYzezmZXfhluu*8>h`Z@&yTjgOB1UGdFC zQ7GX&gKOFHDvYY0w%}XGgT9WuXrsQ+pJOXEbJ8!)yw3ZfCbpl0mA+dMj@RY6DBhQ- zVi+rX>TEo6p>kS!D+TdE;fxjm9E4>hBIhy$pRP;pxfbcjp%y7kN$D~lHdGdaDlGF9 z{THsDs}ZjWnX(jWGWWi+`Z>igMNfsbqNN?mVdcDN2LeG3J32>g=T_(PcEU2U9EQeu zE8U{Q%RjbhG?g+U0#y?%k5@w0$R^%g71RU9nJh>`le+1l9vh$L5@S2#kh^tMz9zfz(6x3QL*pjRZgrz< zVA)Vlj!EBWOjSv9Q_Q+YhNLVDTeRvBPrwV?9&FlWDA!iaYL$>;ugpG-P{dd8RTiX3 zOs+^h1T*k~YT56wf?bNM0+wszVqtfhnx2*a_XpCSE0zh7GCcJZ=`*NT4fR3!Yw8{0 zgi;Y6;FdwFS6=D~hnVF7)BpZZ9$wBzMGvb&nR9&(VE!9|)3wV;uQ2;5BL`-hOouK! z?xK@Jws0t?bSG5>HTSr{B+E_0O+MdcT@&cLDsVz!PA0~@jY6cO$seL4Uj)#^gZRoe ze$|o|(K+EmG5KYtB`K~qcb*yy<=3Ns%2^+%Ar_Dl)3aQ`83Tnb+I56`bK15Lc%-@yC)D<=&T2lz&Ltp7*hQ&g?GxN@If|?P1^9W!vD0cY2lZ|&=zU?dj_OR72`UfNUhNR9|R=(V2` z6C6N4t}v;2yOa+jN9Wn7&&*7c5{>WYTjUs7B{FJuh?Xy6em#rZT z|H+mS*gV!uINiPlQk|^gGZi$yda`fxyt;5?H7g@=L071swi^{6@A51UHVv(?6wYJA z28FB35^6dycq;!WCEGv`LRg*cR|P@1X!tW;k0Zp?F0(vk$ms7d_mx)qGtVLBemJUB zV`g$NWTpWHrZ*kweyMtXk3qd}Y{BIEyQ#OBB(lGAy^G2%0b3d^#z<$^ST5_4;Ede6 znR{{@MqkSKpSmWi=p3I-e#0NE&m>JO8tii6j}zW(xELZ%z$;%D`PvNGZs$T4TH5j^ zlhCt17cHeC_OnICq^g)d9CjwFEZ2NSE9ZGTYT!bt28>MCw+q>2hLc%Xd7oGU;RfV2 ziS+_7o{1HJKRnh&g#zDX-3b?-lDMugst2&x=1KB?D=LS@d<+8$Hm_e(Rd(@mE+ea` zutHgLUz*)n;)leD^HV`*%FS)B&4kPEpI~NHakBaIx~+Jy<%}k?*R!nLX|nqOKATl{ zZ3MMC&If^`30=8zmjM3vvcw#Di+Y!(F6~E>cK5uWGt6b@Pu!IBe;l%TjWrDH{9vDs*l=kTcwxsUX@{{_ zuN66WD}lM=cA5KLpLtc}!+;K8G=|b23b0WKUa5*nVhzGOp6L5C*W*h_a~pzZ;qLx6 zobatHZ(q#u+)D5bH`G_u9wRLha?d0xHDg-Rb)T-@SMEHDHuj&Kc`~eMm<-er zCY&j2*$|8rSUU(hZ1F^o;*>XJS#_T7B<4+D{-Cb9U*b!xr^BCs@(JD?9Wf{}BYb5W zu}%nkSJD%%5Qu6^&f#1WxtN<=Sln`Yx0zSJ@W#YCfA#sh#%YqI*V(=nVVmtA_U;OW zTWe0{(N6F9sDFcaouO=(on4Vg(dmAfq@7$8@W5K`rJY}Vz6ZH&soZC}_oK|M=)4}; z=`w6lntKq`%8~QmA0IdJ*9q6H1<`)YO7${q8v0q!DHHLWX|U;4QR>RP&7p~j-EHHX zc0`C@7X5;t&z(Ac)okU(nu^RM0>?axx3xw79`ohmRkjyIm`8(Jbo`5gBM)$O>RBAw z4fWX5a+@s(Bz|uP)Es3sYOO+8-t&(Drfw4U8w&r~QWW4O5d(dopo<)Zg#`W{`0did zP4(=AQIm7!s{*RVF3YV6*{cCN~! zeuW($imO!JPPUCA_1pVdZgXp~aLCLDZVc*(a7wv1^$ol3%(I7kIURi-I~?CvaIU|4 zB*VY-w#tQ*0_eRb20P4XWTa{*!MBK9YMvAsIm5YvLMDU(ME`~LWl7P3hvcUJbk0+O z45$<%%{n?kg%;K%*SC4w9+#V zgk)u{56tzc8FmJjJ`4W!t^g8l0%9zMkeo8W=)flq&jg+Ln-yilUB>0JI|lOyHud?! zV@x8xYehLeIHl=lcR4r99FZY<&??J1?|B=&7(EHy>sw1nKArg~SE^S{{17ESse8)j z_e|bq4Shy4J1C)JHqIRTxiq+Hk2nlF9{*|GAR?pzur$<8NS51;J669!hu)6*DLOw{ z6%aT%U^xoRGyoRl$XwFWAU%GSf1W@4-f@XpJ{mI8-Updp ztmxOITn`J&6!n7sX}+go+lh)a-dC`_HFox4?SMC{&%7kZc(M9?JiE&{z)pR&?0*j; zGYd`ojCNAdM!SI1!qdiEJ@Ut)DvxqG(Jm4eClhj^oD-t{6nSMvZ-MpLIUKdrs4CG- zPM2Bhw&!Mu#N(%w*Znz8LEyX41LH5JlFjnc_?U!?`FH#3Y@asruJYR@%>mSt@rNo6 zujgAAC#wXZkatG8t$%)PWX_ZkS%b*0TZ>Rx{$?a_y)c71^gvyQ+RVOyd+I`Ms)Fh# zxlf0uvdU)9Gwz|($Qo~d=Sqm=AS+xc29_o>hh*3evw7O_qBoa8?+Mo$=;@C@8X4HK=u@kePLZzNzpv=LTGFm@w_&80uY~GaQnO8J6X*Wv;VoU%oaH z7{Ag~*m(^(@pdFnbQ4d~%(E)Tvjp6+bb@-ZR|JVK+qu1z&dPEl+Ne2EJ;@26&&9 z(wuk0!nWa{OTH)WOO_DJNQ9}T1H>Z&UDrAxHfwQ}&x^a+`{*O=Zl#pM%>-myQH640f@Udum5+CE9f9IXmZyxj1>SUJwE3HhkL z(E%$yizfj6d9Pm=(VczYx)~Fb( zXpC0fO7)sMG;FT?+cxvHFEoKJNU8zPPsxD1CMl7J@rmS>jN0ta1vvv!DnBHsyMX+> zON@A3l#U-Ea4$ub(X!gAsIt_dxkfU|36+x#wKeFP4bewvCP-{W(Ja8UMb$f==pl^C z0Bpy38U&4ZA$Ls#>{q~KD00ud#+9EGp%!~%rTNddb7BNN^URUg>=u_64j?{ImA(dy zl|YJktbz3D^A8WrMQVsDl`!eaQ+`qU1dNn(yzlHpUUB^LSRb-ZHFIN?|IHBWzjn>#VaPNaNXk*1kk84xH zI@A0W5m{6!8WXE@UraX%{d*n|5h|7mYBE!rXazk&?3|M;no}y{*$T)|!j4SYW%D@g z&lrF-ytLcOWE9ek!yeqy7&sugOdK5}iE2C|NocXuf~m)4)(;|-7YY?2oz96iSB|;L z*ooorEB1;iLBlq972R`!$mbh3^A_e3hM?DG9_|>0F(*GZd_7cKe9-i)E=BT^bN|(q z>mlksTS;v?nkUuTJmouk{ZQ$4SOxmKf$s)fdzmkwn(U2xy5an^wPCKk0Z7zuYt%Nn zXWgqAc8f>kO->a*kN@AcG4vwfyI*e1q z5%+t(O!Cy#V@!1X3(G@;lgYw*?YSQyX5%olFn{iubb~ko)-SEIL62Z?@K7a!Q)1hoHucBUJ|Jh$zI9; z+iZH@3Lei#+w8b$i2X!B9IMWq=Ele4QVF8P@d6*e1oC zmqjBIe*JjIVUaENu=<4UV~A&wOHuHZ=mp7=>?*l2pG_5J))Nrp7PI@ z{wob!pc+X>%H|N$#>e-TZuGH$x2(u&_V3NY@t4%hVqwdR7IMo9UQ%%_nDFq!voQJShW{2*Ay2g9GrdYn|fPu8N4rHa)H9~@!i|=DY8i_+?+m`8rS&) zz@*1Y-|KY2XbS!ZW|pAQEi@;@>e!B-Zi*~#GRd0d{$%j((^`Ix^S^LX-Ks&zAi^!1 zY;-%O)T;`oP_Y2XTZ5#f25))BqSOn)Mkcb^zFIC) z!-+f51NXJJ)GJ>C69LwoIf#MN+Nh!6D}27B!#F@#sO|N_sFy;^nwsL2mVWNr=rSt+ z5r1fN3uP1_y;w7Gi2jaF0_{(@YE(Hra=KCa0yFPR+%NmzYfD8YYDt)J`G&OF{3x)A zdQl}j5x#FgcNkI<;Hjx&%DsO9jua&W$RF)rQrGDw)lVzVc2~g!5H6mf!^kSqFo|A zjt!n^${-x<#`mHWX-6+N%)x7~vuc-R1h1d+ko`1M37B&9*sW+db`is!G$4ulqW3pW(|sIVA6J+Fh7+8#J7=pKt7#kf={#- zuK=$cCK1!bzctyTwm5xSToV`w>+RTTuD@a-A(5nEq)C_2Z*9_%q_i(QCgaUG?bmtI zAsT{1bEny^ql~_eS5dM*MXphs=({VcE*UfWEzY`(g-5n3wly}><}z{t zwym_5-KzS^r-@}ct9)6b$*N*Il1ILV*?=UYh31=1S&Dd9pzonsLO)Ws8?CmHfw1YC zwFnlxtd$*UUu6?h?HQ`wE`oJBBh|E#6tjMD1o7f~jk7NG{ao|j_(MJg6i%4`ryMIg zLbDqSqUtxy49v_lzshudH-b2954)G9E8QjLc#Cm%eSIUkdmvu5>33G4k6j(rYgfpT z4Zk;VY!VER)ONGp4im9Q%wYf?qf+DXP7T0gsdZO=ZO8I+_c2)vRXdi{XCdT8!03>? z?6gjMz`-!byT`T(8==&MzN`tAYS4KqUkhRdiGwvVMoMoOW&deC_-t;hVATEaNlK7o{L^KGLV*^#a^`azzI30h zn!b~JsC^G(C#!R(;qGBv3Ts|H7p)sN0~q87PX#I%(GSd(Q_15(y={9B9dd1MCv(x$ zyP|i<77w+jd`E0dGX^_35u15Yi@f&sfmNDaFHk$DfNs$ zW&-{k+ehHJrb?%#=(QkB4Yx`qbR-|;sU{e-4I(k6u;_J@Un#Mm7wlpLwp5K1Or0jQ zNd*JWhFgvaRww?>-*w!Xj=Q<(ry$zE4a+>#JEa|iv`2fa6(i80*pm^|AiSG}C8v3-X#BAYwGX6-mB{^|R9kvVCU z)#6;)t79~{P~oN0BvSpa~|qVt7efNs{9$z-+ZZ;L>~8+ZieG z=b#!AS!s$Ca*=RA^nf0*%pAG_*_@Tjc?w^iU~Jtp=HD>xcK9xlzav8qCvrW4XfplT z-aB*r#;y*~d6H&g=DW2i3 zU8cUSP3QU~qG<8xJb^^cQpN8+6+carqgp4@B5WFaB*4C&1f~ z@A8O$0VaqpMzwF*^096E3%)e-tS(%9G&PpHRraS!{J7X=xc#@tI2CJMk^ln!O`?%M zs=u_UnSWNj-ga#Y;-DAS&iN+-3J?U1lBL(fl2nNSnk7#~nhVl^=y$nSi^g>FZ%>fr zyP416&q?5oOjc)IcBO4IYS+L-BbhLpbF!u0EOV@cjbzAM_h{|@KhL>XDw~#C2WI&` zi?&rI-r!pXvSocgp?F-0#=9TbOI&80Jpymt@S`uc?H@!8V4uUq>|8T*_UN zF!yUF%3P|st5hTTPA&cR`wu>k*XRAZzn;%0)aQZ4yhvqdEu!2`$I*w)qI6!VzVLVH zH}iaVqrU4_M@9E)*Nr`o!x;`^PL1fIHpkm2)^%A%r56g>=?}IyS=>Z?xbe%f>v~%s z(}krm@yqF=9KjybkAjUV&8A1)fueT>0H=mB-S->e*O48Lv6@@Y4fy=y_lz?X&)n?G z*KbGZ5er)KtKxPsD2pgg1J}>QnVpwkNOSr1#0l$pXa19lFhmknN@fMs|8%;(fvcrt z9$z`_O{$CRmI~1S8fTcU(J^^P?(G(@(aR-^?K~vkr}ruCX3J+nAvg)?}kQ%C87T?%fv;QK^j+Umyhf*OO2?RGoVC#qpg3XR_xG2Oy6s!Yd8Az zL>f-Yr)KS9=VZf`PW=&$tmR?eYNEM-kFufZ%H9@LDV^ZAuO~k+n%5>(#cTMY?rTq< z+$`mJG`}jIe4-l;SZ`ETKD4C1xxaggRHUe~3D;B7!REwbLYuWdzWM!Hz zX#T7*H-HrK?HyMBw|qAX!>UmGj?t`I_yDhd6untY9$>iMSwsac8dV_pYJ#HqaeP*A z0e0U>13mibXEBUHRn-C%_&_XBaFJt2d!iH4mz`RRJc)VMrE$~{0VxKtllpWe&$Q*# zh9*$dhNbXvsNY&KtmD98T+^vyI_}CfRONJ4$CnGHN{Ozo6|eFjMvSOt{2jIhR^1O) zyC562=(T#_mvBUL%qNu!Z_??4@O7lqRTNUQGZZlWb7*L`wJr#HJ=3aq&J`UJ7Kx8j z>z`B)w00`KFk-m5X)7BodBwe>Fx|B;X`86jE#PN(1n6~n-`EWbKjYEqm8aw$*Ez3txm&NJ!ZYGLuwW3`@RhQS|(ZsU6k!1N4 z3{o3E-PUUhPZ!MpW}Lr(o)CS&^(3dnFUEbPU+nAq{4e1pV6DR6T#-)(1TWAU`2@B5 zq*<3Ma}p~FB5v!(3Qr!pSsMZU4A8Y9HXGeS8bMr_Qxdg+?({ngiy~Y+#LaJ~IR3Y2 z@FU?fU0vba&VP^Fhlvf>Eq+r5*?T{Nc2D`=TFS#Z^HAuQQ*y3-E3u?5jj%c{T0_ydprLihHU*#q0hs! z5*%H0rehIO8xvmp@=;MW>!bKYxv|seGKUeJbfTMc{}9VBDTJbz8D;-WoTQ3^o0U^HFXN zbm4$(Gj3gGs*EWBb28hq)c~Q}@&yabT^g$+j|D9FsaR_V)|?S&)9Z{j#bU!#rX&;o zT01V`++E%|1Guw!`Z#oN=~)5O*{5&H?&LS#)9DMR#7r;+89{M0cg$mO3031z#LIU616+Y1N!@7-2_Tw(jf_gg+ooR-?wfW$>W%8_tVz*JWA| zS8YH3r&vF5WFyKh-A#w0HETQq*cPa922n@e?43GlPt8hb#!jncj`(+~2XtGJYHml# zthXhxB0IcopMRMPT$|)*`TpPFmfw;18**zx>(tmY`JLcg-wUs{+iPOkV9lQ zNRqq6X>7`RX$xHF8^5w0P0F9qZtej|sBlo81VB z_^oVFI{O)a#JSCEaJA!s=xxH;KQ^ypZ9$zk6T}>fI3$GSyjO_0b0h-^)W|&J z&Gjt0DOmnh0?TbEAQuz&Tl{;WW@VflI=3BQooo+ z=kqc3Ku++o`$`k>brA}|m~$9cy!Ef&yEsG@4wd>&v>)y1U}}6zxYa_-9k`C@E#*Pp zIxKn4ii)^BOnU68{`_YA%+Zk2Or3yNdaQQ36UQzhN<;y8^DxvAZR8PnT22mMqzd!r z_{Yix5ay3l;}mKoRe0|Ep$03A^(D=3bniwlAFxE~Z3RLU?#O5)0^3#FinQ8B2*jx{ zfwge#td5vj-~Fhu`bL%ZGceDB+RZL$rFUivN{Lq+p8!(RBEec-`TvZeF(hvW&~}F zUi8d_bH20E+$xqyCQ2*cv;}f-3GK zb%FC`3u2+cw@D<7{Q8>Ucyrp->X-UU{YtrO-;CtnYNncTo_=ocDDAqKGTO!lhW8oE z+tQuo4?`ts!MUC6eI)byO86-&=W+Bk#r@Sql2{1cSAd$lTHp1?!3J+ zh(Z8qHv7Rb5S-^HJ^K&Y1#WR@eRS$9p3o5i$RY2a`iq?^I1|o{iF37yT%BWL0_G36 z8W#W`yY$T)3@NjX7RW(hOb$b~55NrS2!(`px%*|Ud3UUcY>uvWUlN^27Hk>iIGq$y zU5gg<=5`2zivs{+&oQ-%$b0;g6T8RpVmX5D-^dGEe3nO>GdY+Z-^(t%g6A$V23zqG zsu5GH2d@j;3!HxYd3;IzCJ8<6)QTXa0237hGWPJy`vIM;qx;YYCBFoF1Pcl*Shxd_ zPdb_pAATj|&H`hvb^VrBYjMB@AEkX9%|HuW|6>a>T^*sx^~)TJokT#XNJ5_yNAl_?b02aOCdT(44sTW zV|ps*%NmNYdr8QC8T$NU@_HuzBPl>gA3i#Kq?c8d&Dsg_Qhl-nPD19M_80^L!Sip; zejPvH=xW~sG((dd;hw|E54oSl6N9eIaVL*fzGj{Y^pP>x!;9a|m`P^n&O-N%Q%=TlLOO8gnq4syv`cvMm6E%QH7#g+Py|+rl4~ zOP#>8kIdCfxAUYRHlMWU66ZU@J+rZZ=~pWbx9kBwMQ_AKtAI<^BfEPb;(^4cB|&v! z6$Xmkhg9t>6rBQjuq$Pj@K59&@!{!@N>NxD`@g zbT}5RY9Wwzwc-j_oEd$z(uEl;*PxQRt!n~A`DN)ezyh*W2wG#8?9C(UKf5sEs_}`; zK@hUORk2N@{%R^>T#aw!kw@*R21il=Uhp!xF(k*OS4Pt+d2CDbSQds(5Pl`&F6d0a zY>>+p4%3#G#H$#YEj{_I4VujKOPz;oo>f$6m>c<&=u2MqEr>9yuuNi(G+65&kJQ$n zg6wTNQ{D{r)Rvalc!&IA?X8eIOW&A{ykrG+MyPBuMvcOlrTg(a zrVFkmG%klKd!QPjL>hV~5T_B6}8;FGtk5bKo8Ez{;*x%>|656$B_aH|?Fw}gr5wS4P zRY|A`FgWJ`+9^B|)nV zgRm~<>%&>~`Ck!!{1J0^?=;wcmGJH;bBYe5f?eKOQ&3R|whDu2&p;k{n*3Le(hqzD zyJ7YxzVpgYJ})m(^UhcQ1PG^nnXaD?QPK1!sASf>s8Z?hH*=JOP;)pZD!c?@e;v6x z@SAI~{5U-_<Uah$-WVTF&?Mg7@bh?ZY&x8ucPf3>5%Y1L3*NPT<|$0 zxbsH-Sh8eBW`$jBFTzPp9t6h9_PV~7ujmZIc=~Sb*d|G2ixVV#8;v;$GFO~~P-AI) zjoF>&oJ}J=g?y)$=5OqR|2Yf1O7yV0(b1tCV=9CxnYkP493%TkyGxXH*Nz9JFDg8v zZEN=&oulj&Glk@3s}o;$HSA$ideo*cQi5tMUK_N(JF|Vzsjl{>&X->+o2BEaYON3h zN_c^6W{l5PvNivi@(fU&ANi1<9d-P_SK2Sni~?hX`LT z_JKf|zt^6|C_vaIN2aUD@r^{u$XegmXPFx#6N4<%?xhT?B(h9XHBDboGcvL-mRl(M z@v}WYx9dX03X#Y^ECZB(es<)PG^EBm9hka>-M2t@KP~Z|h(I}*hP>b_8p=4zitVwf zVL9sov5!nsAD{L0PnqqHnMGSzb*Qz%TW-jIggbBb1PWpr#pFd)h%N%@?_`XWKOPqep1DYrPEg$5JPT3(w5{+6sS<uZ!|IN2`*4-0>luqV`1C}8?C+l@1XdK@v!_bvAHxDKgxm0CdH%*-?=U}Ht z#;9>hAz|d^sbXYG0WZXw2PqHWaF5ylCD8s=r5-FquH7(Q>$zQpb;cb-txOrFqk3wl zbF2f%J~ICMAYsLA7u$ZU_qP~0s(sWE(pYkm3tzIAmucg?YG3~fQ%{|}tF-ec>yL0j z_J-11i08>uVKo~ZSce{3@5!5=(J4*ahPx3>g0;*BaenN zV{_fFB8SUCgR|XrPT|LWs&bIsLrmHlA`?Rmr#^&Mbgi#?EO;VHvurweV%j_ep7}JD=&)H z)ihHtIfeTe->4eKiFwd>Zstf`pKPnq$6wyh#Iut&LrsV%!@rkel5Urkg%^})4wmB| z4>pD60>(Fihlc36tvl~(}(FM-b6((?}(YpZ7A(S$M zat3sr;2xWoYOyC1;u7Rustq+$#kNEG^=^k`8>=hm9yLsFJj{w&6;p@^H#qb`cxwey zx)nmb4#OMz-a_SxuH#fEnaGok-RE@?LyQ^^qb9a-Vxa^hsyACeEPm9;FHAwjq2wO9 zrp}n*C?VgY_M59phgIqptl@zrMrbYNQIUi1~%b=9@Sz?Yd z%kq?xdkyg?`?2w@L$m0p+3#o9ZZw~B4e^tXk~V&iWWM4kI$nX6_CsR!Rp%G+E(M@b zt(EXxV%ED?70A$(%lk13@W6U)dk(!bPNoH2#SJl!)WEBLdN|-!`0*>w+I4N!1QD+S z>-b{f^ziZZA`@gxQ)i|RSdFJ{Q~vi{;LvM{Wkyb(LDM=M;BlC9{jcaL(}W=OSkfi4 zUZ)?7)5DzBIH4=I_~%{-X|h9ny`ErtBf=~h@v>bAtov40u`P{t zBh|@Joor_=4GEu=T`)RT-^_cQk#@xB_VMyVokBmV`t2QjC-K*{^b`I5kn#KFHAgO! zzOHhm8k}4VnZa(NnaM6pLkbQe1A@bBBKo_h*GdtYD{rYLV)1G=D{Iz?Qc}4gt$eD# z1i=yP6Usn-i~n%i_kh)ZvU(sN^yRA{VqVPcx4Cxpi^eGp$)W-pHJwdRAEzN`@aghl z^!QpOaNsr`!G|oQJc+QBN$4^`t2Pina&`Y}qkX1Gza~Ja$G4M1<}1lVmbdRnI8Iav zcn&1wJWC&ss-^nMTOXU(^hoiW`3wS`D>L2*{fl@bHdE@~R3S6Poz`<>$zw-rZL2(^jw4~_0X*vG3Sk+MqviWb&NdC2ic z{7-TKk>9HD`I(2@cplWt<}KZ%C}!;|WsAXeuFpY+OMr^bFBvt*J3Q%mU&%-${L{NYf$eNTwronW?m_W=@v5t#R1+q* z%VG_)Ji3h3@SPkrS%cwHg#LJl;oz35gb2UR>pg5~CKfSiSdfsvg;e)U3%1oyKh z;)KlRAa7_x)c8VX_y`*O@BBx?=L%(Ih!G!%KN4fU8W7?wgQ0Wsz5YV3f+YH~>-$FB zdmYVW7X})0N|3udo`llD$5#WGa*EV^vN}!{Jkk3Qloy{ zRetUpml66NE731styQWWv4o?jId z2QP0Q9kj+IiBW>g@%q7LUsxR<2$mE^ljtNU4!A0=p$gx-CaHHOl}?*V zHyb~(bI4-D$=0b}Q&8){(dMK~_`~vKz@qFiQ{ea7PICf_C~`{SA+t)#W4HR>aXNO} zhY{JA*L=;tz|b7=vhf5yr3>ifDx#%UtPjT2==EN3?35k)w)TxIEKMcQ!Gw6P;yDZ= z_fXVC0Ki=PQg&Q>70bGP!QE}I;<`CKh)Xssa;5UGp7e-CLEUqYuU@Y*a&@V=81q&3 z`^6@|`$CB?h8Q#@$1q&rVUn4dZg#MrJwxq%RXOe-@ICcyiEyw4#*N+7Z}KjrvMs5e z_|`A1EO&0lJ&>w7r>9#bweRn&t~(L8hDdfFR-N@VM;sGcz%Y~!9Jl%M)c9~MSvO2Y zggd{KL>TernZGms?cM7Kibedl|5SFTDs!9&9E_e5lHTrysTOPs3~0NA{?^vMUL5o0 zEq}Q-351*LG~NkWVyCRsqp%+eqR@>s=(Mf=s9-+PqMc#E;Vc&VdZhpFC1RIoezkNt zT_5V{sho=#4-Ad|51mdiIrD2pQSld zpM>w4;xYyx$4+hCbDQA;*>lFA#aaT++x}(P#&6}p5Vjht_a0gpR3S}P+ z`3Ss)Nd!z=ZSZMHhm|}bO^RmP{t;#HmwhHv`1HsbfACMPH#=iH|E{hGUZ!*VeDmSr zrifjs}kDfVp!)hWVS^(G&T~EgK@(_H;fRHN*^XdPvX0 zQ)Xh|#;mqy*~N0YStqKJ;~sPr1Q5nfCdL7vgWYm+1D9_;b9E7#ak^TTj+VJ!Ad<~de7fn42oniOTpkY?{_IjRuVKs zhb6dz@nSB-wvt#dFcd5PP(YvXXDMN@{s+IUpP+Nr)|x^Na@ zZR{~aRrMS1bMir}{$i_acq_J5MS(2i!eue)!pO3%H7Wq9%eW({ql{hOD$fnc#3sSG z&HS^z-ATpX5|wkDD8;fjSYsWSI_Y2HkZ!6YO&!GIlZ9&;TC+wS48S1$9H9T@NT9p{ zqpd>lDX;H>?Kz)@d7r`qxa}bO@B}Ky(C+hmEq*|CGjR<2n zX7s@^?M~2Dy_1zwS3ul`r<&Fu)H|0gsjt}DiOofa=|{e#0tSTwK_;$KIjd-qiWJ`% zr2a@_HRJ1k+tV5PMM^sWIGX0xcV|)VRFp9#I?^~3N9e?prT;c)q+c3 zt9yX-er>*m{6U>NqFAf5^K(6%X*H6sVK;>=TZY+s#-tRCFEQJppvO70NvO|s)h^|c za)ma-Bw9Smxv?+*3m@g$q!;*AzpMmhu+i?k*QwMvP5n%lO}B7nu{S%0Oj`$U`kVJ3Pf#W$10 zv~⪼7pN#HaFu%K5Jlw8e@b?)AR-JdH5X^iNV1;T{~%B0+M7qulk!!H*VD)N$V8f zo)ztz*z;#lKEmMxiC48}PZYSm8mv7|gQ4VCfL;6Y@15^ZO+l94syf)G@}NL*e1wy%(p%529BgYc zds=wJ;oLI@R!DI&yEk6J$}lFpMu?(u5{P^g5|_Op>6k8r(`Dk(cIHIJ{IF5Cd>_VB zyG@4wF>?|clajTz|61WLQD+E!;y3}y|HH&!HJJeB+{R||oamRbWs1R~Fn=2&>HRF}YIO`E`<~8hqUW|V z_NK0B9~ob_-ZenfUNw7Ar?n+lOe$0kz?Te?{^xyqD}T^WM`fvOcbzZ3qz!M+d1f9p zGU<-gSKQ5EWFh${vlfKQL{399>=&0D3K@mORBL70xNuNC+sA0Bm9sLF&w<^F+gycl zI_WYuRU;v7BG_ktI8f!%O69)+TSb(_t0KR9OKp!lau><_AeXk4Q&|re#yv-Y`l<1& zE`M-8kPSyAio4T?_#y-TQJ@B=$IjzVDu?q9JT_7j$?9x&Dky`-eCz(c5Y2Z{o>LjFjOhnXbj@bfHLjmg^WmFAwCrK`I7;= zya43kFe~}R8^N9m@=qaARmFh{M{c-PyyzOSD#)W90`277bQ>*C+X{4u3oy^D;mEVm z$yASwm<{k6%=txSDbT&J^Q)sY=zv0wvwLw>MsIMLRKrW(3$sV|PEH-n- z<8-iz+I=CUq&d0w9?B&#Qp~X((_T?Z+Oxbdl;Zmv>^Sa|8%q&%QWT3B3nAv46Uw&R zSyna`u{K(LH%E&b#F#HlO2*8q8;U9vUI38~%!EJuuiG=rIWIuRuJNjeaC8~ob`PKB zTpVX`oqlB$^2_fBor;fDl)r&8BrZV}TKZ3STjm-0CpL1-^MBs5)~<@8n~F+i1AG)A z8p}-whrHk{Wm|Gv7sb{`S8Lk(RC6bT$KuwUFF*uaD1J*!0^LwD8`1OW!bSSp?ZJD~ zSU?yQO0Jg57KCcZU>UcL{7y=z?`eEORFJbz^}FbBIM7i3%3GZ|%Wq8z^M)}uKm}=5 z_MFxtnXv?g3x*;?FF<%VPYOqTP)-{=9gqY3d*-BlhII z)Iou>J+)|R8~x6NM8|XDI|D9T{J3B+W;TpOnwA{kgUU_dfOzQfFIA`oCN|ce3?|U8 zy z6g1}IZfI=gu@qDUBb>w(FG5TL!cXpK1$qSBlRwk4zZ*0xG?m*@=TB9tXimew*CT#z z9{GMHez*uv9Mj(&x&I|Kmzk-^d`#}_)|0FVdBb9lcA_Jx@)5>%;ztsUf2D5#sFqM1 zWw~^FABWQtz8RHNZo=7k-8y?g|6T#o?|+@p+kP|g&c$uP>|{Y~$jYp28A11sq?w*< z{uJW-I}SeTOkY7^D-O}gKavt`|5;dKM+Uuk3?5lq>Q z-UarRe^Gd=$1t)JHz@V$VNiQe$V#$Tot6_nz;*TV6!R*1N~KTf(fCn&xr((y`}oi8 z8KCBh$xRVN`v>Mx@-ih@*My0x_G~ikWI<$@mB*`A?oD8K5XEtdJODu1QIZ z`Yn-0eRc|wICk&@U%b@v!Lk?G{WiqRGwX3_-fQuR_(AG_X!k?~DzIks79Zn0X(7Z!{6pk=VWI)7`O3LEU1R%1A3}uglo3&Cj}E zU+i`T5n?ooEi#I3ev+{FNTVrYsE#~V$R9?d$$)w3^z}rsdok}AJdHbo8L2S=R+Vl= zd!1C-gaECH+EYUv+pue~xOm&ee_KNKG*s>VPA|rB8$!jv@$x%%!p4Qr7aH+3Teh^P zpv4*ikjU=lk|<5%GU5}`@<>E<$^)OsrkfPdtx)97UY)3{qnC$|SUUI44IGG5#-724 z=l@=s;WYtj2zLjfsrtkC7!-A|R!;JT>ax;u0 z@s_IliG%o%lVNU~YUA&C^=4|NJ$9QKu!Wh^a=+Fb596=PA_d-KdzL&!D`#SwVCNIs zYJR-^NiSN6vG?L0?Er0hUW0Djqve`5i}GR{X6G-iF&<6)VR)GRbEgRxVaKiXV{v-0 z&V|svHIq(`O@_%{|KUx%}OV!9{u@vu1QU^ZvP6cbOoE4zNGG+xd9EvtV)=mdUfV@_pz+wj{&^GQ2zRu1ZJ z7zl7&b26X{{|sh?y!o-1F{Zw5Q+{2?{$W8A(n)W7DKGa#_BB&*_Wl%P*C+4Wy0#~~ zF9(8~W#iJ(=^YVm#3?)#8XD6sSsp$4>9lC9lfw>y6%Sg@J zvxnWvN$^nDnB|J=f-(vvx*s9Zt7@kg^RnP!loXQ#N^EPMB{fBbPAA~po|69e60=Ee zQiQh97o`hkh6ZYP`7+nI-V7q17G}6p*fZ6|`k)D7h@<7%t+{Ew0eZ!{ems$pRrxI3 zyf@hAKAfyT{dk^;ORAAIH=+;bCU>Dh`ZE10$;yS#njH!ttx+jgC{=|^cnQE!7^3t8d4Jxj~fn6No)P(F_x{77A+jQs-qFNr1HDVgJ-=(&7-wzZ2X3ae`EUrOwUoOwShnTPM?Jx<$A$ubq;s64xhXJ@tiar1vl=)~hM{9D0>Dor*(S-w$M}%pbd)0G zB)DPewmpODmzq5b#nl30=Yvm16|tf&o>;H{*OR7~-ZZ?2sC zDFo36vLyY2fU>uop&c^P;~v~WlVXy}X%)2`r7V-t*khXoS90UfWKgM{nU{_QC@bm~ zh6t7`)R@vOB98-|xEDY>w&zdKE{qnS-4K70@+wky0XEXy9Sli#Dy@~veJCZ<_?u@j z->Q}P^Y97I=fr^XZYY(U)3*vlVD_8ZS`nNQWk(tnGXdZV5rRQheDAwK@8bHKrY?_VNB8we_QcPf$L) zyu7JG=?$RwMo>ut5qB`{yFmhde^L3^M45uzX43C96B$nC!d1p7I*|dnWMsvmy+3{7EZ#q zlEsOi74`S<)zv`^MwQir8vQ+BNQ>>$^!Tf1l=ExlW!rPZc(#s?TSx2R@Ttq~6y7`l zO_io*yU0_pwcCh_dm}U_YsQq+F3FiTZ6|TPu{P>V;6YFAF5%_B z)U87>_qHz>C-dU(1&UosXiU!o+{&&;%|6t2N=S~-9AEO{e8)zEz0UhF{D{tR)=Yc@ zJc~Y+pM4EoY9cQ{1D|HUv^i%#UT-&xuo$Q*GrbylcsF#vylI=N1Z4B6T)#V0sBLk6 ztK*!@6^M06a-2=}xnQT=jt}lH39Z+1u3Q^fobBh)KQ-F!>KFURNjCo9XH^{+P))T# zT)sJFJL^ma7p788s(g*w1`uAhrY(xoCq)&)<&8DRANP@@YSeV=mN^AG?(RNg;dZ9+ z{5r+gR+-y0J^q^odw_f0Z(h_C15hwUaS&lYr(Cfnp>6^fmu#nMo-a~Nj&UE+sxGJM zXFg~4j&w&qBfI6O+>!xTdp<$kt|72!$rgv-25_t%uWZQK)Ur{!rExriU2_lIv!4SA zVpQ8|vdP8K-t~(_B0!HSlobPTL&^nx2P#Rx3I-k@$k+tQICScGq z+DXDpZUM)1B^G79gfAoX_a;CCQKPdjt_vWdbJ>V{mOZYUk$2=SQ=8`uf8FO0u^-e*NkPw-i}@$C^dkzn4^@rq7tEMY~_C zE*L22HizFqnLg~4_@u|!LQjdFX9cx1H`B}IIO~Q05iW)F-=Jyl8p2O8346?RvbYGX zY>RcD2YGD5x)t~d4+4w|w>WtU<+8K{9Z)iD-;t|{+_ftN>VtS$gK#Nx?*S!cbLRTBHC5=lU(Na{#9hBxVXDtTv{4UtsEB&I}DR3ovS zM3r>pen?>i?IbO&n5P#}5^pzOt!kCZbQ`&ur zH#obIGIh)a2X*+kCVlQM+M#GIgdk znh2eS4|WGo?ng<_N$xB4UNGpLn@~L~9vA$*q=azb``D4SPSvzMju6f2DKG>K{1Haw zO}T7Zxwp{1yeqrHGqH}26sI?u>E*M_K2Cs&4FO!jB-UiklJ0z*|Ey#NE7L zXX?ne2C<2ve^~BBUvB*`^0!5AigtvC1(FQQddESm@kwL9o+)W6Fb#&XKa?5vJV{j|FSLy0!`?sx|fDibuyG; zL2Ja_(}`z#!Izt)yF}Xw{0)oS6IA@)OVxib1<5u{f43?J5h$kRWogNi(^S@sj4kSo zO*oP#JIj}^Yt#Ph$L z=$iT9+Q*l);j?GfJ>(WOTmr#J-E-{&M?Dxq0}E`342cq+8e7sFeYv@6x^<7%92cl* zepatPac68utF}G?O_@;e%zMcq`A-GhHFY<1c%>d$Ns5eVIb-bT`&4|?6wdwHVGjvA z*qiE#;GdFLRd~H%qWreZ2X>vSqf-483l}Sh|9zaItJPWh_Yxrqei5=fkwbSb#uU>K z1g^z<_W6-w!$i}cx(`5Kg?dDDfNvCawh=wV1$N%V;*d-~06TJD!I zi3M^*!Vr(8c~~-$9GjIW!rHD#tu3RZP(o`f zIJO9dMqQ!{1^l#oVgYYR-)lyK&INOLic;5^t?3)2?S3h%jmBz1xk$JPFuw+kj>sA~ zOd)*>0nzA2#H6HB>2N55e3(o}6XS6eHJNLwk8L=pg80QN$V~*vx3M79-e8X|8Lh;k! zCBhk8Z)QL_MP%u?a8ulo5;UeI(R-+U5699zc6^~(DbuC5<3(Ax-eU}rC!T!g(yTt~dDCUTY+$!}5<3MF;eNo=>UuE4 z4jOrKY$8C?oul7{dCifZL)H6EF?8dUqna(de8H&`KfcjjJ??M{&;cp_Pd z$$#_qE?uAKUq1{LH2e1wIwgxv?tW|J=tXEnROzxP=@;#8fHTDVTtMye_+XudWZ7gw zBLCXcQ59H~eKu(*M{W6dGfaJe1zP8L{E;$zLfYW<{NF!1)K_99)auB-do` zK)iL;UAo{(31hSz`s9FN5;l6?AXKWD2TtZHF~V!>71a@a=;KEMt5e3b>Xi^j3UL>& zsvgA|n5Ilu+MB2poDG`Dc{wv-42=?LA`kklEAFBnC&l|lqv!=+RaF&r3e~$cD8#7L zA0S8^7K%MJ`KEaLtI67|V(kpRLd;X8YubEOVK1)}@%{-RnP+9pNN64kmF_)|Dy7eA zj?X`;^&@sG%$IkUk4ZlAU*3u-U%!B&8~<=cM#Ec>6#D3R+;0+FQX2;oTE(&`Xd`fc z=DH0q=VE^^iP5Caqx{P}fSbI|kl-EsX3uhWyk6(uOH8^H!8!NstCaf36mQ+W|E7ml*Cc)tSLBBxj|L*;N;1W$%u4QD=+493$^#biKqJ)o zW_*2ydvlh0%he!;znA*=C-w*TQFLju-W7GMk}9@mksgbK(w<+@(U;3yo_z2F4}Md= z@qkw`A*JRH0#lQE6>B14SLCQ#S0!5yrmfb6mV7P<>B)Gxl`KMo(R_ozrBlx=7U-Xr z!<}yv<8W0TjXZe`LEuz(Ebw8LDSA$-MP33n8feO3h>`36c!9?(74kkVL_K~;q}8tef2o|nT-p2@&?uX(8m&U^B?X4r;O~V{oj7sqvEcaQ zC}Sgap-GOzpmgn4^rS&mUQYIfz>k`R3l3t0ZWNwec4cRZQK`uU;sr?0*jgTy>9`X% z$j*>ovxV;n7Ia*mM^NUF8B?9&Qo+YGjE($-{bJ1$=2k;z4v6ANS)2ikPGP7ax)a`{ z)b9*5;TFEac$F#FB0WWbBjM1Qe*vH?eHntxb&ddj{s`rxJ&H9I|`b=~6R|&>QJ|gjoEqlJ;D$T@CO-s8<=F=}Ys ziA+MG7Njlr{kcA8-bBWnM3Nc9doU261e+~xpeJ~a3yS35V2CZ@n`pw2hN$7houm07c0KCty<~oB z@}1cqHSFUw&SbSY0_Mbh-p^Cz+LEVOU!r;7!xKZ7b9lj_H-+W|)rhYTsIyNNqOni> zy#%1as-a<1W4Ker3=3*c?s)T=|8wRMOKRuU#IDJ6>D5u;wL<;^KnrVvEJmvGaod>t z1Af^4Fy$xcp-943rv-)fO0-CvrND9bGlV84g7=MGyzJC23&Ke+l*tm5jXbu;<)F-$ zjfTe}>#|?vg63oc|6Wq3hqPKhPt8FbpgnrbajK)7w`86Arfjkrsvqy7AiRjw^G(o0 zgFOm5x}3Ae{3{xwmI+X0Cm`w=vr{Kr^g!9<#%&}1VTu%bR`L8`bOt}~iGOlcs8R|k z;vE#Do1~mM6r{cSykH28d4)TNAG_fkeko(I$*czx$?OFdXNGO2<9{!)?`#qIC z&fGiYK~Ygq(i~-uZz66}$`lGUQQSKL(@J{oAIMVbQ_xk}AZxyuX- zM~16@{J0L+b*}Rd=Y7uMeeU;t->>^+@&1gl5SMa&e}LKU9_5G`HcWx;EdDuFC%`e~ zO@|v<`YyJH^qQPwU-CrEtJuzV3<5H~mOe~w!L>D;it9;HRUv`a1{-mB&4dRDMS0|H z?TZLK{jf%h6`ysalQl8Du{wr5ShDg+)_uu*xRfNFmO?O=fvLet(J`qnJ|rdKZ*y3mDdmPAUX8Okv}Md&jdD|cv^}1UP4ej> zeJ&;Dq~T14tqtLKyHo48fS0(Ka>*yLQ2KcE7U!lyyzZkNZc;mpt#<97k+)#4#{$5= z|D1A+mN2_%Wx-?YoV^lt*UYKkSEp|5;dPNGEe|HIn@SHZbuTZ%EM5duUu+lU{2i zXK)#|J^ee%e=uAV4^y-!IP{fWyBI6JA9n1XU1x;>2K3HZRs)5Kb6R8d#7OLW|7>@w z4tiH6>WN-_@}$#15lC<81CWk)m!rEYEVh^VUz&a>+V{OttVoJ*i5DE>yaZxzD_2XagQ;=KONEv5~<8x-y41Y5O1J^{~GuB56$z!Sqwub1Y8 z#rbXOsl#WZ1BAN;bTZwrZzl0sr8#-e90IS;`w8l&j#=b8TSyk9-qn>zCbw#ku3gDs811jaB+Yrm&XUv{pfNdKn zwiMPKU;A@vd2wL57`9pC$PnPB#QKgqh-S!4wJ@2JCC_Vo2Z_g*0NM7#`;PtYNgUC> zqU?d1W9U7%(}v$J;9JU*y zblIzi@~*#K?WYQL-SE5Ugy95}gsaP~Jn}i?8+}QDUUJ{Vw~iJ?>r<13VGuozDW{t!X!tWYhJMKQuyDH6- zuD0GdptCtnk&bxZGGO)mvf^ly7VotT++@6voLn38y!OTJ3&-vUpLq^m@uN#DTb0(! z?5u2i`xj2%eZ4^KyG5oC57sY6oty9j_&3)|;CcnUo6! zG^nk5ICx0bC|gbcmVt2y@zSTEStdvpNn~`gm^|mVtwqSw8uuYm)pW}lpdQCm zwi>QEJkG)Z)~7kGHxCNBjkvK{35ma033(=QFxR{uoz-KBSFD{F@7`ojB%Tvkl zeKMY5%-iLb#RCcs;7|qhDX$Vz4;q<}W5C0BtH2sh(blkzxJ+1YN-b`ElF*)JrxSjg z?O{52BR5E+p9XU$W~SD-2WAMqdmzA^{C89Sk@cjEn5jflJz%9f%QAs%nsk|+sefZn zu_s0c-#FO7ZPuI8;W-7&ve7~s0(qj-_G34RA`~` zEa!bTD&$$}dh<5h=AHSRhPgc@T{B2V4vIY9Z#34jAhAJDf+e^W;X4> ze<{FvX5XJvtaMYktc^eiRWmgjx3_z^;wQy&IV)7l&g<^Jgx*RMz|y4V7lc|lH}E)m zdy%O9sY4OnYP^>2w|roy!06S?slHIZ+NOHpyy9X80n*2w4~1om54~5p#Az8_+}6R5 zFAtVm%naER%dS7P*FV0UQK{peG%+oC5r}RmrnBWl{4RO^uUq5Mkgwgrt%^%uDp_!m z(Rka@i#N#bSUH8suf zSLD=Yhf8(25Xz6Arj4>Vhd?O3Sqc{uEaZWkE72Qvdd|K*eSLVBmN#IRic9ai&?IRp z`VlmeGU7P;!g$G=efef8A!V9uTt=$7_<6|OT)O)~Z2FpGs>xZIj^a04v}K*-bS^LW z02~2t{Tx^h)*tk<%g?$7ylWZI)6xSEk?V1a+Q)wN+lZ+}Ejr#b|G`2$@$4|`CtNrG zlx3sV4Oz0X?URna2$YYL06*_3)MkqDd+^o&k<;SK~I`{&XYlQE!DZfiWmzmf>l5GT?6$63dZEo<>eHc6%|0GuPyUYHY#AQR;oG_gKo0sJ8M%ZPb zP=M33o_=c7aCz74Fj;8z(>3WNHrqsI5;>n=zN%cSK0S!_aKTx#Jg8Zz@~0(2%!PO? za7}y)F_IV9!_dZ_G-1y#w}G@nuN-Sou6MsI<-6GZLQOPVrzwk5-<=VrG=l%3qZ$S4;iN!V70 zrg=O)fy+u)L50wV+ZI~pCDjn^rs-&iO4`T|thEP!ZcfE{ek~jQGGKc=nXhC#)hzwJ zil=8%+RQO5)n>WgNWA{`OhB#Fk z{HQQ>vRe0As@GfA;H^T(Rpd$kxm4yS#{W1+QeUg`yn>h3u4Q4;sUO%e10h4w z2jW$^bMjVc@hUPJRiSnl$Jl{ib@lDA*U8u1)QeP>iWjLhPA2`Jhm;1pPv?dZ`Pi#= zx{(D#-L%`$JC9h|6NFiKb{RGEist8%%;1qXA3v*z z2R@eeJTyO9Jj=@VzL4=>r~GrnZM;M$jA6DvIo!_nS{H43y;)N;YiAt7Dsf*_c9JiQ zf*H}I`qh^&u`bJspsPq?`bHn1s-wwoOqH)RFssDV@q&(O68a{V# zRnyBMDl751G%I#A`Wa-zGyfjZx$rsUBN%lKe|Ma)m%H0YtEoj zRr*wM@{A2HS&xUsT9yd%S|HN+;8%PmuX|oQf`SRxe#i;tuY1_wKWyw9N8d4M5#|}D z^(t-CiS{K|{9%;;>TN}9q9Vbz3g+)$~8KdbvE6=HV~%C$f(yTA3UN+NbXa`L&|!@{Y# zN%rIfF0I8vwZpdxEcxf`Ss|xW$BMUw3q*VEeRP}JJ%UuV(L+<4vSr&xgX)Nng;QQ( zq*r`&w7)0oz9p4bA@W1;`p&<<1Y!p>&hmZY_xhAP1?WhrI2DY-eOmwm(Z8_4s#lGp-fg5J|!T>4`Laf>g zE;y^`%$8Y55xeuk$DWdM<^ux@IA%jh#^nyV$(nV_U}~vppzwzUaMc%cdGADAI4t!N z=skn#_;R&IoSNCeE(1SLtoix+Ej9Dfc-rz!xroP#yQRK?l}VPYx}5)><|WCQTiIyJ zhyIs76bs{pNj%Z@r3Cb(P=U*|0$QVeKJ%@&JqK00x|DpZcXRlRXzkX9ZHR`(`-ft_ zPTUe`H<1Oo(ZAgvP6qV0_D0o^Sa&W;!7~pk)K+AN5AB~6`Hjd_ zM3`r<`t9qB^(abfl3KM_PkZSVqt}PL&ejhnu~99y3{~gU$2;$_f;S;A`o{=-igqjb zwHpoLga1yS;}6Pouu$fy*Yrx=&JXqS=(&VA0osV#|L*tc`9|Z7jZkZ>dM-E+G8dG7 z=bS3e6(DBA);1{5xozmX^akS_Jl(U@8*7KfXp-G6ta;mI>IEO!OA85mx<-X!m5W4O z5zMu5i?mh<28A}73bjS3=DwS-70PHGz0wOhQqZq{6jA)ixA0C2D=6q{A@%5DqP;t% z?}L4|Uz4PtZ0=3ZkC+nCn|EMlSd`93X_MJcQuFNMy^EC__0RY>%0{(Zs`jsv9^_c( zu8qpZabUR=0W^G~?wD&at4hqu$l+)R&!;F{Ycq$<9)fS{eir;UC4ajn8Cm7mvm^G* zE-CpgZno7;q%@#PLd@J&U9uo&-XUI50D0r$%{fnrz{b1ShNvjb;tUnB_l05_8a7G= z(LqY-c0tM)YIwsX?Mr?x=iC;oZ`DxtXj-+nIXRffS9FHOpdP1hxaKryT|bw+HWV@m z^Otmxzqqup&i9oJkt-T5_;YG18idrcRC~}fB4f`AE;!E0P#saDk*;3A^7Tp#6rcY) zcW8D@Bj~B>^6eNlcc`k1nNySY0_Q5;u!hoG_Pp<(=g0BW$?`p!ZLRBEm)wOLTiHA^ zwGeLGw%(=tHp6?qsc+%M(n+a_R;qV{Izlb{R^n3g=kDAtV~6)oB?NeW%od!D?}FaK6$ClCVfL#2nS%OC@9Rc-M7 z_cHnF7M}8%40ydM8ke3X{>5BnSxx!g1AobC6}F1wFzs=R>NE%xE8Daba7CxL_Tj>p zK5>u=x8(odY-{juz1M5XI6^k{zoGB*rkK}bzMTl!SHN~pT@a(*t7lSYcLmPZqis{X zO7RE3{XqM4Ztc>8A_2rLbpCMa*SxEd`OZ{0w385G{v!L3G1^iVZN=@m* zw@a|imwq$GXGpKhQ`_~=KHvslW*JxiQ*iy8AGay5>~HFDNbSCgje27tVF3VGBXVAYadzJb0w z%J7+>(iOZUKr!e0KfhCJC5o8-y**yHlqV&{&nG8&3yGAnm~#V5qpU|))VyIP3h$TZ zVom(a`)Z|*q;5VxGgZZNA>4B4(Go}7FN6HIMs=`|ZGo+gUvUB3>F2rJg^MIaxPcvO z2uCtfeUpjFkH9Y|U?pW^gv>_ppqFAY6`4bIu@@z*yUYpV%1(*CvHT_Myca&Q?GTkH zWq64{`kX};ye*Gb$oZGi`x z7CANzPL?Bbb{DbZ#gA;015J8&hRYCdq|Bxbn2A^Jvd1$2R?oBgGPFWJ5-sli=Ixs5 zO6kSRM{r}}b^0{a#q8ItJezpEH*BGD*PcPs{@t!GscQImF*zf(FGe-Fmvea84B+t6Jl*ExS*xzG>+akd?JU5r|i#feb zY|H)o`@P#2y#@R1^&`a;g?4PrONTzngKxd(V#MKNKCc&La!T_-J!DHJ+`4$O>~>aE zgR)X!>E%n2Q2Mc8!IBMVBS0xr9%4e5As@hSLlYXEQZjP27jssmtaO@*;a8SSu~A01 z)mYU5iJAFPR*78*3r|0))F+{=UPlakoie=o=xXL#kFdB15vX2cM9G=!1KSVFcJ&jo zXDo1zwJ)Uxa0C1s4xi2zXgr>HG%(u&j}vM*z?~0OHt+w|)3)cdH8C)!+&IG+D#e-^ z0NA>2An|=WZf_8UHiHT|UzE`KqN-unVz4gBq}2P0-?*8WnImF&r>_@pt2&+}Yxr)h z>rG1W{~#@?XCykThRvimPtQjmnDwppz^ir=-mUZD|nZ zPAJ-^hAQyz3eUd_yxHmYPwuKbAIN$%DqqjH=fGp9ml3=Z*FT-7zvlPB>&9I#Pw;DA=PdKbbRCx#pd8Td5bZR9XQHHRui17V(Oz@A97` zCihZSra0dTA(bpKP$N7347awY?cxF$kEy2A5?|ib;t++h^!+ecLnlg6u{NRCg^neA^4$sq;_S zY?@rC?Mb>t7|r^Q4y5?*!+&0pC=wHOgRRMi(A~Dn%_x)?63ESX57!0HXp#{UVvfmA zjr51oJj$59jdSjbns-BBUMT&gL5n%*g*}6uS%nBI&sx|& zUpsA8q_i(~R5g~D9ClkEC0#eePKwHByJY3BZXJ=V?Z;b`)J^$XR@E5hL#z7t-~EyY z8NlLDFIZMOI7W2&PyeZlqNh%u{_E^tXU?AC?wtASuT!V~IxQ-4M(iJ;_SuWq#FcdZ zPr?l8=n?in`4ar@jX(3Jc>g;6*I%Z8E&VyQ_Tx7q)*0sg=hUsC4{p#8!3O8ea%zL2 zT*`;LFP}BA8cqKcf-R$(9&nkgDq?{XMt1uZ&+a-kj z0vs#t7pD}If}M4kQn8FsjMu@i7*%>s*c&b;4ol|YR<=Dn|-2Vnk zfogtm{oT3`+4HTsN87~*1`;S%p%#lBWZJ|^+L9GU3ATvBv^34(j(lkc-S4^#OR4`m zu}eQJ+JWDOx)&n3=j%r@C`w_l2_XhO(sF$w8ArUdkm}i2k8z=HR=+nTTwdYbn9Oyq ziRZ=og%N-7)bxkB&LY(z{gOw<%wO*fzT^TbeO4a^7gO0#hgnpi@|N~4nf%dqYu^Qs zY044Ue|57qGTLtMU2JzWi8TJeHgVkyf%D!~q#cX)r|P>)rs|kXrD}(zkr$}atR|ff zlgOj-?Dh(d7>U%xP%N`<{siDTg6O*Zy=0pR=s2lg%KK5;PX%y0g{}S-`2#l9a&UE^ zJ#C6&Y6~X4+cZsbm>ikTP$>ns%;GV=)t$#-txMhBo!a$;897wQC~jPAh2;p=sdT%qeMyBmALOn8a7 zXQm?7ZT*=E`#^_zEN{b=hO1%);k!Md|D(r`!Z?aVMtI8vjj6sJVlZ;he%nAkedt3l z^jX=+XwhiV9G?D@HHXU}ky_jIUCDWUBCk@`;^Wf!elmVPT1I0Wa}@(%i9V?6Ks58vq%M8kX@vu^S8^pPBjtW5 z+UV1WiV}C!Go+JEB#>|^@nyI5Wx>{|Z}%-99=f_noB6l47^W|X%j^EUfUbYsDTs-I z(he4%gL|17kI>Oww)6LUQ+o2RjviTc1?W0*$1368|%^1d)cX-JDm#CnPJr*jy*X^UwC9ci7)2hi@V3sh!-Z>_6l&^oIa_bS0 zd?$Tu)eu(sY&C;V{wl~qiIw|9xTk(S_f@~^vA7fb_4lDi>v<33d3A1Z&0r)}Jy0V( z?O7GS2Kiz4WjX`Bn+&Y?G;Pr$=UO%HGy8BvKS4>%8 zxGtjS$n{ViR#S>fmFP7T?ULDd89W;?1YgSR|cL{BIRZy$tJRNC-z>>urK=;{FG z?ASgQoSIBN&f7~^KIS$yP27XNow!YqYjwAIwB)EgT}N7M*Yo{X?ANLCSpjjmGKeV&Mr=$PyHfS6qx z&)r4)1mCKx0st*QJoqI~I(#Repr_lu1y*qiM{j>m{xfJ7-ll!(N$^lZ;DKG3DjJ%@H?SU?aFxu_j7P_DYbm z!}Rsx>-NOpafeM4S+ARc0yn|yqOmB8O{07$RJ$mdeSF?nRJP9Q z!1S@@_$Cz(wQ52l4VPvN4Mzoro(xA4dr{4!L$8>+&OUuLesEhqP_X@^VhT`IXN=ZQ zW(DX|8Wt*}S$ppuS9w&>LVECanWesO@1M0?OA8{8K~j$FXiP>1m!G}Ym~n`Q@v9<9>9;s=N5o?kw=F?WFJfi!lm9HVfXATnDaLt_uo=kTPyQQnB_ zf$gK+VUiQcgN#z2eZ8xCxBRu~hmkQ9NYmjsQ_##<=g+BCGq!nrSp3Ke6N*N|_ocw` z)3L3LqI6i>yvs>=)jF045mLRbt8~<-yJ%)g6*2YWipi5z{U?2*qD zb@>iQsu}YFLhRLl+VSSqG5?v;AIjwEx5v0Uv_9{f^8Jxve8s%VeDd8#keP1EGG*Vl zNy`aD%IH*djvbYc+2?9VZn*U*#%kJ_lv~1BIBS05G10ORA>~Nxz9sIHAJmKTZBACR zO_6X0b+||pS&w>51ZLsl>wwcc)?{nzecQL!j>(gKC(2(VR$R{c`wsZVXa0uhwke;5 znn!a+aO=B)U8(HW=){eiUZgMaBVP#X$r*A1UDl*r!sjCcZM=!q*FB(ag!>kn{u25D zk@aNMvsrp}oe;Zk;*k3^Rb$yFmqyRs9aGvdz0%^H+zJTp9IEj{)T&zT4At~qD3DM4 zJ{QlBWLe>NhAcW9`=Rs}qc3Y#dAch<xIPk;gXaXqY{WK&vVV30Y9( z@uQNZ;Hu^DYTC{S zI2o^&O17eH`oZ4eG8w61+r#6lv%>85^J9@^dx;2#U|0*^_pOLW*f>~V?8Y)9*L z_v0i~Q@zFKDBb>4DBduzfFK}rbHAn1;%y0nUwPRa4O5vPRraH0wIo_?_@bU<0MwpL zH^P^{M#`_{6hdaJVKZU4h70h_u5I(Zu5O$q%(-vUt|WUQeOR#P@KJ= zzR~>-i^t%WCP{~x5o*~S*qJyFl7c+i$uaGRTdamzIJEVi(KaT2Wi4*-L)87sj_$pH zV=;pWwYkl``%$_-cztTfABQikO3z%C=9Utl_1_G^x5Ci_=02VAj|x$<3lb@a*d~0H zcS1(}JOrRPuTu92BJp=5QM&S-FV`8@YGE3BT!u%h%lA+IIhAbyfwsGe?E`r7FGXa% zFWPEaSY7hdHr&zs?QN}R@w_f9D(#Et+q_&|51Nsi$j*Bd!5H&$iC$5D()b~oP@!LT zHW?-xV61SjI=e&1G$bjMb9>ya?Wv)^B&N~Z-YQ?-0<$B594wc#Qho-z;CiS+282#bs zH@DjS1_K>C--Eb_Cad1ka$Yl~Kfh>@-mvj4Q86&kXF%b*(n-E4pdn|vYv}9$#WYsR$Mik2$4*i zgD;JSICQt!zT1R7@b!PbG&$K~@&g@hPN+2Ut)@)I|CGl&$I_loJe?F)xfyMV5mkk~ zvnsEHNM>o{8M?03kd(}7qLIgHl`|CMA`#^RqC68$Y&IC(CJ-vP0?LL})uo!6>ZgU$ z4-NpC2=35f-0S~kAKC@W3dP`5&7y2>(5|>wvrDYAkfL+~u>{#gtlCA>r&91;3eu!s z8t`8W2BITAgD|zEt>)~>_R+d`0s5^0sG?jGzGzU_TNQ|^(o2Bb3ZQ-V5&2=4-qBXA zChE_r`f2i^8Xot~0M#uj{L^tGgvPuonQ_whMS?hpf=d=KK2zi0NffUqn?~gnirN+~ zTT9}Q2a11}LE+}!?p`IheLE*Y?n&8EGU4~u@^0S7^vrXM1O9Me3kZH=MkQT;A{923 zrQ;IaWZ8q+a0{Ke`$EO65Jlr4&AxbI6}5`mNZtONo@}z9>q>`e#E2LG#}6v9c-s)a zew4ZJYnJ>_1s^{@vfo5+jg=O%-0wb?+G0<SG zFwYKtqiV;!3f`+}6{4HL5b%)Xpr|GyXu55oz zOERDNy!UY-^4W4UAdf0$axNN-b(KQT;eMu;^Wu@LqTI9e%F~|5R$WkA$Pi;KFDlC2 zu2pNlWEbF)d)|1pPPQIGs4fWq55ehF0LANaH0>LMAZyxY*eQ(9_wfmLK3%0 zfL622w9z9$s=lfbEJ(YCwl+3402GscKpY$3PDzo)d2B?kWDYIfrmFQYot+NrsJzk3 zkgUvGJCF^Sr49DPN>(sZqppPpNsBqYi+8B0J{C>(SjJT$0Pjr~<_W)f7*aoAi36L^ zF8gOoH}ZbLl2!w6r@gGB(xX9Y=8-bT zWcJ{mlI`mfwZI>_5E|;?9h1thDt%o7f@VywCX}nTjpj{6D4jXfZFWN3zuM*d;TN8{ zOo$mDziJ?T2rFFa-^RvI^C`W(&{P|7TNCHmRHdLiY%9nCb3}FnX&g&vxE2P`mc9E@ zM;VI5K%lH@V!!e{?bgdCLp{Bfl+7i}8)D{urBjcq@FzCCU^mMzX#;m)S!F3I-rsOV zTS&zHVaI)mZs(^Xtc8@Klrg5}dEH=`loCUE7`I)Yk~UV!uPli+rxVk_>%xg^9SHNn z58BF4U~|n9Zt}*K4FzuvOpgpMU5|Be_|HEBqP)7-fJT%HcPV5Q>d?{(VcwiOYm;V~ z_Kkb)XIjhBwv;XBwe`&4JDT<8DcM~PZ67EYd^ntsh5k% zZuh1>ZP;;+;abOMO!yhGkXhO`?sq;(iKBHZjWuLXqPdDX$C(}(UJ#YC9m=7=)CT<3 zEG-dQ5CsJVNwKQmm45rPQ#StVjF>Ztig5j_vXbrKb6TM!w%!ToQsI;i3U< zmM*mPTh3%TU%jeywUq`fdO>^20a94e-#$1+=4*qTqKX(9rOY+5bb7qcK0&X=PtVT2 zeAQvN_B+V9j62y`OLa~+%@zhMxLr(J`<~6n!0V2G_t)b3!!J41UHxGHF+MS)J)7#= zhOtGouv^1?+in|bshRnH$R@W{rzH~sd30!GYI3wF9NeS}GQ~ly%jRCC+i{c#%WOgs zExW&S^H|_vOr?SY*N3h~>Nlz=--GWti*(MR(R7o@6cRKOYEy=@PQGRSIVI&E zjc+1U6j1!ReR?ynt-B1#vFuKC!miMswsu$lc-|^+QR10Gk>j!#niDTnK$F6tX>GBu z-dS~TpHiM7!B`4Hg21;G6oCktpvHQ_$aE(tL13EY=Ts zo2!F9A4#J{V;^H(%d2!aEucTAL=B`-gXI*S8CX zTZaX#2qkX6cO!`twF}U0T>OZllOv_=s|3;MmZu+19-)|3V+J?m@=7Zl?w5H2VSGN6 zce0&wBCjzd5e~S3zy+^29N;FEvBnNof5nG5yqte^_>h@@Tb5Jql-;x zTdl{ksG7T-_s;7I4XnG^Dkk;0%wFHAgAz{&~^g zvln=vY|}1mqqF0GcoxcgmQ&j%$f@)or zaud^Q%U^M@LCRVb=9lnZ?x#)qlGH3Jt-6LqHDUDU2peXQFV-q6wtAHe_Kkl>2 z$Tr60?}N~4CR3ky*{s)XK?>X~AjtwAtS`0V^GvKGf}!NZffq)63jmn|K!x^pag<4k zY?QrPFGkTI_~-io6$4$^+JQPnnvm<8}%k;?{oammK3o63P5x7i?+* zs2WZd(6ArfS~mh1+r8Oq_bD6ax!9{`oE=TJr0<5l>gY%j9?1%|$wzZ_i_P7xJ3crR}trR^>U zZow%{c2>Ro6;N~i=+`77773xXMx*BAF8ND(cLH66DTZE^&oM=n1^&UG)6 zTq8JR(H+N>RZ*2yNKXf~5hD6R6$a`A?$Ay~)FsP%DFHtl3k1_%?wHCvPd2)_j5klv za=MSF&b3uHEx?yXz)t8HaAsiHkNJuwtba@koBY`K?N1R(G^SC2x~O6~A3-2e)D~q9 zR9qOsqAKaGdAsQcYO7K(o)tZKzwF~LqHGt{SI@uOQ60LyiN9d9_xydmyIXVkjW)ym zLolX=i&C_OjwBqXJz`_>7Q}A{J7^c^`hFX5N=9{ZYuJPu4SNkGbzZ73+o;VCe#s={ z?lQ!B4K+@OS;Z}j9p4l8*H8}7200d&>i1-8Yg~z6U`Uu~^;f7YHK$jFqMJ;EgvUg7 zT?^6-iO2xpOag4}G!SGRp%lR?JFvr-OPir@> zqSE>Yje1_fnIN8f&v z_1um-s)|iVhs@KM3Pruc$nC>+eVAW-){a&4;6RrBRf{$`-0F2H-#)K=W6kmIHDdA*I}tJ!d%#Qwekb&uqWA`#Pf{ZFrpm z$bEV+hw#Wdwu(2|HMtB$6Ta~tg`PYktrXrC6?B)-bpexST~IW#N*MRA3|hqfJi1j1 zDV0Tp;pxqBv2D)EEO#C~i|_1VJaF~Xj!mvXb$`Emref6b8;lSqn;XTxx2XLn#mY?I zZgUIKBZ&hZ;0K3AGvwW$eY&GWAkU#N(t4zoSHlUfQB0V8E_^2KkXr0xvMJ~1WF%Slv$qK*7mGZlf{Iv1Zjg^nz!@$HggX*WIj_xKJVXvqkQyrH zVSi4w20(;`4d*HE^o-$wpIF(g7DZ2#HJNFTe_nM}x|vU3G0byUO>GV760exe{VArV z&(NpK-QIC%b-1PL7Wt+9%=@XpMWDa!iEf-rpVB*YtGg>gd^*2E_a_Ej(_AigzbAAG zK1s4{+M|(ZWJHvOu~1d?FN(3AlE{MA2)BWuT|D09>cBfc%#8~uHpMk7ya@>>qw9cG z=FNjg!t=ILcQgy#pWzBoB?xco=I0L_4a>U14e$HUO0z#Rp{>`0Y(}pHXMLF-9<$d`ZNI~y?cxg6bw`J*XtQ-AvYkXYVd28$Po+3i zrL)qsx%(!td)k4-9*tXAJR9RaXjn;7JMd0-NxEj7j{oVux3g~MT2b+CH+IP%Z+-i?0H(}vc zq~^Zn=Q6hx8*M64v{6Yf$!p$WAH{D46=mv>ZO>0oeI948**o80?L7_4MsK!tg=ZOG z_0E)VoNdK7M-YyR=CI>#3kVOLfuX|NP>bHD>{R8Kpax60^3s9SWH*~51>Gf$_W+bV zO>|xn_4WcEs;`IyPE34X+|(y*H*mN1d2ed6abtgud57^?Js#OD3%rM78vzO)?ECZM5TjsP5-E9U0PdS{YGV0p;wbCZmN> z6DZ5sQgVXsT{4fQ5mlCKtJy2aC9dKmvMArDDNSN>HQQUzF21m8TJiA_I3SS~FxM7@ z2t|brdYRh_7S>IB{=BbjJ7r;sdNlI1NP-E+#9IVMxM>V)NXxCfdLpG5uZ?4G_|Aj# zK~^YQKNHs)=80RG!_igs)n+-DsNr1!KW8%pc<~4FPzPawJY1m>AvArPaJY&q)S9$H z)S{*1grbm}o_|ga;JpjJn{AiE$|HwqlU+P@KyD;Y{hhxj<$U9?MnCik1<7UV*;lGN zw1tZX&${?LsFbN{n%E(sZTXfx3V~%Wq@QvYpPn3wLR*v>M@~qsnjR0~F~^f9Vv3?W zK^s=lR++bpyy&a9Fd$pRT-CV}054UFKq7uorTn+~?e))f?l^V*4oT)Xui9$cDF$G~ z?%hvoqK&P<9NvNzyzwDwyW=L5+QnwV=Bi0G!*_Q6G95GW(5|5oi!a!|?KD;du$+S~v#lp9-xd=xxPV~$JWgutg~*9S_(wL(C`!G1YeKH{ zOF!uMrOZx(Nqj{tppfTM*Znfw%1-M2l!`ItRf!5HRXd}SC*8*rYKf(a`CxMf56Ou> zmS*@CuG%I2{k`}%>hM;yN`Lmr7yIgY;=9?oJOrlt(N?IrPBx~EIk9I(#9XKH>x zaX-!sNUQU?zt!)_R$?D#)~sd5fsPFz233r>0jY3CbV)|foZJ(*%_~^aw4Ik(CX8@V|b59XmlHH{f z18&wGzaSN`B|;;zeBp5Lyen8o8(}MTBGCtfp0K&57F@dX{ow;}*oGsmNa&-2R4^>1sGLzWtW9G8Y1^KJ zfRvS!1qPS)-2yjFA|wwt=8?HlDH4G3m}Ons}9+P5N~!*7QO}KrV{9?G=k^hNO^N-)q&R;O=Kh|Ki)T z9F!bk_L-;7@kMDFi&NqC=@e;(Yubz4LUG*XneH{)Ww1HS zx5WK`k4Q+8v>~)h${F;ZKrMt3PB6ZGU3o;e8wB4r+b{ZiDay1{lypOspt7`MW1Rng z)g3Ohexs^PI7|v@F%#qy?bb^u6a$c9?e3V z=js*B`l}XKL>yNOY`J}02Ji?$lqk<7OeFJ3OO@wa5uQUi?>&2$IH=;(0{)cfR!@k4Xy;hkxSkk)Cs zep8-YbEDNJB_bG)2*s|oVsq9fyQ_cbyKBH663F8l&Mxf(1aDBMiDche&7d@E@_f!o zDmx>(cbRJ&K`djFiK7BgRA4SirA7*FBd|o2t2PRePU+oNP491(LgO)&&nB%3rFq6f zJa_xnTH4Ym2PXkbL?%N58UN?hxI|NR8mnsgUX`bx15xqn$^^RuYI<)qNlabAgGBZr zKxu;otHh<_!v0r-+~OzY-GZ&i-(exuXHN7Ap>=4kfrDfKoH4QaCPhM&$3~FPeRhLL zk1k8O8LHzHxXhbliI#vEj2De*nZ0k0gs-gsat2fee97|O*-3rbix1g@2~>}@ z&EbktfK@oWsCgwOL@1OF{WY*dB>;4H*``>IHg+1oBSnZ67LZO$h5en#G+MvZ*tZWw zL?H>RE@SdSGh{#%F6q+EHczOJpBG=ga3yl!DQWFh{s=f470;-!Fj9I|%S1Oz=I1c= zPHfzG4>R0z6l`hi8amA#L;~> zy`Nv-Dt_)C{a8`yM50F+h))Fs7dn+xH3xs?qqhXCp*SLwZZqEv4%L+?m_^7{@g|t} zNm{b$-w0ve0~pwxp6<3d2<3_6L(ID7n~yWn;s1Os z6^pDy#_|o)(y~s}Xi-+)Q_O>V+g%#P2 zO0mQQG)_4aPdVs-aEWbxQg&1=@C zlemWcQaO8?Fiyz{W)LFJpOGI~{LFW!uHSHMxXu)>UDB%nG;aQvcaY z6e-ctcjp^D)BQ-pvYla6qsU4y<}KHPgeG8{ zwuIXuiXlH)bl|pm^6&A3uFfH6Em4(4$)4D8f%#l9$rU2-offCQs509jPYRNTP9JdW z=n|N9V+Qwsg1!`6Dl~0*@qS~XJLpK)S#;tXEKdvqlk5RphD+YVfSa0hNZ<5E&%bI< z!NfASou7~>XKjmtmn;3wVtu1r23<0Zs8M3y8X$&+GqgRItbFH3o48vw5nSWpDkMqN z&vypT2mLuk9h3-C_ZZa6r?gdPHO(U}ExB;J_Qt3LQC$LwF*H<{cXl?)&u-=7-i?l| zLN_;)(A;H96n!ruvSK&7OA7r`nhVcGwRD(*#@o071MD|8otau|i^kdz|Q29?H9wQ=QoLO%Wyn>K%i zv5x5kFuf}qxo@1!f7I(#{~t?l0uS}}|Bv@6m6RB3xEf~0E-sBF%Lua=48~3uH~UyB zu7oV#6ft9)v1N$NjD2ft71CAq5@RRHR*kLD5M$~0?*9M09}n;M`y6L^JTEnNpd+n3Qr)z-ZX>T34>GgfTBL`BVo%x(T%?=pq0_wP5S#gA}?E}ai6MMg6U zRog|^++$bg&Dhz{Ywm5Dwpk@Xdy3VUUvUzv$z?0kzJ>Kg_cY%!J>PbHA^QE>Fq!sr zAlR+Eu&t=KbVM#V3;#5vi)c$?v$l2iKH!cmLHUr+p;`-a~f#_hRy@x-zOvoZrw<|iFZG< zaz#8rELi)p|JpvO)YKghZ?Ye1m<6-n(#N8n-StFUFIi4cHZo$M?90nGoFNvvkWq|I zYavVdNRhfkO&2~eRf}`3*lNX?pcyl>kZS+<#vtffGDqT){G%~3rC0Y&M@O&?p~6d_ zFgPg=1QZ>S=-JerE*FXR(4RC~7Vd59U- z+WvLywN?Ljy0vu(zgkzRA|Ed!82Q9dExD^y8#)r`WNB%AcDt!5%hM`2$JD%+FqF~e zQ_P6bLN_Ok@jjSw0o-djfeE>Z1Hm|^f{GWMvt9}1u}Rddo;E*Mn6UuG;Jc`HGcPz{ z$~}EvOH(U`$b!caI#U-8yAzXW?dSUyr-?|KxqNBik~zPZ1-_JkgG1^czTTa19v@AC z1Pt^gnZ?VV!r;z`bSAPfro%I-Zpu=WEka8;AwUUrE3SA&OEUQi^Rl^EFG|)_`c}lP z`{?Fu4UUO*Nbx1sVX`@SMeA9M0o_U}k6&5Hq*e@%Tu61(a<-+9te!b))!Np$2zZblRq0K|hRUR)A!4rdc?o zEo#SIc&Rh`%T4;2#XpMi+SDil5sr898OrlzTthSTduWf%A5jnGxrUZ3B&7O*EfQMT zMb(p*%&Z%hH--0lJbA&*-N4$(_!G80dj@&xS&GnW7Ojf+r&;uJ#><}r)U9%X zKG7+<5D{uEPDKg1Nj3wV58e3{lD3W4#C#Igxi9R|y=sHF5;Om5+0Ah`A?rT%7kvDe zv7-Y@liB%vUFpQX3@r$;rW8x0C!s8kgG7101vR-9x>$9m<9RfNLVOcT*(&Nr7kAn! z&xOw#8rZ{s!On5F_FH?D4_ z4B(IXhBOd+-!*=rVOC4l|IR`(Og@V6^8s|}=HsG2qyQ;vrpisj0iN4+DFG zMvWz>VJ$s=(-G@q5M8A39stWN>fP#jlglnX*nHlG{|w%h@bay148ZuOWAq2^9@WNO z_oSO^flwO_ieKFfS+d?&Hwy6r?9trhOFpRY1{8Oi#7MYaBhylYmg^Q~Gts_@I^@-h=y2J}7B zJ6go*RQ)~?{t9wYw^>zHv**__lVnKx>6-Mwiv{k617MJj^11j)d46IH)|0hqr! zTw~X-d<)Ze!n!y6)@Fw%%eNscpnaf+{>`IgWx^-SpIj-*1UxJfNZn;~xbWygis7sA z2`8aDtrzq7pa>p0ANU5zM>H+nCTE^O7+)eBsZ8#^*>RLK#C%P+-t#0Pc<_zI^0E<~ zc`H)&y|HEw7nsp1XEu_Socj&`iv1wDHWa4b$!6F2;aMxK2*u%=-jR)@oqp${c)72Y z@7lnpD-IIS`S7;f{CU*nJ`WCl1$H3WJlQU6DEWgcaItJl3hv>poPfdPI0Eah6aUSB z_j*bkz(aqP=BG-sJ^j^Uaq}cI)*T+3T=5>;bbR?UJUTrS8zwt71yL_Zj7rs6YVXC- zvAYN$+W51?K%ASf39ip&IcM@~U8IVkYpK~t7~xf8>SN;5u0$xkctq>rQvBNRfz#^c z(XJO><~TD_qk)0ylxIXfBe2!eP`O!s%&*+-{Mv&Gs%x%rNb8x;ebTpb@4FnnF@&F- zbMN{rnh&dyT(00-8yekkW`5G{qxOFgEu@~ahje$#k`m?%D}@4!Unf9O%|lNcW@Cqm z3grudeN#(Atl3@$o$F51r&$dZ`R0^;K>Dx<^F=4c93236ru;Vl-XV33Une^`z3E1So zzgmur;-nWkUs!b1lv9cuF@43r_Qy+1@Y|*zY9V~Uzy19%{I;wA@Z-Ac^Su*P8g5rs z%24lvz(}kB{}X3M)b>i){M*S6)6mr<_r27#N}xjkzA z#YNpo^J2pxwCaV6y2BCp?W331WLKoTo0P!)6u+&!gG5tzmONGNe;mS!9eZTFeqi9t z)HD8^E3m*ou_I(Em@^v~u#Oq`+mdg$Uc!+_@AA7ve!`3vaWA>VRgX-Blj|y)&W>8T zj$c9(a8ACZb$(-hV{5idbMmEzHHunZN#!LA$X;L|x;*Qd#vTYd7v4#Iz1p#S*Dq1k zrTS>tcCCIb;hRp_%>*iWJxghX&EdYYvt+h8=T%gajcob`>QzEzOLIL(iM~s$+Swof z1flcSvMLoueODpBj*XypqLT695s-bE*%8;9xAGgBO>MEj*G?XLHdRyFmMo6Wc_}z* z`}*B}QW;ZtqTcrAdr0aEOrEOM#Q%sdf@$A&!7h% zg|plAy$$l0&pK#ZDN@!R>_e+rCAE2;kvH0LxB%p~4`ebEzBoo1|8vfurP zn{1?uqgsw~NEA09}k&=bkjg4iK_39BSWRXdN9Ij&k- zp2>kz@@sS}>F|JnxWs~eL$qL9#sS953;qfo_3C&GS;$+Cr8Bh@kP3D5xGvF90l^o8 zM!mJBq`hOz(j66#EOFL$A?$+n`TPn>W~c$Jb2dh+G;%=l6X1C>(fbN&@k7gJC&%;^ zZ2pK(D1~~dXDO}ir{DzQ6=v*W8)n77ke;LM!Ylk3GN8Rftz&wjUVhrwnwW+=!X;+{ zBMmfrS*Le2-9t_pyBHm{=v+2;Y*jdwSlY>jZ&UrYmeg&_UD;7zw-mg$y}M+?O?XN~ z%&}B{eBFqS%kzicMyGJC?=CgiW~mrT!UN1KnV=O|i$u_{8}+5~uVXY@__kiD6-BcX>)R3+Poq*}lGLczIo*QcTLPJTIURh-(IK9}m#+u_^5hxDjS}X|Tao%H`Q- z=X|lohgWjCrc6eByM&@rBXq(rEjkv#5R>lg8(QAW*DqRIRif*HZlfMg%M^~-D(*t3 zPBu|%=giVdKocZ(NYl_9I#KEJKk5zPVtKrDJICzvxMWEcx?A0S+5^IDx79NdeCfVc z^z~~04_eV#PM*s}L(iOjsmqcNC``$yk5XPRLnWw}q<()&xtXg8c`p0|g$Y7vDT z=D#PuBDWKF9ntGXzov}N-#-)i2F@Pc(1=iJz)n>u2c>;YD7Vdul9P}R55MyusVXey zv=90scIDf~weH-kz}*Y!na0z;mFB>qm~DO8rSWe(o-Ec@s(gS#kek@mxWfpmJn!4+ zg@N2Tt|iweT(QA_;pVsGpG)ZlR@QTO%Sr4i5j=gA983~2G?CnY=>H%|ZEY0xF*%tU zTinxIR;DmVNhz|oHfaMJ)0e>}m|=eh?Q#@WRF@) zwA@FwnjD2c^4GoKNYxh~|kz=f9g26XP9A(Y0P_8jsXqUjO9 z-f^#8nKajw^=y_1p*DH%osa2(0N~3CZ}s2iciDgjXRN^5n75O#E=D|K$-~{`D$(cD zb;a{ImiQ5w8+_KKZmM(63{Ewt%C2M8|_%Ym82h#?5aFmIQ^aMSY}X`X{XwLlP;Vr51S6Odhz)=VHx) zP}p1R0TNA^cI^>Mn9+?ko9(J(N#EUyxp8zRpk)_PUDW$U;V1vavyee{ggVG0O6wWS zT`i9>;1qBu-)uZ#K3Lg$M<~N@E?@MHIRPyDO z=7-QWIsal!A%@kJB%p={EcH_cQQ|a9rL8MG6{W1y@OP@Ze0_FS^jWFYg?ybB1S7pd z`v&>Nit6!Qr zY}MY8`^1BLxrFNqBkY+u(h^994lasa6zjQU0r@iWuBZ>1wC082(Uhy|T2OCzttAoh zpc2ja-iKYtrdl-M@8laD^o8kLclZ_vXH#=cySy60(k2Vaw+vur_)-I?6^zu04nvzEty zZMvZ%X0pS-<8fAvIAe=3iw&A_49bKJ=(dOuysZ1m6fVJK7e|l0^JFhu=7^ikbYE!^ zpJ9Dm80fv@NxvB_j;di0^`WBvqh`hRAE_#D<=vseTDJmA&tx#2v}sqb5sr4b=5Sjiq0&uoSC?#l1?FK6C4-hdh8`IurFVX8>SbX0db zmlJ|v74x#n-dric0VAH=k7=E)a@Wp;IkXYVFJ6Nu{aae@%UQw@;XEpu&)k9__#0 zf?wT}8+rvokBfNSAtIq4q-a7j7Mrg(qBGWkm1}Wn>VTtn8QbLzSRJ-nd=!xP<_)^ zG2Gw37Rc)`6Q7tJG>o$_=Xp z6OneFjfA=vlGf`N5dt>rluE=&IP3fZmcE4Idv+btzR+sm`IL0&U|x+Yc^4_WL&y|t z&UEC;1{h|>duKybXX9+|R?iG7jwDwt3a$Rz2EMTR9xz2aUgE{{mB0dUH{?Fds02M+ z(wlZ+xqFd+$+ux`4qXgY3^~||8Xy~-Q`dQIgb>4fGhy>ZQn}`r> z##W#M=$#R$_N~O0LuYlcOhB1mnyq;Hbv)jvST3m2`Ci4aFjF>CicMK-yTby${s{ZL zlDSc9>svZWjwtDZWkLz0>2B6qeHGEZS3FlK2YJ zM&yQ}_AWoq0>rNRufzJ?QOIa&YB0iltwq+m>YOEsoka@rC$44gr8Z*1wGV<*!QZjO|6@` zp?*TI`&;L3can<{REc-jz(C$~OA9(Km{gk#C#hmM`ig7AHwJt?#P-!#UT_La%blQc1c%AZ8YFv#&Ooi{PW2ClMFv-_C`^54WYrD5ZW4QR zok;e+e&c;J|IaB4zN0gxLr>dyBT)J(hR{Ce42ChsEDVSV7f3)%O)}LxBP>UJX>0yw zd!lPn>hz`It-x?c^?KlxQKiX7qzl_8$6a<;_~2<)8#S0((WoLUj7!`FnxXzK@CiiXmF%+kab%CL@lXRTJlETvildCKCI;6H^f=fX4K(*GD}@V)>h=M zV(nIIS5EXC7YHv9)uthS;v6cZ(tY&;+&A^uELM* z^7M)eiAhVM*D&Y_OJ)?d7l^^CKt52gBwfRHW;5hJK>Bvoi*?f(DpHCYH`dx__4VHw zq1>Zj!=lBgJ;}n^k#r;xXqw6+NCiET5V>g5144^`x!?YHVUUl<;imh+il3PQokkEb{ zN1YQx9D%P<>suEn#qBMkOh$iKema?!jBI?wh?GU=lAZTqD~8k>?TyUPdW$AZ0M9-& z+z~2rkT1;sV1n~n!U+T@nr=lu27N=A{tc!%}drK%xK9mhNww2ox5322A zm3RI;=WG(>7eRQ;^1GY9rp3BQf{%~jRl1m3UX{R+;A9A%-tceUoj)cYZDOWv7SvBa zPzRJUPrxgcW3{OQX>C^PE>EET`JP!-_L0KgPzt}&M|;pPnG8s^eyzBB@I;tHmIF%? zO@AS#pf4=k`RkafeBwB(`I;yZM&EgyMi#r8YuWhz(MHA~4{;yKRO_UwpE=uyJSftg zQHr6*P;M@Q$cBRg|5Dyah&(WNlV+JD<$6EnpRyXfXJB){8`x08aGn+Q3RPhWg)>J? z;*M{?!wVtUgR{5iGql8K9wt3zH3l>ob*4Ml4i+d7Rhy$d-Bb+75qCUywG4{n=f#>A zOh~fN$(W9_^~q}8PRMA=vY0%gD>*EhuPZ!KnFOe$h*39YF*Ppv)MvE;_%l#~Ux1-i z(MOgdouTmaJXZQ_yq9(|gqGJ@kDI+F(xQV-x^o$?>Ri61y$~s+NEqs_0o5b0AJ1+I zk)#DT0yH357Q#|Wl+6Tt*VnD!&mDqs%kZ^*^7Ab_`Zsawi)SVior|0;H#)oQ|EgZw zK<2+?s2^7i)7FN(1$lNaz>212fm7kh@Muz%;QUrZ##@;_saGr&p*3WSK{|NU@4X(Z z6ORD=O2r;H;%9%{@hzFf$N4`lJS#E|HQhq7wt+PSixuna3r`xB#vkzp%YMtf=jvkF zo?d{YcZ&#%D7uFGvxlCWD+5ND=}p*ZHMy~UuqEm3;U#fU1mi0_MZIQtOfbF%{kelFNULWrd66LHt?Q34JXY#G}*A|{cJYl{6GT;5C^PI-K$=H zUT&g9kb<*C{R4|)^FBdu<`yb|2?Buef zf^sPrPsXTm80!S?fpH5P7xcEm<&7~ff{aLf5A zmHJ9fDzNV(aii-G6(gqdr7&C3GL}mF=0%ptM)cz|;Sl%B0G?bqVQ)*569g9&_jj%} zhK)c;`hNemQA|img}m)t=~6y)aH}t^(GbFOIA7cW+?nJ0sH_R1(gP0{% z--!{sOqkn>RmC#FkSguTaXe^zF~~8HenS!2st-ch>Z_dLk12sOhYe`?=xK!YnzB-= zl!U#V7fGmrAc7Uai?TN4g0l3aAt%HOil#&kauCl<#)kh$Ef zme$X%?e_3u*Curz@v^7*6?=$V@aV+=EqHL6l)IcrXEM|~@!?sN1_xP86FZ$LBIL0@9)+P#?p0iKcgR>4ATiO1(0gg-kc2*sBdxPqMk;XnrXrBJV9A zq*v*iK#`yi=#eHOln2KLd59SSUN-#U<0IuF#!_nTe4H=XCF(stCDM-0c#v#D3{E@s zz8_%?^BgRDK4m!_6s}0J4AE}Y=D-Q+iH7odPs^TTi?dml7R73PYTx<8VG6$EiuH*^ zZBCr6YVlP zf)a_yzlrX%3=uNtB5T=f8n6TY$)@;?WQs^j-37?A2IwZq4ef~(qyxg*?!ul@tHChMsWCFmDqvI&N}%!(6-Te8P$A%p%LlOyz+D z6x1i(Y>K5AQ`+IK$OuMB>1oSN((+)s`G8pcX^LS%$|Oj(CQ-zbxZ3gSSaEmnvc8-E zSH!_H_5T0O!5&IPir){^Hk%wQ9Y$pOjl@b^fa{uZZ={!YF$POuJ!P^Lw}-Ne%xu;O zyJA_XhNqtwrqH^?VwBEei?GdxCzds$?(QKH&Y}*AEM0@R+A;F!Wq>7^-LSjHJ?DCU zAMt-20a`CN#9zP|j832p(gvTH8Y81jIA4bmNkGyi=(4PTI$D+MH-exb3Q#4&%bh78 zCK&6&VLi10@GRGWq~(8aB{hfb8ggZ7>RqE3(X?__2scIK{V- zcR3g{f*CPQYV_KQ5k-boVunqJF`Gk;)=w;q@zNIYZS}~3PN_v7Q%wq3|s9TJW2#0h?$J6wi$XJCR_9eBBt!Yoi}r)(ci%U ziIyrrq6IK$9Y1mG#Q%-~BwEJ+5-reiL75XmvVUq^6*jhkX#S1oxh`UIFXTT0Er4dr z=zqVC-8#%lf@~P`fFfk#dH=iAh9(w!zGGqgY%{ZIJue>PQ^UM%pwWc&SDc$085tD| zYk`&7@~q(?Y3L8?PcRo|^ctnBv9wVfa$ot!W5nXGW9W?{)XuQHa&`aXkwyv@Hk~d` z&W;=~3a#S=#byfb6I5;~4`$5b7=;hI@XCCZu*hOR9?%32zZbyI6V!?5v<Nxe9kEFQ(ky0b#WNOW;H0;;?&_r6yac*G< zreB4HrSllOp#0rWt~P`y@D%mh5flmlTD8u(O}86E zFe78Ad6IL0&7*@)R%DeH^W}R2C;eqe?HriX175DE&A>#AnX`S2XLT*t!#*=p#nXHL zY#*?#0CfSeengj0CavDU$$*$C+3i7knULKgAHdPp&`w#DzGh{&Ov`s7DoHM7-da(p zvBy>3()hw88L*_EYBJRfvtCF_ir)`;MIKWu`?phHw!m$n=4V_z^5Y!o^2+KEI@=C6 zRbg+7aN`6lo?^M#9yZzq)FY>X5w70x`{&ensKu2g7rP;@uCDG{O1^?<{F8!R@zdSBabDe!N%UPw{1n9H&ABOU z7gtX*h*+m!2f{Z(B3in6Un0-B_s0lgqOWCUX0Exlnk~CnFVHZlisXHNcS;Kq1=QhG*-%!K%+@(0Su4mL#q+#r4- z!1hU{j*Fy9iZYsZMjG*Z1W+l z2$>XH$hSU0P1TJc00L@V9f{70c;m!Pf7||WyMy}b!-&c2n5HQ!tq52S?qjC>;29X% z-fnJRdgVuW>Dw_bAQ#Frp6NfGH*Ve1NOr%u9Vx+4E|yA$WyS|@KStn`pUV$Wr(Zey zuV9Y<6SZPiTTwOYaGo{#QcP8WR&>18$7H(BI&NRxCM>ia%hh>{(79q+g)mUT^C zYXteqayLxcVqxRnc(drE2E{0p~$#8|T zeC#nn-iKHP76seI>$RR4;^1_DbqY8F-0i=C`#P0=TggWRmegbqbxexQTuSRZ;DI^B za}Y_&bEezQ53@ZcbiwRFtL)>>fp%RJrted=2OmVq*=wybWQUEPz0}ZX)mLHe#JHBM z))zs$M1LK#XjQQ`xv25om?wPM;P$IJOF;vC8M2;g>s0%ICB}Z zi*J@izC~A{cK;@>i^rd;zsG%w3CsLY=01+E!Z}qw*ShVeD1&raNL9A~d*Jq9^`pL4 z$jAhYX*~s7_(qoKdDe%LAG!W?a-cfC)mUulo^SQ{6XLYP(Pe7;NA5J+wjm50!({38}k~Kb`9h$LT?U3$& z|7Ut9eINXT-$KRy5W60u;f;82_(&$+uwzMSsjz z+G+UZeVbN(5ZQR-ByAutea|)s0!*#v{iM|bx*N3yD>q_R6&u}ocP75q z1)L)KA8A5DtE5HWYh_0XT)4n=PjTg?@IpyfvokucPE;6?5DZWX_aBM`hQqGv*1MUx zdU~#I@X3bvRMgvkl|(oamp^HB#Ldqlq`e;fXW}6*1A!$JB)+3R*w~VDnMn7i4GDBb#tTqK$HU)(6mLNYr z;xj}_*hm3y#Xkw)Nq3F0AM93DFjr?d!Di|OaG>A2xM{hK3MaO4jCA8(r}_6dr%hzd z?Ry;@P^?X4(k6(KQ1L??gwZP#f9W)|z8>(*D%I5iFfqYi1zjuhGCB3G4 zPo}2|m<6bF>^io#q?@5#Ro9_WP5u zJ47u73>p8Cp<>JvdUd_@`RhtM?f6@g2o;K0<}wWe*5_QqVyV#%Zl6 zoN{SFU=`W1|2A%gZ(Cuw$co$w!D@jK6rs7;nC-p(0dCUBsO_x-x92LAZl&)^&JPH} zjIq@Un2t6jMrXQ3uy(C_pWJryAYePYqK5v(ZvgLDf^+4SnVYeo;GxnChlbBy zW92h_+a9%#+k5VJKr~30&+0~LiE3jJ?ELq(Si~yWRZ>!9sm>64?cGPWz14XA+}c!T=qJ+oGZWBM0KstikOthPA@HXBK18K3@Cen^lXRQuDB z67_XF1w@Nt??Z?sXkYOJD16 zrN0}B_Nv}p_5}ECN%ePOh3{irU^n`|7sqz)a~(QNv!g2SJK1{JN*9?pR{dPc47p;| z?m1jmygT#GI_HPBIVFF^%`0KB2+~4!dRS zphTtK+88eTM^xz#K_Z7`o2b7`XqFQTz~N*$w$V*c3%gKIZ3*c3j}K~FbEWO4zPyWh z+xK%F!vv>GD-PqW^eQ?3*gW;6C0=a<@2(cy7C-UB+u!c2v@2hB#a~(F&Ip{jEJqKFSj)SKCBM_caZZE6hlS3p>MNpx=Y?Y`#RpJM#fPk;n= z*R3vP*M0UTAT;W@X`^x1BYLitng_(!ptV0{>|e;iWwQTex=;6G+w+%b=g*(#doo&q z)y2XxcbBOSA!!XQw+8gGEu@_e>dG9aAAG*JfnEv-NUM1L7=uIU_2rYd zYy$$-i`x{({2fZol}F7+M++1yCD4_^mcf$L4DDGF$7SC_TIB#E6=y#o(I)KvcDcYQ zbInw;p+obgQ9M!W$zK0x!Ez(9|}=i%_Z)?u-A(eQf_; z7krezV*Zx***Ls-ulZqqjOME=*p}WDKmOGGzr9^~b-`ZJlP$iR6NakpxBMnt51&I> zB~{RGZ%_O9EP29b9Q%fLbC!$W0^6@I8i{I8Gu!n?!PFQr}GZ`QG)Cbb$jG{-#N)`yr# zwXPylf9z`bL*8DHNs&npH9C)7b}&^OHyvlxzVQBd``-b*k0(XHAzhxM9Nvm=_c%md zj%YGj_5_D6r(=quq82vLA*)!!A@rYDH&T>piUW%Q?rBI3x@)Nn7;!P8kxiD2m~&2P z&8lLGUfrrMG0TICbuOMw|ua>a9S zjUJl~?X)Z{X}fM=pOwulHx3rIgDcyZd?j3lP)JK|{P_=8xRieEXUX;`wV{C8@T3{GJWwGZyiK zGp_mlx%-1z9*wB|WDa;q-HI1Fk>Yil(87smY5dGLGQ<@FdxMVji^e^_PxlIS3Br21 z7=>Sl3PZncd_8$2urfFi1E`l%W%OUitW!nVeGccdBS0uM^Dx3zw0$=4EQnUAI6B@D zW^MaZp(bj}>T&`1Y>DfQRDOiqe3d1QF>(b#RH70UvDYg~Y%$Ba756&{6q9syTf}Of*8vX^S1vQ)k!^2>>!Jk3YGtMnZVoT&h|LkxCuZK{8X) zdhhJ1z(}#n>Bk#m4Pqq^w%13N?o|hL*%O?U*Mi1EznAVQMPGNPF#rXF8I|@{qHiBG z`|3??g7eA^fUDARS#bhk?@&qHz9#(yC7Bv2)&vRg!*^hHm{kqP0)QSy6Yn@L@`33IO0_5`HtOFJR?| zt%R_!udw9#!5fQr;T29@SJ}7%B z2bTl4nQY7`M~?lR$+_h5icc!Tm$&R z21FuDX~x-G2gnWLu?4qx#d)9-|C{vz?UlD z%DlCzGklY*4-X*7YYB{-yOfn?WHV-N>f{f6`J7l=xa44IM1T-^YUCFx2eXT0nm}8! z`d62=;ER)Y3YZw(!Qz>M8lf$me^oAP167>5E3mX~LEfUJYlcp`vX+(7hP)g2=S**W&rY9W^_A zd+|0S(XemEmJW)B_=s|OBMV6 zDjoiXt{A<}_44P+e{s3+4Y`0-DK=Te(RSTd%l{sCI*^iuz4phoHP3G93sEQE`hA7U zTTa6!C@W9}-tY|dcJfE+sE@R8AH6p_&rU&P<72Qkq@<(D(#XO%RK>AB4>o^7u*RD5 zFd)@IV7#o)WMN>#ac>pHKSh1Jn?8o7%$fS!4%NiAwoMcJ-L{=JWm23ODUiNYQYJ1W z;L{eM_}nba6Nazms{|BJYev+`ry97VrfzAaOAs`!xrHqI*BzI*cJYtvfTQ>n^{APu zBmt-lzG{!PRRYvbz1YT=K9|6|<=hH|JnUNCuxI82%MxVE-iEN-u&*q-c%exYZu^;U zniT)a@N$Amrujg2J$lnIkl#oSs?{bigw7?|JAI|8z2{fsxqNMWSgE;KI!m)ith{cp z%9@gXV#D6y_R_wDwl$xG1>oqm^UYR6(p|N`WrC7uyY6(~0fiZ=W=7a8jcf)G*RRB+ zU{0SrsXejDrd$6@B(C#--k;?mB>6t=TCwRjxMpA2*>B)Vdp1~w#gH5yb|?&`w?X*; ze5eUswj&10@_Dk`ZZmBzP)&nG_;u`>6QHTMg5^Zbhyd=`R90&KyJ*ynfbWj1+A%2ppNT$W zRFlU^>%wWAcvqgymXj09M51<&gQx8_p#sT~U|aZDzE;uJcKH@QAos+NJ3~bC;PPE? zWvX&Qa6qI?TBS`5@L2ewi+V#@DYV8-&Z0J<1JzXd0P&J>&7@c(JKN>&)JeQ$mSWRw zW4CLSoW_79tLY>XO%tV9;@VOy`XaU-z9NiBHUA@3S8%YZ_@js|Ogms~u#T~()J&t) z{#hW+S(k5er@$*4P=OU9vi#KdW-_FI;u{5xPoBJZ$<07CvhDAFH;ST3bCYc^w1u}x zU{3^b$xY6D@MOHAiL!4^Y?lD#p>}!AM>p`D(n52Gs$2G-w>zZGtSQ9mezs(rk2!_t zIoRQ$Y8EfSBWNm{Vce9jtZlSw%p)anuK3?J*GhACqCyWkTd1?nK`7d6cQ9$Uf4PT% zrrck^yne_)ia7k6=v3Cb97xOa5ji8|bWO(9HrfDu;v&hUxNn2$e|hefo}|*qZSX+| z`vpQiDD;v%u%#z9PRmjqZbA56dA;@9gcKPi=PFV|F99Ict}`b>8!U_vp1+uh&;~NA z?(#K0vBz!{{m(JwEYelsb^8BRS@94JgoAM!n#J=~%$1TMrxN^SDj-*s8mB7-mnEN(p@E(wN~M2> z5wldT4rL@YbWFJ=Ir<>^)+tmmm!bZnXyb-5nbVSU%msDiob{0C|BQZ zfJqt8c+lc;A0MiJDEZeYeqmcAL+hm4yV_&~bP=IJAX(afWD3UZh^`1NIeIAp1>EtM zHb|a6ZGuq77&D8c#2%HmHprB?O##2eZ~bfT$lB^54r>#-fazA|ZCt4BB6b1o@p9>C zk^*hgJs|^Wv}|8lw|6AI8=Ia#SdG(iQxy@-Sy)^i9yfi@Q8|15k3YPQn}UHVg~j-Q z67LDZm0vCE#Q&M!^~70&*GsUrQ^kPN2x7s~Py2+cUTC>cyH@)x55RSru%mWm#~VZ^ zyTVo4oZIGk+_CG`;V6sySdpo0k=fJ6Jo&#jGHq@}hY8`zk)n^f!ef9of6MHEWv*0d z%=H36LFQ&}$Vrh~`ZRePx)!+ElU)V%E->Z|nUo7mC(jPz+J5&Xu@oqsU0%1($8|^6 z;jR7*)&`8QBLUjv`-m=L!v&yuOwVQQj39J}+;4M8mmg^ju&zy~(wtjspAD})1s+Jo z5*lt(VO7k?_q^Y#YXIzB4f)-sA^ws?{T0#KbDpi@WH*u`^yS>Q+QVnJS>iG3Gcl^} zF~RuOVWMCi-kLVpmYrvl;4ABrVzTXFx9Uz3U#dfKxd|5ew0kZoWh}o*renC1C(y-x z@&tm*aef)le=yHbVMeKI#kUHJKBnwUw&v3M4wHAPHk_6`5vhMTG1|6OT2+hyhP7-L zCQ;C{w$^|1_$=PcbRTo)J4hSdQ|usp0vf|{%l@?c(`R>k)alD76v7;Qydz5e zG_}j~<>@1ru&XUjC9rH=DdjI)1V;rwA2u^gU!8EYwIj|bVoa_`qpT%eP@dJ~sDSU{ zlvd8Cf9iL>PI$)U8>$8cRniNxElXd>WsNGZnSAg2*QeZ)iSNijUx#1GQB-F&vD*1q zU0j(Gfd2uP{4f?qIs+?Ukn1rvtIAbMEw_{(^asFzm_hjio%yMq6yU-FsIu7#%#wnZ z$3ne)R2`%q;vs;l3ZRH>Kt>^~{Nk;t=Rk6w@b6Od&GKL=WFZ^_xc{#P#s6+#A!Or*BvC{{beu9q2s-mKAj0dpH6oD{7zwE?}-(9YFEj==k{b_q-5Z!b*Ih| zN}G#L`3YWUZ!wQF)0jl_`@2^Ynk2o#{#EfwkRsYCCrTRMS6-7nCwkA^q4Uo^M2?;4 z!glUoqB=^LZ_tV*muJb&TuKfibHME3vgm+$|dfhB*? z6HxWcS$+?{>%B>W@sIz{xNGXC#j^D;ylsb;D!``c%c#BQaIxume^Koq z`&uxpc=FRs?^K(40aCzc(Dr<*+M~5&2jH)YYrC-LWtl@I4`uED9{`s?XurSA`=tRd zZnER7W0bh4G3+6i9Hn20EwyqGs)M~H#lkY<@i~W7usI%Y!N}Wz9+wkKiY^<`7G(2tiBu zK~L;ahQK_560hofkwv2@xQ3f35LyZ+A4ejM)JGF1fXK*oEQYp?iaJlK6munusbO4% zXnnv*bPkG*F?6Nn!j6)LyC_pCKrViz#)tx*;mpN7iE{edj^7l5RYXn0d)eV2&SuZLCJHCo{mK!GkGmv#9-6Mu|vcU*;7!W zdoB--M%U_KNEKR7DNkmVHduBR#Hir$DB4oP9gWg1u36V65CC8p+2pz#l#O3ylLuxR z#2tYYTUPd(@bOFL4SA%v!q zD&|WSTw`JuG!aV~1wkln_BW4-XxcH}L3bugmR{w}8DX@v(q$gY9sFCbgBTT1Mij-f zWy@^&pwb`ck+tUXQTGzLHm{kJe+xE~uNKKM(Je)2n3Uv({cJp=5f(Si~uU#_2 zivqw+N}sTkq1Y9&p$xb1BRW(_f*+dsC2x=tl_-e65TlZ^i9`fsgb|1g3YQS~q_dGp zN|ZKGl?UM*7XWq&e@P7g05ST2W$ZHigA4B^s&WIp+(L3662b_;xs+DMh9R36PCx+G z!F`o=Y*}j&Q7`GbiI%~(%Z>nxv)9SJ7cSYzlNpC*y@*P>b_Z%E2hZ|ZY5xFafhR0=Ot9>%`>@fgHZat1P=0H*c8i`#)`b+XO1i421 zxI&05Y^mW+fl-RdafZu`YY|GDc?#ws3(^g<_Dum+%u8wVDh%R6i)BNwELuM@uh-13 z)qpM8fUBw947RI?HHQenkC6(Ma3WkXe&WI|%NWLL1SP}p@)c6nc4Gc6rhY1hb}Y}fR#mlKF z*zPFpDhjfx^8;$jSHX}}HCbSmFs`M;z?CK3Vp9>a$w{rKgm&8JB7|yiAB$E@jcgVI zIhZy8y?HDr#7uukH8ljvh`p&vl?{M|VF3RC##q!dVdC0`&h7UP2X z3cr$E<4|GtNgivSK_93nS>!QQI$|lmQ^rju?;Dwhq_l+2C4 zM12_qwGdi^TLN&KP)uX&2x@)4Wnxq^i(QuiXqd=WR2PBuJ_&GK4AK^Z<#|qS%TTP~ znwfZR<4}-aH!f|AJ5f)Xf7$e)OoI7hLcq&zUhSTC$H6m0arZ2id)bo%^>Mfma@X(@ z)(oaV*dus*lHW)Rs{>Bg%q|E<+Gi>GPOe!|1O|ve8d)t`IT&kotG{(Bim3aeowr|gEikwNUpA%1BAryI zc*TAggQ`vK#aJQPQlTp2*w>`(q*x=XR}4F0PvK2Xex-^;imHr0yq8FyjF_w0s~`xs zWt;VzM6@O#KG3WTGK8C#GObrg#Y`Al#B0FveGw`R*@ zFG$WtYQ&#Xp#~{&SbiU`#motE{{Sx|`0`)p+=DMNKa8A=TJ}3B@?gEHm$H0>Cre3U z^5HEGL-scGoP?nJjOVaFxC`zg(F|&#V#se}@K#rEh_BXl6a!HsthaoX7Mvnueg`Ez zsG_D+Zx#0t#6|dpiNOsnevqMpvd?PHGn)1-6v_)_a0JSblf+yjc__ODYB5cGni7Z* zH)RE~s8WS|WgeM#n=P2iA(TZK74j)N7{&xtQC`gTmYb5dKO5Vf5{0RxK9hmEmkgJS zqTC;hm5|pyG{Ad4V8TmfjzAkJ7S1^?{iTsW-GCQYESZcRkrzssMIWisilq_?9~T7B zgMqq)evGc6^B7j%O%*L8vZ6~oZVTqBVyG&rQ!edcPK+SgQiO;UaW(8+*sP)u`-bi4 zL|RVb*`8#5C&4g)0cwRvnLa{tkSh8i00<*dR|1d3sJCAw1yrYG*_Mi^wNHGPc9&&J zP|ZPx{iNJQij-F7)jj`TpUhFv|GA*4_j3!vPnjTtQIp32P7Plff1n7m9O>PP-F zI)E%i!9NlR2c)2zD8QrehESBbNl2N@WCjR+6^Ub*NCO!d1R;#MVp3fn!C2l|5fL)4 zCOic|GTw@Uxw3~>iBK+vxB_Ud$`M0PCbd7v zfuvRj+Pa^q6WU-!n|I5EDyhhojkk#xK~mM!0vIEyXRtvrtOK}~6aN5PFr{NztZq6g zaUXDMA&m!FK{!D;hb0Hua+J_HPLWN2A%iQwg4-@vZ%4|B%rcsVU`IvPPz7yNBT)D1 zEv+$7TJbUgR&NEKL%a%`t!`~u2<6~Bx8H`sm`{{A}3pV@zh^ZcH| zY$J0&DK`HA6*4f5Lgf&thq0u{zlzw;)E%083JF}lYc1`Zg_f33a986MIaMsw$9p(V zxBmbPuUIEH8)8(um%))V{KOkK<;O+B!lxeTC;r{0JKD z!h{0+TL;WR1bW| zCag9Kq9wUSFUAOR5MNj&?5hHwjzeJl1&lBXMU!JJpL5e7t;6On+YyvrP6!PvBcWu> z1#GcDNfvdD7e!krK%!|?GfC@FNJAg=MwKegpgFM@W@!~Q8U06iAQYMkmKeUv3P7-= zUes#3g+)Hf2wM4sKets#w7Nh+&d~ssJyncR*xDQpLbhL#VUGK+S`J z)}jn$2(YEDPO@Q2Ua^QEHU>OE*~#GrMA%i>nfr#_#*klxXm5nQFhuYDmSjbpW#R(9 zU>k@OZzj5)tKuWr@7p zVjG?QE@h_w02GYz1$A=Q*>B)euyvQI_n1N!WvG|3EcP@t`91zCsca&HOi@wE!`bNA zW!s2HMEfFLjSZ6Z`vNdF17!$4!xsZ#5DSP>i4}sO{{UdqPLPV_u@MNze2tS#5G)5_ z9oox1J(lb~R6*oFQTVS=)DRT|Pk|{Ixi`wPHSd1ru`l1isNz&YU38U8LAX=yb&8bS z3n|=Dx|kJw$8%pZ#XfTamQKYKpY~-V0)hE9$(xNsi#u%h+z3Fsv#+!lbaYC zmrwB=9@+SZ;lIzY?4mu$RAv4)SU-Q2KNk!9AY$!)20Z@&@DZFN5;r}B`<<3gBM7nf z*=PR%gPC*sfFyC{%O6pi?7`J)6D)k=Z{1~n0CSW5Q-V>AORZR3%1Z>G#A)Qi@Oh|x z!>N=<59~qxrL%E>^_E#h6h!!BSVfDVg1UbSvwlQWaFxCmrWBA7hSSCs$hAje`bE-_ zC=OIeZzeCYwa5dKww}cBOC9WaVF#-A7K&mzC6E0|m|lxujf|yQ%_GpgfJ^{cO!6mi z4dmoR;%STxKIe5qyJASK+lIQ2U2(l37#B{8|>Sz#`CG zh%A*Ih!Kd7taH}qpTs^;v|>qgGKiJ60sYG~#B10l0s$~-i(UL@e5PWp_=syPNkMTd z({=?#X4|NU9Gy@wL)Zsp(c27y#79wgaHPAb{GT+qhG^S6yp<+eN8F9OS1|oYv^fn7 z4)lczO`2iwom{hrI}`XJE_XmDcd)cSIT1?c&Zwy^{YwngBpZn;MO&(a$r|TS`|K6D zDT#FXL@$X>ZHH2DY?4X?&r zUXqrjhO*9^atKNbED1(1(92nBBsr#tZp~+ z`L-8w_FQWhSl~5s%aM%GarjsvvEWo&Y`c&T)U|F2 zM(iW|e-EJ%#sXGNAcip-kT)($p1|0U%2jT)=0>9VIuR||81r!o!HWPZ$*sa^yWhIF zCXEkeFVbYF^XV1`AS#eOQY1?6utrUVCY>dL4Fon)y656_nz2ON=dysmMwy1i#4xZd7GlxZmR&EsruVBK`)GvZq622 zB94_PKII*NvMUuC)BF5HV~^C)pECh0DhsiD1xE0dBB*H|lf9%y9x*oWBfa);;l{@diNpA#hA0R=As#2ECVUL1Nl;VYDPPkxOOvL0W8Q(!u(cT9y&N!_%Lr z%4s53X2%~yGP!qP1+Xo)Tt!rB0GAGXZ=o#fiZGq#SN?!Z`el}ue{{Ems(k=1%w3|D1(9{P^Z6vPmSEe11*g? z8aE?^rujise9bT*uvqAx1>#X<-Af-E1sw?d z{r)KVi!MBsb`aH-KVn+hL%I0R#ie8t8w+EMSk+6I+@PmoIJ$%jD6m1c75J%u_$8H4 z$T!LKiMU62bJI6;hkhHmm4_)T5*rhbBvkI~-)NlYS)*`LPE= z8?(uXexpgq5k@L27_{HX2^#=lUCVhKzrhMd?i(SUl|(d)xuYVBP>`L3o@=oO@Ztr0 zN-1MkH)t?g4pg%M{{Wd~EH%km);cK)gRx--;hAMwDxSiqc>uR1YNlXaL@hN9KT}b6 zJ;pK8t|bwEGJj^vgHPj=r{hL!zrxPXCC5qA@r3eU;C~lTgkAdlWlM}{55W+!R8PR3 zWa0TCMmuHZi_+2Ia1KX522nW0FpXC<)y}0{K%^F$0Tr{1d-nm2L@oe{5M&V)te`xE zep8_~T@)J>E&;pN5|WG@-t0ozWAd`~x3I5#f%ttZ@DUJ3)Rsh2#xfS{SY?Xhr;7 zytdR``#hr5Z_$l4cQjn7m9>Ip${s)#&hi+MkB`4GS_x5wS8ze&C}0Lqh@Y*($wSH8 zM)@&4BBCe^+H4DZ*ZqD#*jUp{NcqDX!i|%%Y)$RkTzp zVY6&3r)pY3>KCPe=`P?dBh@w{n-?YU@*!L!dXHwM9zxO*sF!Ql$AcRYfPf{^0>pht ziyAzLP}3NKX%Y)1rh6?$ts?0vBZ632UyL{+9%>aJU^Ksp`Z^rBoGPIF5-v-l@ld#0 z$L@HS2WPM6WwQSO0&I&cloLdu;xQC6HK4Hsq>jt@FvwFOLIA!nTT!Fgfy$J&av|`B zbbuj5@MTtLO0yw$%BY~DdkW3Y1;cMp;SuP`N|V0Ebl)aA+3W!i`&t`@AIVzAqLi{+ zbuaFrs+Qp|)MFR%0BVwurINu^$6nJt12Js{F24W=qp? z<-?>cO>x*N>TX+*X&bJy@wufXEbKtWt-NAsrd#2i5}$)6RDK}8i$Yz_O#E!SWn>?K zi87|n%=~?#ehaC$2uJl9;TV#oO1bQ`{5Bu2!lN!+QPat_1$~QI#5pf`l&Yo0HY!wE zJcCV7L<_%|)m#7)0;B+3ty?cBL~PO;uaE`6E@Ti`;PT=^YKU@}{lYlxZn5-?P< zs#@Pj1_HiOD95eFUBhzZ!u*L^t$qLk!echxWTYf1hXE`(b8IT(XJ$rOlTWC(vW#ey z43s1okKj@JgJqR(h@}VsSlUAMk@+17%kwoKsMtzJ!#vCf6kgy%*k3MbtX3-Op;Zvh z3*U&Rb@Sp-$aJo=0m#r}mueaSe`2wSc_<5F9~p{zxOre323$b63m3*|)D>aIGwC!E zx7ew(5H3-|ANTNXq#%uz8wdpwzobGn?9_(8Nn-ImSwmV>{-_ea?7 z?UhMbn^KCDo&W>^78O|-k5F_fIm<}cm2E*YuxYWPq^;DtQiO11YqJDbK+Bo7jC`0j zU$IM+_;v`dTs){mSNpt%DK%5>mSQqoEtgPsrLmrV8FOW`v4pqdWvUP^RooKtU%QrN z8)liBxAj79f~;XEZO8-|xK=XPV#SpH6?2auWg#mbdazRXk&`g&|AHP`Q-_us5UuPVY%+<2xRC4gm}z z{{Tr=hwdO>WT2-i=8PNSy`Km)hF42Lv0$guGu3^Nr4(tzf3m^3LZ}nl4^10HDXy<~ z5EK&4{{Ykn+wYKuj;|Yn(tRo=%f4sKB~=hix}W;DiPf^xI4nGmb!h!Z?q7%k4NYJb z8kNWj){i4yl&IR$BsC~Q{Ur>!D#0#2+j9$Dn*2;e9-6t-z=H#@E~F{MfjbO)DH4Ny zo0Zw$!!}(@{C7VqgZRjPLHME-0-nOF@?^pl1~UF)#eMwTW>?_Icj|D9_-pWF(<};x z*%+T2pG$1!`HZ2$HNDJ*^oT*JYW%*yd9X#6L<>fZw6F4L`-wGh0bf^dpH;Ww?qi^tpM$<;}{`>BL|fyOe|yrlpehDcFN$PrRi$uujEG?fx)}nsJyR zznN9Aaw6~bmqJ)bV>=Q(O$kj+ukbV@RQY1JNeg5&f{BwPORW4BsQ&=UU@Y*c?;uho z)kKGOTXATuB{C#Y`-NPD{^bTCSL{&I8-%!(Y`f3Ig%aDTs8wuXd-d`7Q^625u!*`$ zOGSPlrsfBN9|)KL(E{noVPp+3dcVMsdlN%r3DOV)^(t{hNp?PBP}Ry{ElQAZg(amc z;sA)%kfMaKX`C*_v2upN6T<~hC56DK8x-qs#voRZ&CD)g6)Yhs*w}zCa#R@o_rwdx z!j_N$aIQnrApzqB%apEgf+ML@_bwn_!;i9_%FvrBUPT&%yC|!G3dkPBI9JcyAF4do zz$V){I@(mtarY5+tXe>>%8>E(DTe5%2W}`e1K3940dXGg{vx;qj6ziSnGT8}-ms@y zWlLv*0cK3myn*tUGZ$@TY?7DgUGAWqDfyNqKkVBpfm1LOu@?4N-)E72K`MBQe;oXF z6DCclN|h>zfDxA(hD&=xSg?!^v=yIXJr^zY`iMF=4Tz?or&U9c1$x#TVKrar_$)#) zsv%ghZ(D-{JwP|lU>@(0Y>t&ZZasmE>H=)oGRwsyD2oHeKpnp^uSsZ|$b~MMdv(OJ z5qsozMF;`<@svEFudYHEiBvI$l)9D@@gJxrilxxQ@!rOoG5v~V;~nMHqUIx|My}j? zmtSx80w6-zF`oo?Z&-8oMQ-%1XSWNM@Loq!%&BS}u6Y%1c7>_&C|_EzjR5F?tS%pM zjp5W>eRe672wU7@WOC5D5kEnSBKb!UhnTJ<8c8&RR(^y=r9Ef9AjXXAENvZi{6Gfq zy(%kG><<7aT)Cyy)cBFt!V7eY5o7%lp^e6Y#Go0-+DZzHtS8I>fQcOPJujv@G2~H? zU&CJ!N`$Fb7G1GEKMee$85)(bm9W@U)UsJp{{RN=5sht45ssahdjx`P5q}kt(m6Qn zXd@UhQ?Xis_eE`%7u=;we6d`9=~G!ogBM9aAeXY75`YQSZAF+sJ_+*?t6(nF3W&bP z!hVA0Q4Jt@3|j+Ve3me~7H$n$jz%&cxk*&f;GQ z$04DxB&qNvjK&vKy$h%UuwzEb5{SB}QRD{NL16{_28SRB0})Wj7CAnsl+~{xU2w~` zK_MVsqBVf(Czo-;^VzUstcL1Znu@HMp#!_*b;kYBA6hA4R%(JqcpUD zqClgB>x%m>LRa-Fh*CV7Q{Si*Y6SCt?63tm$CaKfxb_BCnQx4OfA}v5Uf<<*Ls)Vt zb7A?|)A-AsM7h?g8>UhLPMU=xH1g}31w|bOwB9z1}eW!G~#P}k-tn+Hvo?eN3BebF7C0s7~9S=Ei2(8O|3%1+X zYee*FBH4JwDRqUEHu+5hA)~)gKVgn?Er=6B9kJ#?w+sb~niXVgfWg&$`eCpa{!1o6 zINHK8Zr&w}ka`R+`!csfk#h(5J!2RU*l=jNhgH=Z-(VW|%ko^zzo=H2f$34NWnBlq z+$lB`sE@DNVWub~{-PhlNT48|gobz7ry^+IfeDxAI+@njzS} z<%R=^#PhPt0fh1(5!rXEjq#HnL~2n}RS8o^#P{93m*GuDPqBs~p|vm;?p)71r?4O% zyqwEGbBhLFT8t=wl_(%$Hx(jZWzi6B%edMn>`#F@%Y-Fkaa9624+pVcrAmaSZHj!^ zV5i|MOm8#DWC^>J0;hec(wz%nSru=ITR}cQ696}I?k9iP1K|;D#K}Z)2sSfeIWNaO zi_4T&ROAGp%54z0$#`bCVvb5?mmrdx>@T@gl`0 zynzyvl8Hfx-37}9N~J}El^pg2Dk!B5!n5uaCDz0txI=`h)sO+n zMCY(X(J4e)DzX(jYET5b=5U{KtMMt3wxBg|0Y~mNX(h%od?^mNN0k_sI8>@wP~boy z_hTC1kK;aIVpB;T=#0Ao7)(ky65*2UrHn7q0-2EowdAQL?+Ht6JqQ&Ja)^mI9sCKh zJ3sIORd!_v!?6+xp)kU#E+qGIxK#}=(r3CiDlvkj6{nM(WyQaX$aYn-t{M2h$pTck zv1flCveE0=DIre2Vbr@?55!qq8hs$I-a#?pDG$T5BMMs4Ji@+N!7PWR*?le=1&X{% z_EP=M#*RgVI&ioaHr60Y1h!UOekBwW#80BUhJt4dK&GYKM%RmB6_0b76HPqXVyXZe z>l7BU#m58ll)wW6#f9F6o_(2jLEjA#dipl!18y(@KT>dndsG8;Y)U?n_Y?La)>%os zQplWds)*@D8y+jz2nLYW?n~j>Do?F~-%YDv3vH5u&+K%;Zep6vzy)l1D`FfzlFMe< zdhmmQ5kBQZZAX(6i_fW1jSH^SFjn#9%J{i0HtsO3TT$^)<0+c}MI%W?YVtYM%|Ln* z0`AmFZ{)}AGfmFKuBuj`GFa?&JCLFmQ0o$&MG(a{$ z#nTTN24##Hgt(@19F|~g!Y#<3N3eW}v4Uu-AuVA9&Ps2YE#asbIdZ8me&xJkHgAy@ zvr!RUYB7T8i&YojQHjM+YEr-KTD_NK+o`7RP#J4%P=*hs#*Cyg(Fp+%RZ9pmy@2v< zX13t)LKr2N*onIbwFT31gu%CQ#-mW@c_{P0gHxP@i-tzfKH?Vt0K_b54_;0!xW3Di z6>$S*5n7b{Ny3t%u^!=4&?T;H6=$-F5LhrZpEFy@L7Nptry#;Sqp>Hfma1(5DTgP zGZRNxE-PSw@PZJ85U24rflfh`pjxz)bnF4-4GyL|qi5&{9h1yiQ9tAnPa&~ldnzQX+Yt-*m%Nx=nMxj13IZ=1n?9JX3=7DA_*!kvxMa!l%DI<2 z_!?swwL1i2O@Jx)CXl~(v7(`|OqI5dOp~%d6YetQmnm5^WZbDmot8BMs%%6J+}f5Z z6;T$Aza=)d*xexh<5V^vTzre0V-80jOawk+lG3H*Fk@J)I<8Ft+AMuPAl+PiJcQ^X zpSysT1{BK*Kah}>Rn)yghm*iyQSpEi8z`D<`i*f_!UnA$mHoYh+aVvhONStgSnK%Yzh&I^CQLCO*%O;vK0$m!LI5ZPRSyuQl{+hE zE+B{xkuc?c7RCC7BQ9S4DLW_hWfzM)h7eWl#36>rAzF!B0tvBGY9YCn%`Pfjz{J@{ zA+B*Yv8&4Xfp>9wc~YVyh^sFpSLzUzDBa6-2FZ5~1vV86`$~?$YT&lq+va;z!99fH zjjxg)u<~LQo8+mZ7Q3*i8S*Sc9fq!=AWN)7RuZKG=NdZJ%|4M;PzxdmUQ7I3sID%} zN10;8670D*1)Io)UP`nrtH{ZF6vgle)VcCy0 zH+4Y`bRCSH^tp3)JS_NyngW}%a@VzSkZ%L?71Q-98kYkYHlR|Yh0h9prGnKJYL-;p zY^k3JXahUSh!MD5{{Yy#)*SFAqHM~hOW5SMVBL+HegwKZnOK60zZC?!9K}Qy0TFtL zTOPu}_?9gVg%y*dVIxBfvN@cZEXZ185T7oWPd@;d)cz-7qwW;2N1>UxsJ3!r0^A4$ zR$o_<)u@B9p;%15=KINiN{PL?$OOg2zo~(YVZ4V$gdkNHATS%Z@+}&uvfzjarK+|! zdR5O?%}){`?xV8hN+t{P3l$XrtAmBC$Xbk6V|0EciFTHy=$OzzTLxUVw~*5@H5Q?V zhCOG{B9uXL?ZL{F1+`?hz_CeY#Z8uMS7KkWhe#O+BYAPQm3`QuZi8`Xn{@m(Ao0uD z&YWR{{{Bd8+Q?il^Vt4w<+j9!VQP$OCT)_E$K_Dme*mQUf8?w_RJ3rFvx!pu#3>v| zg^DHHVA80GybD*z5mbqX4<_)IK@zoWRISK1YIc)knT1k-6;|9-o>MF%McquD-Tv!p zJo*YBh$WOCVuw-S{{SEpT$E7nW?X>&pU99T_~c81=ol@b^)3}h&OCKEfu$9eD(KsW zb4j)_c5;bF;Sta$Dnb2RqCZF6q@!hpc{HlhX@s=d0J?5`%i} z4O+xGlhx@gaM%i40GJNV3M z23DUY{gqHmsfeL3Aq=7@d`4)t7SSlZ>;-6w6n;99*lVj-VueRm_ZLV)9NkQzc4&M1P2e=N4SPORB5OZ0SCKzI zQq+1KRJ8oxXVU16KsJ;tqYbqyF|C0BRMboIXa4|vxl(FvpZ#n|UrK326ZLJ=M})Yg zfDAIYo+5Y3G$8^!ObQR^PiIs2G1jM(@f+K?!lY^bbu+R%?Q{U}6IqN?#6zY2~{ z20t9QM2Jqdr5eV87J#EqAYcvkXQWB-v3pVb-jE}r0bnG0K&q|8v`5@zP4??0m;;um zKTh>Uqaz)lAI5on0o9*G04ysNV(xTPTR8-q^jGpLt&%wv=wUD-2zZR4z@ruFF zMPj&OC}%k=FDh2gtOgb!P_8BIFd*1cT?PYnrc3ZX<`;LdG~d<4bZcFTxWsnmn8dbI z<}GaqJtD=vRYzLX)*c%ude$LZJFrmUdofj~kP0akA>_9LjF(QLL+2BKnM$csL3$B- zSIprlen%!VUuePPNnvl0p~7-eexXw5EeJA&@Ahg1jbU{FDaP(3serPYg`VGF?vwQn z<@Qo8Qk#4RT%e!4yzRx*QvPBa-?{H4g;vLPsBTwpV(($~8oPxJyB3<$4<<$Mgf{AF zkol#~lWdO5tYIFNHYeFhht%7UY<=7K06!70i?asGB({jQU9guf zHsUSqM3AuJOyJ`-H;E;)*+7TvmaDl#-N|r0lpp>A*`(MREB^q+Y+%STFuETeW> z!Kp=gaAPJHd`!D>n*_JVVj^NZmlGm6Kk6zCej&oYnU>bUfq~Xg_(~2|u}FyyoE?L@ zg4>XhsdTnjYjdER5{9oLvHt*5CAJKgFsIA|Wv6Ze<*EonFKS<+iIladp{jW-DQ*DL zr|T6TaKW#p^_O7|(Ja(i1elE>-Ly&}3rkwdw#t~$S^z;Cu8Ci?X%y1M>_t^uISKKphTpYhLAeCbC?jil4_({uF z^!}qU;Tg~Y%#`3l)UPihqe^G`nM=TLX4r+w@l+fA!i|688g1cf?~y`?{jO|mcjkJ< zns0j%(ND}&aOQgvdKCe<;9s!B6dfCoFW{>EM|4}XL0O0j`-&@(Z-NvuqE>2P*d!5Djp{p)lL!sl>$Dx+LbFo<+oDXsP!4O z$f^A?PiYd`FS4GN7vU%wKXU4TjWKUQ<@}YDkPNEKf*w&-XE^B!dKs`0qc8ij2KLrT ztd2HC9-!bHjmEBvyA?11(*B4M*Q=(Ys$E*CWrn>Ql>PE;0ox216#~#}A6LgMQ}U7J z7phpkUB)C-fqxVrjaA|Q09hGgkW0JBDC+%)Phwdqfw5AFEcBSgw}CYXA6G3R1TJ6T z-@z&$p0ep>PbXMk;U&wRKLMyOq^k09I-EY*$O|_13<%jaUE~`2j6;~Xpy-#&W5ymd zw3KsYSJ%$mN0A1S1F?I#{i7vaJPs@t4D63kzduY_x{v#XYrERQ6tz%6<-TdZ8e-SC3X(^2D zZ4iLnsGnk{%7*#&grcvA&WUt~LIg<=m8+%!wPRSc?ZN}aR9SAG33S-g{{V=8sm2Wq>2i z6&O#lS`HkQHWkR{W`*)AlgHW7mtip3NqZF`_zN+f{yWeOLo4C5N-rp2DoyfP{?r9P z_?b~pOO}L4hvMN6!w=1%%feRNwodXqMkM~~P>rI@0o&PE*p(Err_$756)l@=x}NeX zoj(Oox`}i6o{&_+TI8mXls))|PZ89Au}EqO^-_Z^A|p{r5Q{EDg82yF8)n8dLi$OK z(?-stthM2U`A6u2;e#b3(-cu~(CjVb%gI=XiVY@Qs)7BKF3W*N{$;Ig5URdsTI?wd z3-<$ls$G(f#4T-17=IQL`BJX7Q3uzvs_BD&fN|t+W|*LdypP&YNDEa&(f0?RPuUWN zL0_>7rL}j-MabB6s))IvrP=D#OcLM)ysfxpy$I7Er5$`r z0#}PJTqRO~sv$y9`F(|HUC0Ri&h1y~57dgZtsj0=^OeyAsl3<*{_14Tc1{-TI9N0Zc-SwN5M4^<;#h?55w+cEkCrpI=y0)IE`0k zup@*204gXII-_?GH3X@5kyoi8@FVQ6v#N+ywrd5ltD5nSbw}RD%a&>YDM79yI<#z; zkPZ$LB#T94eCQF{Ds62vh0B7MzqBH(zh#!OT_(m4xx1n+M$%lO8)z=3PWd<&3iZ9h zXwfO81;&STOAUm}l&HnR$y*bx3Ti$H@sbbHMSKh+X*&?PRXN(i0w9&yd9JVdEXDmf zM55OR7Tx<3>QewV0dUqZQTpt2@2i%Tl3uCHgi<#u_WmkZrMgp>66r%kn3+`jeZ~_# zB~%WYv4n2^ySj?HAGq~bRTcjL20#|=nmHc{t-wPF*|}?O@6^%7?c~qX2rBa9L8;E8 zaIt<5Dk)gSK`#>4`Gq-)PG|ogq~|0>ZMRH>%%~Lf}RM zT$hldqS@@MLOnJ8kXqEcTa^!%Kv%C}XqLEA-HJU=tw&vza|27^5fDSwR6@spV(IcP zYFdajz^v^~!52A``X>*UAQ3mPrQ}C{&?QdS1XiyLSQJ{{Yv?5s3}0*^-bY zrdqMNyETDPdJ_qCY<4-pbe)CuWy=X#b9V?8g|DjwrsE7Oc3D=WbxtK4PahB|QOkK2 zl-Vv7t;ofakg5j9T7{Wil&Y3RXv%^Zp|KCt3i=8q0YyEPQdrB4WkkJlEJ3Mv`1Tw4 z%KRi+Wz;Xfk0+gi{{Tb-OLBFn#e;Y5nrx`fz zQLNQZAb|O+kg9T`go-;vL90QiflED&FrYgqBcuNSh!RHjWh4q?7^J121TRVkvt5;_ z3+e184`FysenR0iSrpQx{=@2EMgEL_R3 zrm&R~w2nI*^f4n%xA`oHxWPUJeTJnv&7BloQp+#lS?2SR@u>@~$dDA}%CVi6^N~dr zhSOz~7P)V+Zt<$AT9<*8?}A{{0d?r4ERnF-9K4(DQx|{I;&E{_xQkS#B^7Vh{)SLG zdXG;ZiKPu7%eOE=9G4qjRK|%hB*~XA@&FTHM%t{Ikd2kDiW2c1a+JAPNA0;_HmK6R z+lgCviFFmMHSmz{Hyi9r2T$r8EfJq4pVXqk7Fe6u2`Eiuq44$#MMn1y78Dip5ogUZ zgITa582GqO#54rZ)wv5XP<5y%hJz>AM=YxiOP3egSx8n2O@IDn71XCH zRPARY3QD*H2A`Ee)o@>l;bfo>&9xl)9%jlbY)^CIDhlsO{7OhSww(P=>pl2jjcyrP zGI(1;t|E#RqQH%mA%Y`H?na?3BTTN^seL^gi@X81aI;Ffth&5L`eZMXQ(i!uVI?nr z2~HB|sn6yKP`C#YRImdv^B#vW&~A4dHL2Wy5RAHvz5HwNeg-n^oneIRt^6N@=3Psd zvnwVe6|s`RsFUs#V~#$ckQycu?kXn?!h9iFbyDU=B((>_EuovNePt5f#ft91Nv)bb z<3BPA_HAk)dWeTvZtAKvxq)&r%~F@>1@T*`ei z#cq-~L@o8HL@$F^_xzfw4oF{lAD3rv6pAgaX58$_#0Yt+)a~t&^oJqpZQ~6`2dT zj)bwCh=Vfku#KmrO}i^wUlAL=!2+4R`~f14l@1VumX#^G7Hl6n+!Pl&OZcFHFi+X3 zVpYsXvGlCT&=||A$lztlN)n;UiRmhRl88S9D3a2KP`QRwjf(afX!{TY{4tYzI^X+o z1>&|^$WA04s~_>OxO11i+kx5(LM=ss~oRj zCe*%=y>9jvDGu3$0+xwp$CLOY!7W()PN%|)0YRp7>t>mUiBnr(*OAdOwvgSb z{8wg1G+$~IZX$@{C>!R)be)BLJ4^t5#cUOns~cNvrEKXfD0G6_!03+Ck6>DQTm=9bo>g6F2JQM^p$a}6l@1L79d2&4hdW( z#6IIL&G{^AXCEO-QHx6txpO;Rbf((?i+som$tbD)E*oOS8C57#a-gJ2GE@wM^BRu6 zz9Pni?3-PFAIe|kLL{^MJdH{Mdo%w4#q1c*AI5$$gHjB)VYzfwnJ3{dC9z1ask%!; z$06$)E((mK2f*wV!#-fM@xVoN3qr+s~voyN6jM&jPU_gnG0QB2dn@s zKadFy5`YHMsH>1A=@D+Hkc~jvfkCGtmR>?JbsFtoAbV3swKM#rb&h)i!%vAsgQPS) z5wL3*xxZNI;Ui4gG5f>dmfTN_fI7a9#IDL9wgq(;krIvGL#SITC?F|FC`L;PW7)ae zmP}ygEX0!jO9I!#v5Kse7d8s1K*;HbQ?lx&@}tXh(qw0#qxDD|n_J3^lcj&@C5y`h zOzy>!{w#PmaK8?~eT~j_p0kM9JdeXK1qmX&%Wk004Cr60(0hme}0y{UaI`I<~iZc`kZ5WEUL<%vyleCx6^ zc7_o0$h8);NZdD7ZAG~JEu8v7g9NtaB>n~%h_TtXUO-g%Wr`<}Z3pf(ptZawO&J!~ z!4YX+{{X?UrPZR96F@5e0OSEH7Oqn-025>ED>|TsBy{hQ6axI4oa-LTfi?^xj-rdL zxz$Ag)AFbbf9jzIx52r=ViK&f*wVJ>C6njm@pRDCX0=9g>U88asBfk#PAhaoz169dzgCNq|uehb9D)RdRo+V~JQ{;1B zc6~xOX6oJfluip{`jjk|Z7{j5nNv7YDShN9ZE`xw&tfc~wju4yT;xKSBleXjm{m(# z`i$1$y6KJUPXxvN>k>VtMi%ryMut4vvUrtP6oOr;hxRNexQWtM*8zS4&mS3D7hcIV3_TH^(O@VJhIS1O>G2-IjcAgIG~$AFH)3K~Pn zz8BH}D*g~~pH$0L@(1(o0K9;fTc2^mXmopZ8j6$bNm{Wl_b#CBr&!Dei#Z6$-=cg$ zb;tZ=tYse&o|aitA+uR4H!mduw22EsT8cY3qFb)y2skc}OvYvN_#7F;v(9a4{GHQPphfJ ztP9BD7d`o&7%TE6ltxT(yH(2qnBTW8h7$Gm2MZf3DqKHZyOy^?RGC}kyIvu1Xu2|> z;)3DfCbeD9DljEF^r!&tN2R2r)VvG1N0r9)SmUTjV|X?vdM|!1vw36x3ff`v3aVpGEn=A1SKFoeszIvLxvgy?_R{AqT`&K}(cH$to*tsc_PgojIekH=Y)aasYzt(oVXr0zU3oc)Q7>iE?Wg^eCN11w zA{Ao2D&Q%4hslsq?fpwJ9hx69%5WOzU@vyuh`fHK(ieNMK=q5Txdo|CS0xAZ?aCzs zrhgF_G|M4?6H5FrU{^NkC>qH?6zowcy8i&gW!MFgX}pWWE+ESm7cBGmfE!WoktJ*C zI((N#hsGk=dS>-SnZhb5br1>8Q`oFwU+9=+m$b_%^UtVk4dkF-gk;rfSs$eFn+IRq zdTH@H22OTttA60mF`XwO4$qUbKSWu@@-{i`QLrj8ljq50!v0_@g0596x`)de(gHjL zUK-xKm5N=4&@ahAVjqMd8k`wn-(f0sjL*Pt=A~4@3#bsOQ2Za0e~$b3Ziu%B$i`ez zRJ0jvSa0DVq6$9ddf=XsS5RO@Rog-&(zS_NXcs2$&W+WL1Lin4Tz%l7cNy- zGP?)U;x%ucO9Yzj7CPiZXUG1csid#;$+{Rr&2m}?8Qi%`xtJ}|0NeunLJm}|LD+2g zs+f_XeJ>9sLtI0YpCWT8%deOS)X-a&XG}IK00%x~P3EV{lPkZ%xs1TFulFzNdfZFW-Xl2Lu5{KdxBwTwf2xyX*QiFRchG~Nm=b|>`p_YNQbCw(~ zQ`W{PavbMnAOwQ5b@&;BOlsKF6{s!W#3Rx=*-I^@2y-Ynt$cG(AQ7i(#9UW$ZCPOErH4rH&h1sDh!zM$=7z;;nlrUOdW*PYtMA{{Ue{A1rMYxqawI1;7x|str`^ zORWLOG&SE~61>tGhYFj-p~L@D`w!HSV+_=a6(i?Z@6* z5@EaTziRu$v#l`Eq|JpNoEL@llV2yO%Ow z z?B56@1(07ZCrYHo8b47l9at#_y^l=9laItOu29`*lA%##u=R@rFXK#NH-^ikdve}Y zmoHkjqlkZmR2J9(_NBM_JWzkyfLWE(pI-b=QY;KEMIb!jZ$grIy&&&A5Q$U`|-Et;3r z-MLq$%No64ih`cD2{!)#1!cOO>nbG!$cap-#a>1fUXb~sE=n60u~S4rS(DvLYxHLZ!85SO;(CNf3;iiq1 zorsIgEi!m9+n*DLFhK6z=OMpKUR0t6vymz*Ljl!&jvBQWD7L*m&?3C}inIvbe8eT< zX(>Jh$b~?r*;~20v!&D;R{9Bb#CE?Wlb+77qlpb4Ig3iV#*p(_7_)w5s^tc?7Z5hG zF_q206?rPw{7SJg4VE{x5EusQk*@3LgBRJXI>I!>l>~Zr{eWrStPyJ;GqSBmjWUUf z_EsN* znVv)rV;mCtl~o?9_t}t& z3!Q+DCIdF%^kvN)8;l1(MlCk)w-7hWkJ1_ntpzni1!Ch)oXM3}0d$%Neqj_m`~d^m z*e7nHC2Mp(*32f7&(+6;-oUzaHORrY>6`^0xSXt+LjvdOa!_`w2jrcVD_-u|zs11U zDqZZLqtUyK!YayuA5x&>4l1w7WWGBFTp-GnGbW=!#QC-*nua?HeZvkLTwD(dPa#bpNR0B47c zF&}Qe;T}wsB;yD0P=eui=tVXJR<;J^_7&LNaJDYL#!+Q0NAN#`@v`Mamq*~lKNSTr zj9NteFS$&j#)e*X$i+dklA-t=P!@~ol?65-&O(MulT{%oU3?PJ zrpP`}2@nbbs(n+e(9WHyLRxVA7kq#@JQ}Euc>o}??6g-h{YU$maxe|Fr-$J|11u3& zjP^jclE?*dE*9895qomp85*PVXIBkta!?V0B_S!PLyz(rm25Pm!oFZCWtUr!MXTrO zEPXA%+)>r|IKmxrh=@ksMW!O;Xyr19!NwGHm45)(N;@4H(L!%Zd3O@!wbXN&2QDcRD_GhFN&%}Y&cwek^SWH;~ zYNeSL8U|$o1V8mAPD0KS@an?gNudO)60cjo@B&-ugsyODGyMf`4rdI&2_l?3ooG~ z#MZk|6;KM7HGB|4H9nXmATF+=*`k#SG?_;&{i26hk2S8t71Ya?FVz14#7%Vkj0~lY ziPn0eVjVzM_67YQSR#9bSGdd`R6wXy=dUT6tie&qg;EFi5NCMG1^lW6Wg+CUtoW2G zi#T-_o2WHs8bl@*x*H`!mtRZvZam&w(jcCw9eRxJ8L*MQyN-l)9LRvM75sP*679p_={Db)S@m2XD z`2<`12$$H`1`^i^Umbxee*XX)2}Z?@)GAyqqb}|6sr@l3euk@*X~||N07--qw=_gqIr-?Ap9wv-14*H47*bE!7MjpiH7!c z9r6?mTqxA27U#n-S#f`9VU(*KjfY3T7#{?q;T&ArnDOmoyfhe$vpt!;q-4wdR;I5<;HBY9q;#92e|E-8_o;E;^U5 zW0Yw(>RC?A?Dw&vkYn>wl|ZaH2q>);-p+snImS_qxAPL2VUYu1aMMr&F6h|AnKI>6 zPr#_uI^QM4N(H5naye5wQl4Ea@5+n;RFx>C_wx}?)HW)1Q4ht%$WKj0MYnD zDlRggX_>^?N+Mis4ZVTKlH+oPgkJR)WH8fL5>QZv%Yy>p1<-y4pAZm+kg!})Djx|( zBpjEORm+7yd!MMNM62v6dzFhgM+z!jMhdt;yt2D2MO7&qG`6l(UCZM51NCwBGnWd? z1zcFfrOJ$_XHiejmo;%OHM{cJa#bn)utv#!Tyk1A7kxe-NVy3sV^?l8@e#r55D4!7 z0I6nnE6#0x&xRKm#Qjg1)WEMHYi(4iZrtgyUyo&N=Sqw!pGwP(H)s5m&ab9iT0FT+ z8@3M=w+5DV<;);!s~Tl3`6?)8FVZL*MMl_D`(S7Ro=Rz#U$|$VYAR`Axpi#8`X#)^ z+d5vtn|$RRiEh?P*3AyWutQx7m3)fiM5Q3~OAhX(T7?>kP%wLyF!@7@eZxsypE6bn z1>CVSv#+vTBx811MYd4r_=Q}SnX?bnb97roDxQr*q^ka01FqG=ntTaV>^(bB?1haj zg(9~RU{M4+5II-&T&ewBRCDA2{^JU4JT7dks+jw|P*u!ZIQEy7=m zOdq4jOOzh5vDjq+EBh6}W8|Pv+gGkpUp`-1Qr3WjWiIUqMydsEk59(Q%{{XNL za%-yOZ3|vL{{R;c#>0%zn|nSh@a%s%Uw@I8@iq~Wo$Pirz=BT3qD}k`OsV^f@?5qv ztP74yuFP&Uiies{ty0VFYd=uJS=PRCFBA!98DlkhDlGUT$c+vd%B!L=1AlSbkDp+p zPr{7o%;li8yV$5otSY7jR&klwCKc2tG`zV5Yu9=D#RZq*RIF) z6XD6h1gTHLlH4xHO?Nk{%^fSUj|S1-iAQ05iH7SHF*gFrDV|i&cH;w=EDKub)a0?K zzmlUx_Htn@`E6g~<*QtPRlu!c<)#p-X3$7DbUI|nj%?vkyIDoQGn2@7$ul06?rrv6 zP&~+*V%-OC4aMNKdlKY+#%u!W0SJ~>S0w_`l<{CkN9G6soA(n97P=*7g{Xk%-onH& zTzfC~;qAuxp&~(WQU3t&OJ=eLl3Y}2Exqi~5Wl|{2u!V6LY+6yB5DNvejcBKAM7q( zK|}IlH!&G=#$~_DJ}70}B!$u*!;6d}Bn?2Rr|MsS0hN=Y=VVCbHpqkm%3mdG%e2Y} zY)-M`ZCm}KI4~i)Je7mD@<;1I?36|9a!cprvS)Jaf`wqBi53?#r;$$NyrXb}2-C*A zhym2@B?}pA+!D>%vi@YzK7KN5#Rv(6%au5*vkBVEV0w;Z38(k+ z%7M#`)25qU%up2n0API<42mn8^DGQfVQ>pQ=FDl>UV=*j;t$+E{U8ee08qx#$;!WZ z4FaX)p)YkQUB%rj36X0_j&xaX{vk&1pY~Z`QlwBl$RPl_wr=@EABuq*`HRKFOu1sp ztMXi1hhblic3twKhqD$i6I^mz@nsxqpjV7(rVOk3Lh%V`T#yFFff zOoiaoslB^1#YDXy7|`#b5x8UumuyWzWkh#Q161-8x5U~=pHs00B%=i!eY~HbkqF&w zhr8>Wr+6>#+uEa6e~=EKxJ=}3AQ^YB)MT4%h7K+7OsT2JU=K2Awl9s~gw$@?Ke zDhyvGFyoO&55r+BA+@u&1MYDRVv?4~qA1JAoVAT}zJeQHfA=sbpq2_|{*eZyc3}lz z{{Uu1ymE0bv?Jj55HU&q!A%yl9<+T{3AqG^h!87pw#2YhIt+HKTBB_p4L3|LUw&m3TqbU`HT%Wifg(fFN zbOogILtpq*8$+}LssZ`{c#QX0UDACL0m_6B_+U&nSZvgxej-L`gr{?2mQ14~CB2@Y ze&PfcM{Z!2+bD3!^gpRcff~2(dOZaGq8_@B)*it+$}Wod9z z7P1tGWIq@L=z*o1C=eT83vVC`15&H^i?VWkRC+bJ@iuXv6S~B=lMo0!xIn;D&P++I z9n;xoO98*!1!=4@y5s`Fewdx8EgtSos?7dI$I*cUO)-K@{{X@O##IVsTq=gRe&SWF zQ(S$x-NT;*qhwzEMM9Tg+_Uj}9;jRY0HWnnVF`Ct5H9KlHOQMo57CP#SpJYSg~Zg6 zPimRA9~MU8#ZXnm5ms1NSl47B6^EUNpi?Siavif)5m&d-HfYSdEEHh^ibv4|vISj_ zibcc$?6A%gZIoF71&Ed~*O9lKA}wkX9NC;@QBK@pqQZszLL>Z#Vzd|Y5Lr!$lql7| zXY|=YRXG-le(xZ&X2UO=#taq3z>b0TE1NRL{{T=bfCB#j>`+}_;yCpHj{bvVb(k4* zqLFvv3)_IPYG|p1(!HOe>|HY~v^&siZ(jWyc#{3o(nKaIVm71|~5bq#-eYNm(^v zu7BlFHZQG-_9|%rb(TIREtNgMC9RuPVr4(rVV~PB4(d3&OYad1h&`37Nl+jVFr~AM zutHKGiHnvQ#a7-jma5_z7ip-NBMATu!32Sie}|JFKO6cnijEXQi(7JBXygl9*Cj7I z09qO_Mg3&%t|}!{i`cY5TF;E_wLk)iXZ0y|DpX}WxK9GwmB?}Q4ln8;>=weQS>&dR z z^|_1Q%56QOz7_f=93lOn(zx!a=IB4IZ78*;vScS%$`?VO?@i1sMy~+msmxQrfJTy%8p=cKt@+>?0D326G%w z2I7VbFCx`YA*EK!%E@c{Oaiv@Fxl9^m#yrvL%5n3W^06Pfp40LWU2Bzl|74Zu0)uw zZo(I(K19IEs(Q;vD=2|TG1Z1;X&a=Y>?LXBU`h2|VZi)N?0&!w%Edi!HY6xVnaI`f zH)9tDT*i=mSi}I9!mI!`>+F;kmG9iDxk4$i$ct?}xr1s`QB!95*-QNh)Ubl}eYi|j z03lfsZAxCts83J8-^ubHgs5UHcb-%b-_gB`QWgBM#n^tL9=F}bYpflRN<1r6i`JnR zExeU74Qq5VpxIH2D+qf0RJxsj5s!8a_&}cYm-qx8f-fh{(~!T&B7xg0j6mEbS}Rr( z!Mco$*%mL93{{U?u11;C|Eq(X)Vh7FlU5GMYGjrLMt#<*b#k9?>knhvOdt z;HMxWe&+I9w*+wClGjAqedc)vC2VXr#rC2rcSrFlYelK_8!ne7gerZnW3cT;#4p@? z0?5sodpIdP0wKfj+~j#20H7!NUCImhDAEA8Q!s9O5T#l36O1}kBiV;DRu5%v#)lrF zX)IdQcT3vDxLt^@m{idhHSuIRezk?Fv4U-hJN0B&y zuKo~e>Nj~(l&;{Y*2c}0V-4a_jedm$OVj0nI}X(-y2^l1m2oQ2DmIqK@M*23Rdbvc4+4mIF&y%7z>TCQpV;e&vO- zI9Xu=fYw$Ge8pP;C4hZGLz@a##o>8*EIXD{OProdD6M={(3S_09!pmcg|~@u8$wiYMX?xBA`}bfvf{o7BV~D| zRJiFBx)|H3lyRDky;d|TP;wxz38->X^sk$WA;BvB$$4Rzb2bFA_e>mBXf7M%5-X;~ z*`HFZ0j*V1fpV3WJ{o})V#Sh>6Hu|JY57qE<|U1vd*=H}6c-JD8w{k%#>5St>}?3P z3D>W=5 zM>^d>{{UguTs~#rRUNNoL2syUG8}&bB?V3KmbDw@OJj7#`uWOZ=#v|t{{R&6s`V^c zqwDY&%c))6hIpmdB@y=JZ9JAkvXH`jMKWFF(fFGAvWJnD4BV8Py9eUos;p_G0X)lI z!7$t`s+B_n<`#NDG^h2IcBxiA7ZeSG{{V4@j{)&01hrEE7`2;`5%nT{I;hdYaFsC< z7Z|aBzDA}O__+Q8aw%}zl?go+F;eaV$E4X05x|@e7@9u{OPMmD+&as$5RN3s%YrH= z@tx7R^%z~)qXw9)+@iNgsy2Ud*TM`RhNWG=fl+RKx6x!Iw2wV04LNE*gA96lgqx7K``syX0GxYGknF z4`B@%0JS*-L$NCgpQO1i3j`Z1xau_Fr2KK+fpRhBO96HQrAvB^8ghK)kK9Oz`^&N9 z7-Gc?_01}FqSbuzQaP6{b^3>EDLVj`mcSJRLGu6~H)fPk9+4*JFVY7b__>6(o1)l& z$8Fb;1-cf?k5+?a3y=$^`72>%62)yGRPM37ZgotNb~K6yf94C94Z^S^pwRl65ADyZ zS|U+HF4ce7-VNum1&~$QD7aNemj3{G4w9}2LA!mT28!ZF8W@iJJe6lIT0f$TjSt*L z)#k7-0_F&d7v$9p0sV!4I=Gt4fJ$^ch$*eAcI74SA}i>TH(q?ttZbhWtT2Fl4TeG8 zN+`8nN(_pPRH{O$f>5Iy$yu#7lLa*L`yCy~tV~?a!>i*R_NI|)UqWDZk(*U>g}VBS z?yr_K+FVWmT@$N5VOA=sDtP^JUjVR><4%8fEhjLz70NNZh)~DOdRfX#$zrLoH%znG zg;d3o=7^-tmu|#}&e2q;`7Y%pH_|7QyAWjc0%NrWqJ=q9jq048abkzDH>L!X*s=jp ze-J0jh}~qN1!StYzK`W@IfVDyygpE1=+IK&801IO=u2WGIs#>leP@TM}LAO~@&3p|rpS8$Mr#vcL-rE8QOU77GJ)JGJ3hwNU zNvo^^c3eS~QrXxh(E)sD!qO9`$wLAtiPO$xx0cU~j}J*$!T@rHz`lh{g!Tw3@po+E zqinl&tmHQr_`??;C<&2ovaC|wPH<=@soKkT&O z1^Y@YVE5VIH#y`$xxfBBmcv*HRg=kps`&YqxnxwjLA8&eE(sMt%F9tuN=4JyT%>B? z%YxjtT+hJ%7cWU(Q6UC-aR^ls-Z1eMDhsNB6-7XP;}^h`Lo`nbml&c^P~rRrypDQ8 zBdK-Ffq{YbOkfs@RmPx+V*q*uK1;U%+o9wkpAbaksr(AGLL&K@^E4f~ble9JDCNqA zpKP^NkT$)GH*(-yQfg7_EU8T~@8!z|*7g?NX^qFLE>zF9Wi)^6JE2#N!jP(K4M)sL z+guz~rtZ(uWxW3+DUvNu% zbK)f{yDtKS1LsrlUdnkXf%+h$W@!S5JgAg!Cep1ujXby$_l|CA`Msm z zRpmug_Kk)@g|`-)DxH+NxDLwvODs^Ds_vpwvgRmNq%rgXgaYIM(|7biPnXTg5f^n5 zS5&Qr1$8I9mI4C8SIRB3+G0GHwW;w0y1%g1@qbc}0=W&0r8Zq2p$7|PA=!A)SRh&I zSg&Bx0t2-V=2n5Xkx^E%`!-j6i$(B$$!7RDa>yuCeD9Zd4 z!WVN$NvE0YxxbU*1e)Tx%!&fNH#4ukE9JuM?LxXI7>{5^i_S&|C?UIiGoNY37qYNaUj4&cQom&n zsX$L;yq46c!T@$9pC{G4n91&at(j}CkEMS-OCuTd}OvPW<_Fg3*=6ZMq1jI zRkskHOqKrB66QbrA#Pj+Lj`q?th#bJbZxRbeTv9rDJB;HRu(JYxoETMSl(Q;fK)*5 zuxTsXk9PMfU5FrN{h?44c?aQn7KALdZiMRvt5t2GcG&4*g>np9RHhy22poUAS$1 zf3P~vD5z960{RhHK18}5V73vg_bzCMu@Rv0c#IB2NSW9t5 zr(#%8Z6QO95dN9`6&n4^9iM&>vSRp_RJTT4YR+5<+Wy3NsK(A%{myViLq;fNma7&; zLu;DdO-8HPf(%H=LG*@;I)w+JxTYem-pieLv39gvXaZZky|>u->B)VrzDpQ+eWA;#kif3mSm zw{}?y@*b=y&5u$J4MNqW@V-RwH$(u-+(r|Inf!A;s!+GQIVhQ2p=-d zZry?pOxv*_G>@pUe8JJdIXVN8#aY>3dyJ)xJtOGXpog`DMNERVKSseF&z4_<1*#zH zkN*G|jFZ2G7IiGRAVTQAUJrfATLhKDpS9B z!&b*_;n{j}nb4ioSxW*qT%%NC+_&F0Usmy+61KFO)?g!3H$}10U=%AhlOZ8fdE|J? znw14q5DKU}1_5P`egUgRZ+YYZMl8lqa##_qW&Z#q>_w(}LOWnVNuegBV|L)bgheZe zLbQyuR?tE!`o$l}v3EL~QlZ~d(lU){E=%;TT_eL-Ruk$RU8c9o+KYt_(snkrUOi(S;H-o(k8YxE7cs#vvV&K0!NPk3M$3NEfe=2hCMehBd=VNYgiT!= zoT0ml9(0s}Yid}zfx7vhavk1Eof5^;r-VNl6Jry9g5RKlnkrhM3ad%RBz~NoGx5QW z+;0B>khijkek)~H{{V(o!u}xGG_|4*gEu2ADe#4Tup06u@;thixB{2SP%#i}CVa>D z_%HCIv4q@Ty+t8=l%S}es+CE6O07ZCfh+-5?exFx*3Q(*HsU=pRtwPGM{*qO8l@3Zk17j&Ec25ZgqDg^KXBxC8MO@^unzaw zG~e#?kgjeKE~7<(>*gD-?>=1M+8_HAA_tDj-B(L?4Y?a5l83UToRne*TRKn!HFrPM zY?f!tCQ%oCvo;TKzFm||4Jqs)zE{DMu;p=;EfYVp##91slNm}H z*63e7>h=W& z=&~AF%aw2}it3QMmtv%Br*t)czo>$?Zv7r5Qa`Gtr8+IxDd^_|g4q<-4#AFEoi;JA zwM%xDi~VI+VjnP~BD+8LHnLz@1Ff~tc_ieW_R1&^G+W8uvgk6NjNzq#@RGqCV!fmtU!AVClTxPxY}yYmR2OJ7f!H!)McXGls_vhm~U;K!@F01QpK}fSOl|0Tz@>9wUz*nd4TSB+U zMO;3eI|Nvlkd%jR->5n~84jIJM#MP3=G00W&hi9oH6R6u0mW(hl*6u~eW~uj)fj9b zsiYFMV*dbP;i{+ZP;c2sb_2zHjqPjcg%yEr)kniEJcyY`^loBmmjx<|Lq=H;*y!9F zc4Qcs>cu^mOkqGSuUM%z4GSS3fsaST-4v-$6NN?!(FN?ZRoW5i>f~tw(Ys|t06W`O zY5`&WvqMqbxk}2Zka1xEuZZ67%9jX3tcUCcTnkWY$Fs=c*d0bX2q2Ttz4Cp5g!w-C z4u!9k7$6C-68``o^`|OY$nPQ}rE8FfD|XA45zYR|XWKuYn~WX@hN74IBBJy5AWDxa z?n3*o{eCK@RY<1Lq5w?c`9T8JW(ZZ`3mZ(LTQXktmw{G`mIkGi)NVU{DmQjK)8it= z9*|=7B7<##jyV8uWt4!`$POn!;d^lD zn#v5+Rf zOdAHjVcTHb>;PBEEyr$J-6|S@KM*EXC5AHRx%e4|3g-_$2SHc`So>0@gJMn*H1=Gh zc`17gVRF;=O)K7|UcjF!dE)ZV955rjkSewQp#E{ss{VmV zSda#)u4P-tB|_I@+iv^!^(=!w2R-&u;o0)aP$8Yv_x}LN0}(pX0$+Un&i?>7Wya}U zfMuP8s@h`gHsmlL3xGGqD02#_Yg~mJxmJLYp&# zQj7NpoMMm$I{YQGZR{XCc@*dNBN1Ybf7p}u%K_u=qrS0F-n}}+<8U`C`Z+9(FreSub4oZy`ixCjIEsR{ZH55iK zQd(19aRa?ozg%QRUB5T5B#NUrCzHS0ibgl@vXNIe$=8vl?lPQ>1^4a>(vsRbLv518 z$UrQCaT147xI(*^n`7Znhv0O95*@RMkVRtYCQUUW*UV~MX+}qNBX;kR{{SMZ6e+vp zc!y$+CBf=FppOtzrUz$g(ylUH>z7fWFAD6p3(Dhw>wzjH_(oP%0 zo~k1n#Z(1lLZo?k-}x-ag}Z2pYW~p*Dri%K^y3sad5b(M0R8g^s@seu(z<@s%b;;c z5La8Um<3&eKsHlK$Z>y=uj0hFbsp?OM_;IE+a&=jASxASu~}AX93_-_c@~DOE*_Z# zvg+d)BXw@jA$2pyfoki=5 zmPGMXm|$J|c^5%y*OxL{L@F!@e6fZFviT6zkNx7dbn+Wvr*2tS5Bn}yRuKk^m-;m9 zCos@{E?&!n^C~$10D^ENyI>1%5G%M_;W@95V*G9@VO?M3(u90k|BOln;R}=S18FnA(etn_8h! zSvYBL$U`|F+b$FON73}+y&ggBc^b2eS(kH9l30K0e(?4D+@iBUggJIE zvuhVEHxPP|(|gpXD^lFUGNTDM(bpq@`k7a8i<>w-VGaVs-=Yh1#Ra6JNcITfP1V0> zlodcLU*GYU8OViz(oxJ!Lf{72t|h3gF^zUNHsNgemL(Sncj^NfSkmjiR{=vM%c_>v z9|c?>mZ$~oRzxlCUsV}ZdH(=l)mNWYQjv8eRht%~{f-reSmv-ob$Ns&x{H;o-JOSR^@2KFEy7$u9A!;_c6%c> zfhq-4YVl%<@`CnVqkWCQ2}AJ}NVtuIscz!GRUerKZVCmQK1+I4AKUSRzOsCBb9{JC{>K`ey^Q~u4>xf%g+QUEfl8mK2b zn=YH3Si*gPfR?Xd9r%E-`5}scHEUNo0)pG{@=@$`P`qh#-AZwdxia7?0T&Di=+G0~e2Pmp2W|FR2lqC`yoppOm3>D;`!FdfJ&X|4 z>x2?8GHv=nwGV{3rvwmMK^YGV30yEtpx;Dm+U*Jx5!%{X1Ykz%*ks7r5wD+PV6A}M zxuaoEEyr{PDoROKH+02R4#OR0p>&wb{#HJY%dr zRu_%l?5wnZQ%IB%XJbvqZ{n<6DIy~~IVcf;q5M`u_4q=DmyjpeU+xibEEfT`Rm%Xa zmK8Xmz7Re+ie~L%!c`M9o)-(fgH3z056hc?vP|Dp@=4(>mBR*j)?7JpRsZeE>-y;L@534}bxof|aWu*jd<<6X!KT#0z zgyc%143O3ZH}GnXQNPjezOd#8D9d zIlfFOS4TT7+KLUh^(;DNjFw#BS#cVu1v2X)lsD>o$?-%7h-L`n2e*l%13BzSYe%#oL^pbg&Y`;24co6Cu8B`^BBWXovoY z%nN%THJqt-ilg-%;3__T;{c*SUB9v_kEhrwFp{iaYX|S zeP@s2bFf@hd)6I7g3c8ZDp0jIj4gOp*xHhw+#4d655>a%(T_~1#n@l4i*-Iek&2d0 zrqT}Pudk3`tI@w>1=9j&WTj9P4rBvd@*zVlidHjatHSbVUf@VLl%o&WkwWi?f|eX* zNrJ7qiq3#4ytu)8PbJb-P)UqPShia%RU`fq)s&iAC=x5QTt^)g{lK-}r zr9m+PG{Eda*ySEfCaMCI#qc8nFUu*iI{Pxkul%ELYL;91mILm3Q*CP^msZ^#LZ%ga zyEYcpvyzZsp43l}Zn+6v?n4>M3t&pFC=!#(oo~G^iD7a|z@;$dF6^b0pd=Xi6HqVT zd_)6^DP%uzi^+1}jH{7wQ0&0Cl)LP94h9=PsNgU!AU|M}O+$2c2(3UdR?C!9^^O3I zO5dnrS#Ryd!cXy3ODK4#EoEHFf#_GUxMW+z9F`P?&kyoM?_AtCk@%fe@Za9Bbq#;wF8=^1GlzR?bR^D9LZZ%k@ zpc2vfnw|^`t=f4T_1>r6mDU?;TJly2N}+#p;ALE(U~(+ue6>C`n<@v^ZI>2f#hd>C zW2L28NjM3Se)R=}0rJoL77m?_cQ#(coQsrLtjr}yMXiD(Tr%Avn8Z^s}*ODY)C(kclRU$R&nq4SN* ziqNRmxoe6Ut}@LGS%df^W+$28<2U0;$YF~3Lo%Ne2sIzVmk1l=7PBsJ9j6&Xi-?z+vhOZm!U7^4ayKl95wc;hHiyN{WKF|Tp!tO^091AV0I79W zxMlSchtwQ(4gTQtvo0VkuI3a~))xm)p)Iyc*2Zf<034s{5I`*o@DW69>?tMu zc3?-u*Xl03bM1Svvdh=C5f1sic*M(&fVR64$a_p*72K2`I3GTkDi46jR?tWJDqh*mR7u;rcsp?_EII!G{vu-@ z`b5cjp$rp8rT&NRZ^0rDG!9+PPvw95$E1MbFU#@;6=Bf*N<~nsV$vIjPq5G$iC=w; zuqNDEPl;;B{{R`Ab4wNagHD-Mq}v(1wh$ZW5-U_5r}3h2Fm z3A6mzWR$mYj`)_kzu4s?`OC8H9(80nq3aAgzws9gstr`O*7VSh{Ww`)Hxx~HSyH^V z=y_6=r)_`g3T6RY11O9QSl7>^0T4^cN!^LhyBG?Tz!KcKzr{EG5sxS>u?(aEO9#Yf zx7d07Ga@lah zCcGWP$f^N&g0d&(UesANmV{v}xnV(T`x_c6tUd~w0iB06?W*LoP%JohI9IG-g!28% zjXCTBY_I}AP#1HYElPHHlqv-cbk6l;8h09GSmo%|L2y{i2wCi5!5s;GrqCgdi|6V- zgGEmofm984CTuNYh@AG34)4TiVMEJ_PpB-efC%YA7ilib$$Qy%-WKZbu)B09Rr#o( zT&j=R4_zQxAFLUuv`Vg_Inf zVp2ELD!skFmk^>BA7nM?ZUC~UE&>a`OOypDA>breOQh6k*bQH@-NPSJiDFo;_sW}R(h$*&YHP1RR0_Yz>AH;bmqFryM&WuB7+jeZ%_`{8vtS+a-e>jOo*+*4-1zomZ z5SluX3v7xV{{S^G1^|i5d?I#i-w-MbHqiD^?L32mV7hV@R#VM_=An|>W99f5A#PK1 zD8?7Z-0th}iZ4>odnsIO=z05v2JJ(KPn(yLwig8HXUyly`MGHfHkAu+?hC6}?c?lW z9x=&wqV|1Q+ICzeQs?5$%jou8WvNIVvI3K~TjNP>bPMV-r@(S|M=G$HHs|CM_JLfW&=wc3 z>fwOEebUXAEG)aw{Uk4--5%IChd;<4P!G;%hOA_!||X3;;3-*5dn>hi;W{iyv{TUcm!d zur}i2ngLJAnEn#$;#qlTJS;9&i+yVZTT#x-017I{)q~SUkDD#1U$4vn+{k6Q#997= zSD(n^e?Y)iR&oGee3nH%0yojO`b1VSP=!_fY_~i689RSKSzbXut8Wd{>-bZQ>1neS`C+YK105-x2iWt*zxWQ3ttDGB71u8C4Ne z<_37EHLP(UvRDmE-_#4Ou-_#bFi&kt2IaL3_$3SSAySeiehp3_h(>&^Y8((Pp96>- zhe#Cu%W3%&!)`G}RwHzg-5Pw&A#L0gmu8F;=JLkG=+*XcM`5Ctt7N#wL$wPCuBlzi z1^^XNFfP~TQo@()pfvk33jud@{Kg9}Bh)BzU09h-Ly}Q@pD5?BFOA`7XNeleR6naWY|0cE&L1MC6ac&MqUaUS*p5w&JPSW3ys4R&3m zqST!h)zmAYEL|%YA1kNWDuEzc>nR}XkNqDK>!<*HK~vN%mG~-OrC$(GS`uoK>Gfen z9?r=5JWjCt1^lt3vb?D1y4HCSetu1#sqZFOh&Jiir{0^uLl>|%=$43ssK%h-3fziq zM|h?oYtHp8L<8lv4TQvDKE^V>M-p(vTmU0osEo4uTUP`?4diTWtK^QWRsD+Gql2>R zxFgt#3=!;L2eDs;8Dv*8DBRcv&c(MQsJhGX_S_X4aYD+$!d?}VK=Gc+)O|2VmyfxT zWl900Iv%omo1B35NHuY*|c9suV$+bFNC+InLu`&B`tlY#PWgk zORQChhj55rU?*BOIff^@QIz097wz*9Ng*Akw^w5sPQzXaZss5LnOl zN-ip@seRZi4toF=62M5jZ%B`U12x%pKq`4s{Hf`3!%L(Ql`fi3)TX!IMFSIFMwo#} z?Uh_jvmQkPH!X}f#4UC8Dytv?LJv-22Jdh$z+D203Ce<+*RD(JpU|RaSbV7=195*L zlA?CLFOX8jLwQ8;mHYnyAW|0YL1=PSJqQm<1TNGJBfX4XLDgK!-Ofj_u`;iSD#0WL z++kw`7XYa#*Lw|>X;twwC7%(Eg87G~SuA#{3E-Y)0X1eSK*x}1zQQ-DXYdpg27F{og%Q33ofGE}H*uc=0bR|~bW1o|5k z;RBbq^d3Qel}i`6AlbU_38L5LhE_*1r~RlEYxx)o)eX4$ z3aS`J8vO{xJj^`xupO zeqV{9>0j<;A-uS%{vaZR&MqMA*s(=y>~_%pqnBuLjQ6l} z$#?=~rpHtxaBq;8+~#$7d@=@v7Yk)fl@OFNpEMnA9+7_nOKSWR%GdosXi#;i6t{NP zQYB1h^n**vH|Hf9)#}e^Ew^N>l@7AE@njvQpX5C%rHFg7e`#@EqmZ_5ZgeQO$Yik; zi?-6@gfg1IXBk$a!w-lrXAtrlU?FXH=2%^Rud&rI64!OLC7xSg?f&Od|9fEWKTk zvSg!+2mm=QjgB*~i-Cet`Kqqh^2}kT(VI8}V_-4NAT{hD=Ix8E6A0z`b38{0+M+x{^M6~B7=SZ0LjW0K}oPJ zj0@A*c#!}{%b7;xG~HctW_rd8eDYlY!%o0IgC>$Hl*?{x+Hx(Zk6`J_p1h)7h`_pF zTvxT#1hW2Jfm;&3BL4tDYBmS(^~gX1_6M_=X@vmB zjYCg}nkiT;V#kmXwNZ*vjZS7z@?xl9ZglvTkuU09GEfxjD78cuX(hI{-<|$zD@)D3Z&3u?%g(jTYA z^|u7jRes@dRm8l;5(>awFWePNid_s9%5T;gRP&LA?B+4VUFcMrGs#wN{k7mBiOfs2WAzgC$io^~3H5(7Ob5U$C|i zvk>MisO_);02l5BMa#CvSXpJMI(Yz>(?(omgrVrh{XMB&#O5j~Z&mw>CvURa0_tQKsE7E-rNOoQ7chh%3@!N(v`4sS3d>N` zL?A*U-kgT}p~-jd1(?&2R+s1=!TuRlAqbsxeL+(H00BhL!_!Rc3UJ>Gs!Fq8Rc)|1 zZG&4P#Jib@iMKxZcWnVOkEF8AlD(9@aHT~PTSawo-`q!#0JSZMg}pRj1bRdMAjBvj ztl4b0rZ9g3h+}oE3S7CxVM%jl!$nVFZPReIG3=(tB?(vteX{GuT7EGyB- zzWBw}2V<*1DD|l4HqKmAKy1@x{y>D)Pm_YRFE%ndBF4)Cv_Q22^#C{AAgdm!{{YM` zqc{Xnk`rJ$mF);R=^60N4^TGTp5(*t?scewdW@Yc3sMv)N`p#mE&>1xjpPZhkh>TO zlnzFdC~jp66>MUvavB+Z)|HqiDBq2mh^mc20dZxP@z%C1Uf9BpN@|f}B}+9#7+Pg< zw0vYBE}DVtfL>m;QOrD=;c?lKltA#)+n9stfdDy)9$l{&<--Ab1inC^*n6{(EA_}& zVR7tz36qyDBY|p17OA!{Eyo8b{l>(BT<*ef;tN+T==!%U7E83W!QF{pRTEcFO+faa z*u#O^0NOxKL|O~&ODn@_YeG2LGqKvuavGac0w5i~c36Jk9BS>k=j}HzNw*K;3ap5@ zcOod|`w770U^iqFyh-~RDQjTR<;ubT;3D~2oWv}bV zS*8;CS#$@GRGddS5m*kXDkJSQtK?r@vmX7009n6CKcreK_Cn>qWChBWrQ9owPuY7^ z*lK0X3$TTrtV zQD_-bQFc*83#gx@LdwWR*;69-rOFpo8a4qxQ57+`{7_skJ2oBwuCA?}tnXZmo|Lo` zCV0AprBqIcBK9~qHuBpA^h;av2l2RIT{lcmU^k`T>RQqE-YGPg3JteA1(d1{0fT`d zS-89dS*rJ7e%0;y2}bBU9K-UvcF(_F6AI%X+8uhd`IBI&W4J>8!(q*JzD3O zMgXzBAszgmQs`Hw+=8NuhtfB$0uf%w{8U|a6&|n-s_S30uc2jPrz^*BOc9aUfBXpkxRKFsn^^nIkB3aNDkw6PrFRPl(fK`Nmp2xH23ne7h zbZU!XDA$@oGhBRjXqr_zW!TeM9PKz)B95EUj12EU!V2~u-@w6uwa<}z@VpjNE!i6IAf;boxa0Ru15>h) z@c#gCi+2g4Xx}fXPPcd4ldYV^^)-< z{HmN~f?)}*324Rolv~Tl=>hx7V7K)K;i+}~wO&d&`z!RmWnnC_s1)fBhAov{Tw)+pw9BlEMX0rLN}nq`5LI7N%a|~R0Gk%b z!k4YZD98Fsz8O*f0Dlt4D!rE1Dm=I5OjWq%&tDP50Jsxyw#{G=rlVzvKTHAbKB^t6 zo?pykp>7V)G^M~U)w)#S7^C|&D~%=fu0&PP;vKl{24qyf6=Qx@^K(P-q+l*Jc1 zRADb)9gGql8wv5jlwv#rqExQXT)E`(nxZk!VzanwI57qo7!1-bX@wBv>^Pwl#8``xOK^^ra?fB=*4bI!+f*I z)0flO!riZu+OnT2~k*nmrmln&( zXa4|W;JaS$CH}{S1aP%(+%`5;9%X7ADfYQ}hVLbcRJ*7^zRXVFCnma^ODUBrmbX<4 zc!XO4ya`ddse0{f_M4Vj$f3NJX*TjAFh{>Ra-l~}HxVV+*Nt@ffHn3Y+XXGH$_QOr z&H~1?NGs5mf(P1_1l)0VSu|fa6S--imZ%rwQ6a4kF4y+N8GM8SsVp#DuyZJMgx`qA zVfqjc-zon9c>@A2cgTqa6|=erYV zq%@9-W)*uo6u-bp5;0JKzCyb#aERMS;S#+@AX_dIM0O>TzqB-v9d>-qM7@~9YyiMn zdi_PY*Znvrs^};^m77gN%8MFN6+nbMT?%O*YUSLABS)1|-(kkl`29+HAS_uZ^dl&P zN*{>)LRQ9W1O0?{k=joq^%OTsksQZsSvqfq^!>+Lr-EEqD|clKqiO&l)54-M#Ya&2 zf-Q_c~}xcN?DY`|E-Rb8+Vm<8#YgKhdiD6QKiPiw zF*qf$2yaK}5(||Fkqd&G{X!8#Bf7N9IBmYzgl4r#aReeiS+L=J3xRZ7uDkyLxX~0b zdwX%vj04}OO4eIb0))qDWk*Zl(4=g6#&HrLYs2POVibHg z1?<(|)Vk=%{am?fou2rBP^!iIis`FQ0pz7YS}S5J!P32&3*xLL7Xpu{q=TBnf-b97YcF%JA&aO!;7dpQF8rrSPY?q7OAA4@ zv^5h=h(O%bSylXEX%rM!YKd(V1KDJu@c8?dD5B!92^JiK%rzgT8-N-n3+DyoRrI(U z5LJ0(s$&4#sY-TQXZXXXQnYgcV?WvtRz5@8T@Ajq&a#YQDmoco>1 zyk5jHh%4?T1*%e}=?Fef+^EB4s#W@6xdOQ#C5CFUOI5IfwXbEOU54DMe5xsEC9b|^ z&2lP!ZXpJbh54*}trKGr7^aKs1 z7X3;XHS=?0a^t@bw6c|F1EpbP^Rb(R+K09Dp+QtTF<{ol}h$5nN`dMf81fxj#rTeN2t|ApaTsb zv?(QFORuP}#93M;`a@Wf-H&uc?3m(-+7L5`ht&JAWww9eOkXe+%IQ&k{lRU0OJ0(} zz7W5r2o4N=#{jXkUCWs0?uoQewnK4Xt#vEwPEULaSzivyg57{kZe)t(_#xb7JQ${1 z&@$eUS|0i<)$uAv`hU`2)4iDt3KrjFU@R8wi)*vDEQM8Ft4M~^%y^`w;EoNElsYz;6 zX`@&^;8%H{abCs92?l0BK7kwXuALL9v$G=V87rNMtqmFsd8O-?eaA&%4o}2O=rqI! zYe!U-gO7lApO$dDP^k zyTV#v???5?N0}4TUlBAF7f+H>l?4${=bP}#76o|$UStrasV=1g%p!vH+4jInAN}Or zeytZpXKP^!uYrpNzj9GxS{-`z&m=XT{##es-b?XFV|x(sSomBorNGFi*83fTBt z7{$t08F}#%oM6~)7Ly9ySA@KV>MgF7Eo~cw25Ia~H3W3X-(rg4Y$ECQ73{PsTGRAS zd*aWG5Es@zT#2{H8j8^Y!ub%*(qQ(eQE)GdJ{1yev$=00Dg(7i+?^L#9219 zKah&_tQaZYRJwdc{h_IJ2!NwVuAjIDmn*#>Bb{Ym;2sTI5n2>-02h@7D=Y47T}&@I z2SYUqU-II2LRsr*TOy^i`na0@Tc5Y;Up4-=_7`9kR9w~#RwjavO8)?mS+xTo9M8Du z_>X^NO2N|N8tT=%2r`{zeL?!tI~7v1Kr7&Y6#7jie9b-d!UeU+zsc2|maUc%#PFmej6x{9unOt!zF@-h!4V`Ww3#3Udyv!s0KgJ zsMYm9T&2ynFxhU*C4=; zB{1#F1zA;C{!lUO*$ z1aW9VWvw>l2h#<8s1)R|i;kAx-!2SYicmxldOk8-o-qQHo3TiEP2>p53=e|`B5T3= zt$=mV)@9bUS-XIS>a%^838f+IP<94G;ieLR(xPL&Gz{**x6MSlnOEzwios-We(bPE zoh=(ywfisbS{Pkw7olaY^G=Kd>H$>X@}1@OP4=Zn0f&CA)KeqGNBe zzp9#n;y4ah!?2reA;P7M-RsC(4@++Q!T~s=c^1lTTXp0%{9QyhE;6Z@%BRa9py*en zd}TmwAxw)JdiGeowetSz5L+s>Q4zOQ=$BGG9a{mdVTA$%?TDeYghV?_N)&vuf;p*# z09$!%yqG6`7!c7{{FJ0^ZT_V$bcsQrzvNNwR4DyprMEZAmUo7am7j8 zhMfJ}NXmd4M`r{G0hHg7v&*XfMh&95JEZ>LrHx|jmGVs73^ zga-Sm`G=2w@K~&7`&g_MaTWLR3xPdKVYd{D&IO3-yiI4~f+0ytY+!|}&@)$3>~{T6 zM23k34JK6RFmn2Q|i&D+$l@%b8znX(G0 zygvjXwLSto95CH7%_2`W1h)~XgiZvl>A!ysmQc$y*o8UCrJ7r5tBSXsR60;!#B8gB z+Knc~@YtlFs2eoJs#`=8M#0Scsjh1@_Q=^QU4>WSgTjJXdLnY*AEgXZ;j<7B3w(;( z0o!6|Tz)kbI&1IQdmVx*mMe(@kJ5Tds}03$xhU&#NLf&TZ+0z>)Or1&uua0Ni>`GixG>^I&dN zN|ga_c3?#|=Voy|d2zwGP2P|jrw$D@|`0FL7OgoLa0-xa^=vifG?=kP+ygs)=~47ck49U?3}F@WCYq*v4{c z8bE+v0$1)REoKst`XdeKXctn0-%r$0H!)aROOtFfI&53Nk~JEk@4_OzFOZvU zx|`t)S&B5Q*2fR9qp~q&_*^Vtfptf)7wLZ3_9ehVjY9k@_<$Py!o@w6>OoUnl)sZ* z8S?C`6^saL?Hn%0;8-DE$NI*psHu?^yq`>>MAWeCNJ(*5Shb2-lH)6kH%`Uy{lW>+ zh+t9duJ*JQ9$t;a4ypU7Jr@4kj1aUeopdahP)b@Bl`j2(HC6XM0dOTDT?c%W&!Mfr zRX_*v?6Bql1nbq-JNu5Vs_j$)m0nf0JeuN%9bdS{3TMK#c@0~3$L1iF6fi=ZG!~fI zgnA6q198sxe%TkZzp?#|4QQhe+*#-xU6V*K)TQdR2+R^o1zbQUaaO=Dv!i=41>f=p zmy?PLt^7tWCB?Il*Ui8fs()Zz10v}ShD2FmQ2BmcOrwm!28d`;TxS6qqFGyYG5b3T zTI%Qf5lZoZVQ4mH%GxYZ(eX8A=b-0O!~&d1z~3%jNFkB*hz(5!mqcJ|DBrPkP>3b}T9|3T;&L$^Dux8y0gL{(s6}`U8oz$QiFRfz0JJ=(qW?8l1N_q=y zSYSi6gA#E%kOu9gse*i8NYLSFA~5^ovfuvzMr2%T{DR-|ixhr#{{S+w_zgh2ZPF|Q zR_DZG-9rjQFPo+xiC;|EXPEB=&&`buA=(37Vi0BkRXd_+(*dj^`d3YwRo~y@;(YH zXsBgU0aaIUZ;b1H#ppnz>ohf)L+<65G@k^abWgTvglV0afW9Rb!U0df z3j2IS0nL=`qM~fN%RqT9D60xujf4pbTjfp?Io82x_cIh(0AEkCm7RdU5Ly`7grWzX zl_;n%;#4g%J|e~nKnPNCa(RyJe83tDh+E)~UE^RN zwf_LbTcwq@$B)#nlz0Kia~q{ z^BR{cVR~CBG(UGN5xZ+bB?ZH3`x-V`t@aE28H^WKP${}b^70kO#t~^!%Rks7QV{@_ zg2c#Y?2R-y#nd1as~oa!Wb=7qEl^2AP?w6^wnX=inW~L>QKLNRV~|N_KYSh7Jw-U1u_VznFhs5 z2T&!Ww_m7jGME+(xT?9o(l|3%ZC`C%w??ouMLR21TLVD`=Guj9BAQkScD;U~>K(sH zM4(+_2dcARg&cA!ffawq77j5?Rb1uNN9;^)t_4EhUPgt|{{U!(VxkDR%T-O)rrJt5Zg^^ssQx6sZs3sier&5d*okW(hs?JKJEC23;JaY#`u_vE3?o<-I{%f=E?yLT7($do zCsAEqS@m#7?2u8azsxQky_yV_VIp-bs%2%sKwfOzLYRcBnny)3v2Qx;>cYl-;LD~_ z+6tc;EkKni5u$$NxPA$$Lw;Q(vH?ho7j@PEEw%&;E+%3DQvFL1JV9$*FIa*5ZI;OC z5gdeU85~tt2|hZiq-CB&z#!z|8A0OiBKIdmZGN7S#XMrT#!h=B{*ytezu1Vr*3?Q_ zL|z5|0MxqZTE%^Yd<(Frrip6L%%Owui9Zg&;*f0K+I`C?IQBOfekb`$8!Ejkvsy)v z1njWVJX5d;5m=`flotX~bxlQH(D@93&d*d0bWQBGeadaYBy&lL{lW`V=W&tA!r`tx zsJWn2+<`4S3Mpg49?b}pL-hm|oYOWj{m!9jk?3K_+i6X-@+wl)cvwbCv={!E2Bj^} z@?09BWlAhLq9Gw!6m2dT;d5m}X;E)5`Xu z5JOcBC^Ok@fA-4vKV(g24 zqF+`D+EaBA6k5VK7hRb=CE-4n09--Iub85xV439HgZ<)O(^NC7meo5QR&MCVF{;X4 z93}4W70F_<`7YU4O)kGtC_dprK8CIJ2wtMwck2HDaTb1PjfZuKrYWySBfs@T($-XaDTRcE4{j(_yiwL0Jc{b#yA%WJTl$DX!d}2J-n9WA zV@dW|mI%K5s6lPUvAT$=U`p-S8&MXY!xEWq+-8{6DE3t%{57uYD?C5C?B+zJs+^Wj zNkE|$&xvp|jna#iJj6?ikc3?gz$--s6*Wx^1hf|4+)ZcHDTLD#U8B#K*Kj^o@&;-r z?RjlNE|XvisIuMu{&G}(wSTBWkTUk!78^I^AX}7WJgBgBd}|vn@N%1kRJNRnQ0uhI z4Yvd1urgY`il~CuBNW&*UWJoV!S}3Igm19aIqk#^mAJ}R-NF%KuIeRYl{{jTqpq-VqvYF<8gQAjp*^zz-k;UQ95o)3LljQ2x0sw^V;oTrrc_6kZO^5F8pS zhnwXPOUy7a#(g)qi)zhqh*4nUI=h2A+9g+6KV^O zMx(Xt*ACBVK^V=p{Fi%L-bz+cBgbD4$H`ax2 z{-G5uzE4R@QuTxW>vEwDKipN=Yx;}W_aX|m+!Is9z|3`P^$yhhOFXH60}*j8Z{&gl zR(RC>Fap*amKOFZCLfq)PjhJ~ z&?TGXznoxBHf%orswJgOw#B8t>}8Fp?UP7kd)n#%039wlg}q->Y1fFQb> z6d|l^5~b&{&oWa0WImm!H#ob7+zei!Z_En^R(Vq-Ev;_WWERCWa}(Wsz~u^;C^8E4 zpV2Ka0k^W6=B7Y@a14R^t%U`VVdQ9A!xJOp<}C?m><_=dmXNZx8nFXn{vUFrg#(1G zthUX|92#P!jhS+ZX`F~p2qD|~lvh^6KB|J3ePfD_O5M7SglK}_eVmg)BaLn%_Awk9M3#>mG$ z)S>)Th}BV5*=-dOZnmz>q(Mq3&e97Lg!@ZPM*J+UR^bxCg8u*|lj@yI{w{Wc*I&7E z+@Y$m8HgglT@j+#h{WJ~6(+K4_w%!*pF{kTP~56n~@MaXwYJ2(b>K>|?G zl(opHpbZ892A`~ypnjW$A}PrCV}Fr8{t>d$Md7fi_bE|y^jxOv9C0j)xP*Yuh{QQ! z8h}i?meU7dADcauuQi44E~Z$Bt7SxWcT~U`0k}YJBioVc`<8B8YY^GLBbu23_m#-? zs%}vPqzf_xx5U`&1#)AAMDlS6A*iqR0J`K0k3RuzBBjT~US#dVM*|_i5h*!QNM1pX zErP58pSX-2!gisv!D4Sib|TQ?dOU^7f~Bh11-n@mKQ6TWFql&1tRT8J1ufwlEeC3W zE&Y`TK%%u52%r42*Mu_4eS)l)PsZX(q{hpJA+v98n`%~vW9WGty?S$lyT zGLEGKIb<5@>Igm?3r2wz7uS($AsRC5nwF%MP>P{!*W9bjTBTJ%ux)E}#LgexP9UMY zq7a}(d$jff*J$tEJ{y42fyOPFu!I!b_@+ue2)k>fu?X9zuz&(EX3IW$5d?k#bH)#% zQoKyGTZ%Pj?kLwgk&3H#zb^esiR(T{eA+zDK@@NK5YltpfkDpQAJ!X2&{VYisly!D zw_a4G8wS5~)+1hs*U7LoEqg2{$#V39KjKmbpW>pbu;o_daY$uVMb>OEgT$iLex(rH z{9VU}Iw@F-QiEOyV`lq{eTQ8`a38W&&1@5VbOh!1HEf8jd z>*i1&nEwE9Ym!Obg~2Q8@-J-&qi#7X={P=o&q)u1v1OC$YMA(_0kgY?th7wh{{U%E zeA$Ms%ZFdMA~G}DhpA4rR~%`ZJ`vFQgIkM~cZ>*4mK4yx1xmq`Qw$FrWr$L-s0~GO zQYH9qQ&0r^Spv3neq+a3eMizMYl%ytSrb)ILI%^=HCa~F7j03w{f9wh+66*d;m^1X zX?UddiQK5#V+_k>G4T{0dn~4A>>yf|#VX>BHE zX=i0VwVP7(iXTaH@f9t<)BzuHRTy-sQ2DBt!mKok8_J3Oq*L-2b+4gS$Ss1p8B$aHY43cchBD4bO5Wd=JqlytTWvlLQw zir&{_J}#j|9s6pi<3~l;NqBisbvu`Nr^vvx@tsYBz59y5qAjQOA3@&4`eSwrL)cSW z*XmFf??_vUb1EqGGHnsR_7F7f#T*@SwFU|LTx%#;Y|lww>MTGMC28&IbpGRqQ|yn# z5ViqcH30Z)7$Kz<$zUD2i!`rK+yq}Sm8?v~%2|X5l-$7b`4Sg$7gEm8;L`k<063Fq zGLg@TR+JazwXDMWqiMcfd_F)_ZuMs_I+;us>SG#;kE>BMzQDp`p)e)l+}Rj1)dIR0 z!<4e9ZDKSHkSEl--b#g>zoX_+7$=r!BQ#w8N zI|!|*)(R`?%80^bO9XcbE+Vc3ZCN+VO#c94otHVZIaEqeU(Sd;OIBS~>X!>|AXR~# zUR?SwtOTb}89?~{J)bgjzxP8R2EdjH_Y@UqiQ$ zyk$enhUisR64?Xj*cDLzDpDj1s7j^)ZKCDh%AyNYeP3rHpq=Jitd;y!6GKpT2XC%H z^sntZQsr!a!v$+*mX))NsGf1L-GVNg!Guf=X&jZTPzyoz0$pTYqzVacGie)z6*gk- zHjN?|!T=2y6ap`#RhS>`LHdN=kC4HO4}?=~hFfc%N*e6*7DNC?U(`<5{^G0T{Zw0s ze!1+nQ{=UgRY#;o#p2+l6Z-%uLVz>C$G)<&p4rO?FZoF_xVy_MjFSLg()z zi{)t!-E3^D2~F^J@COuDT?pB76r^L9j(eO<)^yS1rd%>6pT#SW3(6Cgru~V;lzP@QTfdYq8m^QILXz;gKAV?3%#Z)?B_v z!^%p5R-Rihg)w%{`h39~cTLo?0O0*H`9_BS07TfJ2D$2JY(Bw_sPvbkG#v3NX$xHa zL>_Y{G$H%=Fs7_a_sW)KCBkU3KE#bJK=Pte5LiZEK=M;KWg_o|f6A5sp!IGsn~7~$ z;zAKpL*^pU(Kc04`VWwD>B)5u(^FP*l%kvLN?$S~Ly_N#1q(HT)Q7YDtm?(Til>Y$KvsiZ9$Uvxkf?AN# z;ZF?YhuLvX)Em71;)4l$DCj+fP9RH6Np2wRc@RCF+R1ez)&*52=OzB(>lW(v;QR#S3rgm4)%Aw-PB;ub#zXN_riS5g}k5gASWM z<0o=7Gse#A5~wlog{7c7hq9K16|r%|b#1Ob5EUKwVvxFj>_%8)4t8eMKt8$bbv+CkR<9JnTkdL*zm zdo@=uD^gExYZXWd0Ji0h#kfl^{bNZ;{V+brcHfCbOP?b+)?=yYOEoa6RO|}FK1o*U zy>49q6IFk|hn-l!`jz2XRBCww?6OpC!dxGB3KYw&fCS7^+VhYP)CRlpGPpgJAjgcn zhSJ}cA`R{}Uy@j@SdB!XaaB}VQLOlu@&W#$JZPJj2Zp%|=-AUh+|MTbFh9$788QYxnz$#zbGIVi!;&yp@>p_@+=kVGEQ5s6&hmY+X)Q{{Uq` z%hJOw^wx->z+F|s=!-2^?DzDVsb+}oX7hVP~;Mfl&u14J~3i9I1aga{q^E>idC zghC3XDsNG~_9?d|sagRTUg)(T56jrmeP3Wjgs`eTj+-{FpsA_)$lzq4zojr`btB{| zOT>#2+QUV~{11iqFClgS*g{6?rR5aB`tyd`Go+g^z06(BX4EY#6Z}*Z;-pWG$;vV1_A{sr?(a> zw;gO_iZHE&QzB>XVyS<;E|y=F0I;Wx!XllOMHi49-=^br)Nar~8ZrRy{FK+j$xD*9 z746hUtoW*ytEhK}@^*&)*mVjbEZaN_fDA6;Om`B**;*Yv$$H64(l?>3Ax!JyVABFL zfpEq}IT5OG=|0F3?D9qhN}!)Z*;ZxbuxsBZ8~*?y8c}Vs!%Ine%X>7pSj9O;OY5!3dW*l8 zVyM7fXl2IH0X=c|4|>F|rwFQ%GAtFBqkdo}%W3}r*oh5Gx`K_OOCxW>B&E1(@GQNS zZrBXj@O~y_D-^^YODD?^)lnO9krmyUo){$471`v+n)Vp|r+N6^5dJmt7X;sk)phzR z0^q!eqTWXST>53?Y#%rUQmVgk{q_mwU$soY+*wxRTqR`vbclls0Z{w1O=IpPhRZztRn+XMSP>Q?4d=wc`ft_u)Hbc zaNP+(WJ_pK{{X2;zwnn3wZFLbsBY7+h`KK&8x;DKJ`B38Cl*pY6&yZLv2F*M6P!;VoGQ=y2w^XTKS8LbgF^_h2-{{RtE?pw}(VN2};Ly zBHMZY0P>Q<<)i_NyGRB7+4nGZTAp2`C4^9)s>I4&@9I!{aiOltVtg#s@}q`qT?z9R zcATcYqWp>+FDXP4^85xFQLddKGjmW!+rjk#@!HqJ6}?Mg`Iwv$@g)gxJ8H@m!YL-> z4e8mBPoh+W)TPwfmP=4_Bl{}cq=*pg1Ic-E_F2!E3Cf1q58QX0iU{q&vcuoP43$WD zWhzls+DgDoH;C_?53DZ1P-YcU z#LUPCXHnx6@g59-ucJMe$gf|Sw2o{06Wts462cz7;J1V}TBx;Jf0Y5ON{t!@0DYRf zffl_vGB zez6T-qKj@qVeX>*rJI{ke9zkv{6$K^UOuJaM!C09fmLFKV+g&FOq&1)HeyR@Md_je=d8@lC~$~qIL zZc*FmHlam_w8k!kb%LoPBl?83nu3G%E&(Mt--%zsq(hFFd=9WAC0kK=fNX)o)-RO= zxR4t9Lj-E!@vI}3kRD2W?Rx%@YNvp-hJRoUpM5nPKHwYahm)$YVyY|o7WZFsP;h_$ z0JDd&S9A9Y7{xk7wF(u4oOT8M7ZbBy#?O%fA7)J+idG9BjQvcDA+$csF2vW6u$zPt z79SoZoJ1G*1;MI6WGF4WpIy0=!Bgr~sKzD5U*+;dKgzm)NMcvCxwC@x0IPg%qX;c! z*1(fmrC5x~iD?J*)`pN(3>8tE9fiwdX>byjkmYP$P9G*wi;17{IC!cQVRel`b(hu( zBC4`cCVhk#bXBmGvE)*M%NFqY6!@PJvXCJcy4**!(`z5BmZ-a=J1Q{U>nVH5VYb6e zCB&DM(Sr*!a4Oc=iiz@Ih~31X*G}>TYiB8{ia1}?SMsnIP0D_%QH{~ahhQ#ILxFa2 z?9n>6%84K`;j*rvRTP**g0?Jhs}jc-_QN+?6I(3fUQC)*dNq@a{YL~Tyz@w+1+T$2 z_l3)2wm08l3*)kv+4f5%Minio_BMk4jM0bUsx|um0Mu$|i+swLwHC6HwK1UyrmYrq z^KXEID^jLtUE2x|A&XY@p&bRwkYCu6mMu%d{$jR5RH?~X8vH9@fs&`%P{q{G%QZfd znNP&oXeuUJH1;?_gK?A2!`h)62Dum(`fXe#Ax16KLTjvH5{E*&DZBfG(%n>~%$iTC z=`>~Lss>ogfY1a{sV{T* zj>KCXIQbej;6o?R3*-SyR}1oblnTENcFm_V#(5~k_!8m_A;z5pjv}^+@V%uk5MLsuv*x@ScPjs^otsR zwU86kWAH6TFPkl<)CWME&=CyWYJJJM&qy@MwO;oy8YavV?NR$Sf$hSh@@!w zTM99^?lb{obrx_2nr)>-!KGmOl|@XeQ&kw1?`qOecqzPXxmUOm61O3ZRNztOa2UqAir;EpDmR!Y)@KLA_D~Ru4(Ec86(tM7&#i%c8Rf<(Ch~ zke70)g+rG)k-uvE3R9-vvfVa-C6MLg9xzkM!xV~f3^0i~_<4~$j8Y)2{Cf2jB?~O< zCt%zw^vs_#Q9ncwHdg-5alZuBM#kaaVAVU}RP3c;AcaI#&CZbqJ*FUqNBx+BL3M1f zy&^nS3pnIu4_Jcc%L2##X4_TUe1d`)I>H4S3;iWWZ^cJcrPGzjthG6*aDdxE@fJVx zHHI_~xiFwpC9l!h;{16M!i(`O(X^K7EfBglu_5=YxmBf-AUhL@epERqFBth>n4@EA#bM+CrP|gmLz-V@Qp4C9 zsc2TbNWt5uu*#(Ahyjp@CZijh~b6qWiZn)I`Wum{dB z^JBc*ZI8#d4d=eaeemr>y)_V0yRp!Aiv30bRR$n?bA(AMh5(KIasooUfBee$AJs%5 zsrW_>hCcz@8_86zuh+;61zUs>QK#Jg;IIWg?i6jWh`xZP_Fup{T}3Oc4eJvPX~RsE zo8X=?7YiQt8m11F06c=#M#ef<*De5PH+)LW96PU}-`2^w%dLTg=uuu<-j)V4g;Umk3H=?&8?lc1@397uXyhwHw-5LfL2DJ#z!x z57i4o(D`#(5eJY}YE^rtWh2!-z)I8$tYtH8Zp4<9M0|E-8{oP0ZV#rwfS8OLWKdry z*V+DSXHXtmL8>5HRm=!hW8|z9w@+o{H*eTngIwbjjhnS1)}d_?=&QXQxq7vFa;1yV z4oFrFg8G{P8i;HQ9m=}=UuW_d;qh?*AgqFKFMwHu&M$I{?z(k zW`^2XQPf_6U6eO%(wEGZeg6P5fHice4pkcK*-vXg1SXvn8yD)-wPm0CjpA6B<$TUW z)I0U|mPZ=@043O>P73*$7kLzR7t~bmK+04(7Ot_kP+){Al%Q(oRq0CrqPt46S7>BD z22{~?oY0T_$z}**p2VVn*1*T45VLP?S~y{|x7Evqp#Y=-o7PJ=N^Q~h5CtW#iEI{K zBjRZX;^tshzp(@Bl_*t@P;9i-IVu%mmL4!7V3nF&3vFAJs7nV!_1J}5Cmmo47Fo#j zFn-}I*X^v>;Hj$E=9^-nr03$l58;#_aQzeXZyJli0Il7M#{U42lBGMPpjf!MzB@Wi z&_i{oOg{o>bMTQXkpmt$N*$9Q{{X;jxLSrrv3WmO31|_vE4M5*0!<8|k0lsHTq_%< ztd&CFMAd0{5Ci`JMB6z;Rgnd@lC|W_9V%HYE>jk}Z-6kO+T6%ISc|gYeSonI!|Dm5 zn#+oV@gi6SfQhvj_1?`Jzo=|9o#jRYivG*&2anvoN5L8cK>~%bQj$!7G#}l|kD=X| zI&5rp`hicl3+_u$1`EhaUWh-XM`o}?`xc@IDa-7z^na{(Q~Hj3rnR(o3WaDcVGGa& zL{QjeS{d!npYmz7qhobAD=baZ3m<7_l@ieAPtc2+47jBX!2bZL^2|}3UT@i+#?@}> zr3ZW=&chT?GPvOb?r+iX%`p5OVpRVCw84f-xeM5B+Qq;nj{7REVqfsDmgO>{nT4+j zd;En&E5={yDK`ir$Auk>`$*)`nqPtlE6X<6m_|Bs;%#0B*jy-$91K=aOIKnv#tP&i z?DY6Smqq^ovVcD_==e|qs^BF69Z|dV3wj{=r(Zb_IvT}!H0q-w0_Wuiz~j>zyGmYL zQ$#viIzkXp1Sz2OCW<={I18?l>1wHun2Rm`1)`je+`OhIa;(JgSI&qf8s@=Hus0WE>L7_VjMOk8;^(-L9E%=0$r8TH&G}%Wd(2tsm;171E za&wm329&%CxD+i+wC&6LmqIQiH?TwFBP&bQ5jv}VLuvw5;pM?7(0dc%3CFiOV0BTO zMDohGA29T@*ht_t0sO2(O28{@8RW5F!C7R=rUr+^#S2emVwL<{5xBOH2y*d)bIfH# z_IysljI`VAtqSr|MF_}4ffvBhQj2Skt>nbi)Zz4R@(5@Ve0Q?DtBNWqD^s^$U#0pBdU!Gsc_@l@;vwJPsA2?Wx8R5qI6n*;1KNB>ar3g-6O2Isf(L+ z<-l;NS&_o#`zWqEG1@A*DIA!NLV>sJytV+pdX|^4t7Y}scmiuYo}`w4A!rl#U>FJ^ zWwKpv4ka-ri?{p0m~+U#MrAU);wl1WeIP1LjhP6CvDsylDQsm5c#Trfy36yV+)G8; zOIABynW9?aTUY#Kr4Xg(v7xGbpBhKbMl!qvQ+QRuC{6cX#faj4WzrgRUlcTyyDQ%+ z5i?kSvy2oZ=Yt-bDWlC_6|!HkY)h$3f34R#^urVG?#0G z=`${9M;V}KHZjTQWv=p~9(N**(Fbk;ltQKN?%=n9E&wx#@0i$0o0#Mz5Z)Fp_*6Jln z(J~h+ZGLWamm&a#62El=fSs2P~Sg%uMX|m2Fm@S74t?xOWy`*{h3g1-xl2 zJrKb4gt6O;rvCtq6RTlp(t9X{ko+|QzUACM{DiHlnva0(5zrdPehinF663a!S1Bl} zK)G2xy1gvCB!|5J)!4gG*vt&AN1p|mY`0LRmio}(+}erilWu94qeNATu+kwzQUzS z&sm#*v9n+;uS~MF775X)*h*?s2>KbfvBZkBsBB*)hwfG2hb$brnQJRoPyYZV?4KeT zr#rAMVg*)%bM`q;`XaXVHsxFyQfjX%3W=S9jTdzh`h!x~2P#mS9*c4n-??WHH&9+? zLCS)~-M}EN^@bHf<=d?Jh&9%Z-}VHp7w6(Bl;Vj^M-?$}*+o7Knr>9ZEOa<(1hxX; zab?9!U;hBTgk`m08f9lnpF1unq@s%mYK4(GQ%{P>Qg0XrMmWS)+rLm*L?yct&4oAQ z;a_T9w&ge*uUcM86^>-ohrX!?iA4 z)X{9Fmwe4+1x}0CSwNcg$Q)Ah;T$8nfKC*FUu0H_Kom>ASPCE{EL!6c0Ayiwz&Ll< z2)R*0e8!5~gwQT&Q%i~+{8=^u51+vEmo3vFe5iVw0Y2lw{ZF|%!)z>n>IpW#3SSp z92;w)U?6j)^>F1m9$yG4H&|3ns8}O;E|)iKu$nt?=tb5#QF?-v0!jA?WqxVMLxac$ zzBz940f60p&7pUKKs1!&?7J>j3Wyf6#{Tl6`ia0JBo9To3K!|wf-O~5R3U;<719f; zg4OF1Rz^@2vxtQhRAaSJwSBQP#V>{YN6XI##44`zq56vZ-dles*&m#U4%R0rf|jsa zBQ3b=j15NdvtYmv;jz&f6*B(-agm2XPRGL<2hU8-6 zuR6~5j+K!&*Zt}$sG$DwQjXUKqV0KBzfi0$t6!gDZFNBj-Jlj*2c?F@`enSMCg}pTQmA$KWlB-^ z0{#|MC@<)M((r^o)O))cfU))^JRzn+DF@(`GkJVK3Rld(%D7Q%c-;hU$U7)voN_f* zTw_Az*1Cb2eIV}D$1*go? zOHv%g63Q3`0}a(bNpI9cero+eccYgGEGyz@+te;#fl54sV;qN1gRzG&Ywi+XWe}f4 z-zwPf(!+ndmIb-NjB8j!^SQuJZ9Ix<#HXK#hf0-tnGJ7d)Wfr1Ck#M>7kH+2z}&0D zYA1!-cwV?%T%^m6R;Zj5eI2yOxP^K4EE8u*I$IC2+Jz! z0R>1MB{(_Q0+osZ!qgaj7XaB$%-k&ql9eJBwNXK3LF)wf${C(2{{U+RD)@*L_&^MR zQu(-O3wX{;jkX!=PzVHVXds2!2SaWU4bb0@?7}4?t@ zVKfKo%#eQIUpNm{lgvXxufdgkms=>s(y z*~ydgq;%m1B8`~+1T4(UdTZt?xcGR>QX13Ol`L^K(-E*(hN>B6SmwUQx7$9T0_a-& zTQ4Zt=$jIVELm%PVOaTIh!M7wTcV{q60NT)TQJ0a4HDpdpwgu?xzN&Cizb;yN(yQ4 z=`x5K=?_M05F#bQnO1}VJ%sm!WWRb2HRdUJ9YAjEXd|9142yfzr+!&n4kBrWtWnZm z=*A?9Lq(Ub34;2e0CSB1vM>d$FS$v0RqzRL4N59AF#SN{MM_JZlGh#HrJQBnZJhL#H}5!lx4M&%SyHIL31)Y0EOir1vhIVsx}2upwv(x-`5D2D;?N?X@wm!AQq6h1z@g^@TeoJI}jzy6?t&O$f~eaRQZceaD`NC zD_89xWn>iC=yWLf*gH0==Jf+47M8020J&zj!1;3H66s6W>9vO{{HwUoRY-wv(7A#r z@Qf&-YPtJU!cdal8j2RFsvBdG4i0Pv>$lIGl};CNVy@xv?8Uu|YX(@3UCvewVtl8c zraRqo9zpo!*YwJXcvZXVLTZPN@*%EWV|O*|7=R2$&4drR(xTX6-vLZB_vfs!D7`<} zNE^wfsubidu0T+H!}?gjNDUmv%AW%%h`4?lNPdiFnP@zKAV4oCih>Mn6&zWmSjAq- zJRlOTrU&YJ`5Qn>C1t^Y(dJ93T-ef3A-Hm6D|hBxqFhBTF8wwe{{U%5iVm|4rL)D- zGsscNQ&;x{n#~LPCP25|Tq@ZkSgC#+aR)rugRmD{eNtdL!s{8>EGesMRG$#SGzC|; zE3EQ1ohr}VL0X&{RT%-jkJD8Iz3n4k!vL>h`^cl9mTtev&9$pnAwx^+)L7~85RA7f zmN?7J+!yRQ0!G+Dwi0Tkg4O&bgU_gy&?2ETX^YFtxq;aQ)y9qf5kPhdILZxx3D#Q$ z?_K*wqQ`RoPScFJKN^@mNYr2A)$p95zl%LT-cVJ!4Etb;-m`)jTCJ`W#;)d{+Gs zhS2{2rN$=0P^jkH=2c2=F96+vRVj9kJrPVdZ?D7ZpQa3`+!L&pn`3F>r9l&{TmwC2 zZKcXt9|pu?ZP`n3+JQ-vnX;ZZ_Wn#6m#esl%^vX{vk78y-NHhYD1So0E zCCZ%7h=8)lv8hk;544y`P_mxGL_;+B ziq=py9NJVa77OVq^DR@zL&UJwJ2YJg z;B_EcA22Ns+vX4je(ER=oP6h_NgQ$pPy0gdiiNU?4b_$ygei(%iv1o-? zDha2>GOw~he#f}7f&N5Ww@x)suaVVFz^@7N+`mbwEb}lf1BU!g#y9ySQEfN3k5*N_jn8w$m??($X1=XVCLReQhO)GB>sr`xSQy^UC?7#SYpqFgK2$Abl< znnQ&O#1B&G-AtMaG)QL3?Iw+h4kmU>e< zQr>9-(SQ9*?kW!z0o7X~jTZ&^Eo!8oGN*R3+kqF_D<-g~d_YguwEhG)i*JJ>;-s3Z z$XFG4O-oO!m5tUO{A-Is%3hG5{g4eFN3+7#*%g8v6Cwk*mE|x5`6^SA~?8Tl<(lU7{KYWF`JfBZCMIkGU%~pZJXsPOxlRv=ud%9ytPb+k}YF(j_Ub z$H6B6GpvY9x(cWZK=?-|0VcaFmZ`6A2r6AwRJHFG=ghIjrRdfk4#!QsnwnQ-%SA;{ zdmhEBUdk#%TzE`tkEldgH-6ViYK^`USXpw-{{SWxX1iAq&6#G9U>=6SSeQI3U+r@f z(|_YgJL7?K=T62>DR%z=bo@d4S5qoj+E&iW&PrCxEWI?TrGP=ZeHtg}-(@;u(rdX0 zmZR<2`XK1 zvuK-LGLFF5lEqzoB7WGDcC@?tz^dvAPiHuKxnR{_GAFCZ^0am)*#(smdR)4kI7mEp z44@{}#_l%;6Op=2*vC?PeSK8npx|v)`-0~v&Rp26F6Kg?sZlk;;|&tvZB^Xjd_ju} zUG(xBveSa)=j{g~+i>hWvi4!XOtAz8PlU1G1-UQL?Ehjs_oWirq_JJQd`)bB~2}mqER* z#XR|{Y$o+IclgRhf!=Eq+KH+Jv+foi!T5L?fkwynK{fy{K4K?t;!y5f8V8#cJWCZVTT~T4^gyPy`nZi0 zt*h(@PD5xK=Ewde%P|Y6#WlP>AiC#1CBo_d4PgRZ2Y0KE@cft2Y)bQK~)? z#+(VR>}wkx4bBBu?;5nG^X>^|I}wJ>M&u}R>z_Q1?XucEW#`)G#q32Tdsar*Ht&+* zoJGXIReVx_)z;?0o~<-BWoq0lG%mAyDGgSoTo%>O+!$gpY~Tji?LqVpm5=~$`z9C# z68weT9|YJrZkZM|!%eMBn!7$GrADk{2Pv*4QEht#f#NFK%4BcmrixmBso6}HsD$(% zBQziN{7MwApeQ^L_TfVdO`4FK zwNdO!LWQkzIQZ4|eW<=5Ft12^75wr5HA2>q1F_152UB%cf2aZ{$jEa;!08T^x2fLo zOLbG>9Ya+C34LjI12%BUU_Ve7LZ9CyCIH{ysxn>+=V5po6cruPnmiDN z5oq!!>Y0-bSCChFVR~iTSjGN$OA@U`i`~hIH!@sCN{3W5bGu9MP@lTP~ z%k|WIyn`I9z-8#Dng_7H&zNHqi3ls$PpCPBu^7|~!R$f{R;bQEb_ydYnhrjDjS~rB zIq6*biC>|C5*Wdctc%xGQjK!E7v+&EQBJ_ce1L6ht>0o@C2jy{X{bK>tN375C_sGV zK!UZIE>YgMeOxc0qFkE4y@T7=>ZPe7sCwi;4j=Eca5QJ>gd0(e(-E7%h4?oB?P4?k z0GNTApnX|1NdLdjj6FRL0`DGD%{Wyh{T|hn0+o#2CM)vLm`7;_=bn80e~fb2>hLTVEx0keD1f@ zRIncP`j|FU=zjIW91xY^_FSPHI&V66R zdYawC{xYJuS*V?cHb$3p@>CX@*r*!SKm`J(#`#jZHXkyyS}>$QA8{1dV?rgKlB5$J zs`fG+GN^b>nZ<7XN(%}Qp}~MYrIMnM?Mt~A-MD~$yALSEKR2?FB|78~xKcx{Dae|! z5}8Yz*c|fe zL=|mhC~CectYyy#s&sE>L0Ej&M^cXkL?-xY8gG>W7pN*<44k(B8genU5xAP<0F)-U z?Ljet60Bsawg??*n@!&vzq*AV)rvYmE6AK4O6bA}UA(BvP!jFDG!6QSHKxOURpbLf z0PF!5wQ#&9A53k3CZla=RAi0$8RXWt7Cc?%Z-$3U))88nyZQ0{mn=3?pcjE_BK26B%~c21>AloKL{5*nBu*i4buR- zDsNJF+@iST169^q)YoR8wmP&AWhKN0ItWB(M`{;mdb;BXFHAZ1f$GqS2+hf0=T2JBu8?{rgCG$p9CvwpWDio9DZsr4N|)a*VJekTod6a0e1oQIz`t7 zxa_59*?xVp=c|X+F#~k|qHETA-vbm1+@it)ih!tg6b0`gQ~6F5&AOJ(o{=}Q;H?JJ zV1?IFNRD}PO@IXbka8)v3p)!c5V%_qLM5!EY}rXd4_5*kmRv$&D|N5o02-W6&mcJ5 ztFX0B$=%f#OR~&(Q7IZv=Y=1j$9Pw?9({vXR3Q5}RgBQ={XR6-8X~C1*iW zb|Hn5hJ}|Bsin_}U?=I}{Rs+xABVw?nY)DiD3ly_T<|9dg)M~mA>2M<`yXI8sYH0W zWr_gjvcKWKsLb@c8T+hYR3$D4l#LC?XJ`s-Ko^&~*uJ7xF}p>u2J{a7%p9=RAE3@v z%4{d5pZ0x}E4H3oZ$MRjrR*RtkRc5%PeM>7Drgomk&>x0STC^(qV#eH5Qr5lwxtmM zgwc>37vx}5$6JxB$c}P-4F0Rgf@do4J%~ptpt-tETLIpBr>Hl7`gm zYCmz%v2e_MBWYp|5CqZ|h_!#XmMXo0%gcXUgNb9^sP-GOQroo!R!ZB0r17@yEN=Vw z$R^>95JbAQWQy|s$mLi|Z=CASl3qA2lD~unqQkRKC)~TDY&%*(+(X^K6iu2j>)T9^jYXmt3;g(CS|;W@mf#}00nd+ZvRscHxQ z;-2bjP;0aljZrJ5f_#VWONO65&g?WNZQV04S}xO_2oRou`N zpDY%mi?9$bJ2VeQAZou8LIS<3579JO?ZA4YU%25;zF_Nl5z$Y?qSrkxEzp-Zv#bqQ zb&v~s%TjZVWxg^}GB)7UDgz=guO%+GWt6%nMT=i*11?o9{6lY|Sh=x9sb4S*HsC;flpoYN z1}YXYx-knjh@<1;0eDnvI5|;c)$E`wML#4NS2}=TZE9Je1-F+7RMjb$X5~*PC1nCH zOvErY>f$NR+HzPTQwX5Rh-`ojPy*BI3#?2yZZ3Dpej*D<3@YN&bAVI;WDOL>gm&Tt z5^w4&*yB>d#>T@d`U#oQlBivmNViDvXXXtuwpx##!`B!BHlH>=GYGMMO28cjnmhG=4C6|8G<{PTHP~}C1 zmdZu;<~zlkXnSXZJpaxI0ANuo$% zaEX8toa_u5ZwvnblWz|YB+}i+y&wl#^x1F#ENQhsYqh`<)+DP2I=bJ5RP;2a1*~q3 zTp>$rzGYM?NIqkKt=EcN;?|YVAPf)R`4PpM!j3~EK1gVnIY^qC*BDirr#IbHek@iFakf3cq z!DnG!OA(8%^~xgnX%?}Wua9FR`-YOjR7(PBxPeQ)%LCfULsIYi2~!AoK^$-QIK$w= zCI>%XQnR(oheJpZ4}}qS=cMp~LQ4Wwr|LHcFRSb->tb%8Zn6!k6h6gIzg6VQ>_bj_ z`-z>$5H!*OwYM@kXioG~q@bfMF^HGsAUYs{Znth0?*eed0lI<}#tB+ZpG;G+NdZGx zLV&3Pm-`HemWtXX8Z&0MlCEgq(CksH5ET8Ou{qe3wj&9H4&y(irNW_j3f3?)S|#>? zuKr*SlCk$<@K4+X-#L=4=_ zS$E@Nrs$ZJYYR8MSV?N5Vl&CbIC5bbY6I72 zB{lGfgsuJ#{S-IST0GHTT#cBlerh@hsp1=ZzYI*Fs5rLBo7(>X#7z~juVbhI)Y}h< zN3y~C7-_rBHZ(1R66fi@^r5v*zyb0hUBtcq%{fLzLGT!&XiJ@=6r=&45tuB&?wg$N;fnZt^4L9DZUnYcq?BHK!Vg*6zQPGRtsc*5!vK{9|6S zFcE)UmKqO>s80NAYNfLuuA;AoLrWTVpZ@@=p*K_j@t5A_Q&h&$x)&L4Ri7ZECM2~$ zQ|c0`{*x+&rf78nz}?ZrNtUr|c!dtU4^u)F^nIadFR*$VN5}U7rx>Vl@NUEk7R;^D z>Z8r?rsc{PwDwi=OaP!jU%%zXeYo5;2Es3?dyE!a{7pWf5wk@psiU!qI)aQmA2G9a z?fRGdMJOMf-k-88w-9~)B2xpbI4)L^mfZ4jB8P}vtxIprh%&3B;F+=SRvBwh?Zgt% z#v#jt61#M4rBNudG&|YMGf|AL)Uyr?{Qbm+tFN`&`~duu;FS%2<1V;1T@SMyLE(a9 zX!Re3p(Yn$^{@bZjh5BX!^0PuPQ&2XV=V2gqFt zLuH`(IUn{`)wl$UAe!6A4*{e)u$2{f2Hyk&-8w_Andxnr6sTFtg+C zE7IV1)M3lMuIl`KKz*_S)i2vE033S1WD8IUn00fark6@LU*zK8BD z)yOa`Syuz$a>@G3lVHxsPjQ?->|m**)0Uo=56v=}!!$9rOIkm1c30s!?552MgZ9J$ zwxZiq{{Y-TvV>o?J%HbpP4_ot$)oUGSG$46zG9jb*!suMs}Wm>Z|_q6?9AX-+h!--M-)KbY0dPc{R3y&A1LtDqK^IoXJGi z?5gAz1|AEAf`b15Dik^_)xi)d*;(02U-E-pbLpv1xl1@7&%If)TdFYrM0r3&?kWMx7YzdSnI)*lxRiG8-Xli}fn>LD^rh6!^I6sy4=0sum3(g2`5b{Ys-6+m{WL(<%D(s>q#T zDiEPwz#&UkJcUqyPr%1k2v?*!Bwe7nVnG^(EvF5F7kq5V&h`QNdl7MKl?fG=f&GJJ z4YB0s#L&8in4&MD@)2H+T}5@hC{+^eH}UK! z1G>EssMliEEFn0DfDts6;5I0r_p<*0?2I|M1R7(2Rm&v{EjNhHU%9Y9qfi@V7j3~c zx$_d*kIJcD#Qier_*-QoGH5En66;{{jJ)5sJBq8TEw%&J47Bk(4k^sv1b7hq9-$=` zBi>6s!4mf#B^VBl2`s|>Og%>I+ClPPv|w9TZpc&zHh1M$k=Xz?@|!`n2oX~La_p%} z=J^zWYlXrY5LSqRQ*<_^wI~3`^#QiyIGiw6Z&mEF+B)6Ey;CdpTMiYRic+y`T|l1X zyJC-FCG6M)m}hn;N=CL>1=D5CN|448&uc9)Ru<5C{{Ymedj-~)3?ts<| zm5*kZFWSmb&(kq(g64JjhNN{tyq+qj*Z^)ktcgbMU~F$<2B~!9M#+6&)k3YI<~=^< z(PK&0p@m9&E89drNG>fus21MrE@A8;g~h;MB`SlVOH)$GXzTX`c-V^7S8$A&mJvd- z3LQ4D*B}a`wKn3hb8|)RL>|Cb*-22Yli?`sMb2#GL?M5aC9jnH2tlGyNOxt05LiL4 zV`eD8AgCPtSW+nKA_4n8p$%RV0SgkadovPXkVjYQq2%}X_R2cJA91d#U7>N2$k%lZ zEZ0>q=}$&JP)OZ@Qv^V$ievo4U}iF2jYkFTatdcrB6$y`Y?9Gd)@Hjs`E_#C4NLr& z%cGDl<;j*UU2PD_PQ?OQQ3K;8er&P&oVOIFWk{9DR3dpU%TGfn)j-`97f`E}JNW2w zf5|GPlCVpVUI2DoDx#JohoS-R;aHDja9lDHm9yd|AiF%%R?Jr1ThvFFm`dv#Rv>ezE={sO*0vGB1Lscqo`zap888Zw7GN4yb*PRiA z)d!I9LXESbIJ$}LO(Rm=5{A)OM=C!cJbMLt`;_ts+_(EJN**v6xD9Eoe=?|B6v<{{RLwRIopB_PA4hh(aZrt`ObI_CBv-4%qS#e~EsRLrS8ep6>OR z5D$|mar>IltjIL@I{_yNlzc3gPmAQJY`%o0o^~9l-om0;zQT|ZzCDB+?D;HETISHh z+R1l#Pm`ng#|is>qN-SA1En>v18)BSk>9|mZb|^y-C>kOs9G6Ozd5|w<0-}D5*%s5jKpu*JE>MHV(iPh5p)fIbkSS?w zD@9n2Vyr8!#EyBggzH7d2ApqY3ockQO}O0I*oUjhO!|WMQGZEGvsKENvwmWRf03MJ zxe5bY06{_4Q1ujH;FO~J6vYQC?qRi0!89wy!$b@Djh5fJs#otJ@|0~*^=)Qyke27v zacUI7r3d6(WZri8`i3@q^I-nzc->A^uFzavL1oyb{;pDmS@jhtzt%#Tpcef5CBU>* z2ZQ$nUeYOMINVVsI!0J4x7n0*4gSm@W-G{@$CoTK@z^}ZIE~r)VT$2bF@{SQ2KxbE zpquPMCPtcp4yS?`bbAB}KTxP!lPPW^_*3E{-t`+Gf;5wiTv*Voh%XkZDfwu)9`Y&^A#PyrBY^OMV0$@z z2WH7kc8ThCVBppa1RG$$8BnZpw2Hkj{9nM=Tk;2X*@(h(NS3f|NTdO6>N=}3ohm*cXPZ?Br!8;P_U_iAhz7#;C`-!lvyBJ(5 z$L8Y~PQGQi1=R?}5w&1(ux#|9TjVjod;b8)B?;s1Df<{)b8C*bB>^=$el}AMf$*E271l>8LH+Jv^)c2LHQ2&B`+yxD=eVwK%m%nFsZ;6YOR zILHm{jRxnE;ZbC8A&wD(i)jOx#nq^~-b+N3Aj%_IJNt~e_;TBEQG^F-VAL&PWhO%5 z8KxDsG&1fo-pbmFZzbGqVQ!my16PvAa$vzcr_{^U-51Lt+psKER#7Yqx6%u#I;K;_ zaDo2*3_lFJWr+y6^ug!io25En&DUaCwSaEGavxlzjJG{9uM5ULi5;;?7SGgW8*2V zmakOgt^WW44N5nRrjQP~HYg0^bR_5E! z(NVGXqdkq(6+iy~Fe5;|Sirwv17TpGcE1C4dmUIL);Rq_ir;1e-H-n2Dk~+b0gzeFnNTgWMGjupv}0@#$L{Ju&f?g-NL zPl%2HV^Gt!TSLC>DFW9rSkZ8cibcwBWTxWkpMuroDri2UX#W7oO(?LaV^suf4hjOk z$zI2ri9pmr(Aihmg!)1Ava*s~wgCpR-(Su|QImK!gZxgkMsKLK z@hCFJMsYynV}2eTq%{f!E%B!AMaBMYjYLn+!E9$8R^Yz^P+=nyroWAn)`yv6jV| za6yF{8Q7(!xV#AG?)_W3%utiCAp@N6Ok(gnn@5{WE zL2A|ZGEgZ=7$2d4z+cE43N}@D7Z0`Z1)Yvgz~n=Bwll0NBBpdZqG}pTaXL@UgQvS8#>BD*tBfu-XBr<=(*B#BKCqX5 z%!xHrp?rwBE|MXv$-ewesqD+FC4d?|s1($8!x(^oZwI|&8H$jZa|F-@ff=* zRV{%|%85WsACe7j znzf5D8Cy2PJ3q~iyUC>iw7JPM6(~pOj)}E9{*TmlE#Wat)f7HkFsGz+*eO!ql@yGH z8rrzdr530)eI+j?*Y<4W(1jG8o=U-V*d>=zS@1x#rK7FH9%ae^p9m?FGqCFi(c*^Z z)T*dGiA!*qFoV89!uGzx&5-4i3!iAV8t2sAisuK^xYW(2=RjcJ{zlcLG&KaU8cR0k z-1!qqFg1apeB4nWdolqkSuXT~pvDwa;#;;{6-LY-fEZIzpg=V}MZyTVfNFK24nnr) zV<@J{Vgr8@4H*u@C9*$JD;aYo$hNMrV7wx_5sk{B5f%D~!BlDcmgVaM;=^x~6Kx+5 z)aaB`#i~PK4AGqOkru5=7!AT?YOC-+$P4VJVfFYT96NxgBKZaxsbwQ_C;nS+jak%R zyOidLxA9O%U4kzT6)9e?V&B4OE?y9cC@biI7lYDT)x2Vx0;N~&p997N>Z9T-LR%(U z7k^-Ylxm|?vL!Cm-W0(p1&j$@()eLG`9`Aj5gI&GMF8GE+i@Xlmx?|Pr7O+Uoildx{VIBxS7`8L^{Lyo{iK^Xvsgz^;c!X~S}ZE$TB0BlC{qQ6Qa!ynF|gTty)M`S z@;V$qTd@&f(`2#-q7MN%jFj+==CMGX+`*j0RcUj8tKe2UX;#&^CUm z2xN9yz~~+XD+9qB4MGZOQ_X-Y$Sy{eE~(WWmY3ULXf}CqL~J5c*`qr!)}E7{yX;e3 z(EvoPf&Nwkb}Fb9F5jtod*l};4wVKUH)ViOE148jsWaS~Qz{D+^g`XI;Y4ChAf5Ce zHN1;A$BXS{&?!om!r=zq{xogQh;0D*f7TLwDCAPNix4l4+(ZXn63dN%hwy+lV#808 zCK{8&*+gLhXnEhnx>D&eFQl+EZbR&?Ws>)Y)Jths`hk%4q=e3~^0ZORt-f= z`IZ|oHYKmMnxI$MR>Hm*F9eqO#JzopBj9!kS8bltQOA^}B`sw3c5Q21i^`+z#8m~c z5~`wr#Ee#0l(-mip^8Qpye6S{7PY6$pBwfR#p0LYY`cyc7=Vg}UPOp4AE{-rqNE;I ze+lhMg3v|S+LTq|9^Ex4Po{H2=t?UF`3tARWzIJ~Dk0H}1MKrZk)`Cc+Cf09L8rn2 z8&cTfuj*OAJ|@NR9v?8&-LW;@mS-*{cVo64itJb;Pkly4os3t4s#r(XsK^}DATW}c z#(Hn^ZX0#8U`KC^uk9Dsm61wfYZz-ucqJ79N||(F7NCu(S|qnmsE{itBhf1^qhc&q zY6xW!+q=DsX2G)81=nUpcIytGE%=s{J9Z~=HL02v0LER}6_c8|zC0?OBKb02R zI7B7rN*~W57<}wam7uYHp_lVe15aR}WNfl}J!~tl4np>1>^0d&nM9>V+{Paa?CNch zI{DEV(S;I)e+!6};6vC^0Z`CAhO)6teUK`QJ*dr1kH`w-T}Xix)~g5OVE2OGyNUzz z5BMP(-7~?e2SSrte&RDxXsC^~+MI@#+V(d3mIv8rpt9aACV^}95^A7q@&xG2&xw@; z*jo2QN`pm>Tme)CxE_T|OE~s)W+65LcDJzT#az;Q>-8K-a%zK|U3Ua`>y4aqOYUiknb+AS+^*UGSC^ zyBV(v3@^z4}sxUqmwVh@+%UAS805aRwwpe5cgumBiF{{T_BLC#BW`i_@a#1613%~Yf`<#YC{|=}z_!OsFrXv$3tpDB2(}o}sezU7~mnL9e;-DN$Ok%Qf;#Jcr@5 zsEc4IHR8qZLcZ8M!kcWW?HSudK)9u)-b^WtC30V!{Ea|F6Oh!VmWfpsl6Xs+)Y5*P zmYsg$-4!W&C~Q?Mqyddor8bq%Vt=F>@7w_>Q`?P7{v|b1R-nJAeHO;)p%Oh#!43@& zO6#`gAsCe@z7N?6<<>o>Cc9GV?Uy0(uLlHv*VN2ykk(Y>YSj(g(noRRd%*ty+_KuZ zBA|9C7X5Cbuy9(D9YfVgQDH&+l?hQp9>#159iJ)AqO1G>E2VPuS^}fUyFrW>S ztP+Zyvys?q<#iC6*0t<0eYiB(10|MUqkAsX>f-@HG{CX*##>8pqso{^Dem!%Y8jpk zT{<2`%Z*mbv)9Jp0QH>A*>^Za6tI+c&k#-Nd7QYfAq4s1D#xK#*C9nGU{%TkzbvVU z2dmji(p|O;=M8B0g-hxoq|^FMzu>Ro5ts!^lB&4=u%=Ik z{{ReCKBKDZ=6V8|YKT-y<3t+!60@&SAX88jC9?0tuMDuVqSbsu_o-ko>zh!}7&{o< z_!R`y7UX*QeZ;uD2jQteQXNvu%Yx2;ty(bg6+w>j_9cK@C8f;U8-w$5vjyP}p$F{) zr}rr;r9yEy$xefcSdM}|!&eHpuZd`Fg|f0sS zpCSM;g|xsiGKkyWOwo6QCVT+K@-OND>PETe^^VgQgiUm z@divn4CFza06Y7Ds;$h9Zk6f(0ANyt7ucK8%JeQEXpg~_(oyp?U@Xn=Dh=a!-p5); z>4j>JF7?P8qIx+9=qLt?hhppj7&28bK8`CrA5A#S@V+aBd3y*uCb!}s0wAfJ&qR< zO8fx=t<70k6rinjAaGXy00QMry><(W0I)!@p?uiwBiev5!c`kS5UoY$>Q)(A<>@qJ zv@MgYtDAbx7DS^`m7$a^TvnYs0vf9=ZU(O77gOrzXHJl*7QgyLa8MtBUjvBSq4qea zL;$9)2-N;&FfFqt!xb$o^Ay2iD{SczxAT(hh51QWEa#Fi2w|Q3U_q$<=S)PkXW3E6 za76?1eB2TEGcRHvf}#}6UHm{ZJq1k=0$KO90BGzG?M1t&g7)DPa+9 z1ruINSKa~jEcXv;Rz69RWkqXsAQ0N{S7ikhb{lI|AMBQKD zSj9a1Ly~T|2E|a)>JG^B<~XVvTlS>S6^%sEXZ8xuh${!!#6J~n8I!3@ zw88f_-gn{&)#0M1Lf&H9-LS1Z-7`LKiYFi|{!l+9PN?1}JiS9wkbp6y>`CA9hWDf{v%0u`9e3XiESQnDO zIRFLOr#lt@05Kgw*o~qQlwj610!)wl6TPV2MVt+fMR3RP-VHV_FP(t4QFbUN@)1W# zbKLxV1+FbK%Bi_5x(Tw#Fhx`yhgXK?aRpuY1gLv*vDp`qRj7EiupNP+Jb}~XtbXN^ zEk%!F+k*&HV(t85F&~YB0L#DD%hZn%EcHZVIm8hjqf2eK3l!-axTz2S0IA|=L@#As zXC<#xy4<{K8&R7+=()K-=hu}G$|9+|X8LE$5PUR?oJCdSs2xd5uprWx&zWPVlHg79 zDZdRRsil77KmwpYvE)+uTdhnnNfe+ofLOGl*zqrZKXI3vYUQ6lsGw?|;MLXvo~{** zHe6d%PooWVN@|5R8i6QUuPPUV^*jRgI2}|R&lLKpUBDZIU|qxF`wJ+-`Ehea!Ncir zK|$)d6j`e;-y#!gp<@1KrCv@R*`>l8UFw#ufXtu3n*<(E>(5OT0SErHH5dI!{fg zt6ob>nwJ7u7gF5{`zE24uFW4LSN&z$+yGD`_r(?qEB5x`UoH-z9nPmeYmO|BtwT&g z-$ZN{_vj@xP@x1WxV|JzHf(1#5Swa%9o(Wmlq3c zBY8bm8u(q6IH_PVm7;h=JX|og3X=66f|L6;-izzyAOPs`;W??UXAt z(Y{K52w_zaimo(t>}a^G(5YZn>mzbmT8mG~h#fHg5E1DCI3|i(@9G*3B=o3hJey{f z+0B4iPwN1trme;)doxqvp!V(_>sJJhA>Y_bVVBZnjC1f9H5;f;(0AFCx}@hWP`i`{ zDk|i&Pa>Wd2HrQ#h1Rx#Q6ITORc>wL=2WRoY?~blT1N4VrAyZUKXIT0G?{HiI~-|o z)eoSeHaw;R3bLScwA8S@Oeu$K>EKj`yyWGPN$g`SAD~+4)aqD2+cZBfPrOQ}qtr{CXUPlcm5d>IyB3HpFTblSL2! zc?6vR8qtC`P&fOPv}6h!O8vk+kQ7Z3QXW71sb99^61|BJr?l8)*|+sX@bQA)-P~`o z{EA`Z%qYEmkrvl$h-$AWfKI`$7>`)Ung0Ox++>Rt%AttB8X_h7!6)!Mqz}2b*@YMD z?CXxlPD3`RP~dJrCMdn3G3KdOORV=X;S{{m|Z0?^ehA< zij{*EOS&r!=>_2RqvBlnL;HzsM=$(}TsSr@;APii+S?4<5XROb?fA=;5IGtst_=bl z8*g^!0p>%lMV>I9V&B^tw?%%T_@fe~@)WC56UY|esvLv1z&WHjO2iuUl?GI1Obu*G zlJBtV7k|S-MTRoCu1g!2d#{M9$6{GAu$_SRAmkKLQN=d`uDjUaC4)!+^^-tn%nttm z8m?@iEUTW@ds!Z!8`8Q;y*QR&Bs$A#UPVx)MlM)gOO@;*-c5iNV77#hW)}P+QHq`z0D@~dSaH8p{Qpznxv94%C&Ecm1 z07M2#uDSBT#5nyAC4;E1$Woizw3K{|?WUcTe<+is9as-`vQ}Kz_=kK>5yS8SeaneP z)ss)^7M|Pj4XUE1rjpF1{{ZGc7PHdkt*wO>h)PmqPymiQQq6>CZ=VvV4Z+@AK_9|W zDs%>@is(CXz#AY#)00~a_mKxg#hEI$Ca@H@Jmd~e4goJ)l^gA)!I2tqees#yA~m7YGQxEJvTD5I4qY%W|F zD?51!)oCvAO#8inCI0|q)Oahk$N+coD3-{I_6Dp{G^rEiKyqKWC(mU%9S-cgZlGn5 zkRm_+?_nj#Q5Q?^$bf10E97BR^n(E%wdRrvyotBO5>c_gP?Pn!(i}L1EXbGMjmCj% zx|9d|m~r})R-s{MB8_UJ^baN`wk1Jaw)K=7SsrnUafw8Vb`!IZt8y+7t}tQgb6c*5{Ez4>$9CzrTlicoPrh9ly-Wj z^BdbnrB*VXwB5IIfxy~ThQ%H}UdmQN{9Gj+EM8M61*(`6kp!<%{YKE#+{wA|ET_b3 z+}Io}OgAvAdZPdyA5|$~OCPCvgVSIy=@<#}e@M@?T}LiqZ!^{<60i#PR2%G64LR^! zM+G7e+;0jS-a$w1UK_viAj3hj!{aDiy+n)pW1OUa&QGKWFhM@-4)-(eEmcGqtRQw( zZeK00Wxlco3_EADt(aD9H^X9iNBme{5F$$NH^}X2d3=>EPv)fo*&j~IsD@Y#FKCW?D>!#|R6Ui{ zu2UlTU9P}WYi?t`xq9ks^RSvZqp(%0K;O)|AkqvKVUqBoBI^d~@s?_M2zWMW$Y0n% z5&olNC?`hi@X@o8OG1bW`JE=FnOLd%l$N9k%Px`n5Wwr1a~5~7=}grp$wr_Z*H{QU z?4=3{an;Hlg0H8Oyk$2TsR7+J1!I%z@C%48F#iBzVK(&q1;2ndu7!NY3-f)|O9WCt zrGyfV)vIbEiyB|B_{4B?a^>^${i1-TD)!->u*Iaq6zJ~Uak4b|@?OzlOGnH_qxwrP z`&qDy=h9nf(ur!)UX*e=TOrtkM5dK24`vCc%t3Uoi_cxJ{XwVd59pQ|sE!(qIoFUoq5-98D5Bi{L8uMUQBf6xQMT;a z`IN;LY!-lAMdAqw3uFgQSv0R;3S1N_$$xjAAwH!ZYbAVh$n=8fVP%!KPQPM241fKN}#s3b=(-)=H=U00e0H z1VcvLR)*@XrGnl(C;qn-yA69ZR~xUsF{SxJkYsIEZ|(@eY4H)FmpQ+P@o`r^l?3v) z@J5T-tJ~d-<*sd1jJAVgainab3k#3X4T><6fPrN-hHxU5ZPX{kLhLfF{nT26 zV$oni=hC1gNApnFue;<(GUyvFUbr@!QoAmDKWR{>4)O!Gdeo}<>x?u+aB|`yL0c^S zkrd$DVu~;=eSw(#A2UrI)p;8bwNpP#O9C}p{ve)#!4Llc8&QiT1x0F1!0-;oorm=n zP!>ufMKG~`ATqXoCW_sCrCro|cut|uZNK*;dV0OsC3yg&JU771>UZ%s^Q_$Sxp9H^x*WYl=I86t>if z;*3{F1DpGp3vMhvB0B+f2epcO8tXD;UPPwZ6GY(D!R1O^^@E3usUUx1uSjz|2R~w1 z5Cn1rk4QnVJ*Hmo%n+p{Q9!%MD8Z0&ypA{!CAJ9kERTiDW9oqxvnY$>4!V#4K+J6C zfXczs&yQeuo_=EaF2(ouge&@(SG7H0D&<%mO*V`mNWcrrq8mSO)^c7OaIssY9+tlW z1r1^l{h&2!`D@`U(?G~N`Pz)IJg^Fz0Mq%mldwz`=dilYOABAj@%0Sui8JT`c&{71weYavYtB@!V+369FEti zYq#C@Zqfsi%O)9JxX%|^7!R=Op*qM3#^ZEtFPZBBffSyo#omTJC5~nQEC*JMEi&>?*3IFNmNqA4?ra zmfdq8z!us(A}%DkDk9K!EGmW{5LrS6G45Ce0Ay+3q)bcuC^m$ES@s+U`7efMWOQt8 zX<(OFI=Hitx$`WM@~iS8ZHFr|shjHm0396+pxH!i?Zi*S5{f{!I}k2OY_dyLRI!DX zHdyQor@%(Y0)+;*UsV#L0|9CJms&K~aq|ehy_;aXIFUk}AS)t^zh-_K47Cr`?B*)r z*lOcl`cwqGx7>vTKolw?ziAc!0NOOBQ%kJU@!vl&)+*Cz+ex{0( z>)3?2!RskxIcB1x{7RMF#>&{(3k9h8r5iu zxT$F#Z^SmTP#>v$<)_IWDTQ~*YC`H+lTtV08yRinvFG+MvbhPWQP`y%`G>`e0CF`i zxB&t+vhp4(FMu#b#Ib6>+$rF5Pw_T@FJtMxN*2cU;m^VTMpb)PlUDB-TvOJ#Qwb(h z_P8Rv5B4zK1NtQtMKG>Q8hI%uskd3mfWq07#H6qJGqra7^YGC}6X_5BB~-0#(tM1G zV%ZQe7PrUB>=bJ#t)%1!;%@hmTYAS+3?_4BYK1^C4@76nqBovF(~ zw~~rUS5mABjj8CuZ3KwlG5~hpJD5z?0ZKrZf8 zjPhP?nPdL|;l4}8fIXN=E7Dys1Nh1P_EIhps4ZkI><-QiO4VT+rGJp4rPiVIlg_}P zn?>-J2&X{)Tnrl21Zu9jl+*)#t*U_7lgV1mh^E#|x0N}P zyp&8LsyK{-Ce}9w*%4EhjhHAGx`D-UF8=@-`AB-cf7!mkD%b53AEs4GEf6>h!fD^+ z0Q|${k+^I8nq9$f>T2^MW_{Tx;`vZf`(g!DDJMfkHZ#!IwFOfrQ-C4gV!w9|=?Bnl zM7jf_x!AAq9fqx-W_*0SxL9bZYiKUG9WC+q(CBWa17{M2E6BI^`q6D?V5?!!hyr^I&OFQ;U zsp9ss$&^FMNx_Ct7Q}$`AM8UDC6_qQfr?^@60}FzX@P{FWB$OWc~DEYeYj<`7i4_4 zT8h&zmjEvd;FbQdr%fsls)-fY6H@a9%IO7mK^T4vEB;O@THZ#7A990{P}Bnhdc>w% zMv;1LeqCfoPgLa=<**Lj^1H#2=M@=4d>YmH2Hs zu5G7H{lhX!*fxWCjwcYXGS)8 zhwoP_2fp?Sd=6@yL!y74cCo#zFVO9qQ7u6ydEG<^W-; z+MLAWutzUtn_6$oxk=)xqWv@!*lwvzYLqyUVvVBi8!F&vwFTT}40dcNUix+>Ax-vJ zO6vU%^EeWxoCt81j0eE3s*~D3Liv6PUSUJ;CkWMLWxIexNGf^-|c) z5i+BRnp8a@D%#k*R95{vD!tfat?j!G>&4`#R{sF%SdY-`?f1ZfYOJ~Pm5?)6-b-aJ zRrX=5N*3kniG8l7kO1MWz$Bnj4Y=a(W!F(%!Dr!NDtMD9K%ue|>H$EoTeC!=>`gC@ zvDP;9@?2_+S^h+^fduplE)SFmi)(y|tJcTnTO?GqqxJPMX=V)@30Wv(Ucuq>H?agF z%x-*4h1pBCg5n*Ys8#Id#b^HjhwzIQR}d!hK-!8;WmXweVl2XjIT&C+Hug}R0y#*_ zCVWMGOrKqVsED}!#-v8$kwUJOY)C0j`i7^AlEZ0gY;#KXAz1nxjewQ0U5osOLlM#s zlyw2QbYmR{#r)wLETxNU<@qcAoDKWK>b5b6d$!jJ_~1MI9M&=sGkl7p^5V?{ev5pFFP{=%pc z^3!TJw-ugL&viEOhM86lv28QR`Kh-ArD!Wf-w|=-38i7qY%_f)Oa)@uy+{hdj{EFj z)FB(#C(0m*GIhG;{{a1)BgG!VfTcR##@&{^C!N3vqvi>!_$ZVMJMuvi#>PsGSb6m4K||DhRcGhVqUX&m{{dU!KA}9X!}S7s_CP8Yisg3E?`t5 z*|iQ08cMWCSLdly(M!VeBA@{8uWl@qE6HK_VW-?o0Z5^#axj?ab$lL9(UNG>+gfiDf{kRP=+gJ*jr=fxuv)lHj1a(qN*5d2>4t66(48O4btvvL7ZM5!ny&{X+XhdLso}iBiKwtTib{E>Q$L zM*gE3#Vx#xnM3nma)_RP$4E8iMIoWb-9)S`y<-@0(L}Q@%iYsc6s$2V- znl@&o7Rt3pvakb_cwIjkyvP4aM9AyOaDP8mO#-zkRffu0RTYpK$d8tT@YShPf?|!8rc_C!rSr zPncK%cULLhRa4}^09s$(r9)>KWD!*zm$xv|9zw<{S%hZe&2vZ zb2)X|Exm77e%{Rw&e>kvvfrwWHm>46mu_2iQnc*r?N3KMlr}Ae#e;2K%Yxds)FJ6Z zBAZam?#JjB&e?Sm!FTqJwQi_60K1Epx89%y7d?PlfWO#FfTaZ&D^Am0RDfN711oL@ z^@;lEl>s#s6q~bxQGe<#;44`8CF+NyVZ#1pih%`Qz*R1^@i{Zec7N&`#KA|} z0b-ngQCbQ$=k6?D8O82FOJkHBs9d_-w1Op9cgbKa@E?ij`d(5JppSV3IylHZnr?d` zHMA;Ie#ixR=}M*Lh~T&FiK|If?)elj6AC<(2DC1s1p&*&7Xr@g{Yz4{&@xuW0K^*gky)r|1aMiw z5^eHWTs*s%QCd+2?}#6W@ohzQOhIj-*n&dM3r=JWN$-7*f>$eR7uS(?m9@m3NVH@9 z&EMJp9?gp7uGUR1TLc#R)KS>0kP;LQ&Avv#Usn|!U$sr*Ug?`ZdE@Q{J=M(`cJ17i z5I?e~Pv)O+g$gUMfG+;bs9<=Y3c?4N4E-Z8_3CP})?SdqN67$eNR@2Q&cPHnI7*0- z1#Q~~X<-G$YE_CRz#4ljc^5%5v1jcql3t(42}2kl9G1F)6!h!xg0d>snP3kf^Q>B- ztY%W+0}urpcj^-C2qaZow(hFoLrr*jJU|d!S%fLpuVM>fLv7hc z)xMV&I^(cff0Gh5@H$6F`eR`5YUC!CdCH&AMW#+?CJ8W5*d;DPZEyI)`}1bIEqC7m z%bpCbJwyF_0kjp;pr=+>VO;FN_Y@df6b~s0bNXB4(N$efe^It?S9M=dtWwfXrZSm{ z#sCm<6@CP%T~ej3GbR9TF4jRWZ+9gc5P*k(4+0@X{^CesEWIB6!qlXKy9XfJii4(f zMP2fXmYnCZ=$lST61W*JV9VOMb}WG+p=jlQ$=1XR5CyGte{d3l?;%3Bn=L>p^-+Q>Pf3n~LXa%vqh(*jJRwBUT*$+%%k9WjWmxfw zDdpg#B?@cl*_C;41&TUra8Nxm%k>Z?M+9ZYq-B5xHC(J0YF+LZZc8ge$CB^}->haC z+R(XM1RlOzAT+s6)HM~w-p8)Fzly}V`~hbnkjWM|W+3EpoB`$R9&AhQOV%f~C_buq zmW>mL{6~n_^ryrEx1um?l?Aja_6080tv1^wJ{8J|Kzjv*7h#fzP1H+?qbTr78M}lY zno0*WmA}GpC=tQ@auUE+ZNK9QX1e57YYzc88vg(+z-ih@`Z8=zyR}lwErq{QtM^@+ zc8mW2l8NpA03`;u3o=oT+7w#aR>ds>Z-lv}{^uUtwEhwufhDpCb$@eY>MkEAkfA6P{i1iMbl-Jj@7g+Rbj_{Dy~YAM?G4SZ)+mN4BeOI(-5xl}|J)8v;?d@C-m53)8{s&-hi zC^7#4^kLg#Tq4DR_O2&Lmfdn!Q4h8`p}&SxMC&nTlM`oWykOaQldTd^lo4T35uVGfbc^xY$E;tA=P=}*@fuh_ni3+bo{rjpREf*)(M-xCjXmWFJOW79zzA1ceHfrem_#@G!RtNXU`9 z0^0mTq73N*RXZ6+)Ir#qXz-?Rp#xl@1F=Em(P40oUA>LMj0@<5T1&L#@O02@w-#U5 z{{W0@)va}Z`-0`gceUXG{IqVo+fgehn#&Pf4+G!SY^$BlMg`6;=skcNg%oN1BYYh# z28BJ^g8Lx9578(K=}Saba1CF~Zvutcs8ofw!}U4mS(o=@U;sGf!Vd<$--c5wX8dv^iAYWQ9mdsKP(yM+@uXn9A8Q9Byz|}qBP)g^P)Y&;3DOn1Zy~MwxcFay9*V3hp3h zKI&wMqYCRw#M|8Ij`8*}%&7-QMeIsmZ5|jWgRBEX=A`;bq5K^o!#Ib37*=e%lnG}A`Kq#jX zQHoK5HMGcVN+2q$vYYbkG&9fEQd9j#Lj(%-E?Hla+_`fIfl-7*W0!R;d0#%|7fcJ| zF8VSyE~+Nvt7B-lmYBOsds7u@~DDs*~nUa+)(U5fLc}8Ae3#?yqAMQk=g-I zW_f@3|~lS15|M<9sQcQ>!E{Us~6pX+#4xAr5ajrTFNQ8 zE`tkdg1}b+-7ILDHz2{=_?Bxv&*Z(nA~$IkGj3WecTBmUvhUOvQrNX+@)mYnj1+1r zB~C0yt%12f;eT)u)9I)nNZ7r9ek(7Rt zk{BsHLWKy!_fMt-qkFp6IS3Hky5r>gAY2%SMgX1GmfWz=&Jt)!dl6*izlTC`9bsH8 z=F2C9!r*|-TNZNPKek-^0s4drTrpp0m0e&bDdqbsYH{f(Zy=LjGA^ zA86Tg#wu52lq{~zzPtX*D_K!#8YQV(6nQGN2zI_9w53<=0i|U_S6SdXKzbmK`%0nX zEmyLl2J#u~LJ`(Q@N49kw-wE@5VNxYf}xMZDBBjo{EZ-$R%3jkOtcJEE__9|5kBRB zNTX(Lv%gSUtbem*+ZFOvuK7_%g9ypUn=Enw#s~qdxV6)=(WU!T zX!+RXC(=75+vY`ph1-RI0ucq;T85?3#a$WXQpeIqUc1_qWV+X56ss9b(yWmnrr1|% z1N_O(l)NBNTmVOEIzdBwIH23&X!(0&nW(m=eo2RwgT7rNAZ|2@k`t0LP|?UCsBA-~ zy^l{~Hhh?l%+CJCItRphfWEnrS=5Uah3=x6cN-U91WW^`81CCI>Q9zBU5JG#Goto6w**q)L9!S@C}p@#8>yQfIK6_#y%A^%aWIW$h3e@hT^mXu?B+t zfE%6!)HEUc{=B;HcSZt{}Lu-_=4Q+PT_qYJK{L(yC#V1i0N}FBjKC zwbej3j{4nwXRwf`9AUdCd~(wFi5enWFh{Ze8E>79qhEZhl*{>QiDy8k%=J(J3wV%M zCOS+@$yAFq;e{d?q;?c3f!Me5T~qcBMuLM$Kt4NUwyJGW*`TNEbW2jO8RS`4ujB?T zZu=9Cr@+Pk0ADI1M5|ZY+Mh!HiEbXos*3C|!f7cM9mu;)l>&aC+}M6HI2Br<9RrJT za*=>K5}H)~L4wm=qY8o42xW=ijK!T{^2>!?lUxbcb@dFCzx8uMZ83vYe76oI9@#7t z*^PWkzh$5chBJ@>$S^|kDP5c<5XZS4GeaW)i(t4UFA~zoQJVXM6YVY>B8rPkyiF;L zI%~4du%{(Ws6O1PTTkiDbo_EhR0eDa0r=mLZ2vsA&+Kz+y3%o8;FR0vH!nC?&jtmm3aLEM^Dofl5$ntFocF>-$QG6`{*l z8#<$P`c%Bg77I!!*N^(o`v!+`-vF6toP?A)XM zfJEX;Y9k;8YR}Yp=)Dz831O$-^5;}D(4z*YpEUsp2$ZWxMjEMk6dD)&iyNonB2oVU zW5UnWsoW3y6kMj%w!TA__$hFt?xwTgSRm}KD~Y4bO9EvZq)nLFBYhC4uVGPlqD@3V#?b`ah1^)4!dwCn)7Z%c&I zR(;DwP7#eWq^wAh88-rpm=wgJy@7m{V1c$vZsaUGC@RaUmRicgpd|zjE#U(xs)dSO z{u`ldCRWLb^gyPfS(K10-3g{2m;$RQxGY#?uY}MvzCvPxxTYqppV$yo{{Y*NL=;_= zF-K3BKvK<#(ym_deud1zKdXmFuz<67g@oo?iwM|>zj=7j4^b@V!lEm()d&C_>@p|8 zM`gSP2=W4z?zS(97obXtijC$$ zuqajfmcavM#(3GtNG**0%5K8lIuiHqvxKBgBe>fHuaZ(&qfeWH3YD-UJ_y=xT_6#; ze#bMmx#Y5iUCX@RA`w@EaVoi6C_Ye&W5>5Hv#nfq<=v9SJ1O$WQ=r*uRZ^+1Cg7eV zsa&gUXAQX$v_BEry}0%jd=Ppunwl4D1b&VQwO->DRJAXsZn8Yp+XVhRP?y<6ZZn#BG^S2#i^`SLWT_4XX|39?aQsyReHFQ zC??KIT#SK%iLuE9V>N!R01>qkqQK}`QAizufcMxL>2vNVac2CAAL`j&p%X&`mkc_{Gw?c{f^}$S ztolRfUQ*COLbHpzUHA|tZfSsaQO2*1M%z_;1Oo}&vQ*jo#2R$&p8Z2%0;nlK1JSm= z!JLNht7EsohJVS)!@WX%G#cUoe1_qFd^-jk1idO3R^Md~!6jwXGq1%gr;2@#Jb*v; z!V7M)xnb~?nHwQ$TpWm_Q`DA_CZ$3HTTkF#g;^CJ3QIevNIS_;6cwTbpG0){Oq^sw z$nB;zfwj28i$f6=-od;O8IIH){^LSakP={3OIwv(g3&B}L;*IAM^Gv@3aTR5wuT{N zl#G{RI==BR5Z66`5@v$z8#fj}YQqD$us>NYN~azlY^wGxueI1|6`OxahW=y9`3JDL z6lHJ88F3Z=0Lh66M1id;*|0hpZM)dm(kOj^uaI4~e`rH8ogjcKq_R6PPC!h%o)b~f z66Vb$M@7^d#~jEN@Zm&a2w)(Lu=V&QWuR+zGdtmMnh2`21oFzndlFemK}!;V*>Z`e z%oJ$+{^PI>7G1&9;7Zsu&Z%|UjSQpVs1c%iz=g6^p|XWbO)TKWN)>>1VQhOBT10-} z6wn`JcM87UeZ=jLAGp^7r+}VC{U0-fuAVOA%Hgh$6vQm*E3Uy5tp#gyJH@~@8=~MX zO8R3;tV*kn;P^lyeB_`jsX@K;hWRR6Ko3|3>(fw0N%Bg+(kjf$l@)P~s$1giS7KZNRJYic+qp)}ry+VG zt|i2pBB||-f$Kg+ue7muVM|)6VTvmI5Ml<7k_kR1TL}Cd6m|~!%YA?m7Y+FsQcM|N zD&e%WsOz;N0d5Ppv8Djo7BJF!m=cvbB2o7sOsBGw2~c*JC4csDwUUPRRJU5o{C;W8mu^0U@x*sC@32xw_+YHc(dG(j{avMKspL;SEd8h;mFaF zSIUSMJ3PE59vAS$>GMGqE%e7qBdpwn5pGs}K?uJscV#e+syWAzY mxRKa-)ArC?FBugA(HWoDh07wgJ1wdKpvX;t) znvr1Gpp>)JZ}k~0ctY)KGIh8B5h1SXO4y*SKN7DzV_59=D1N1Y+VPZ&v5OpkXV}=F zSt~Pz{6r%Xq$tfn`cQM+uV~ zE`;0jD$E7ZiLZAU8>@*!*}Q?bg=t~AV57m7A`Q!dJ(g@Kzqw>Kqfr-7b#1(r^mZk- z+l2NwEN>FN<>ahn)w1bA*;9#fV^CgzJ4nCP%GX@UFG2a0AnKeC7t%8Obm_T-8vV;HwjoS+*dqpB?wVy{qU9Iq zfkowHW7nh=T}(^4ffFfRMhzjVqOVJ%3IZrZxn(a1s^*S;#^{BBRL3I5!4}&xn5(jW zp+d1Z>g3fZq497kKB{`p@DW)1*07nKP&1KBle_&(A!-{wPOGpTuzWEG8GV&M6%e3e zo0Tk~-`&A3-1RCn(h}QIQS4k&H-J<+6je$=%owly^2ea6Mj{w)qLw+xRnVd`yBM6> z)AcWOB9h}ZHy~2PAVMM7sofxsE7@S=vGpv7<&xG(ANDlDpob-lGMkZpZ*BpUH4o=u z7+*A$!AG%698|#{3afw{DJl_3S42h!*CK+o(ktdm7R;VyP?vfR7Y9$V$9!Uegh7r= zs1MFc3mR)FK-2zX2~Rn7^hz->M|usqUPgjy4&E{_q$L*!3nf0{e9C7o9WT!#YMrz! zbqpbBFJYIOWsPK?}cx zv{ig|EDt*E%Cqk1>?DP5DoN2IQ1kZXzh?I%z_vFZ(T;#xfSOJ0z7Zv{i z*-18%yl^3Kg)y^8N3jZ(j&YK_iyy*hY6L(uku3yEl?JoRl=c#UoBN$}C3dU)Li4J2 zSb~XqRmFQh^C!5qL4B?4LXx1Sst$;b5EY4{pyt0)uacU^-$_MMu36|?l8!9h_doV` zVwWb0=ge@v-IkiVgmxRYH#m)Owd}hB?u$NIbiQWRPK`W7wxmAkKIc@h;;B&gE*(r? zRK}X{CqB?=o&Nwh3gVlY28MxTA50IMMGgJSm)X0BO&^e{O3FN`a4Sz(uC0T{XG*6d zWWRP*V~NChdlCv*co!5Yb=2rO)Ikk$8oN&jy;ff`CO=W=+OMH-WM~%3rXxiPhp?4n zp`yET?Uiss4uV1Wi09+xL25?k)rbC=_Lyt13DZ?9M?|QAGC0`+OAab&)qA>+i0F;2 zZL=jJovn%=G*?)HtMf>yL_K`FDm4kGd9$U4;4;H-h>dL7xYK-(+z0x{=`?)6EF8!w zGvw(3#MX7+nP_RIRV~>-e8p-7?74NL7+BnuHUwfU+26Y2fsf@1&8-Q97RQjF;M0d3kpp=5}v!mi0 z<&`^Y1jPhV=sjVFiE49dDlve^IKnq8_Ejp3cFTQ6^=5bofSv<1-ue+?hFg@!cI zWuu8S>&bc=+-eiYsi&NfI7r}XJuYaF$gx)>^VZ!C8VmO$zxxfgt;vU*VZsN zYv1ODIZA6Q*VvLJ1;Kd8FoY;f%w@y* zbM4Cpl(E5kP#$(w5B!&3zy{jHp{cZ{1-AV}1{~OSRsx_GFFtD~W|ny^Ifm673#a~= zCfY0Cot4SS{vk=t^bpefwHjSYWefBhsjcC$K}7=o<-e`*))A((S1JOge(q(Ot{=Rg z1m6_^%L1Ota!!)ziwX@a&*T!ms@!{Z1`b{Oc@-TYNLHN4)+){o>?}+ygEVZqbUwOAdmn`^mL> zINstd{n_;p?XOLM2m=2AQ(hjR9R%JEi}5#Wee4GCRacAmQ8c9ITL>9mv`+@OKWN|M z022Zmpi#(as;^l`@+2U`0*bi~NTXQjK;i%eb6~>i2Rw*e0RI57XsU-U4^}V9yU5p5 z!JlxCvR483Qp0K*=FJu4$Xd;-i3duwYQbDnQKsGY5rl&XU7vdVY~{p9;GF{49yFLhB5zku6=PNZm5HL@Tj zji|>KL5M!1uwU$qazlAsK}{>4aIOH$Wdu^{;yC+%$$&jFoO;c$CbEdj@5*Off3Qe( zZ66@C{F$U2T%{cSO{+AiQZm!~53kR7xNuD_@#_oZ|~a)5PJ@U4$7T0*%jU5UiFjQYFJ z)Wd`eG)kvd`97*_&=+agjLKM6f3u*iNSNs3-CeQNAp?PePt@ZEoq${Rl83N?6zrxoc)yy0RMyLp{x_ev zJWGpI!B#uB5ku(*vr`;wP=NmcsAk|6!xj5JbBTvxy9C^(8C0PBzg^$HsnwgwPow^bPG zjod&mxAa6Gmmt?lrH$AZjAaDEx6)|KOSh7_e(XSP5wb1I7_cwDiEvxpj-_qoK@_&g z!8eCvD9}+ZB_zLEOp>A$u1qRI@N}R<0kmOg!uL`8l*hB;FqhsDb!Wy^5J-N*nFF&> z8^#r0O0Vt$vWTC_NwBh0cgXFWiyG`DM+lbj8?*%-R2w(D?5p(h7qGrJDY1ACM`o2Y z;Kd7rzbs08@>2A3=2g2?8I@9+OSO$LK|NU-ynrXD2ESjKVTKi4h!A{Qy8;J5(G^!O z?xutf7N`A{3PZg66$%`zy`-si6R=TqQS1(p_L2;v^t~gHjR)>f@~|&q!h*notN8hj zt>}-4CC|XGCy*=lfWc8^KgS^ztn0o3#7l+L?ldTp|{CsSIA9CfV0S&rqTWL@FFP0S!Cs6dvi(UX=`3G&?Dh+ z3Kwpsl&~v_L@xyo?hf4P0l~i!zqiCqB(ZK-*F%d}DGUDqu!6}|cjszQz%M~4mRQY% z@=%GJk=3#CyEB>_M#9&BM8&kFXFxALrBkTlnm_oK>{h)Zdjw*mQ_9yj!lKBdkziP%ofzumIWG1 zfcM~=ha=%Y+o@0P6e9wuO^`y0XJGhw85L*?F}GD!R|BzB=2WiJ*IJ(u#1Kqdw$!z# zAKb$D%N;jcb;#Xz?ph5*Um>>kaw*xUW9w5*CTY1(1JVtKRbmNKmFH6F=q12m-9S5X zl*vJ>l7^mKeweD5NB;mbFIYMvfny&6{{Tf?8T`@$x>yK>a13eYyog)*hR}n4myy7& zYm8dsRPR3-0l$z-`j!XNu}4YMIKU!G^?C6czi}Foaj{sdGy5(=k!@vFfzusO2PttN zy>wl9R{}9qZXyLCvx2pJAxU@<;cA?|L^r6?tW$KR;5m6|0-tL~Z1q2JZ8okZKzvUi z#?#=z+{Kvzt1Poa+0df0Q`tC9MNraRfSLsaClM&3!;i3xwQ065Be2!`q6M3o`kCsA zmTIznkfZ+qkatMbJjmIDB9*u|*je8qY%8%+{{Z&|5GXA#F6F^7V!>Tiq}cSXh5X0O ztjDzxD@y+U;}ZBwN^EUg;zJD&4%;CFI^W6cK$8OV5}uKs2ViG}@j|mieDH@ytPj7B zwsopTzFO!VmnL|u80=9MisV@eB`J$}qmbbnBxR`<{Sp5FqUQbBKf-;XYT4g1PynaJ z%9561ej5rymIrNeQBhaNCVI!B9G=kjH|(Wh`itm4MMXbPmRXPP=4p&$THI{}H2&kgT&0ER_VK?Z4{?h`7E^aIXb#^Y7C%14pqyC1t9Dkv z7U^~ua|`3KAaUq13M)S9X)m+-MO;ENlx@b1gbcClp;yAT;>J;|RM$(w4fd>y+kjN? zC9NJy3E$|%ZULvHOLhy_Ze9kbTa*t+kO%!X52?AJt(ep`+HP-4NJ6vwkxGL^{{T43 zEkeWLy_MgS5}<)mNM9leRC4^a%`7;31OZ9Yq##Q^;j3g73aVV!YqJDR6F~!Si9+P} zqP_h=$tl(LblHvC;S^WKj?4T$1n?KMY@qB9kVA@+nCTC4mFxV05Uej^g^S>+RD22A zYr>(h;1(X=f&@oll~VaWatNhA;D8hbukKwd@T-8xtH@N)STA5~70>x|MFdF!F-|U~ z@(Za8!GGAA%74UWgTF9MQKXm!b~h!KMq0S5yXG(jcwuOvM{#A&8*`RoJed!*g=00QCc_##jOujn1w`M!>p?+VU`FH@9)}x=v{a&C|!R z?+;pmAje@wm^br3o1OM=dC%+c`s6Y8MZMfja1!CDES*VqGCbd=svGq(sDub4T; zg=ewQwe<2MMaqKL1{b-&C210ZI4Y$BH0SDYYK=+EPT^H7W!3vhb30bmoH zP)*ry(e)7u09xh7rT+kvL4{q{den3^Y00vfnEqveu z6=7KC>2laVw$8r5Uo>L({{VKR9&xln@InBnmDEiYUYwgdURvOUN1$PYS^DZL6jtuZdTJCd$=k3) zmB7;$%wG%Vi`4jL!7{ zCnO$`bZOg{8LJ-<uW)SRa^N`Z@nDC!5{jAb@2A8A z$p&&Zn;NK=->^Di}tYt;Gj`@%%4cly_60Ir%*NJ*EHh}&t8nt{X8-HM4 zjUoa^Ev$~rNRGR3w$oUuhz&%aQH9H!a$OqZ5`m$mR&14|0NTDIrj|t&C@cF@>4I72 zz}8aA`ItneW5ZrS?xntu+ZDNKPYF|I5Cxp6KvLebTjJ;H08_QqEWOk#ZnZ8&Q)!t3 zTK*nR@*gm!-hh@?mf>{)2-FUPu-TBB8aKB!{{YAf%z``@0Xw&+-pVz(Gr$kdFass4 zwGmMAHwxQO)9VNvAg)i+OS9Sk0N8m^YIFAqQ%lmFU;q{|Gd3^WuO{aSWBYwfW~!Wp z`i~)TwNQmLvN zqoBl;U%(C>jJo%-r*x;LrMG>Sj91+84N-vXZkD20e7$msfgZ;?a#D`*YF@B*(8p&H zb?m<4pLxrhU|Cc=?+1ghDiyCfAn@9#63i z28;C)no(ej2IX`wRFsHTVC-z%)$%zq41+cB(=0>47O6z~HHM{CZE21u#CB3&C8_Md z_5y}1DL-WF_jTj^>F}Z!r1e0#bP{u<&;^1 zGyH&9V9WKyE`*GOVG6dN`O7V_of zoNQYz+~lQ&uwvPvkQG9_maBmu?64|b&7kbj+xv}`w*gBR@WEejG1U)bhsvXNm>I2f)vcWJ69b)Ua zPhdSBOxAJ|_I#BxB;x1gn+GZtRK&JxO?c&GNL%HSfotP1SjDc(y3j*GZz-q#yH-kr++qC+9OkS5pJQS zjXFgTxMPsA3($KH{!}zH`eC4lXu606%u|VL_-7wxG4p#6ru7H1S_O`(p7lIjRml|$gHl4gs`#rAx0tkxB*5s zow;{hm8|5dCt{RB1=(A1YEZCL6ZCY|X zLvmX%C@e^&{mKWyMb-Np=|92{?6%~uwH$=4-8mF&_%kdme}n?9E>!so*rBNB#7#A8 zYBknFp;@!0=HDYKIr1Pq5)c*SaL8N%uFIcu=gCm~kOIO2b_sUimCj5`O{n-HaA_4C znNrI($${fphryakb&RP(rP$3NRCZ)BSonDmeV7eNT#c2jtfi>L<6XFhj`kRhFSNnC zl}mdnhOq-%G*R&SObZcu`zW<2Lzfl?2jt0^Qu19{JxbO3GCj6Sy4$UbGPoTyx&{RX2z9b+^tQMvlL0F#3E&A6)Qqfce6+qFI&Apkf_)9`47 zl`V>{thkrBjkQD&572oM<&P!S>lU=Jkb4a@um$Z)B?GT-*`AjSgR@JiVs7w=nFG`O zmZ|FGQj30OBdt%0^{DgL0Hf&;EehIInfSDE$U`8z><);eaVjbx5(NmP}>X!cDPh*{&>2ZC~_-X~ety_t5Qgbm)VJay&~wDx%45*Zq|( zWI*x>s+Py}_(~mGP<-|rz3zNLbJ+siX>s`5`nbH;Y{ROJgx#LiL_PMRpp zT)IU)rQ}=E2Q<%|4TD8{cPs=(-{hsb_WocUUL}USf`9Oh80t>eMOA65%9srnWGG6u zOl}&9`=!S*5b5z1anG4*d4uSt4U)@>p2vi?DA`e{N|kA({{UAkASblJW>Uo4U#U;Q zB?pxT+FbW=MC`3@kdr0}q(g-|(p14W4L;e|SM518DQvluBK|)iisMe44;d(b|Zv%3;n!2;k*J zD1`*2QTmidxHg2?*OH7Y(pTCLOd*Q3$R0Z!c@nqSALUZ>Py_p#7H*f1G^BpA`KxHFZuRSFmT~A`BeZPsPCec#FWi>5b z*vCkP63|!3F382}TC{ZoP1exr#;fBGCAI)l$gYRdzv8C7cFS%cQKfK-R~|ONyl)QM z53hz$f$FVbVQA9G9R+vMiWkKY9M~2j&CRL5fvda%281pf}Nf4ZUh^$%P?F@jxwSS~&CZj8KNI3JEHi#OAPRfm)5!TOnvs{hC9=Eor?d zg^+bk%^XC7mPHqXeK4_XDS!5ehWf*IuKpQVwSn51c?GUqWZw?Nx8|ZIfw02O1$X9D zv_*#qg~3A~3|KUl!GW~NzzKE-4~S(Rc3sauq-f$Wr1h~`$GwfjDL&*#jN!FQvi50tUmym+G)pG!&we7!+gI#M z*#ID))H9un^k@3U;=E73C}ogyHZ??JLbf`K?l+0+ms0X{6RwZ64G#=~fwsaXtoRSvY^(++nwD*U zlOndqVH*PenLuCmm`Btz>KgG;Y4wZQddq>P(c>j>^6__ft*lY?k3;w6$89tlr{Q8= zh?>gHG=M;meN=6d)o4k-U8vDbji|OQe|H8g!3G@0HNd)9?|6J5XpGenK=hXgZJZ6! z>=f-E9>T|wqSbgWusfev5EKCH91BrPS@RiDpg+k&kYD3wg+t~6e@&`|wM*!a{9?m0 z0^)%>X+DT+u~oV;B~w(W2{qNj=!)yuz zRb3*+#K7QwmlJT<*Xn-BMU#JCw2Tlz3c3St!5&)*9`PwHkVAB9`qKx;(aT zv0w>emc?)Hw8dbn?SfSRJ-dj{FSD9uK~JRorT&ZQEN=MM%(XvSWyO@|EMpGL;WSlb z8Y^2ZnOH7!&pQa7**jSl^*bzFBD{)IDt!lI&}i=R50?CDAmZX;*OwJbL)aw{vCpFc zT&?0kFBlTxxQ`J?j*AbtgkCL?6X6kwb)=6bVob$5oVlhTRZCu}t%-Jz#C^HN@vyyj zOaTgCn8Y}2`-Df}#~7fj{{Z%(DHmZ&1>u{DvAZQDQ`5B_CpUi( zinSN`##Z105XiNEpNFG&5af0*>u9h%FXcrw57$a;{~U7 zlBLXn@Z>wN%9WoO(g@L@-(d^hWJ)b5!HANT><`iwa!|uX6h;J>b0x~<_VzBDJHoCe zWG%I^h#)WRCCxwN+xmn83RqRs=2~vjg2?I$yus{zFR%n6t1YOve3ht5mmjz#3{DW) zN&xyg!uUrBD7zDhf1@-i^x;ZiO7dPyrT+f_NUDplq@q*i3x~SUeE7l z?dgT=t#y{v%MTul()!B z8)g1a*I?CDq-YYf^v(7ITyR700Q7;)FD_qY7Sdm$Kx4Mn_vI5|FJpedB7l}z^%aIn z>r+8RgLP_z?OLFSQod27De;A2vLYh+m-7`-s_~BP zT_<2{TXNtI#|n<^s_?|5VXI_7c*gPUPvoiy8u(1N6)}0eabPLusHI?FK3>5gLpe|t zs?-DQ7-0+55{C?pwk(>XXnhxE2Xrs>wGyc`VG@#qZlhGM)!l3W3oN_-BJ4-V22^UV zPI7bID*DTN?zMkw$yB2N@$wMXw~~q)FWR_CXTlAaQlF{83>gYs{!Wh^f;9xj?}-)) zOAju#QqNv7`sGUNQ^zHt#^bEu-PR|ge=)C;R4DB}rf~^*@MYon6yW4k0A2NcBqvBmfKJj^%@kl5;9O8=s`uE4g9b$uW365c(@5kspud1BN@}B z-x$$*zRF1BYNfz5f!+{u0-J5)GH}00Z3_1y9b2R#(ZV3CUNMg<3)oh>eoa7hd|aWa zr#>QGviSWd*K0CF4cU?HQ!}|)8Y7oQ9k9$ zL|00=ZM*&4Iu0*~C8VsVto>X=0rZrROtn0mZ_Iy@>4LD9En)F@4_?iYg0Ce4zd!O` zaA8I46$a&+RAs7z%ktE7TIrkeLdC;ra)jIO;vF{A2zp$gTTO*dRYOEk#vZ-+hY+Qd zz{$AX`}GnwR4U4akjkRYZ^XPKqVa!Bv-Pcnu7{V>D*pgsx{RO|fv^yeZv&Gikibf{ zlpi-Vt*AqI!iVb{OyMqJxecK7neRoHr!I(HZEV(4bg=+_YScRw3^$AVu?Fd3KWs( z)TZ-gh7r${d?tnLGPjJ0*@k2g09Ris5lVm5BEwA%e(qb!pM#TObAnMk6g;OR+-?VA z=OzOG0Ifu51HrTPHdhchl+-C>0K2QIsE%#e$WLSxQk)ei1O-IeK~L^B4+ug30A-CP z{A`QZ)i(P4c`M4+EA||WR;O(i zv^+n#$SgA-XR(ViC zKG2Uyr|#5U0jWmt@3Tm{E7Kb-#{(|Qgr&zzLguZigu77%UcTG=W%Lj1y=uy^Keae> zQQJr2099&(yi>g3R{nY#1kw!MulmarDZH-A${RT)6@cuoe|Y;uUm)BMYM~ zU5G3XQZs0!>`*DZ`iXI&vCSoh?j@`uvK5P=NAKH;bQZZ#_cdA;h)SIHyRWFkqgk9K zcG%W82}o0tkwZ+R8`WvqVyr0jsewY3?ZK`p+q6alFA9ZCwv@ycgB2T4gd&w}K+%M1 zsmZb3@*;oVA~AX}s;`QOdd{R2sKZmDXpHJR@szEgKJBQ2VCtoXzy-?-<%}BIYBI|m z_@7IA2qbR(Ks3DQqCS~{MnhM@Dl|c5M<{&D4QDP|Gy+^#jf&)8pInvs!WDl+ULvx0 zUg~1Of_GGnGf=P8E30o{XC`7Ln>vn5bE!pa0*{!^-F)O|Wlp!OJ2qDxYsfpaK0+y2 z6?^LAM665YR_T|mbn~d3H<{1b4A+a74Jd|W&^r%-Q4CNAdHhf{{DWfTCMK5os(>5O z!X*z$S!-1&OaB1G0XhY)LZjCQa$qHe+4_`JX-^X!VVYBkulqqQlUy^Vs~3*+}Msd0Ks5!&0H zIITM=V{bi2lue;3R`be;l#l$CkfOPA%7&`6MeCokw6tHcwI%_o z_M%l@*<4_7m@8~8Q{cUTY_h*dRlR=5Hih9VZUq)h^XfG8>MEkl8D9r)(JeN^Qm=+x z?2Qy(;sL53E3n&eY7cV$C8e6Lw4x;tgwxYe4!~&lrV^@^T1PRK$F?G^*TYcDT_&@1hLHr>gA-Y zVqp*NP)Cq9*;P3NGKezJ8N%~+*;A$jH9@{^;EMwfqGOHa6+S<33}1HuF-=b9-|A9O z)d};YH+ZP&EjBjjfjq5#=1husza`c2_9($u3R<6;@Ci?rf5=OYls^!jh2!xMO~3J< z&<7EvKx0`h@kf3tSlr?l6-ipvLEC)o_b4IO1Bb*V4;zQUnfYHuL1y< z-XCziZNup8K(3{=F6uCdJqG^sXlM}liokP>FoUHT@*ZJ3EfV9 z!!FzSK^MTg$+VReR^wH%E`Q;Q5i7VNO0-OLg|k%4tzN&l#k8tIHI+j>tnr4!7WG7*=yR5*8T$-)s}0y;EYv0T7Q zulp<#+7u`{&731_^B8+6Xa}}IfngY0&j?WI$*^E39?GvsBCx)rOc>_{@{inRZ5=L* zwIn|xqdL}a9*y~9IJIe4thx#!;m5=>+X^(;V7X2MDA1?3a@*sAY_EddRa~twf!aFl zR=TL?AICq09vlUmwj_r`mGG;ED2n+d^VxkdF4cj8nF>F3Fz-%OqehshsDL~{mp+Wy zo|xoQBP)iS;5 z_?+o7;?dcEOxbj38kb@)R84njMPw8~Or>l(#t_kl6VO7qR9Gp060*tRRTN!Vi7|vZ zyqDqjRt1OFjs@S@G^Hz%(O3G2ItpoKUv4*HeVXjhmRsrtM@9wI--L&xy)ZDPb;mny zSa@Ktl$BjAK3r)2be(@}su-VA*LhNo%2R<-;|!J$=^7mK7v#>0eJ6M7Z2Pj}Wfsut z4yzhn>_8n}6VN{G$0NhH@dfPXhqI^fEp{6^Ma5NnGTZ)56ok=N@p9l8I{6ln_CXuv z1lSOze1%cQt8d6C+f~Z<`6B=*sMSKx#&LX!hs;V#(m5XmQ556JO5K(#;ufZdM^Ngh z_$y`8PJK$7dn^s(EOoiw$4XGKS=|;BHdi?%w>l|V}EMnSD|5EA#d$P# z87EYmR}3Tjd+oy-6LF#{O8Fnag`I7b`T(H4mF7_Ik#_v(fkViq%MWfD{{RQE7F=rG zK%Lb3H^}%^y@=u=--uw5v-9;A$#(?iS$|Mo?KV<>b(y^psg~17&!y1q$_ZmVmw#gK zw4?t3VGty$uT$poi$s85B#=%>3p0)W<`vt6MmN!p~n6DI5<@`8>gK8AwmyUkE$i^0AKFS1nCre zwXC>8vsQ&hz?7=wTj7Yhj6D52e^AEjC{RSjFg6mr34-j zk#7S`b$$*-EZ%l{qz>;b3PFX#RBYIKpkRZ3oTTU7UxgDAiko?htgO=Cc#cX z{<5;>n{(u^!Kle@RN-q`Dhuep)U$#hK(D#-RZDLJ!V4hku9|Jgp~roSitsj?tei?%kTarsN$j$>h!pv1%>ShAWLG~@eyiJ zN-kh%P#W^0BJHXkz#3?=w4Vz%L|vGA){dkxk|RMt`=UyPL$*S6GKL;lQJIpHXM zWe%wTTS(#l6HB@>!5kmlH{e>rnGqdE{d<%)hYS%?smzr91z}e=6%O1QUISKq#+zH| zB@7HN`c$wKyBoFwO3KFI6xA8zuB}yFb}%*UQq`Xf0g1chP_I0OA;$hDmk*Dbm5pnW z^oByU=;RQ4X6$%F1V%1Mt<)(2^)1c3p)z6$@6?^(#c6(blCH z+3vw%G;`@28ZvqlC8DJYLW5Mra$sW&3+IZ6kH@zt1X9tp9>HWw4`Hxz*~GYlQoN|L z3G;vH1DGq0u%m51ZpEo|+24y*mkFQ%=zXzu4dn>7BZA$+H44ONyHI)q4Yd$;ygo>5 z*vUadj5tML)#+V9OP(rW0yDL0=t(!%xna&iLHURXmY-0QJV{=B|##}sr{%j`XX#B zts*P~M!u))Xn--7xW6?xsOJ^RJKV=S%|-595DcLvopPnR8hs$~{)(3=5!v*Lo=O&| zjhCV&U(e~NC^zEDG0`nV8+n!ye=~eaZBZ=}m0tudTzRy7KrmRy-%(CA0jqSaKe10k zyHGBBTWZ44jtzgf$SzIlQv^10HziBE@2<@q*7*$8KmW!2KX@b7$&Q40Jt;9#k^>(7GRkXbw~^0e zC7srn$|R~-ydhr8!RNOqAi2*8M+)eC{lqC&u9-%acXOXny-3y?*oAEtQ3XsJrdt?Ho# zfo;*i@&wvJTTuxcf6^lweaF-jt>5C&cpUi=5Plhuh`lM;5PNX{0M_DxSvB=82ZH|q z0kOHn%?I*mJ!9-7n%%hwV~W-#AJkAtYyM;v7Fo$_iye%JCBiB_dkaWyf#d)hWi?B^ zhsjn!(Q+ewfWE+ALL}${n!MvJ)3(tUQOB`D=h9GiUDOVh)3q)p)Z+On)W|{<(c5Ld zOn{<-XV?ja2Y}X?7tC#k%E4!~QM81y)f@(A1>49W)!D=wmZ84G%w+hu!mqIQ3c~=h zxYJd{eS>90S?LD7Z?w->FkJYU$WFopS6SqIm%^7VD&HbK-pbWZ+-i>)ZP_VSSyo&_ zRr6nP&I$0Ercrbn*>T^7`a#Vjvq!cD^DG8luI_3ZyTQ2CDT{ za?F&a+lrfSypIP-wH+EbZ?QvLEcuQVUyuXxsNx-SkrJUm}?XW2FH?0NZpOgjt((;JkQtJ+{?A}a$v72^!Wcg&S-R0gZ;iACVE_X#GMueeUT5n+O6I|bsC0CyKwzVU}X)X7N z0$`R6KHn1=BW}Hl6Mm2>rE6!+vQ@Q|MG3OeRRsWbElVgW<O+z^{}PtiQ>0clKM0I5w&-gA4c@{{WK22oz%=SXTk5 znd}k4arZh`+`^SEHm&~vW+9{^#g((BN+bo1d)Gssseat~sF1A-$wW?_p0*&pnlfU< zmL1_7STALtp;%-@@+zqyYRzA90c&T<6n|i~akeEdDGvVtW?&gs%67hGPx23A2M)2? zSk?VNd08nmisUc-K-p@u{{T=2rB2!FJJ`T?nF8zg@pTmz7BJ-&6p#8ZyV*KHxsw{-6NC)q8nU)o>sBxWaj47`tm=TXh5D`$lcheA%cH3!;9q z8p_Lq8PQL_F$Jj3QDg1`fyU~_3Wy?qe3Z9bqmY;VN_A;nm1R~KykhyKAKzeV#&;iz zs3Hw}08OcN0z|S4+t^VC(bAwZg6a#1D5K^#o-zE~5@% zj%~ibP?)UX^Gg|wss`-o*^eTM*$^S(kU=eO3HVU;ofyDU&9?KGE^{RT-|{1&cv%*UL44TkFHdF1 z8u^&O3-(wBvD~7;L$jg-*w><`-Ve}V7Ni&V7%NLC zs`g)y)vJJtsu&!W2c_8yw@=^Q$02p)WUQN}&^Z=B{xChsQMH6HxJ?n$i*SN8gb7w( z313@f)UmaD>2)xTY+^=59}LF3M&bzB-oyy1{ii@{Lp79BvXLz<&J)12F5UeiPu3lR z36oNypNxjfm`;j&E-T{HwBW9g_!yh!p&M7N7xo0Gc*nRl$OwWA3nSL~EL0o&5Pen= zyI}tSVgXZi@lyd>Jd7+=d{2{BEgu~#7R_fr4V^PtOmynn1+J&L;hUVG&MEXYM zVFFO8NeIEAfWET~irf$6qEuYc0_RFh4#G6Gv6ZCi2}}jgAz!wlr^EirhRM!UI(|e; zXNxE%^WGB@G`DjCYr8JMMB}oB5S}4Hvaagk0Gawrx7@LHAafOLzioiE@i~)!?6qqx zN+1HS*fL$%i@Q)$^2Xi3vZKImpf_#qK;wJxFe$wYS@Qz!w)Io z<_IWtEvN#NLq=RdfwSm=DkVrg>n>?;%>LK26XnwYs44^6CAOZ_4nZOP2shbG`vNq& zryl|+D{j1lSX^OM(QTf^)#oPaDm#!;*rH7aXcfG)qNwM^_?`}HNOwwD{+nl!b@l z1xnL6#jTB#FsCj81GOKbiG3`lgYh*CgnQe7tfnAhl5yzoui&1hJVR?6q!oC>GbSsoaDjMThCdGE!hxYA)RFgYjQqTZr%yCyD zmmv{il(qVmfHkDl0cL62WuxrcPtC_?qt@bxtvCE5S6f^y>};eBE!jf2;u9v+7rsV{ zw`dZeZsbz*CC{~4R*Il6kS`snNVgO%6hMZADRzAm54dc;U&QGFn>6h|_HG%z6%p9^ z1=JTG#WUkok`K#J*Y~KA`=%DeRZ_;&rkn&m4PZ+#HXwMYz_-bWC_y~Dgf1c+KHNuz zaw6YYL6sJdBDo>3t0uw$AKE4&XWOP%=x2SG_E%=hIvuBx1NhU03Ep1iA3c<%xcT~- z4mIsp+&20J@goU&cPeuOVq3E`h$Iji(iKK!zMF<7>PN)Qh33or?4IzzitME?*h7EZ z;zVJ&15_Tdj-hErux}$sTNC0N!@-q%17}e71l^@2TQ_}M{n+b8+DX)gR07D&h%F2q07}UQlF8oi4{usSL7sxmMCBAL8 zpet0raWi|mzGSqc#+V(gGVkXmD4+E9Jq{0hAA;B_*x_M-!6OrczjA?OSrqDs-#1|7 z6D=`SMf-}2V^`>G8s0Fr;K*(6D+ncZ7P6p#7_zsVhA?PRRZ&%in1xX*=@l=?l&;qH zuVz=~Vcty6Dp&_$En2ennCrRJ+gXLi%ade8N61F&6**1BKtWE_d@GsSlvAcs->gli z19i#OX0cjg;IwQl^tl{zzN2mt9V?{(GORhLDU5h2Kb?u)!)f#hZ&^Uxg?1&ZFP_XM zsEq!T6T%!(%&9FS;w^(od8v3TwNS{6PeN3vO8&E#`7?7Z~_mpBA>u z1iY8fG%;gID+`tJ7*bo~Ac!dHul$!y-&tbD%6WW|&!|hA= z2M)xxD;MGxQM(d{acLt!_8;nkWE;zGU#Ny}c;EhE*jQBcAeHler^u=_W#tNL3FM<9 zg96ZgBjCUa(g}E&)N4C_aVa+*i>MM;)%llSkBGOWMXw-=f*?e$AWXZx2-}LLRK2J* z4~cWKk4&hL60JW})bNA&6{$zv32-PZ5kbfRnUwzk$V=6p&DB)-u46q5{{Y!TL>eN| zUim~prA9wu2Z=ruLKZfqdO#myA_)zMpAyuyte@=op}DhN3%c?Eo=9J@hBn4rMz02v zDW;s{yQ3xXV^>~90BP0_4I&f)grytAqyGR1-C-_2+Luz}qycCy8~BAY#LPxZ6H6wl zYl@U(--v_DxG4;_PAv0CNN$Dj4nPa+^Pz+*)4{ z7W!{Ih*Zjko2GL}a+Q~Y?udXVY%NOrWWUG!F2zoPL;nD2T4L9bQyydDdi1INN`p1U zWE2c*l}QDZwzQWEG*-Z)c4VP8X@a9?ftIiAQf;w|RSQmU#6`ZdNZDSD{=gFcui5lC zx1V!1%H%(Vuu2Y=G`0u879h)C#!y(?1UrfdU}^QS3d09|Yz(&D~lRT zIYQq#abu*>@JIe&Bkr~2qR))H9jRMpfACJfq2Mu9bm0a7Xv69i~*B z7MCgwX~}QCECMawYh5zVT0{^ZW64SiI^Gcbc+a>L74b5Sx&HvOf+{Yn$kq$__=0>X zl=2}=l$s9T)Kz!Ee^Wtj&V5dmdGjB%UxR@~f)586l(xfTD~C>y z+WnyEF9Mqvi=+ogAJ6TZ{{VE|h#^Akl^tcZ==qmD0t!5T47JkU@eff!Md7=F-E5Z; zI-S4^hCMnA>rLknzG}kVR#)~{^y7#x6Wgk(Lr_?CJhU5tDzz@vKyn!RqX zl?`M7oG-Q})C)UtQyO1BAxh}{H5+X?&A1*4uSs?$)fN1dBOCMXL`RIaHcCUW4@_vl zVc^P3K)pwZ>~JY3OW254l|X>}%b5zs)6m(vkWW%;1auZ9ij+0@fYnBxz%Yz&!`Vt+ zK4l4NiEN>zl{7#F>@>PeEf{ZC86ejsf<2aNl8o^aVzk0m`3)1uFelRz3H4JhBJnjiwL`HL|Q{^C}ZhAvp8kdZ}HQKwl@ zg=NA20K}nqnn1RQrC+h>UKMgUzN3fjM@X@x#@{7BO7r&w6fK2hxSn==8oh}PWi2)T z0Q%;-J@?ozN`46Jn;;U6>=$Cu_hJng_{d#)luBKZEL_rjkq+Dc0H{R{3`kp+(F6s_ zuoz0bDQc>!Su5ng3aN(!u&cRXpiJINA%*_{W*+I29XpS#ZoK*A*Fm95Ix!Ivk1iwy z3V)CTu-mXTDSApIef=%p{Aq0LLl`>VtILUQEutfEkaCOFrJb zk0b+1Zd4;~JKq)(ZQayY-4|(S&*mpWX$2TYehz6pZT?519PTxG3S0Ff-P z0=W@$jEO=ZoS1!>%kE~wVU$$0`;{H%Wt~GUU6&Rtm<3vxtN!f7+&le+7QpYxg5_5r zf$UT*O5BNM+-ccJ)wA~k+W!FYgfWdtQAI5+i9pCg(D8GHo5P%R7-B<{{Yqi2}f*$ggFw}2HPx#RIk(iqCtD=6i6XU zyd`bsEnGkWIEs`u$9n}J(or zB^E7-R8QQ`P1bfAYISGw1_Bg$2^s$Y5`~Mgx<&paz)eHTg_bN;l?oDNfpX5S+Bjy9 zc9H)8Cxho$cm*Ja3qnB;%06o2hFDnI zQ^gTK`hjrfF>70jRCATmS#>TsX-k3j&67j3V{i$!@c>6u{+-w&w1dAh!{inYQz)VF zu&ZjlQ6&Q!G0`h9^{qf>CI`b+qPYgux^NllmMgBZe5wyl1M0YxGrxZE0B zU#yHBh=7udEteN=%2%`1Znht!J(x#1oQWZLO-6$p6x^)q;yoi-eI*PQ?e?aAq_WNK z4G>xY*uT+$>({Q!mnExluK6%+PRn@{e|>HvUHm18!ALLu!fjW{Q2>8sz=v4&1Bz-xY`)&f|?4e+KJ>m7kywIhO*P|kWX8JG)!4( zOXM|-3IR229GiryKBdx8qSsi~M%s_TXC>XJ>R9ypiHrrp=B*dVVk6cH+bO4VP$>vg z)8!3^iUg>R7DAEKj+ z@;^u^=9c@(u+j3sG!t7_Qs*2Ct0PKQ6tI=^EW`IeEU`Qo5klkwmlUA+`+&+o8$JdN zvcC2);* zO2{Z4vpWVNYxpVz4%TNS4Xuv07HK1O-z6FsONEcq5C9URu@^A7U5%A1=>;l>>TZ|} zp%m{T3y6UU@}7@~I#N}^rMdAgc67>$;__)-l(G%3jlSXKDj@!)7(${Im7#HXGpZ9r zRodhxl|g?A5|khS@Qwy4mi>K1+hX5^+KH(2mDx$sH_zH=4Ti#vzshP?kF!E)MJ*j0E#>4?}{So?(*k2YSUtD8kv+C!Gq7C2vMWY3q z^cgSH3DW1tjEjn+kS*mfgcyt1wrGt$%C5smSPlw&l9E0uh%6mb1Z=uq&2Z=)ZiTd%U_b1iqo9@l!uv$NqNq#CR;?9WN1B{Q_T zsZEx|Kxhl|DQ{>N3Rr?%vu3IWQ0}3j|!OyQ`MA zn|^i1QSj&c5IzaV)S`ER6eugR)(9r|Uwuyr1%CLS=l<3z0F+tVkwk%AI|gsk_6A=g zf^F`OM7|3T_67U!p3kvK6)9q<)hA;&C3kgA=p8o*114Tp6i=HcN8jXC^E?vV`OI+$lp;T8%0ctk4 z*n!po`9KyG07Y?WM*W%SwgQ!=e~!->BJR}kQv$BSFw=!(du`zGia>wXTCZ82Le;Lk zsD;>-0OfosMMA+0R*O)jmmH@02Mi8f`1p!+ z(+C7Dm<5%Rm<7<}Fu*7|0{004{V`+}L1)BEs4nT@0Rb?#kI|@pi8IJ3LMQG$hUG%T zDkySlYv*vi<(-zQ@aYmrw3UK2rEIKS3nCmo*qS~fln*XviK}VpE}x5-lAs7^HMJlW z2IhtYNCh~KFq8-0DJ>mW7-=_H0`gOrN?8yRp%iV)yZXjC3Jkc@PdY`S8~FAduF8se z?4%)cWx})^Vx1kMkmN?tSHmnE8YvdS(1%`2H1VlhmDm+?ONk8*qN~c5NutAaeg})4 z7h_dxabEH`ILS$%)Jd-R zU;V`{>rX@V0#xilK(1u@fVC&#U}g!s{t<>@U~3S9E64bxEJcoc3Ez4T{1aS%qNmz- zclRSjPnQs`nzIc)tRuoH!4KTPZ(L`|c^x|*E%dT?rRpHxlFF{JJDS;Js1!?2+_R+nPwH^;SMT#0 zu#_B%Dw5#;0AsN?7w~@NjB3?_)l?!>wv&)0R6HdG=9|Pu_)iy;Eb30!Y`b?MpLW=V zc?>J^s{a6djxN=f>NLG6CP~B#*RWNB>}5@V$;eE58`tEohy+^-?aEy#FtH7N6r$_M zfffoW`y=`&C5$PO!&QX`umws&=Y9F?xiSY3+#>{(Wd^)U`R$W3}d15K6y zZD?FJtU5u8&>c;S7uYnoQ~o_Bi!c3*zP0_#Bq2wlQ*Q2pc$QG7#Ix0A(eBB{OaB1W zuo@PJ?iOiKx!ZRGT~C}PA@V8y;>S2Du<53aF~Cg4QAOsiht8@-P~@ z@SQ=gAY3&HsHf&2E3RiEsL0@uCM&n(*1T-0C{dQebJyDfr zEjx15cdo=X0jr&DDjG(N2DTO}e%M+7=>{$z@)QJhs8B#f@vsZ3gxYOPE+W{ysDY)H zg+RWrq4Ue1rjB$Enz@aT1J*TPU{6fAV*?j5B8D^K9}`Oc&(u{0Z3~0q_yD-%T}JJC zmP)x*E~e!JgfmBl*%S2ahZ<;B+$&$u8cbqZwAW?Nd&!9W!L#6RT8wqGRaFO4 zKJk?cJE=$jK=W6`EI`krAk?CuJZq#ImRDssM2e9|u+0s=7+l_(QDL#(+(Su!6*AWd zR9gbg2CN$u7|-3o7O(QATsuc%fkq`b=pHvTXIYQREhjB}g4|j2>=BO3y0^a)#i&t3 z0~Yt@6L){t+L>SKV*s+Nkn*#NaxuTJPuyVF1_0*H(8ryWDz%m!?5t1)e0Eha*}&~Z zfKQm!Z?yta$xc8YFWj(!Mc9fxJ18i1h5a`MH4Yb|AG7aO}$3Wv!-VG8}n z0G2i6(yqyFi>F`*Z~dDoQ14^D!6UNVFZLikHWXC73+x9vd00g#Bj%zVVGE%@OyIyi zJQ)D?&xHU8qLSjTR-s-{e^;_TtY)o zb@-W3@uD=Sjk0aZ*rjItk&Do(&a#q4yC@ifpsiJ`Fv8)UBiQfwPQYVLigbh&wFAe^ z%rgBaFb|RnSx4qjfQG?kEovScW`~f6=3Y}wWo|oj(vF6rjAAN^&1!f=W2g&MTxd-7 zHWS-RCvqZ5y+7g$|Y>2KBZ(o=K@!q}#EqWC{RjNK}+Y zqLrsvu0y}9v?A8z6kPj&3t&E~P$4O{Fg8zOzA_c3oS0N4p}K%581#1GPWnX(D}A0k zF^UMJQC%{fau;<3u?(iMJ1THmP^BHJC(Dp;SpmXI6gI0JLy4MpM~=1PX>(-_)QRJT4Z*p#DWMsOyQfDQZi z40A4MCdyEr{Y5lur{V!|`-8RL#CIy{BLS%Z`8zxdX0SK0x=U={MPziBS7i_T5wD$(>kVi> zftMf|w!D{`Z;&oyuu|esJ8~%Ai~c^PVsf)gR~k!)jMXt~TNquPux!U5yY@UqXsA)& zPJ0su&S?#*6kS3GW>d2Z4=D0^ztp85XDd#``2EBkQOYhP1Ya$wjuE?OVzbD@BaX!sZiO>U6s#8V{mjqJ1J;dU%@Xnth(4G zg1*4o>;M{n4IY(yS*9C4%lhz&P?P^FW>fO45zQjjc$Z@K$MuNhAql>O#@d*)^q*uB*P^gp&QM(Se%uU0QOIa$+ zHHcl>VKCKgS~qzt%H6HqTw=`@KKzzCAe&5M=upz1fok7F0byJg0t2Q00A)*7MZR0A z%-B};;<<@W=ttu1P}bODFo9J^lhEVZ-@v@&=*?#((PFy_)D*v9+R=(kNmO{wwSTOu zSc|vf5_@0r2#BRBCfg`?K4lUqH~@n1()&>&`WuwZ*Xclgc@0e}`B4528(hZ-KwRK*%jN= z&dH&B)R#?)87~*Yano^8*e^%+PBH*&Ttn_|RP*qWgP#nsS7_T6gvx_d>ox=Czj7aH zAlz-1-Gta7m-dM{rtcyurI6Sh;IR>$Yf?bw--5iC*PP* ztDT0I#fzR6t;hug0(LM_89kSUI~Gv|cWzS`N5pZ|dcl@0SfxHi^gX$rt(tFo7AR$P=&jQ&fvZ1I3;V)7+^N_v?bqV-?7WJ~V^{lV|) zyW|!_KoyJ^cXGn-i}2%P>fuD) zG|!mfp92ty52Dn97~FnwU|cAFB4MxjIaF9G*WMDCLM+*Tie(A`vcMsExg9@pT?2cv zf%g+q(6l=IK*p+SBG0&tMSB>aAzz>gf~hKoMh_67VzbMfZ2%y;%-`AqkC&g+2;#h0 zxU_9-GpdyV>}s$zSFlDc<+fi&Eedun3in|0H_llQYtCw+XWTUoG`bf@?&VIB!K)FM z9Ne~$GRhhSF+)OUReF6(3=Wos1HMZA;hx)k5~GBqm(M&4sZFEHE)kusHF3>oTV6-> znmqeLkh!lPQuqU`uahsKP(X|mGU7ij7d8>D5H@cIpzAOI45n zN!qVSVwG!^W0mLbUDl9Q`z1puv0 zg~%i65i79>qrJEqV7>t5u&%z5#XmCr%@ytn&(Q~$7+=!&EAW5_Ox2H~sfOS_Nm1PCcuLaxT9em{`?ObHJLl%$J* zbbz;eHVa}Q04O)+S2s~;Zff5)BZ&DC0*1RWbJpHOF}ME!!6;)y3Z1Li+O)Z8ut8?~ z0otDA|=5Eq{^ZQE}20q8S zHeukxV}Qb=LokB^@3vj}rV{RMPuE}_E7Z6_qA2+(QSa&piWCx=rLj)v>8~Tu3EJ%1 zTciTjG`=w6tB8ON)JGK&Tq%&WpxoQ#DlE+=DizCi5w>r$XA2vEAzrUc-dMeShK=ji zTBkT{ILs2G8AA{q^~Yx{sbxIO$p z1pfdgeNTySvR#<2WtjF1DX}&YE1tm7xWrG9?p!%;c~fmR1F#iHe8SY6l)I>a(G!DR z?Np_C9?BOmzzVInqaBu5A?swIJ9_~E*>`@#FsmP*2pEgBHE@e+ffULE^EqJT`JRP2 z3$d-(v;k-CqZ$wvT@Ndav8ZW3X>{?oKO9voRO~`IaRx%~!YhEckby%PV73aZYe&KAI0w4wrl%7mq!kV5j3I^IDO5gmJaQ0S% z@cA{a7v04SAL?!1I0a-Uf&@~Dfr8lfE-4niE=yKykRN@ zM}0&*Ea0dnAG$+LPpn^!`nZ5^%5a6eL)U6tU0;$qx{(I$1)mnd1|`~5{YvY}WC{mP z%bwYv9hXD;O8O^k#EVchODYYZ4Z`C~2H93}p+o*EH_H6wKx%sf{v`(V?&L;rQ>u@R zWv#u8aj!P+3HMTnCDRB}<1nlCUq<^8AVZ%L%J84$2O#uRzIN9kO*!>6%zk1O>N#-4 zp9R8;D0S$JV)x~h6c3hCCa-qmB^T?Gt#~PES2k<0zq50kKS+TkM!%31`1t`vj&W@m zkqD?U(Hl%^FkNJGvnUy`%9tMnx9hged>G6O&s!!wR2wL21|lQy%0%D)0JId6kah{k z;a-bvat$;mzE6-S*x|`=Eudaan_|u`6L?u(T+*=Z!Fhm+J`}j31Qt|_`s=DJA+~-k zfhaKwY-836v9zs;FsK5zHe5syPOK5Yb5+y&rU-9?ZY=LteMJ7=t7hHg0f>%X5DHqF zD^>zB?ydma;cb7&C%P*C0PIn)G5$+^rCIh0^?y;aG+k;?cBQN4Q2Du+`an!l6ZXs3 z)Vll}{{Zo22;?@=4`IsmmMD4h8bzj+gfxr=Lx{n+6d@&?}~ zBxIjxLTsqOooI{8Gbj&C4Dtd2j&oIXc0Z%RvHcvq-75Jg-|375!Bx1lOKEBbcAZ zVgVZpBBiRrs{$z|)oJJMW-d1{ECwiD-w+(4Kx+I!f`@d($`zqeEik*gg3%+TfO|qPnX;ZK5>ZHZK~{Z01DMq!i86&${HG6DX$WPBcpox63%Q@d?EZIC!ypG z?Tq4lh&E`+gG_PqR75OA9+xX!w9;O^sGBITxb$mgys2n>OQ&Z>t^^CKgGYaIk0oqF zco-kI`Ve;kpl2gtOFgKo4c0}X;50w-XEQ~8c2WsaArJu8FhY0_s2`?Rb2bG~aw9cpFJ>x>&y;J`7(AQblb%7A@V;Q=a0Iq?&>7Mr2~1?OVtkhMsGrkn7I6kRRU zL~JXMkV1K=wd`Mixk|VsP$u z*9n5tO3UO~{{VqV%(ayP6djIKwCsJ?R{4m(Lq&2nHbMM@=O{Uv2_}KU;z^(@udKGx7rlZWi%TEwGE}g6rtuI-9Qy8ct&e9^XvBmHGZN9C%xi&sKQJVB4PaBu8TBxLncV00X`u zM13en?b{<{BzzZh$!~1AVJRECbN;NV<*B<1wmN)8s(AyAZlJ0tZyMQTXfNKPdiL{q5e zP-m<4Pz4L}(_^ZCAj&>Zs+V1l**r}>t%yJ5WCM}v0$T%@Xx&uysVs)m?OO&E3c?hK zZe94S_8ySd1`4=M(0>Lb^oPGN3R&_N7hQ+35~y34PWGb*i5qYrDsp?crQu~-eLpMz z0P<@b-#7^!bakdIi8B(p)c`PE17YbZVvBcuzbFe*1 zE>bPPEUXLC$SBY#fk)I0dkAF*XZS;`lx~!NBQ-ctw+PHIMz?=x>=IV_@IY-_Z`NoL zEZJCv*4ZkVXcfEzVql->hbJxV#~tfzv?(b|#!Blz4Hb)jQ*atN{=rv3{4kVzSU?9x zA^SNLcC!61XU3DwVx6SfqJse@sY!-413R$8PDZwl{K*QyN#X1`*TC5jM z`nZn~q}UNDrarrI1KFrL^>W7gHd2EM6nqS^TvcH)*sG-9=@ouaK~?b;hZb4ol^h~$ z)l_&1SvOTA!P|)_UwbUTi!e}-e{uv>0O3F$zmQ(k>~IrSqow7_eRHrD7NSCOlK%k7 zOay-i;BPQ-AokOwGuW+qu_NJyp5(b@T5>8l#8Oiw_8`Scv4h)Ss;AYP5wUaPe3XZf z&bb5t09r%S$)(LlLU+>1aK^Uz7{14_fTQAG{X*)ZtJ|?Y)x%^>C#1@n#uK8-BhMi( z+vBpsdrsZOA0Y_4DVUc$6YU<#gcD?-^WVkws^ z!@sym&(wd^PbG$FMQJF5(7qs@S754BsG%;dU{Q*;{{T=3TX4PDtb9H<>M-C`;zS3d zp$UlI;|Xef{7c}!P`$CIL~?+`ZBLO4KZ#94i3$|ScAaV;9mwLmj-AA)fuyJ`-yl)< zH4K3V3|6DXloH`ay_jS~K$MlYb8b;9NKCgBF0KJ`@%B^X#KhrC*^8*GG;(qYtnZm| zo}^|-pcIcGnbHCx?k_^+iP|@HD|j~D{r>R|-xB5VmVr1vq7TAF-^$`H8YNLU$Nkb< zLVFQJI|}Rs<*BRo1avS$FR#p^GVNS58biYU{SwQ8*e2GcA}G7r@L*ArvViEj8;&^@nxmgln<11< z7TZMA_5!@zViHKDbH&B8MYUIDCV}x9EeGnZTcUTonMk88XTaPop*&w=t+2h@l?=D$ zP*rL=ZJv_5K>N6gc0xJ1uaO(gWrJb~ce20>sF%1au|O>-wLs&;$o#{w!**)T!r257 zD^VG1+lKC?6wwalL-z%XPH=!VZpG-8u1fy^pDe-u03|NCEQp?#Hq@c&95z&^sY==3 zOt5_6yoWs6>K*q!Dyc<)Y~R`nE*3RWEB^p0BEmx7)Iw1Bgbi(g@(6OWD2K+x5^9_F z<#Z(ws-A3gs<@ijZ_AaSKzk{fM=Gp^i0EJY5Xc6qTwzet;Nv(V_4hJh(RP?|SP{1v z)yvIBPXm9jZGaa2!pkz9mj!7E(9$rM;CU4x)UX9yCG);wXiK?Kgnee@N5w-&9m4^xbS0+&kL z^$hli+J~Xk2sT?NjC=Uxe+45L8LNqf7am7aE!3^|;c>)j_zL-$?QYBmNk}d>fAs^b zH3dO};)_+&<|b?lbw}A?4^`Y*_(EUezZX-4EfP~gz9%fMr>L`N!BIS9B9`yTOs4H0 zaYpL>N-P;-;v@kHY=*E2lW4eqNU^Vk>aJ1pjcl=P61XLha^Oja7%K|C*YX3{to~4g78}q$Q?B88w1i&m(Pplvdy~TEgaecRE-uvNF&Gp)JL? z&6%i#zoqz=t4n2~R=k;$pu0l80{br>P>xCkwz)LWk~jv;xpnJO&}s!DCuvgR=!A2r z^K7+sZ)MR+E7|@K+0rzvuV4>P%gb(3Hl;fK@k=>qiO^wGHL8f^m_0R>G1pfW#L% z>k`Go)U5d>8)Ja2MryaVZ6_X!kH48u`2|7M&75(MQAvQjMunF2pk{*1sCZ8M^%GQH z%k~)vHTQq7n4_^(>Udj!2xmV=Dl&9)+2gXJWVY6qG&YM%^B?B## z9hwbmVWSDzLWCB-9=R{_6+?ZNPFzy{)I=9YDk#|;yVTf#!CsNVdoAyN;>0#+ml}|> z+hK=WtoyinSA@HmaZOMq)Fds(BWLal$nf$w!L6hPdp%}~Z;&*Oex-noRK;gyg&Qyi z+SBrUKu{dy&byMXmpmZ;8=Lxf1eXVN84ab(L% zqR-gEl~wtcR3Zx93Z6a?Haz^0lXGp)g6?ne6J;m?oq)uau6@n@@^T`I`+!if4!}78 z>FJ+QELc(W#8h2&Z{LaZg2{FWIN{l^?%}DW7Yg=`r7cJ9DFucq{{YREIbh>@gn3dH zdVG@Xv~@#Oj&Xr5t%}6z9)ycH6%&R{)Kq(`H7{VnxZGOM*qwk_RDd4KJ8-FXD@vAy z_%RmQ0Y<4d2AX+25`h>U&9Nu?E%b~V7HBY{;_dnVkOQMpsdJ$kon?WtklBH)#DX6y zFdmM_nDQ7+2Ot2p6&cv%U(JAR^)INgQ5W|x{00Mn>?YDLO!%ML3N7s}h^N$DWOI;m zJMj>am6N9CK-1r-{0&rg{^U&s{KOTZ>jj0<)xfq&{{Y!TQy6KYC09XtaR{_3Y1RfV zHM38xjwjHUT!KKZtB|jHx{BMYy7B_}7&2>*+(Mv6ptNN?NUUv*z2vaH<;oL^h;c9fr|(-$M5k3kX?%?G@d zZ^X9^)=&{0-a7i1WZyr6s#d{)d}WP;mYi&r;t6J!w&^A#>`jiv5u)SjAxkNR%0LbYduzF);xCxEhjo8G%YoBki`EQu&jO|8 z{8dEtekg~j@JC`dQKwvwNMErJrz+vntK>gJFrvE;t(2fWLfhNBiYyk^@F3u;qN8=b z8sQN=*5S2nEs1Z>b%fa3HhulXC|xqcVB2+&Ay0jR(b^dJc`$3S!t%PhhQ}N5lx-nr z(G%o@t3v+(&mh9-Ww4%%9A3tUfDvQZw};u-fAm72WKl#Ws->j#FL{xx72W)TJ)Ww% zAc$59Rlv;9Tm^@Gg%k%<(mmHT)+JNcdyQ3MG{>{lE6=Iz*s3cPnST+PbEdf$zLRug zCE*4VjhBbZ78ODbH+eF5R0vE`BBCI2?JUKGFO^d1Vjx%U8p5;J9zO?~6}aul-RGRLvO# zAqK7lAL+Ap6r?N#sn5V8+)cHp%h{JI$jZh_%y~%nmunNobDY9#jS@wW(0aX|D z%c5Aagn02OTxul*WkhV|#0PL3KJ3T8lnpIpEPyPYG*sXn=}?NQs5A{miFX;nb?F9TwXc!h=caNJ%Dznfq0U5~5_=V`il9Dwa4u@B zAdrU&$XaN=F6GU%5As|him#YR&cWTq!q#c0k>y@iLd>K+5vJU16eJsZmQBN)}oFdw3$zRV9dR~!ERVyal<;V&1`C6>R&A%@%K%6t8q zGyRU+e2)b-X1K4i=`IQ_!zTRW#c#<0jcx#~s~|uk(A(|H6h^;rP20=rAxl+I%Y3a# zY^l_i4~8@d@Oa9X8qS|2DfCuHQG16#QU~rOX=J%^4`w3v<{3n<c4DtVL;WCM zO~9(*5Zx@FG0Z{grMyj}xhOEyWSV1pquE>J$hvZ}1Gr*!IRS@S@VM%+ z(=Dh_ds9_4h&yQV2v`>-fO55ffa5b}0O?x$3OrYm^cqpQMH3o~v@X4r?j>U^p{*h< zOU5H4D|6+ckBF<+0}(<3wVy`K{Ys&1(hC&8l7ssXh^~6o+)JP~(1BG!R{QdRJ8lqm zGPYFFusxBZPEQjmRsR4X504db`HL_sZwf$5C8Y6$;(V}Ur^C3JbjqywAZFYxqBnYj zHnW1=cE!TlSzCvCw-{`kWH3i-LZ<;?(~{^8SLdv&DUL9cCST&9!N0FT@k6{SDQ z25X~YQQc6-2p%i0(&g!^-r)r5@5douLg&+qT|&0@+WCnmBM~94&Jl>z(9;6DEE1s!Xz2p+F4RhDP@;};UBEs|aK_Q_5kUEB1r{wA zQlzgui9>G5Uj>(EBCV#Qg1B377WZf{K44&4vFF8PxhlIF6naa!Qy1sdvRRMRYHAeL z?i4At)k^{v;SeIU`UoPHzRHE#6#Bc0Lv#maMZhik`eF145m8KDRh(RW(=lSp738qj z@j!tw)|!YExpq?&gAY-uRnQ%p z-;lw4A%~dE_+JAcrp_c!aa}9VjdA zOvK7Liv+{Hh0TJJ-SS`-_8bM4YvoP$`%<7}01emEFKKt;Req&CywbUGqc^%VVMuEM z({qjS(kKrY&QWm#Ziue(d@d@At(f4`8s3Z!@nU|V{{WEOc z33c@=r?$@h!Jvb7%Ou*~{LZ~tTK!W4enp}cDJ$7!{x7u)zr~_Xj6@#9qP$^=P9#Mc zORs*T)%8^yVcV8Zf@vG_A?dag7hIYRU#WrxW)=ZW#=MR<2!0=fYySYv{s`RpFD>8c zIIAV`$?;z*aDaea0V-k9XUKg-q2;-`vR2PJBXy z&msl2guW35*5MdcZpO`(fNO}rZl8gxg;XEMpSVMNcj9osyd`7VwR}xogW$Q`1<|tQ zOI35`T4Tv|0W6JxN~vN759-V33Rn39Hpey)*)WiT{)qJeXVm(ISv&$j$4;$0ZP%9MJh+Pkj?!BP|gP;h(MsZ z`o`4uo0$WKL0#hfpBDX@Q^VR1!m=twB7iQw;giE_>|g~|j-K{#ffjvK=|o_ZkzoRYKf5))A);ybR)10 za9f}X?4jh54R#)LGAF1!K7#?9BY ztAPh@jDyou%-tfjYpT7LSrqgR%7v8~5{O(@9*w4CD3@(&RST62zRRd&R<7y?DiNnX z`iv_M$`b8)0RI5~u)XwqS1#?V4`Kssxb!VTstx*zY{q!J&P)4`qNT->Truh(6s|H0 zZ~TZ1t^TDc4+$0rGJ}hnF8ZP6MJY<0DO6dj5lO^s2-5Okr>ck~?A5<UNT{5k z@@%Gh4Z**(>HCf2ui|8?CALAO?>wuCA81C8nWQ7*q%bwQJ_vz;fwp7RLfBuT3A(>e zh8lQyM~{jqzv@;NqOTTIqiCgw@Q7HPYY)Qi$N<0ixBme39VtK5PbRBnQ4XuSMI3(O z5>J)bNH_#le*Hk)xyH)KJEdvVyB2MQKHHKl-DVx7M(*lzaRvF)LMU2+(~sX zl+3HEgAERpT(pI^%YVXkJJoEz-O9vcx_b=(q3|-4LCb-tYs3{sXYL3pj}e~7yr>J4 z?)d~PV4^yPg&?fRJJ=jz*&0GFAZ$laMLy+&$U{}KqYcAyeI$SQn(>Sj(Ck1_>1tO6 zU;IE@+R_=>L6c}oLIp%7$PC@K{&Q(9tE`;VHETuzsqy;3%Zcx$5!en(S_Vu6yu5$| z;u%9i`>?q@E;poS}~P2JkahLTvS+k#~bLE$LXw^5n_J%hBdD25D+SV%yFAr+h! z&)JzmSHNjH61-s>1#lPa@-aU95(}0K@a40r^oSOV@fG%Vk{aELf%3veqdpMm710VU zT6*nmO9CVdDwm$kKUSc23NRPK=#~gnvMJ57Fm(z&xXvXfv5rg~EOmWl7xqFl2&5wS zn=~|!jkx+>4_K(Fs+4Li%gbX#JqtjJYLNsT(j;1xqEf+a5%RPQx|SJthYl39~6%el}x~x<1N+atNA{dWKe6Ybnvdc~buX)DDUIbYfxx zfKze&5o4^k^C~V~-%6lD=A%9oM`>lHCJcgIsux}>q}Nf$;c#!H3JxyES5TJhAbJ8F ze70k8dq-wbbWQ;RxzmI#M3u~ZBXbc{~!=CS=gz za1SQeQ3jLfB?J{YirItikiwvgGlEzvVA#GxzEl$(+f>E5(#$YI_ zh?sB0LvCAN5l{f$-zDb!HUV`_0Bk;IbZRoWPA6*xKH=SHvg0bv7M)^oDP#+B;QRf- zm##NAC@ICZv-K_q?i_{f8SNkZOOIz;g9><4NF%m>;;#ESN{@+hp)mgdv9SEsdn*dj z&P&OsGUAqM_bw~f;&HJ8eXtI0@SO<$>_Xysl{Cuy3t=FdJAs8xJ>}f2Gp<}?R&K-W z37nWdMX$_!$<}u3Z(wF!Ktw=F*4&6XLzT-^*gKFi!md&kNmAf);(-8<1%hkT%XlIi z9Tpv(gx#J)u{-@frY?ZkO2;BE?rZT9ZVLJ_;#_J{w8Fy-7|KsCnd4rAy< z>n>Zhy=(;Y>Sw>0TPaoZlJ=MEXyWCRUSHPCw0LlYP=RftH|ufsOlYz(Px&=${3>@c z8e=KAJ66P>2}h5>0ot}O4Y0FaBXriv3N;(JSkZS2^ksL60@Yf`nktXGh1bjuBot+V z=ghlE_C5(L{{YGkQI{ga28)AOa20oQ^nRzyRn_)b5(4O<@+Nv(n>|!jI0$YFD6Ojb ze!^`j9B1k=C=CcxrPXX8*hxzf`~LvsL&gT><7B^)Kq}a9mZ?6WG!-;8Q88x)IN!_w zjiDmmLKjV!l~PJY0K@k!y4rQPea5yW@RZOjncoj9DnY^ot7RU-C%0>t88GPk3fdRuCsNz2 zeW;Q;>w%uk@9MpwO9;%mpunC4$uzv4bneXAZ3k zm%BO0Uw$IvkFZ0i75j&5HQ93A>L#u*HsAX#${G_(DSNW*M-#g$RO~fv7WOK!-(XfWfCI0Mm_n+EYN)8KyfPRNkdiW{htx^r86Ll|sGuY8 zlU`TB0o6n-axEL!t=x#U9qcIzWlH*s&D^xk>-2|NdZ-%>C2r~kerdnP{&)WX#Y$yF z$Xu-aaQqfvMiXHfvbHnW5sV^RDJv-y7>^Ge* z-+t}^dLv%kUlQfXE{Mwl`}H0&(YF(43HzKS6_cMxf_=AAt z6tz8p5SRGuxxq%SNkV{Zz^q+G53h)e@M*YM$iONQ77N9OR|ZE?l2xRz_#VgEqPY-a zc^a_S;8+&Ood~W*m8Ht9BTg_VI0i-N*+I4mWk(`epKsh!Xh*~af*4f64`(vrcXhBs z)v5s%YK8MxxiEn~?q3)VxlsrOTrsNT^>%u9_i(4$N;aw7yOwylEo@!HWobp@_B#MH4P2i>7j{!yDsI-xEz{T^g-Q*I8n39@(`7QX;o}>=OoM-@ zm$89FJzpYZ3RBx|swPH@g~ei}Z05u5a{Ip9%9UH)%aj0VKoA->SOrl!N;|Po@U?X@ zQK%v3k=J|^!v!U~aV4nrsA*uM^?4N*Qk9NP@}3?=Yz>`)!uxn402rv1p>W7c;WtZ! z4oqn`5-rUK zlV|o-i{)=UUE?)Nhtj;{FN@gT~W) za15Mf!S-Bs*1V|sfvD(K`zj3a6$2}CFJLTHV(fmd6ehS7IptKW;nmx1$ibW-Anh?l zI8epa$_4?lQR<79J+qZ7l!X_^=lKyuF%|-qU|zCah`+cXaym}Rv(ZF#SvHYm=DsdeqDl^lb9MnJ}*yg@FP zGj1O@BlQE0{wtC?TzFO{{TS@79S-wFJ`d52u!9I{%&52YJXtLl5e&k z7RqJ!v0CbL>TOGtA-HWmMxalWCJ)_9+(zmu+AdH0M_Ft?H?Tcr&Rs{pMp=0+4?-Za z{dQlck;7%-kl77mwqdK>=j==#R#&DCo=H^{{{RXhcgvOwjDY<;ir2KlD7{P&4_J|O zb`Jyru$NM(-F~5E{{V@9fI(jnvm%_^>;U7{8DQAtmm!|4-l+3_Q2E)sAz2NDrT*sl zwt>`KORE^-M&nlqqTXsw0V4+dD-BW>!SP$S{q5IPbBI3gZEyo~{_e4-1Ze@^w)FX$X2bbrF7QuV7lH*JmZdAY7(j zo9D`j&k_1uAX>*yzsdMNDR2*z7!rcTxB9taDgs~T5qoDQsTS>oP&*05d9t+`MdV8& z5tRg0jVNr43xiyrWEzTGYTd|!ShviK81=DiDm!%&Eqz8!*>&_wP?JI&c2VBYz@q)1 z{Uxhyq@k%O1Ejuw=B-JOKM_+DNRawVP<>slbc#Kw)gkm$(%fQ#+fzh0`=Fg;l(H5gH3T_=YRRYsrIi%SsZ?z`Lbg$}v&G z`7mAVHlXU7Yv5*);5{kD6k{slp<>pSJ~t^;CxTG44*4lg+91l-i)&)--U!f-30+GI z2=cv@-Cv0UZvN*FoP0{mU-htr{TD6ab4!;FZ{|=SNv_MXuWC5^j1&UguRMTTz;(Tx z$oAN^3bBL$MxQ3-6gS0BUc*^fHG7rafaI#`X7F9|7Rr1fM@pZzQMm9mP;0L*?r=EFTkqlr^$|gxX#PTve{3 zMdKEhWJ+p>z!gx-p>JNp3MqL2z<@3GoVz9DDA?#(aNs6xc35atgi-P-JVdwJ`GL{o zzzls5<5lr34gA3xr_$T0vzBi#0$&vVjYAyu@W0s{uxuBbWe$6`GJ>< zOwueWqVsWeRFU?`X(cN^QovsbEBA;4LU(Q|ZG=XCAtH|msRY4f4Q%y~_O>!UVJK~} zt8A#9fPewYn8z8jUd|d)z#Bu@Xa;$eQ^$m|^>J43>LL-Es#8J8jY8UQiuvq&0dUH{ zHR~S5n(_+S$R`w@`x%|u-OZRDbe6y$pZh3db-@#us8aG6)~-0HofPe@WLa3~7)>A} zm9oaDs&V{Jamd+F2~k%*rBhd-F8ZUDfqJG67-&ymIRyjhohD6635JxZqv+ZY69RcR zq%u(hO%c~zoyc4R&^M48A7bAm9JVG_<%lH~Ta2%6RzE?_^%LRnxa=e&eR3)++uK=v_+ANZA0nwRH3 zWvr;8JyxMdd}5VV7QqBY0Mcf>_@3q~DcI5yp!k2vJ&_W=7|GLf-qP~>|BV+(&0z&*{tC5DBnuAyMr#rcRpEdx!ABn4Fj z{{ZYmt5!O}QmQP;4RTt`CZ&*rWmL8UaE=#k^EWP!&a2HWpTu2}xt3Q|2S3veCK~Z;5b?aAN}0_6b+m6uw@;CL1oSU@7CZ z5vUsEsYG>Ki!4l9F76rG6)5FIRQ~{IECyt1pAxBxz#5eHYSD6u<&ulq<6Nu(g6f7H z)c0=Uf!NvrS$Ino-~p2TWv1*sm%ge2VEsw}bz~8sfgNot^t*!xX*cRv1;77spM(&Q>!wF;@S6fBo%^#1^}2*2E^JzmGD@X9;yAf`D{ z9soM9W7@QN1TH%5K-It%n=huN-P-N{03w8p@%my!T5!4d1}=oJYb6%iSVdNFkrsqG z3?cl8$#(OTTsC_E+AM?@ash9wq~C?HBYUrZQCvE<66CATm=whIV^}aTZ6i?%xJx4y zG@q$OzDl8bTpF~3$gy->6Ctx;ls|LLRCWUeg|OH!p@r63+YcqH6p+_eN)A*?vr!7s z(-&+|tkat;B&7mVLshU1KSEl!*xkwq(w~NtMvB^=V!gP?qz*(7a8SVtrBAa7r#tH< zXUBiII5JWfms03AvC*y?{{SXT(H2&HG?qfjocvT-Z9!*8^U2@G9>x5>mH1u%0P$H0 zuf|RYJ*jD5fIkjP{P*ywYC+gcYs4ZYGXDT->`JIv8mr{`6d&0)w*=BR>NgmQ=>=M{)V9Sr_dTX;Bc~Mp2FEOY z5QbYd?JO=k@I9aMl_(lYD3>YhA2IUCv#L0-y&@1cvVE4h9Msi?KrkO~UDuwXs~_%1LG zb}>%RjD$rGi@AjrzHFpnYjbZl}N zui9>zi9LB*{!JSdi1^ye;eSe<0sjEBvDElHjUqIBlpFM2wou>&wXhkn{h;+_oQDW8 z{=};L5`&NKP|vLlxk73bAE+$->ji`g>K|&q#O-FntLKmwrpu~5be4XIA&sT^D0``d zp^AphA_5Si(uP`dzDD(x3jM_4$mtWT+0#I+b%e8V3#x?q zTG%gnYPEgj8%kAwU50^fn|ORgm;_a=HWVhZvNnVd3$+R;+vD>T2(I@63&jP@KM9>7 z>I1DMaw1hy%Ihv!xT8bJuoF|Eej*#gKX6Z}Pd?xdg+Ta%RdY-fQ~Hiz=z5`psH3yq zN>zAmuZfDr8R0EZGSDm{mN%Ca1a!DIv^!8V3OBzJyoKiWF8=_szw%tYG#Y9ITogNv z`3GpUIR<-0r`p&N?ij_S`lty-K|{!>wyf9z229+liE|?Wd#&xp;V0&Xp-2$YsQ&LWsc;9GEH2W*L?IR??8{fv~+74ylp;RID5`I^!SD42%fR{{X3^0RnmMbWuF zP}WwpjA^i-s^lc|Ut0j(eKs)QkPD}7B5c`mF9tn~RS^&W0AhTs#i+QuZ;*aL^~uR6 zL28Sq%3ZJw_6DFBp3!B5)cB6Q)TU^Rq4`@VejkVgfLbMHhm5NzCNvL<%UcZC(h{0K zP+{C|0d4+8>S_Cuoj1VzO1i(t31nemt%!|&AHy9A0SiavgKh$@9t8d#=%i*=0%!~gLi(!PnHmD%8e#&sFfTJ z7YCPqAQgJR=cE%y3F=`UTp5H(VRmMvE0nwO+MY!r*oU%31^uHiC{LkWUufJ&R)SIU zaYsqZl<})rqVQjkvgVG^Tkupdaa^5bO3=JlS@JBcfAT!876+B#D94ctZzUBpg50zg z&6=rnO3*K5)zAX^JeG&4ZOsa-rl8JJRafDR@4zqtx1_`BT7kK8I>(y|fR#+NK&r{* zX>Uno`h0Axpz5oF-;h;GYIOM!qhH9_v7`FUM<@i>;t)Vii%h3sUVrv|!Ie=zajK)# z$n$A7?jd05SVI2Ldnti$vxAcU(;O?FDm=6=Hsdy>C4l|UJ{*Tpu(i6B)%?>}C>Mp^ zBPzzNwyF_RjfKq*A`Jvv@WQAW82zx66TZX*bd?KB2+cXg%UcvF{{We9$TV8kw*eTw zcjQvGH_295*dcD4jJNR|OA7mvn3m9^ViqsQA$J&TY}Lw{tH85IDg@h!c1oS6Uhe=ZRp}E&6gRz!blkakXPMYU3+Z;U+7qq+8gRp&HY(w0TT0T#mMhpSiI>i(lT5 z0hg}Cz#7sXWyc-_q62YCIL6`~ zM4;_$mb|Fm?aqC7kJJ+Q%Tzaz9<@q(L)ThDx(2Fr`Inu@DCgQyfekQ1-HRfCh48w# zWFRMQ_>>%9yO?qLQ!7>9s6~t9B`T@@!yNprh_xxJHh&_UZaVEs0Yx~}W)kpm|XVQ%>nEl^I=prjZ4> z%hmEmin))=;^CF9o8%#O623))NQNCP?~JVJUOtgCBaE@iog=`;weo# z4DbGV6)LR&s`3yOoqUZHx}r>dhFsrppV6q+uD-EAS9B^mSQP?cWyI^!1zJO>H3e{% zfDYWPseaTJF@k;aS;j17$xRvTU|6d1GHp!zmzoVrL*?P~6+S^Mdu%N-{PX!LORLF3 zSU1R!xm_J4pKf7b8@gk(d_Kk?vM9Hoxk_}pZKQA&0i!S~e&5tV8mJ}kHdGb|kIYCs z1Nel$!V;qrb6iFIaEpXhmnvEKxpx_|=U>JCYx7wT&a#Qf{uRdVR3{RwV=Zt?hGG2- z-AiD&xEa}GQmg$UorqTXT_<(=ZKV+<#@{QP8fz$qK@$g-}iUV8l0{g~RxZzu{YNWf}AERH`Ma)!#CFqsClxC)2wt z&>#i-1+ye68buPu?6yQS6*&kbn67kAF`)w2p(-`i=(q;Jkp^=R*vsv+Y^k~NHNEm8 z4?cD|-(9Y|D{Tx_6v8soe-f|@Jc3v3>{eSFc+>Jk56A;d)d!qJ?8k~A7rIYbDOb&= zrMMb}Tw;N3j+GHdp|wxZnCx&1Gj0NW-L>Sl&3}jtxEA5o6K>ts$3u-0>Dg%g0DAUb z&870&u`Gwt@0m~y3Tl~E0k4SkJGikn3*#`tWGWB3mV>XcEV5qbm?;C`|g{AEM zvAIJbrab|t#3Q<1uv0uT-MaE2v`f&C1NKY=6n1vLCHElP*=q`G*->j_%9Oi}%B)q+ z#IS{h{{UiP%Uq!BQ(yQJ=U}d|{(A&4ir;4a3a0iz0j}gm8d-j&2uuwu&$)px6HRJQ zf;pA4Sdt$!L^Ou8vc%h52a=pXKs_>*f{Iz>0P-nxYFxuc z6$#*iiDC%YQ`CWXwmaL&(;%k`&6QVK=WZzea{Zs;iW8z0(gneYu(&@(DSOvcj&hq# z+43$awSOvtu{8j#S%3}rDD5|KFOXC6loTsSIzvv_6hr-k+P58@rXjEo2_0g9SmH+7 zm;7xlm|~0&ZTZ@6YyqX6iybvXW#uTm1wp!~5k6qQN7UqbEv@e!&UojQV+9mXSpxwu-3=m)xrUNi5QQ9Se9v2z#NQhvq<2i1e+}@ z61P6mja~q(7&7vV8?!)mk@=390gb#@U>dAbN|etldp=V<7a45@Y+(z$*ucNxdCLpd14$Mp2qcc^n^xohT4h=Sx?+WbVYq3J1)p@E(1wXqHLXt zqkTU=QS3E#`Q%rvw7cU|gHoGe?AGMI=mqw-u?;C|=VsMU)VQ^3DIJfL%Yf*v&gi$> z*KPr87PnDfYx%o|omyi|AF(TjhNCpA`XVhQynr3-p><__~zJn1rh%(n?q~3oJ%$c`sxG$GH*~6CF$jmR>zz?H3Qr1t{!%O_Zkq z#`?tP=*^8+4ob@{-y%F##_H|Mxk>u#GW|-00kPPvX9*~_(mzmH>g(%2@~fqJ-UegxIyJ!3$}TR3Z6bTrSH@Ka2b?CKBQy-^q(qn+p7kQsv}srOy5r;eY-N zJ2qWHb}fKE60t>DHcc4PsYI!7>*^Crz7V3yC{ZMlQI6)@0{-qG0tSJLzEzF#T?|NG z`sv11s|i#;GfQ=-yL$o2(joM{3j3Kd^AP^!jM3Zj5#H7QDV z@(W$HQN(g?q1|2^Z5TuW<@AkG;@b%4%GMUBfJ{;SV(GmsM3TYu9CLC~048 zK*iW@Q~@-uXC(!QKm3U=uhm3aRoMcgc@0%Z_1KAlQB}WD4eA$}UR((KxQ;`PAy10yR5qD^Xez07z#(c_x zRSCH)J|n0b{{Yn1Q82Pspc zU>AM+g{Xdd{y^8&A8z+HpC$8wYF}^2YySYuM|}dWh)+}+@BKtP%q1}`N#q?iin?wK zU{{cPH0j@V208Ee!~ic#<;T9rsPr-{ev2JrdV#9sGkpRdWKVoN1jn^0u^Y9vd zMjm}Ydobjqsdf68VTmakrGmQ=NkP1az7LOLSGjo$LLyY`N{;qWaFXJz+@fbV!BVh6 zD?#W3(Wo60=%+|Q0$q)3kprR%$|@t^HyV`lVt)1!VP`69C$}kmSGzEv$0g9$WZ1-gZp;1N1^>&L4NA`7p1F-@KfK7#2_6AxlKD#n-W(v3zK=m?D zL1GausrQuxXv~Ecpa*pbF6+o7L%DHS2(wl}VBAs-C=@a2lI^V61}6M^#A&Jr`EZKN zc3w?XVwPt=aZu=w^Vw2O;q@&78>ciufKlMWe zwJuZ`7D1iY{6>^s(+CL4H-g`(;^YXIQ26RUeH*K`kx=E!XM7vB6`C!yL#?}?31CfC z;^of>#dKIkf{Lk0$J}42FR;OFFr2$Dx~J+Yd50Jk4xvGz41_IZ(7`EQN)98Cv5w>@ z=uh%?V2!B%01ci>zqANL@pR6%QF|AG{U$%O`(TX`GZHadtnrH-$ILF~Sn&~R3Of&) zHbY1w_#d8qq^GGUX1?Q0{F$Se=%P?}A+W}PMSD6&@Jsw3fklvXT~D&3s`>s(DbC8# zjZqrNX4bess3cPsg(b_nh;IlQRH>_`Q2Ajh?jpw{FO|*sC&UZGgmep^F;^Oih#j0D zL1orOQy6?Kmr9gE)hTKzUJw$9X`FpQ zTLg-)z>DZ)z1%F&g0}3IxU_A88%zs*L{}lJmq+T(hQVtOflyn2?nH)xh{Q$Y{^h1D z8WkUs1U8g;8I~$~5YGzY!988C8G%ta(quKnRt-&m&$%(Op!wLyY~-h*5NVGC*2sMa-=% z``JNILYf}jyobr`ZT|pqQS6P|_bdV%x_FVat?LyUxuvm!TkK*DPJPFHfk*C9#vQzd z5?BvggS=EssAnKPBhVB5j1VsnjjqkVArMuSJn~Dfy3`%Ee9IQHgEuT0`2g-Z3mV9NVmpydPQZvf0IDJdEhhp4(#wmlxYyJGOA3}2aq9(Wf&+V2 z7Yo0JHI{A}3>*ws%n4g)>_I5JfmbwGbCetmgESN^okr|1IT-Q|>E;^P8@+f~{#+-# zdV$=RJY7ph)8WXfp1kWTI7bKuO?2Zl9(x4zIRJ5OU#i%Gj{Xf}zkgDX$eYk!unemY{jyM^^!9csV092LTmDSPYJA88tfG9ApVkB7 z6kQ=WDeu%AYOD?r>>4+#ad!pl&JT%2h5X#R{Oqmdg)W$PU@6LhQQ2Yg06-1evR7z6 zZN(>TnhK1y=u}Jf4o3Q}ugweykF&a^#bi5o7%JNS0ZY56S$%R|-SL2ogJRPvZHd?Q z1lzK$y733Fdmd7+&&)K{8(a~y;jYu*RhSN$c3{*wD|ihY1CRD`B*mz`k86RFwd#gg&4MEjU8Z<#k`0rN1NDIb<3SAZ&D z=5Qr`1mhWLAE0hNq3L8r3w}C)FQoX2T6Dh8v0r$^4^pQHdpp=W*l~p%ws_o7wujsn zzw<J_aZxD~!30vcanM^jyZ4BB6628&?smFcKx z3H0m&UZ@pR6BYSx%|m&<{lKYm@~Hw#TD!07@uE*DAypcG6&b&UX)YD8l=`XHuT>1~ ztQb{r7`NCOR=XDE<-T$N2n|033#%A4a@6x@JLO~rg4Uw@Kg!sYGOnrEg)I2Jo*M6x z^^{6BRdf^P_6_)qKTxw{R(|1SQ;kJ$xj+jaIT049G|S`iQS8LCQM1UFJIDsSju(S! zSz0eL6<-j6a9pVVLpnKUpHt7*U_}L~K(Lyp%mjBzk3xg-lHp1ZmgNOeSP5FMW&&@~ zF>bD9H3NuTDpeA}?8lzK+c%y*?pyZ?m$T)YTz?Rxm-O6j{{R}o&PKMypnAaEj3T#? zCaZQlfdh^e$eJZMDOZx}7U=o%DhWGV$;q8% zB#GEGouKG|usK+M)hIF*wB1HypZO)>+jgoX#V<}ozawLB>KEeK04qgk3GoF=kT4S3 z^$<3NyF5iM%xOhcO9>vgGaP--Zw8=mb&oYOBS4Pwm>N*NY$=7-3#eZtq6vaT12-WpC8qhX z28uI$f|BR5;3~fqODc=0;Zx@zB@~3#dP<@xOLf@cA|UNb(RmxIM4{jUo5^4f>=Q2b zRKTfFcC8*WVlPekh*TE(@+__=@B08H!A`NMHlIV-K5{X{$}L9j7lDhtc!c{ZmT8GX z5`=E)uiUs>D#Ib)aozrr4O*vXra-0#X<)-(j|d6}5kp0GE7n06o+f4VO8WG8(>b&ffo&ZfIVEpz%!cL zgwa^p$RhG#ZH`XuFUao}lEHTB0THwhxkcN0KyhzjXrj)w_NAlgg_=7(5V=En*!*AA zN|$p;{?7P+qC;YH`eg(?ZNi5lW}v3crxH5XB1X z;elbX=@N*Rzf$U;pyT+)Pf@*Os;!m{UNVqDTus%MxC-UPtRbY#FJK5#>S(=`D7Im! zhFBE3fJq9#mJPf={3;BM*Mj2kI1NGM?F0RVO~CaG1yz2LnnKm|lrFFJlnVzFk5Z%>-(v&^-(P07g}y)C*tCq+_e2V;)(>D#M6hw>_xD zQFX(zuh-b~{{RqMcMiN%XRI`sexk+x+h(9f4@HVyh zfkyBOeq#-ay`BdcAF(R6?CL6?@h+TX?*y9)T^R)`t)YV5@8GA9CUe#RmNLV4sxsoF zRBU}p^g~7zTPMZ6n;BSz{FXAMs(nkCmh-Z}HDB4Yi)&b4;5Cpa9bLkzd)?Z|k(u>Q!!jrAt8ZKC+4g*F8}Y9*OM4u2Vxx=*Hh)!pRmZEE=_ z4Pv4Rf5}lVyh5q+3WCC)h9nQlFy568{Z33HDQ^^5d$BY-5Yl~-qGpn}y?FwxUva>; zP61W)@@%*PO0AaoyADNz_+^pRav6ZA8MmFtQCrn5RHa3s0$J(Fs8jY_8bYxHLGDK!tv4RARXHUnsZwe9SsZrKxy7QABAY_vcWD zNH;wEc^B?bYOIy>%G1cIi@)(^g}kOX&Lut$LQd((Euz$hTI04tE~ZO_u@P~Ny_8%v z)IzISXl_)e2;wrwn6ISp6;JLu)#)t}Kghly@;15)8tLD`Z4{%iB^LeMIJyY0vdOwW zur}47H5qpYW~5}-er4Q^Tm<&(*-v6_%DG>b8*8Wy^yK@f97=ZO+m=K& z6mY0l-OEcrTdJvN4`T)ud{jF6h)l1M}SrwRNZBqd(X!^2Xbo|6YgH5f5innO&G{i&Drl3OZ>Koq58c^rN z2D8&@jti{~+KNofN~k#{ZP~G(G88mNAnr%Z{>0Q-)($IPS^S9=t)5gNo(`TaBy<78 zk*m`qFvYSV#=Ms`zjg5f^TPAuSrJRChujXM@%I^97n?YWF(;S1=2Nj&<1tnXz;P`b5)6WQpMP`}(XC9%RS zn`pB6QmHl7wU7pLVGBm-;0optr&Bmt1x@ly^udtacnFQ@-4^Psfno!E@M6 zFsn8XdP(8dUgA^&uHp3`$^E#!_?M#xDbHg32+_ffpUGfru7IcgcTlfkr;gJ9-{>HL&#s+@K6)KBYsL^Bs#iXdS zoVYK0EI#6<>*7%AL?+uTmTk5R&eyP7aszFW{yR$%!xUmE;#ZL(9@pwvVSPta@fsRL zha!~%R5qa1R$Is{y{7(P?YLT*Q^s;+Ay+vIs-N)`#eSv1g?vPwh0)xDy0p8=i|ae^ z)ygb>sIbK5GWd#{0UzGZ%~cf;7PlKjA%D4Qrd9ZKuhao-8*=3n1df}nC14mFawcin z_*6^clni|&zRxf!METL%$nRM(?M5E0AEcr&@H^_82=qU@AXGHE@&~W_F6#;<2!C+P zHEo#y8_`_oS^?B7Jg8_5o&NwxK2mSiS#f4~h+V-SmI*0PP`8U2bwRz`tB5Uuo?nq& zv6CP11+0auUG`)(KxGA@a3I^3$3@-;N>Bd);T9}qOZ-$O3ommI(u6E$Vt{S0oruyE zgTYM~^v1Vshk8*cXm5n#Cfud2#KBs9mknDIEc(C;?g}VsTqnckXfztqu38X5@-fuA z1rN1k4+d#;e3HR)U@J{A!WBh-Q57?qjBhB`A+_mDR9G5!k&)R?sdbQ8E8#2fA^538 z)m(vyyL`TNaCm6O&4?cvg~!6It56#P_%NQIg#tWwP@|^WsYDH0`Y=ALrBuf>A+A-z zA`o(=2RV5xCFEmu7P%hXABk^qkF=!qB_}0>aaY;;pA56VFde&B-(!$d^YO9d2#i7j z0?2FotBRfVU_AXWn8M3Uy+#aK00-XDC}<=iqnlAzWMKp`y&Mn$7z4rzmk%a#Jb@$( z@qwtd--z1?ZR)-w%N1>^fceq~8i)Zl)XHt-)GQMosKEB6FO)DW-(cFbqA`mv;!_Ht zPf0oZ=0(j>Zb*0^hK62i;Xd{uekmEOg^BX(*ssnFs_h^VMpg|rXqGtKfL zMa$ChHw?NTH*PR0m^wsQY`T?YZku0zz$!t5baDVsTPSFrKBDS+$Rg2d@qQxW(!Yo5 zBsvXY%N?`H8$fDjACe-Jw4vt+8*+#Txw00dsK&!Z8&Drv^$-61cpAfg~4`}m*FIQ$Tbpa=%?qY=d- zuaaNQRmwqA9k}!V0Age9{{WW*zq_xSjOy5m`)$aARcVSj3l@~KG>AYd1o{U6PcRxs z_@(=sYm)V)?ZTQ0mb*XX98|G2*+iz!M}ViYURSkY4pp>ZU#JQ%xX%bh>#4R462EJWk`<9_Y z6vg_DsiV##!VQQq{V^*M#~@y{jdm$&uGh@5U&?hd-%S~3-?KR`BIeo1%0ph<=<3^) z33vreR905TQwbK79}#;bq%KyQxAZ=t6uA8m5vWmGU5QCi?Pb4k9hW6J_ZiLXxv%P8 zURou8<_Rr@I+cqKEk|wwd(~L_po$$^7j*`tu2P8gCBo10qD}t*0|92=Z2m-JJW%&Y$ZkOv3_Tot#BHN0dK|BbU1+(w&HVe{0I9gj#7|Hadv;N`Vd^*cmK~N}*8W z1ojuG{IdDwq)!v>Htc`*9$cmC?2K3TQKD7Q_p`(aU2Wl5klV%TrCLMraEwbzSl)uw z4oVe@RpB9p0+Fk18!^$Hixw=hELed$2*XVhq3&FaRNW4OC3Qx?00*%I0D848Ly*B> zH~fXLRI;pD^^9C5@8V1xK-;rAYVPi`1M;{9R;pMN-b5W? zEEwJ~PWdd_agf?5`!Y~1Hb+(p!*2b|z-TnJG}JPk^#u{u0cy5haOBgy45@e0Wi1-n z8-rZY^9Ig$B@i`Sh9FWomkmg?3e5NomOT&^;*ZxLjf3JFnO`uQWsA*0cZCgdRU5CV zDnML7RHc#MU7GHySaDo3gsrKWJXYxWh^XP>mMBu=l$Ir^D_~)F97Ia?I4Hrs3#q8l zT=Hp999$rEN^QsH6XpUgm=HAh75@MZ!DC0lQtj#bgr-N_so%_P#vrzzbHMelVAirC zub4VJ*_sP76fM8XI0^p%_=9yledfUWMY(a_(O-E{8m@|~iV75Nqxp+LwZISq*-;Xy zg_H>bP%vLWGz*uFM-nU^4XT>2FUby_n_#o_qx_bwenrXyR>%ju<=CJ=bx#5rZpBKq zc_;|2Gi8&9AeS}Zrp5J3)xN-sm)5%tnqgHmG@QXzCI=_^EX+IENRqL#z*F4zz+mE1>~YYVXXzJKu^B zcUveEe3!6&f4ZvQqfbtMiJkW#@0_?z#-rv%3=?R*X^Rbt^ zD`EIcryv=_L-ElM{TmR~dGP?M8i`Gp?AjY5kxdyef;-v)S zQ?x>rY-(e07OLg_Kx-$z653&kVDkR}iD9S+9r}F7TDR&@C|3UfAOhP8Wew>la;{|M zxvtR=IF@-3G;J%Kl~xn0@r9_R*=1MEu>Sxhmf}L~>uV^V?sjl-Jc#4L?_5%re8sljoh^%_(qNGM?Y?9zw=b+R2ziB})&Y8@0W z>QV+E8^HqcdJ++8EwmLaG;$%W{(BLq&ShV)OI->usYutdtU4tm;a#9euCYOy;yOcW zqOo!o`PH}LB{fK<`!vfwWy(4PgIaD?xhidX%7eT+dE~PH0QD813Wx~n@o;XdWn&v9 zHTi%D#8ivXGXceUDaS3Zu{yQ0!M2(9D7L|Tr{kIpxvIl0? zu|;jl1-S`mgf-kB5M1$D(gI1MBxG^CiV)>aUpF>*^AiDvpq?sVMt?CUG~hV$4xg7S9RgtiM+> z>;rDg}rta+f@oTZNj(Md{Whtn{uUtvW|S1paQ&Bz(-^& z^4eAz*`(%N3tq@9z|&hS8r-@N6DtX9R59>HH%5cz6GN%4&4)k@S=yE>5uk+&OQ_PV znJ|U_0CHGB{m-%}U9E!>&8TFm?^UHlOGeDwCb}(xkigH{sk$H_Xrd)*RoRM*nilVC z58OuLx?97@?KEH4)PIlTCqEYmL-1KTqhw|L)lVp`61acaaW!0i;(otyYXk7Y+k~lV zaWJ{aU)4;3NAyaAAbdsyoq>h#C+NQf31|#%i5Nn1WPyK$a=;uhe>%(!LCLG=WLl=m zA>G6bA{Ofy5Uif@1V3U60$CUk%=`(n47T0Z$i(tksUJu|1s8i;6RJfDrgrX%+Y5QzBL2gqy-@rt^Nex#rH4sC`hlk^U6rQA zR|c?_mCFx=7a9%H0HVFgrF4797aWaDYN`tRlnHfD>Jo3t)75>K2_;IY>H<8t8WE%F z4cJPWB&a`gm%|4V7E@O?sisfVmaI$6)zW3Vsz7x$gcAip!W2F9fHy4_v~7qthT9EV zOO?3$1AW)qvL2t9ugG>^Um3QxeF7+M`#Bm*cj3ic4 zw5dTL8u%GCBCWkP2%rJOCngQ7Y(!E7m*e#mIWKh^~dmFAkWR_u3-S6+Q+v`SJm`c3V%fC?eUOM_(t*Z$&@2Tvhfcx_)5* z3Rn!IC$hI?`fsY$O0Bpf3rFb!zL2E@3H5c^SKxaVl?{b-!UeEV*cC~`6QTsrxF2Hm z0Y4#r_5&}Rvpj*yjc?g)Xu*9o30nmz^H~tU7K8P&=Z%=RQEndxl^rVo0IlXqD6p?C zRvi!E?lL-G`75*;$b%bM1>ldf$TagvX$eqhjtPPifmuwdmZRI2JEYiz?UIBgKldtg zAx?4%R|9BSVGVGm5hL2TD;AY4>}bN50F`IP#ic6m>6X@G_%Fj1Mf(|jS@Dka6rVRJ z6{$|>5_<*4FwuQrD02B7RGwGs8ntRKNE`nE8j3$~h87xLjLblFm@K-k`xsnnJcW8_ zy0$gzGqK=1XVk?fkn94`y^y%G^gNAx)8O}zo{PHLOHvtm0|H#lEMsq~fM!;!@;gw} zWqVUs&+4Vfis#!u_+Oe~2vqhRir4B@t46F_H7=B?VYyOU*C!B4r6F$*B1|YRlvtkR zlio*1>r(Vz;ZfN^i{zkYKQ#pv!mS1U8gc|}fD@ML$IKuEIwYW7qDT=#BE{cEmXQD2rb;RiENip^RaxbwO$|*gS1^-M|HFDmy02uHe9A1 zS0NIQwcG`T{u*)oDwM}~rpJ%|Vx~lLsg%KBOu82{@Te^x)K@_gx1|r3aN@!M#3mRv z$X5(q)M3R;F3S&(xT`*(h1xeRL)r9xp?5lW!o(u5j0M1R*<3E-`v;Q%!O~TV0CElB z6gEC`0aZcg(E@JCSElo0LwuJYe4ke>I>4;+CIdA4c`}6{DErV3N6%eZal{pIR z*gY-C@|SV1mCh~}8sipH?kl7&+t_@CU&N>$v1b9~L$Plq3)w)GAz`-`*-=UJ8e#|v zVuI@H5}fSh1rRpmDrOH~h>#%;w!q7a&v0q-jm+2R{lz+XY^z$uxDTag+@h0KXJgd2 zTHKjv$Ar2&w8OM4?4>r2^#)5DYW5RSCBIY+51_aOpTHeuMTrIL%F2vr zXwkO6Y)}xn#O>t6;Qs)`!YbFedVjN_RcrT99hR4b7RZT9P&5Wb0HF4H1f#-bBz9wC67>1OU*}e&wuY8PVOO0(uR z<7W$(zWe%!YhhhpdQENe2?L^|71FOK?pJzA90$ijoEsV&lpIbsRMCmSToI zR3^{^{KV zV)-Zm&PyO4y@gfI_*A&U526AnjneJaO5&s?fgALUy83L)A3sUgWkjZxDI>zxP@5N7 zz%s7pf@vP@r7cg;VaLUMP_T*!0_R*H&)KoEqOz9YlKr{tWa1j(`iuA9q3G=T{9A$5L4 zbW*3o_yS(Mh+H5)?73-ye?t2o732lKs7xp!(K){i`Gv(`4HdGf^(%gKOcX8C^#ZDV zA5mV0Ge2k>7)mZxkO;33{{WIK4nh-=x+9^1AGvR9c+cA$9j!3UgP8_tHH@iQAggvm z)mD&-LzW2JEoT1DzoqRS%1occkc_?t$5&P`4MmkGydEW ze5YHc85PZgLJ(OmL%|?*;b^4Y$_^SvjW%qu<@*@QxnR+R!36cz6B zc^&yU##$t+!e(Y8V644S8eB*9Yz?aNKIiNF2eD^)yq07N!QZ4JNHAIXAx&LPy&v4f zx3ns*Zc{JR>?`{=T3s!Uz=jOgiD0EImX((wb(;Q;OtGz5bi|-yjH6^UThsME9AdhQ z@)oZySt8mpZgS#OISIyoq;FS`dRvDnUu{J%NUi zY`L(bWnC9hgk95jotX)PLxCF!Z@YRrfQpi~z-Uwd=?cq;CLGL?RMs$rpKyE2re?k?Vm(J$m+bFy?lFfFS3jo8d-r0w|<9)j7icVSF4y1#h< z3j%`j_7aD) zi~iBsSR}mBU)!F$3BQei5S~6Gpy?3+7z>_VL<-FCqCD=!8uBem@2t5XAqFI`Sx@sa zFD!=N^qDGLDl&@wl7;F9AR7=61JBI$Yp9-e4%VY<>(s4q0NygX)c*iR!Gl(dd_*fF zg9X>alG+XOVd-Iia=@q!tf$S1KzltDgisa8HSkM6a-Pk$vkN&DEbRjOQBsGUoZFCW zQO?HoZS!TCQ80q#Yn7bbq>htYcNjr+bouOP@>P0rnMuC0m#}SVUPcDr)T93Zu`UO! zve0H{B>`H$lKp@<*)(*~5OvK1kugLClc_)Dj`b{*>Gr@QYT0k; z8x3kpUBCTA0@4Mwk!K-mVrZKvRp0L8z271#vD=2*MtgoldN0FSZFV$eH7^Qf!WIhe z@*?QuXX!1yGc7+z!H`y|z|t@Wt2{1NBcieK1%~Wx->3|SVWVXx>?qFQriSV{KzdVV zi>NV_zlK-^)+Z~93!r&|Ypm+#{cWT1C3Ui{p(~xzB&_>(Ar+A~_>~f>K)J>GwqOZl zLRJ0=XU$a$KX+^rYbAP`SPEw3wUXqk{K}0iZ}O4ee5gb4+{cpbCa|*d@>nxtA?^7$ zQkT+r+@?O=T1prnd!?Mh{wXk5Dm8;c|L_f!UakrtX`Wr_GVv8W9P z1?{A`H&`&o4le_r1n{_FEZ^e1i)xQFR468(0JVqVsnxihwaLMQNG6EeFIh6wHC*x* zFIOwiM`eJZj+dv#RV$;ti=1XM5LwHmG@q$(Ii569tQrnCG)zdSx=7B>Mq z$;F41Ek&r>UgybJE%%T`rsC@3rP8HUGqV^{?kMwjKYF=j_-QV$n5M90TkND| zu{Ex;s^Fs(IKTx(6-`&&5IC^oXmwLXuNXA79HuJ(O+F!Op{Zzy-kZBi2*Lo}1tKUn z8jjoG*>?klz)CvCx^^R-fE3ic{JJup>`RD{J&K&ZN{eG9qrpH*qRU}s1Pr*izzIKJ zmI6@guv36ap!N z8Ez?~--$v@OCp|?uksAORU6fX6L|O^EydLuCF}bKy#cxZ03kVzlRT2nz^Ft1kHV!i zHRM9ewAYe>Q?S5CV&HNRmRU8?)7^n%8;9D5XUVpr!dWqRIVy!5kD1bjh%wq4gSD5c++9lTWYX1G{xW{ze(* zxdxF~w<#FGsX$#!f(3?BwvcW18)jF_`ToW9;Vr1?vPt!2%cQJ>QGfx&mcjz`D2`S7 zsfiQ2g!$DB`VntU}CJjCbzsBV)5nVdmriWQPrQG_2L08H-u+!J}JqT9#LMXcq6vEJq zy7-s{{3U}cm2+YOpk}gJ$A7)CqV|Xe^0)?gS5>k*+=Eb+5tg-dl2;NpZr&0 zu*^kkM&3WU}t`i%-GXU`0_aLFv1clDo)OWDKAr0$E{_z{nodNTQX3^y5rv zgL^Upw_tRpJO+CNGWxE3O0u)FS*Qha3awcC^){ZWNBclvzH|7f7jIdK?Np#WVsb0y zBVnv#BM1{gOR<&67y1#*WLkPfU$}+Tv?~l*aY(^-ReAsi)kUt#t4pXguE(rC3?(a- z5@7+GEEp`Irx-dDoSGA1j!lRgY0M?4s3~f>$N;v`mc>=w!G&#V;_$H7g~kd&jC~ed zv=t19f3NmX794@iFCXxO7cN>Zs^_HwXbNERyR(wm1+v~^SUouh_xAw+R1W3DCr8XK zSKkVaM{HoD1Vb~*Pfs7u;P*jgcUzB7R4l~VhnctnH+eBZOyY~iN6en3p!ip$^8 zaG`UR5!E_GrOcEgCk<-*4sY2(hLvS1sA)mdoPoJk1aY}rKmjE}A# zSu6Od!B^+*ZjRMd9@%kttWk#KLr>IC&)y!XE?f@fY!EWmSwoj!w#y)ou*%w5wDkoS z&6WHQ;?U7k_bZVIl~*n(3FJyQ2Q)g@1m;^S7JO33&;j7Q#0m=%mk@J|fe#}c~I>v#t%sa;BCV(I80jlrFS@j1Jyn>c@ z?&SiA*bR&XcUB=dOYK4f?vOwcDYvk*%7o=ZPammgpv`X+p4>Kcd+{2+e`X#30BmUT zUEwa6@+B_ymS*fK#lo=k>{f1oxbKL$IDQB~-qb7dE^Nqh1;^p~g!cZX2tINh)m8q- zt+ou^eLan|>%BGBQCs5giAQDh55x|KHs-&-Fdm`5h&*zPEIq!#g`6XhVU2CUFtGyj z?lb6Ygenw58QDWUrl4a=U;d_?VRYJHN~rOl$-~+fjhrTn4Ja%wLlLc?)Q;# zMT3C|%!zMdAy-frU_PbZzTb5iv2-iAa>$vgrWGH+T3`r`qbj8aknM!dP%rYjnj&kp zQ2J$hQiJKJbQH?oKpI2k>@uOw!qIJ!us)?uQD+L2fi!|;5{{Gjzxgg%XW)KD7R)k? zKlcdhJh3+Q2-;}JzcG-(S}ZCYR~(K*bKl3Gi%%zJ5I}LReZ&&p-v}+7i+u@AEo8Ys zK3ip-EPz2bF9rm399e7zUrY3v8WvT{u^F@P2=93Rt1!acFS5UT~0^3zI3shV{(#6H56)0L@rh1g^ zK)*?0l_S4*lZEfT2rEc{4?ie@RihHmlLJClP}SRYzQAqBF6u0p>34)n0_e)U5)kLK z=0KE18~rL-OCF|!#qGziG_Bfzx5MR@N*m-z`_3S$*aI7T5}iIW3>I~^p}d4Q$Oh^8 zmw*nXg$lV?ET*cKP*TM5B7sKDW&Z#Z4JX8whi2u>Ir~rgiDPOiS#&rYjrnkDwb@XG+rNT< z1AQP&fLiM;m|R{qu!kCHup(E(#EB1VX%QC=34pc-+NN`-5Y?4}Cx*(_X}y6^sP7lB{pcGW1-2utWv;rNPHq z$)2%XyKs*=G(wU;tgPm4Mf7*=d#XgLuX3 z+aGXX7&*3{-y)*cnGVAbY6`RrCI$xO@BaYyjf?w?p9F!^9N>PTtPfvUJhuDzY)7)e zCGxTY;lH__*>bxG_G|Pd-|a)VJHObeFW20vJQKQ%ZkUMH;-~vha&eFNfqa%cmQH1h z_W@W$E}fYBLtVeZxOrJD`yER_&t@UnK-IFXXVl67EAzv!jeaY0lDWDR``$0M$Ma4opL^km&;Sx#E>=#X%}OSM3p{ zW_G2mrd&64zC}P`1QFLJ-AWJ=fbPL<_$mMjc~O?%{^nq9WfX;ErvdXU0q5v>79U}! z<2{x5tAPOi2dgY^2h)%}bzr{<#{& z6C>U{7;;jl>NBZzN&#CsV>La1HR%m?j{Bdo9*s9_-#{CbF<74FtoA_{+ z3GzmHqm#?YQa0l2vV?L!_5l-sMO^}~;woMO*R=r-!Mf`xGJ;rsC|GCz0E(1cSg5?s zojgHqe;IbwqFcxU-tqjc(tNmhK%g9Gjbsx_qqh3I2naPnC44P%L~;%amJfkMS*K*{J>#%*?8@c zI`@z??*9PD8zMmu6EWYIj<`p7%3A)SH$+Og(NOpze5c7!E;VPw1D785AIAQoLh=_r z9F|Qi=^ls(sO&Fc^~1f2wlUryLhFEB@-*^oThbSzC9OeK$L0Vk=zL$eqP6;Aqg2Bo zSI9%tD*Ga?GR@*p^$p?2k*i=Xj{7sE5}v;X6%j)ol9P)3K%+*>S=61ORg7a{Q4la5 zL=!Cp05P$*C2JK8&t-d=2bb8qe3Rl>TI|1Q>U@y2)$+2^!_c(&R0$yY`E|3SOsci_ zB?~LNhQiiRku6AW`UlysK{hoFlPUq8%Qo zZZ(@K0b8o%tj;oBn2IuOF^E#`{e}KiD&wU9s}#>!r*Pq-RVh~mTFj&`oY ziH`|o><+HvY$t=Oh6@-TC1>hAdpPV*)eu_h5~ZFJsrM;MzQz2A*`w7))d@ir<^Iyo zJLkly*w|o=7R!@=sf%o(J2*%D@)BNdzp-P+!1*l!2+-NkUB~OQs9Ey}%|C7YO2_sA zIRJ9GPx}==ODd z5M8jglGz3D{KVH(zgBhw+{}UYC9#7|mkXXV9R;G57HKUnzq$K87epfE5Spd3lrR^< z6}u=eQo}bfc+0rBiUPiu^C}0e756LVxZJ?A@H4-Y@KpDP){p^|#2rR|673#UDt?;! zgWvldOe`scX)Ew4#U%+<3>!JG)I>5FV2#A$^I_pGzW_w7nM3zT>s^UHJc+FqVs;?v zKBcRMm@Olt1ZRESfQK+&hqW$WcAzRUf}c8(?iK!ZgX93TfIcc3fjh`LF{yIKdvQ)! zTHcPur-|5hFO@_~{{Wd-CR`xj)aj9WefWn;b(p3Ryf6@;jdb{x9-C3*6_s#j)KRY& zlP#9l@Ff_2^{hR;9G3p5ry=-zaG5fuOBiHVs65nhin(Wm-KEYi9}=)FJ|Km5pP7gs zxw5Sx1%KF7q$&oKvuP;;CGM9VQ^`rN%WPQ?>w@4bvRVOakg;R(Igz~-iipZlJ&SU$ z7=Xs#WefU4Xh#K?enVy*cIxgn-}wk~Dk-a$_I1-`0_rzVe%afAvAJJkZu=0AT|CNh z50`LNKd#`&SHc9-EsaD2(D^U)IZRSP6i8OY2HxK#poBcW$9oOPRlY&7j)_@oWrz_pAy#}71o?%_z+2zj&ea+QL^Bo9U=d~DohT?#3z48DJ4~@x$17!^$o0RYlKni4| z;7s+0-(Z0D!TZRLi)a@D7okipZz*lbFgI}tqePtn#YThU52%V1U;7B7fiDme!wx>P zrO)Xe0GL2$zdTcZAXP>C2wGY|J!7gdWx`YzT^xa;t>((9>!E(w(ba+?tqm8-lq~g< zz|Uo^I53tEjIHMqlWm2=QDd&ZkP4d^uEfQ($U=iq5Vw0;{j+`Sx^5(7+Q>De4qN0{V-Qws7)mWHI(}tl6>0f9EA9x6 zr2|FWae01wV2a%==GwQz$6fH%zcp(1uU7o(=>~5ZP2z*&YBy)cF^(2vfAeX>}+9`H?6V zrm+ACkSX{V_vCE@mHL2NLJ*W(55rNJ^T_ScOIW~8CYtOBeF*?qBM|9P?>wuQDzeMc z8A*-Hg}DW|)01kxMK8rpvWT{!eDV#qrwEqK{6fo-+Sx-4mLWrDb7x7;G?Eex#+$F) zP_P3jmGUOi>WkPS*lulDLq}O`jGomj`gHgA5v|MHDY;+<6Tgd_Q{(Y@_-U4v4M)ij zlBfEv7)?pG5?E>?=OCWnX$zFU+k^^KF_jc_Z`}D{Y#(A3_}K0Xe^FL$AwD$1y?TfB zaVsAG0N7wtU@Il(?0|27Ft3pn)y3ASl`&I=&7F)=ipU7LW}Ccaqf|EL$LcTOKf-c? zz!e&}WMI_w{{SF0E_}}yYRH&wQ9kqHS72pV*-_D75+bVL1?|O4I|{I3>B0DN0-+V`v3UcdG()n3 z*eO$ytZ_T;eQbV3qQ9xF1{tq zsjKAElMD?yX}KvDo%@eMy2wKyr%Q+y2~wct{>(Bo7F78kdo9cL92H-21QaFIsn#%N zmT=KiV{s)KMB0?i1Ev)|{7V*R&%~&WYwjgkO`ZCH9#Y1oH-c=c?SA5ouj}R(wvPGn z9Xo%x^WlkGeUjjZfbtPVD?(bYxX~r10q)=3Mg0&E?aDS2Y^t7?P-ue4`D~Y~K|GBR zN+V!Ft(Z)Prp#i{5Np|ce-^d@CCiu_KPh$VyBY=BjW31@4|39~px~@mEl4Zl7)+v2cc2;h(J|;bs4rk6!N%&Wv!|s#wGh> ze>)%8mY@XXTrkSnW`+cDag?m=`z8n={IR!)u37a2KtEGmjjUUCfbR zsn}EsD)W~tUL8|3^bc4(Q6RAY0EB9C{w$!Br;t2=SOiN>f5e~~$SgsOy}h{GQj)3N z={P5M<`Vbd;-B?LDQ^p1_T^fXr3XLr9V1y^aSskl_4rg&UM3L6pME8a%oxZUI;LG6 zYwe4}alq-FiVF94^#~E6(MFx7(S33`Nsmao3QaKb7TQ2ldxE;n5nsUU>?m!VIqXc2 zO{0>MEWC&yMZ+WCW`N%QA<>&J!W7VCK-}sJS4HH#U?48Opdn8c6JK7#U&!6h3WT?0 z_>5lML8id-OH}#IkAej`ELN(`#-L{h3Hh77{C5-QBQm;p=w=hbJ-e9F7Y7 z4xd#q7F=$i)92zYS%yC5gu(cL2DSk5B_K>t8z~2B)QvzqZ{O5NraTA<$J!(`#=$Hi z;|iqhTK@oRC?tz62^7+WM$d+_+bWJghH5zC-a+@RM5ckb!Bhv=aMKMl;C8^*(t zl2TAKZ_y0&lmX9*Vy9P$ObYo~0Suk?%4#Z-`RYMi_YO|9F5IKjG6zHj!ds8*!{BoT zWPlCPd#mEmQMI<7{KtfA$0e8)b=0ZOt6?F(e*0rQiFEc*bU6VPLn%dha=iZl(yYCJ ztvJ+lMTGKLy18cE+WtgXh13j}67~>a(xBuScRgg}AVU-J`p^>JFk;8XGKO+e>*_Ho zDk+5w{0?)G-<2xr;tS$ZV9T#)*@A zXGK7Pa>7$+Vk{sl)D7$~RIk~hsP#ri?;tufH1lCfGA*3tSFB$o0Sl>@^%);D4&A~d zEvnY%)By&;{{Yl*)fcQcZ`u*Ueenj!Yewfq`XMh~<7{$UXVHN5fQl}N*U4fp^=zf| zg6ioo)G)e_qi>j~UGkVSAXtZQk+#ezUcW>~3=BLoJ|JI`v49b}E~Zw>P_9lDRX%2`YsVHjO-hd42ZChn(`zbyW-_`)7 z_FAIuKWLw8k;`K41cz5)`;YE>(49*-g*8MFDvckgq%B-+CaA47pD&4fSWqug)c`=T z25#J|E$qamwA|IAHIJ~uCAS2PGS#C#qsQ!u`3m0C$5>h$9F05sRHL6Tj-1s003af)&el$sJ1>wiSe2R=z#b0lY1UC!-Y~H~-Q)2D zRF9yc?yA#O5}CN#e$W+h>C@shgV|z&K1L=s*NccoE1rGCD__$h_=gN)zd83bA_^ev zI_#ol+^TJR4yw5;43}5Pb#Nd2e-U_!mwL|8*rBm$vWq+mq3sP^C1c!$zOqj_CioIi%0DI<;aYzxuQaKKnl?v#4 z{fzsC_*!*BGJ$tj6!ukW@>tX^vS`#I1=K~eXs^_^;=jZAWj&WHY_z+e-{4F&IHlD~ z@VGxj6gYgwc;KhZ>P0J|y^TuG(jlk^w$!+7@te-KKH;=Wu3V~Jd-X4p&0H6BWrdrR z{@^){F6vPQ%b|UMKW4w!QMYQ;`vrEk^RXru_QbWP>QJhQi5XFF*%GMUhN~?hg3<&J z0Dnk8hAhk%O&8fQW$EcLj=3%;YVM>zDi>Y0NIP5O7XMd6A;=#$u;F^L zqGC}l@7WQ%U9LXUqh{Z^vWch*w;`)KzvQWxQqAGZ4#8F-^93?HDALO`JobEuiP|MC zQrhIYK4-35GVOozR30@cb^icmmg7)=5wmCZuwTh3P;X_>&>p;&I0^F-iaah|u?ixz zwIhp^t?PTps1*GmG*k)&!SW2Lp94HKkT6!$u#G=|z$hzpsliJube0oQXK4@x4>OXk z3AVyT^DC!Bi2)FexAost5lA&OaITgQ{ zQ5vP$uss!Qwosmejlp(dDN?ZrfXsZZ0hMe<>u13p^6MXR1=k`e6bWM(yJQG?eV6!) zL&0j3*;QYCFb+@Y=cJ{JATA^g-$j}FDqXvJXNyo-bZ(%(E|`A5&FN+cop znPXK%OQ3I^Ooe8Iby;VVWAzXptooXR5+;$}{{S{oV5@TAH9;kU4~g`yH7V4nYftQ# zU!>Ol?Qy9{(!$O;XfCT(BI$b!=^7va%E$^tTNT_Uj!+-DSLJR)WZ3rc#HtqI$k}Le z)ez~vt*ZpIEK)EYJeFrV-D?i;xK-sbORX%aQ{wphD8g$B@)m_pKA=q8Hp9q`6_jf& z^5KfD3Re?i_M+{7a4Pi)wKRaSD?HNz0AqHdff#+N0Jh3Ka{{jxH!Vt&TWnRS^8>WS zK^|4BQ9XfHs%^U>A%Cx^-=F=6J!bcGn}X~}Rp}_STEE1(YOX@bTiJt0Wu=Xj9gb#_ z{^C%q0lb3tzb7TCn5p_9d+x+(coOyBaxPNW@3k^D950&$3MAO3HQ> z5rCJ-U~bKRgd+i8K4yqZRE_Bv7J{~gko61LgMb#_#1-5N{lKG(L=Qt{A1~k)`jwK$ z8af<(@>g@164h0?S8C>!9!Y*&I!3~`ejw2p7UZBYkd+(%0N{-j!XAX#L$w_iBvC2! z0&)Q2a>M@sQ@en?h+uUFAY0VJXIOgu%RwqJ{4AwvV3fVM&w}|Gr#zNgiU;EGiwkXE zS=RY3C@oyN%((memetcH*L=y9ii`Z&pzSCgRHDAZ?&3TJ`=26RHamjoC5TZf!^vaw zORB;KRhdktRj55Xf7pWB$$ET2z}AnJGfB_nLGb;>z+r8`heYcsf*?9h7=7?Z z2mP&^i9!%!mJjLxOcce`vowBLwPOpYKnQ4GvWRPX^5Xc|P^K8e#Go5rgHRQJgApco zA2}POQjvjJB5Sdg32g5mb$aA(HTuYKrtZ0H+CWESebl&NLXHo~0)YzlpA4wTR?^sx z^z+;&_cBEn*y`yA|W>`^%`54Lb5PulS5F-W4oBS|R{B^#)R^Cg=tg+g+ZHP?%pqGwEfY)MgJle2U zpMEB)RskCj0(_!1_@M08UHFS=M4ovsTCouL?aNm77`Jrhys2O|(W^OD_dVfF%O zHznrzDunu4OEg?kE-wIC_Q9lNv=3ne+n@;6fpz+n+E*f=2|=rsGq3!X#}J|w&m|~> z;j*EPV_r&0iY!XN&cpy6i!-oZ&vKt9qPf;vS%nruc%f*F>}sdyu?-gi3>n~oh15yY zW&Vhkfe}L`OTCLcg-L+`%~#@Ea>fqe)x;|4x`A&&v|JY^*46x6OS?||Od2UlL#*Xy zKmozERRdCvgXO}~-C#h~X;yry9r}IpG1$4=AxNEyU{8uIt&N)J(xv1wTlaYiv+`wx z@+2<19Jy$5VrX1d(p5h%Af`RH6sT;|Z;5L9B~Tgwd}D3od_%CR+hBpp*}b5KF@Dl{uHDruHA(g1zN z!lA~X=rY+@myDxv;W-Tcaixc$S2&;5E*k-9OQ~*PgDVY&`xb$i2n)!mO1tYeR8^?%Ks8WiZ1A-+j)`8<6fGR~%;ryKx*!p8d+ZX8lqLbwlfMFwO{rYSi;u|o1iFt zdsA(ndTfbkI0^?VcGVTX5xZK;U?EVr16#gEtzy(p;1u%Dh=`Xd@}~xN{v|-U^$Eiz zwJphi-W!@&U&L;}`b*uEQE)dq1_VQLx3E;9g-aFcQk#ETwh9pgQnY1~t_t#4*)CM0 zszk&LfSDk07dr>)RQwPN?#`sU=Bjvl*fhayKw)388Xr|Gc6Ap208oU9N(__;*>!S$ zp%t8!fjP*s55SZrkKwp>a{j($1I0(?T^82aL?JANlJoEnGV_paSVdA1rD6CgUe{}G zA&MrT36KJG#$6x{6FB&SkU{0j7hVm%90EjvZ`C;%4Y;F*I35G6gprF_-=im~- z5VmY0sbpnJZGtQ{P^^L$?n5K730A0r0_I2e5OOB-5-spG6f5>TL)nsxP{?3LH(BJL30>|8>o$B$~065fLW2?3t|aJ%7Co|c6Dr|zU&;e zp^OFVLjGS_@pVyI$OI8_8(~E-grP)5L;z(m-27Lhuw=RM1wHe=>?t zJ|SCc%A#9~zPS;*)yuqgrN?Ms`z8GA{!1iBZU>-3^xbjBQg#}zn2d_0dct}d#;+l< zYM&>K{V)SX{<${_xs~6%}JybmCQHJ{VsM zNBb93-*)1>w0w>`e1ym2D1<5Ju?7!>sCtFHGN3}YD3{_P>n9rJ<98?H8Fr_Ro{6H1TkP(oP@}fR4x*Q1r&7qgvA`V!t(oz{lU0b>cYgju}dKG z;X!lha+MCMV-PBk*#HfJcE{)J0jR<&{44Q){yV=DKk-x?J|dI%9z`$e0icVEit=J_ z^pLV&;nF!SxBkMjTls*W6-*1HAIM@B!VP5Y@q#J4exOBw#a)zOQ3#x2#KiA!#666t?&#mzen)NF7fjeSj5DpeQz{{WD|h$+;|jPqI9Pt+Bh zE&lR791t!S=`8)7i*7~ZFYY(MDp^!Z`p5-0SyGL!D`%Hf;`>6VuwsCyJo zzrM#s66Kwml+-DXv6$ndguPr4G1ueCZ&tMQ-Yx$9 zA8(TyP**DX5O+2Nx=z}76;%eEDpPniH^Xt1r$=qruEGc59kaX!GBl=Ct6oZ?kI0r1 z?1scwdSz5mDRA4AcQYkD-RvVbElY0@*T%_Z7XfBVZ920`t+(V&w$>3@>-dpPYpfPK zQq5H;B)soO{w`B!D7uBQq~x#(fAo}l*n!OMKyPhgFC%=Of&h_FpJZ&wBP+-NRhE(T zln2d3b%k4XrZ4l%FOapRsb)XarH?KHsddsd0N2N|ghVR_l%i3G>hC57FV4jcF0d_M zIS^y5p+_oKHXz_@0=?MF*I~l)6}1pvLaHj+v@S{wQ7Gh46?qImv}M$Ax~O`7$zfeS zJca@^q5_8@)eY%g)E!t7fz}~1U3>-Fr~^n02>L^i6Ss{*p%^rcMt-^3^djClf) zWG#@=n84jc3xiNDzB@GeHlI@jj%%`tdkP*;OY>U*)7$RJcB6wpv$XRaj?glmsKod<~)&FI|Fpb0`C6rBdxXs z10(Vfq3%&aKS=PqxP*h!2ijMlmP*Pj#xUZ+>`^U?w7)HkI!<{I+Y^ujO^vz7VNfhF zRZtCL$%s+$$VngBxmXDeLG^tlooxANe@sna*DmIXvE)jJB6v%uE<7xGbd@z+C6Loj z`Pg)vhUEF0fnkoG?*9N#s{V^HZML?FbBQlpgDA^AE9N_M^dG|%hg%`H{32>zimefV zjhtokv&HHqN3PGQ>$3$!soEF90Gd-qs^$5<1=h<{h3)KSRI7@}Yr}c>34@`#yLmFc znL%PVD^e)mh$;w66qW3w2|!Ul#7+5>1NS<*E^QnC0Jzex>TJrX>_VaB-}USpo-xBY zU8tnEO}Cz9OGkBDR6>}KVfARouq9_pvYsMjqqC}t?`{LB>=f)m%AtQT#AT_=`IW>+ zfBUF-b*tLBl#ndUxtRJFRtp-hdy0l+(MQ)Hm@O1c3}POmNUfFyUTwt{1^%FATYSS) zMFE2SRlmq>TDXR*RH;}vAWdkm`zl~U!1fMpwbjOp_3;*%zre5R5Y!8)f$U^hxnV+_ zj>fFyLAMsZ%Hz4~2nfGO>ze>HacAnHmlMO1h8&ePH{PZ zxWUNA_qzgtrt+bS1@1tu1;Df-uxtj;%)b!J1wDopD7Eqwi0J)P9t}PM4Z-kR!r~ZY zw?q|9Kr@wFwKj05hAx{M6f=-3eK6%jDwE}Mrn&`Na)XIXK{Mafq4VP^0B*NhJ|o^P z@OfUbprT&KZe5K&U;)aZdn&<~Zwi(uLI|UE0m&Ys zyq-k1uVg}Zw{vum)xt%&j7OW>C3=i0HB*}kJvh)rvgsdVW{ zh{d!mMWw-H8c}^cA`7IH>c5)gI>r@pTyt?&-8WGv^a5=487w}I$_ou8n)r{7hx#%f zlQ_c+s#$JHajF5=A}Id=`!3}aFYUyK6;FvPS37h4_9GAlFJq)^>Gco}-O8z!xZ~*# z3hcjciVA>-m-7l8lpv|uyJ{JE2pf5uD@%{tS)~^uCDyEL511za^0H8oKXH|^2u50h zwoq%>+5Hm{=@QIfnEjbTY-uXLP}G5fn%Cc9wStBRz)DwlVvy@EY-y>5u3!M}#E+4K zK-eQtQ6=fN(`pEbI&3Zzx(kQ4hko-8%MVw2z_V7@#HpgE#BIKdil`J{rbcW+pYjMD zsiR>-3H_D5cu%Mnfhz>6wdVdS@_r0Nr%`!(i_3PQ?7FT+zWx<#1CojbRM4=htARz} zDKbBBgTI31Dl;BeYFav8U02*;j4B<@M#KvZaIJt*f%Lc~Ns&Mp!<#6b&F+|ing0N1 zP%MPT1Ojq7AuiHc(SI;zk}Ux3lFzcs3}vtD5Tk@;W56AZ60Ixs1L@pV{#-%H@c#hi z0~l&V} zE(a)!aqLSh(@-#!&t+lO5Iamk&JnGdcA7+VTdg$_ZLKNW zo&iVHq*mk<-+pBU8~lnyPfA&sE9AJ$%4;)~kS7raJ}|nC6@y4Tsdf8-;C*mJyN}h> zE6w(v#$+jFm#_?M1g?XKk3yNY&Z>&#$4(Ym!d6_kwfZD`3;n>^MSRC<)QHNHsH5ANObzeETfV+(K2ogf_=qmv z55(>}JvVk_+55514p=%s;*>tn_gU@7Rnx=8mxN`FRr!Hbqxe*QAaYfH54?bjVOcZ6 zhRrQylz@Dq*fx$JQ}tZ=fL=GoY0AaIJshmAU$6lJo0bi!GWn=H8~T(cF7hlWIaS$j zUGfWTP~<&4mA+?g64VY233Uw(QnKLuGS5#XN)8!oR@Ls@24xYeHva&TZjg$av5cm^ zUa?}9mo5&K1xvBXaBBGnc!EBjN;u6xqVon+2c=7yM@ZmW1xrou8-mK9HFAm^bE#QY zr^^!4+R|O@q5FwTjUv^up=DIK;KN<65z}l3={H!XGGqfI9|#b?gatS}E?Z)=vAGJQ zA~!0cbcHS$N?n_)to&4j_mMpnjLd!w2ks>xD5eDwQMhwC4k15fKM;0uxg2n#k%s%5}Yn)}I0qyb+$Ea>bO z?6ow?7w-*0)k_xO8*&L~;V0ImCnWf#Wu%2I0KAqb{xbK`c3D#TF)G9#{J=GL`(`M= zre4YwU60#mYFN_L5X_}=BxQt3t#2Yv%OqWie7%m*^>Ht|Jc3ZAKbd*})Tdx6a2Yl> z1`&MM%BOl#8wcFU3-T`-xo~nt(*r|?Wq>^*A8wmh6-{T5#hjQEgi6L6VGy@66|NRs z2GOG#EUVzTP`WYXT|}Ww$$}uFuZX)Dvmp_wcd`266Gl2syHdaW5M(b&O&jrkMS`!G zxR{u6*{HRBe=!{t9BNtc&bpg4UU&B>fuvh0#XuZ$I2 zM*XppDU^)1B&NUROEkJ}Twh2rR@ zz$48NGx&%R_lZ5GP*kp>EC>^xI~QwOD`iKW`KT|TB$VpYamTkKk>ma3h05w2o%)5*7sc|k+-AbqKD2kvqaP^lNLZ2}{l>%)3QAN~e zg0%+AEO3Ezmj0z&g$v8N*eTk*`kf|rSh2Rh!VAk^Wwk9(ZCmCo1QC^XL@t2j`-&+I z@&zSsgEUSP#+A4RbMxVrcUY~7VK!M8*NuDqyauQqBnR1q1XcTaC>%9 zH9BD;Eu*>moQ=6XKU1SMt!;`FGp1y3{{TUHpiy*Ge?AGymVD16;sm?$8uE2fY%2c% zjJpZ06e}uHHo^8=hTg`3(N?oS_unM~7JDp$r`jqdHBQ%ukpq!M*Nn?`Gu7+9koyCy!5N26}G%xVB5xyUAd_v6t7|swZHjJg&-Q z4}==aB-(-TakiusKA=~kCr3X}sC+;n@?^IK93ikhCFE~?fqnk=8A{JgiKJ+;;|2zc zDJ<{=X#&R0F0Kc`zjC5i4SQ=EYhnJ5Z%7 zXO{}#!547pG$rW>sVF~@%1xAYlGc9ZO&rYpHJgmN+__jVM6q!x^h+w6ufe&50Q#7+ zgF`6-y!})WJEt}acS5AZ0@#v`f*I_tLp-~Xn!>^44Y&TyY%^Z5SQzD&gVLe3+qifH zC8*#BB_U~eEPf&wvG)Y6cddN#;gdrYB(5}-57fkPd;~SxvvO4kB>@etzaTgVcYR?hT_XFL|mc*;cpl|E#o zHKaD^{wg&N#rEf6iA<<3uOhq57}edgh`=lrq$1jn+)HAWjgJ$JWDPCQm-1MT+(;r- z9|SKou!mq79rz|o3V9r8=Cb|Jz&~&z32=5?79x<@n@T%zESA&wh|MDx^+`DbY8F3b z+EQ|T@hGM=KHR&lOB~o#N|ix%Td{Blh0UEGmV^TGYUy7h4N)-55@k~pF2CdvarlNS zSqivvI#g^SM@s1}J97TKsp@gk7&lf%+Taesi)7e#%6OK{8>(%TAYN@F4V^ao5pwr= zQwA*Cg&aK@I0+*KL!XTNEMfMW0%vv{45~_mq?9rctl*TkzSt@NMbqXWI^55x_i9w) zLaFft=+jOGqOz}JRKF$ihy&N|E{(vmEtC_d67<+*+@w^RlHDuO7(c+#zx==@e0~-d zW7I7C1p%qpo64eMSeniWt3x`sh3@oPTtQuYJ|aJ4F#iDX*b<-@v#H=jU>8;Ug&L>n zZp7xt6+cg@tTl4^k#Fi?vEDIJ1W1CEA*j_c(5@@>2muV-S3r2oN~S5Wx2Z4r5ENI; z!yC^cHC8cce{`3m3clYW%2^FyKRHT)Ly#IhSwo<~qLE7*T;M>{N~`_MjxWP4yacFw zC@vbpYcMDLhUd858%HIXyFm+dYFYJ>Ln4&;JNE*I?qiECw!QgcLNZ>&Q}-yLsu%l! z2K^@@KW!`Xc5M z;3Pug7AT|ihp@G`@H~C)O_iUAku@v3Hx>BArBvepQS8g9QAIEA;4SbnSkerI-$uYZ zNH4?^C|40ExwRWG;9{qQu0%8y5rzFQwNMhRBn*9O;GxxhjSI$5RmiG6dWz-#PerI+ zT`aw(zM##Gn=IJs$cmP0QJ4$q*dfu~%8r`$R-90RL$lKEDy=D|ffpQeJc=5>)k`g% z8KD}DON~~tiw1*^3~p*vR4UK>#9uGT$?{aNq1UW!t!`YMOf9z{R0&;_mb!su4Z`~o zVX!|*N>$6EJGB*F5QKG)8Bh@sYHJ$w%bs*{bb8mh76y*vvdXT6K41#Ezu_vAslo{= zo{cle=C5$oz|(0cCiW?7em|3O)TsHQ0xXqUB<;+67-GeRVRZs*P&a zyX-q(G9Xc-BW1u(+_;9q3K5A@gCBV;_{Q3(X?ed$n!QzfC_WnMCYU;E(pXPhDXxR% z$ZM{1^YV(KeM0^FK-DttJv=z@n5UTZ6A?meKVkwTA&%mHGvA_WuGH8STxZYVCiB@u?GE~XV;He{;;jjek9 zK*#~ck6@D_?xKho$8m(byNajONP!J3%}M~5{ipnr=i3#+ea)8_+4uq2tpgUG5h&x? zY6Mm41wGyp!mnsCEEle^Rqs7) zkp4ic%pU}>7YXbZ{(3^8srXq|C5aPl#B0Mj6^vWjDtd0fab8O?Dnf&;{6No$J3)*~ zDW3So~)NA5c(9f;tH&|(14;x_+V2hPBz0N|wyHYWx8qW4s)V1?IAU=%xdwD1YT7K?aA(TtE>QR3C z2mY!CH$EU}ds8s;u_S&G8==M^- zUcrSdfMH5JJ*uBvHGeXaoYnY&YHI%FX7Nrch(%?kxvYrz#eXg3D8}NHeD*=7Qpk zTTRxM!M{XMT%(km57d}`I6oICEwQRRl}7f;n-Jx=K_3H_MFWd_4eB=tKU3pSgxI z>QqHqy(ihyB88$_tWq+@FeQD}_Hz%YLqydRp(yrfaE5{yM16o%F%cSsu{n~_1W)Q% zezG+W(F!PE6$?Xh!wF(sq4OgZA4@GQ?E0Gwx%C*h_@VFA6hc#3@`0VV+2_;+r`#|C zSZjyL5oOvRn8n=Wg(zj+N)p>HB6yDMhXkR)4ID*>#( za4SFLI{7SJW!VDaKtW61O1gtj%pea!1E=AC1^yt4EM?`Q1uTNnft(+Jr!H2y{DCu= zRq-Ei1E7};YZ2g8uXvO=KkagXbR+$Y8neLTGN91KAJNzaPRgs5U0U4azD#!BL;;eC zR83@ht7oxUK$kgit4jqRQLFP3pdD6nTtM}r?fwFgf%&L*5G+M=uusOzEW)5Aev=X_ zUl3hK;tQ@+&t;W<#U8MC4cnMQoQSua6IM$voidu6y+Ay?TL@ijBkW6M(!#;iVgbkQ z7LF(lzyhNt%z&-ZGhvWamW^tlQo>m?iv7h#$;3A45@%;dWcu=10@gs3jBeaX@d#P4 zN{9HlXxgl~f-bSJ#Pml{u%#6Nm2cOxenoVs5Hm<(d)k;&p3WInLYKuyNtVVZ>f$Kw z!rk@cP3k}MIW83mbjU7m$!s03vZ=5dQGYN1pqQN_V0H5@kEv-bEM!CU&Z6{}F3T#b zAewKm1sc4tlxb;Q$c3=6k?#6Mg;7kUu)S8@d7i0ED5gyRz3L40at0ZXlWv&;+zv{MwcR$FOC-s1L`Czpd*XLcSXk(?frA_{k4u z;sSqS0r>4yPtyo@jWxM9b;JJv5$p|e*nw8d$$)QRKqbL6$PS2Xr+lVgQotj*2vx=N zBL zDT_-!5?YJ4T(}qB8}|^~Qe~9}4*D^)D`3$~*emv`h$v!>YF!z~B~#bjDZ4TjHJtsx z3X8pz0O-!2xGOB#z|oTYbu%td9DJWJ+XP}OV^E=@l-Z{s8;OQXbOHYWQ>bZMb0XIH zcH#~o5r|4zQll6FPAo9&Tjhiy zfEd3oBEGG^nOMp|0Nkw#n7aI>hG<_rn;PAknf#Rko0r*F^*v&P!d$mh{l!F~h$t;~ zSvYD_UL4QLyOal`cO8r?RNBN9P>tzmyo^h=AKAvsui{cR&-|xt$L?IOeU#l^FrfR` zFCgSd9|u@`ArHd)5p~GINu=;w$%pU1Qk5%ED8C_hFOYID*-qP1hc1av3Ai6|G&L_c z{7n4JMNb&=1hff{<5+uCthm8e6m3_K!Ac%MIrwL?A2QylsVgGhE_QMLO!?M!07Que z&2(FYhC+wJ9yAz6kyVu_y@rj{w(#;(FDEQgr6}wWU`7c{dvshx!2C`jP+E$S%^q2R zqE^HI0Ll1t9%H)l<$+%@R|!p-S2S5Ov6dy>N=Bf95ZS=+j8V0M2C4&!xplkAgP(&3 zWWeP?87&x2n06qsGxYxezDA*L8U}UsC~^Vp!t#~R#e(BTiFTN@pJlSo(G>8y`hXQ> zHdzE2v09PcTY*t!D$BS85laETFj9T7y_Gb=f+{A=?f_h76h}7Ls>N5Q_q+ISG|QW2fr~&l~F)s!JUZByPFWNA7(c$q(T#t zgTIRSqh|y3#PI`Ztg=-zckV$FtRTo~+DK4QUo+;bl;g3u{>etbkA zu`Ap(Pykn8AshxGR2Gg(OyCwglsvwb18r53gd&RkWaYaZk{}M4Zt01d8ztAgNSrS| zvJ*j8m)j}`YP^q1EPwXREIBdP_{Vsj->E^Eej+Slo`5yTeB8~Z@&LD4O|479ZaNaH zu&DT|iZvjv!#ODTIgcQ_S^~3m3>2_hfvi6f`zK}ARdNE4E&}GO&zOwZ1-mo~*VRg% zF9;PQWr_-(LLmyjmAHylS)PVPOW{iaD+G!*zmZkLbfx`7(8qUI{6>81pJ_l5&gF!@ z+3+4hXB|_l5!jq+P=GuxQZ_)48aW?G&G<_IBf|qukejvps)mur#RS!mkts?_omRhz zaf3_ohYYeJ6kMt$QbLW2gdyQlmb{i~1+fwea0YZ#!hI;B&K-?i*>a6v#co|-vq#!c ztQZSGm#q50Z>teofK3^(Sgm8t&(#7xVQsAqEHo~DqV`_!0!pIT%?wtH5-hmMB10k2 zu++a|cs-8wsFm1NuG;Lv!Va$PAyWq&)CO0iqY{ZjU(|Ej@E7E=DNMmDYVL3FxK)mx zGRChQjVA0^TrO3XyojeBTqvVHVryw0;a}mCp|9NNPhia3?r>b(xl}sct&|u3!~pDBDR8Vx@cpu)*x&ezgYUDEKbo(=EhRPE#l& zGBKfoz2hrcq!2lBryHLbUtyq>Z~|XFfIsD<)nR_teLv#Eft$o%@~Rmv17 z>ln8h-5nx((WBi)09vpw&BBgFitMlg!cZCfikPclr19i)e#)?wC#R~afjYjTfNZ4% zGNHKuQRb|=g{?s*n{h_E2svqHAfZ9JU;#FZl!5kt$d`6(Q2G?g0*ke)!Z{6b)^GGm zgk{EdcJiWB*t%aO8a1&Csaus+=w$>{+2L9@0eUU{z&EuL^4*P5HrT0WI7{WOj8rk> z5Wi(Yim8xquieVQeInLEpa)BZbk6O=MaSlB-OM9sS;(vrL~vBGnD(AQ!-k$~_i(@~ zrr&bv9VHS0aA8+CegJ@6u!Vi1i~VdWqR7D7mXJjtum$sOTu}*h<<4>_(u?URKT__J zwa|2*zhy{4Ed9+YB^B`!5xqpZEG2#)uNW*Y`2?!a)S!Gh5&J3>AzRqpzw!%9>dLsO zy4V_0EiKD@$#F7kQl+aU{2$y^nR?#&4Qo${L0gExUCfG@Ltjil9(mE;Jg$b0%!NAfDJJ%d;>!E{tLSh(HB z1#$^&z!NpTz*;QrO+U7$Aca&qvY@HiVdkZSK-_nRY#R)%yo#-DeU~q46@WXgAFP10 zcn~gH-=0q6f&iUBV!vO`_94?U3FIzITR*WKSW)Bf!p%1{KX9O*oQP3%e-eNq^X3FD zzLTc{bRI^4Ds<1ZzJ|_5z-sai^Z6akrO^xN+skGrqxo8S4uYCWTo1Iuxri|O(;EfE zOXvDK1srP2PTWS7cuLkR7;42?+Jfjb+bS4!RY5*+mTjp|q)4@;8WN}g?CXh{lOK?U zDh-cl15g#Z#N4_R6q{E`0KeH?hU0*dg?0@TXjl6b_X@l0wt=B>mSH>aj>_&bskjlc z6mqIunX6a6LWYZ_z?b#^03+!XeT8L|Xe(i=$OG?>{mR>w3T|X7HkO~LLEx*ID19a= zfC$i!Ym&rT^5M%u>EB^xCIGTVF3L3^*+dpCzFI*;RB{mpsq8~w(TQ@bH5Eh%oPz{4P|!v~ z@P{W?EDB=K)LMWuR)tD!OKnB1&OV?lu#xI~x-YR7v!%ojtBeCgE+8ZsiUiq1$joL> z^~-3KvwQm8Xp-6qqQ8pp_N(K zycV7e@_@DYgGL-5m>X7izeXrSmTyjf90jvfyp7-K$kW0;rKUNdVNoSuCPbSp<5_a3L%rJhk z)mSp-{v|>&P%& zS3e$?MU^c0qAj=~lLGq3kp}K6eWY!~v3#+js$iCD-G~A^?4gA}BN^4c*~oi2LbYjs z$e}4zs$%s~`}Qm93M3#J*fawpg_m}OaP}{9lTyeMPxCa$~5c~^c#CX&ei^e8wsbaP5YCw+$R3b<1kuU09Ld2(O`igFY z*15l3i)xrzS9PQ3b{lhlof}vg<2r5mMb{U zO}X(t7?ZUX)@u%X8m#N<2AeY=7KvUg*%K*hqoAzXW@_3U(sZ@b5G!4qtw7qWM4*dx z+>cr-kg2>(1Ff%=fnL0X0V?0@Te@$s-FD5LoKbMLQUxsL#@;PX%8K~@ zN{xRaN5ikwLtyl^5dZ^T@-W>{G|=>x#=XWifGCKH)|{QSkj7q~M6G|Yu&$4(g$X=< zqEN&bKxHuDoDIV|Q)fhy_kGRA35TMi8r26$A)6>c?G~1%k_ErHI>dlJ^N! zZ(RH!Wz(INI)mFobr%%0D7=AnaF&b-P{$Z(g-V~gJ<`Q?TMD!FN)|sz&2(((fsN!3 zCqvk)sj|XZXBb8$@9gaM>8thg8dTA|xo2}(eJgCm&DC#6*NYXu&*B8=iZ|RsA+Pzu z7gYq{Xwo=^k6}&H(X=-fGJ=%r!RPyDbHAQsD0J+zlq!j;;4vq z*uu!Mb|NZavm;jJ+)ApsS9z5~k!_q$YhN%IGV-7-bvrLg zN;LY|+CzoisF7BGSl{*~^j468X}(jiZx(;l1^1$~OFXJ_zf2%H73ew=kC_ctRH_o{ zaWp>VTKEW%w)FUcO$4sBT1d5_i{~d}?u)5MzI>-0htujKZ*BtH_^5=|$hEkj6_|Cj zf?Dq&vZno%=fo)1oP$nJ{1Vq@aI+tz0XL~-wjgX7U`JuMQ)`a_%a^wQ0FlnJ#7UtE zYigjOWtAvsWuF9Z(GH0#<$ov5`DDE0YNtWu>8H2;L_i6k7fPxs?Sde0l{X#7=2}e- z!r%5n5p-RCB~y2&D1MTtZ(~3Os>=klHUW08m{cdmb_PgWohOFupvamqwT@5yrOV<} zI7}d(%71G;V!Js|jdm{rilOXcl>vgKZT49!pi_)G0Yvxv8iwqzz$F#5lB)5E(D=C(R43#!FMFZ*%<%rfr zRWhzxvYEOn&vtOAqPdU*05XZck*`Di@Ul>p*(}L7!|B1Mg?S z1zN#szRcUEEuWSJBeI6#_9p)PjkE{*3?W~xh%)kF3TI|jYh7l(_E;hoYm~Tf0QH1f zSE+JkKgZOeXdq_FA+qZ)Mnoy1xlqx|MAw zU|l>^0@h2lrsHH2WBi*fZQMc(C58^Zn0HnsOK6|Fh5h0P9{&K@Kzj@`ejhMY{{Uq! zHV($aM6JJkg;IRgOAi%T!U-u%I`l1;i{DI`+P3aCUv6&D8YSZHuqgJo{vp^@+r(yw zp($MZh&aD5NC~@Q6<$vdcKv~0UvX9A;M@YH-qpn2cGPw4UN<(ewh^k-YxS~;uHIL6 z2d9uPF4}!d4e|qs6>d^a%E@5Yl?EZq%1XA;QrcsJeV)D` zO=^%sZFz0Wz|FW`>u9@{zO7cZk(e&eKL%2E5)Xn>(Ad?cU|clRu$4vt#6?rY6}@b9 z(;|yu69@I5S~lI!&@d{d#v5g@0;>H%G)GI)0b3ans;F820FvhrJ(ofQSIuO4n-aHq z^ZRG@IqkU$5oOSo?!(GbB3Jf;b+?%oH1u`8Pf<~i*n=+iB6P85e0m%%jtC9ERo6_v87(ab>pMK9RZ z`Za+=L4iQ{f&v<(MI9i6OLh^h`B{(x*Md}PpGycO4I8qr4_yTDH{(H18i(uRd#G?%ZSRY8fPM{dl3sXTaRxg zLm=|wTKGI}RTYt!O~wJ?J!LYJ3vbPeyZSLM;kJ^pMvJtRz_8(R3gcg*JF*AwNT9ke8p4&zDku=?7_ClP&VbB8cRrZz`j95 za3NP|Elz5Rlo5u?6v@F{V)XcytXVd@kM(dVvl%RnBT$ard`q6}doKR~1;$%~Hz*e< zqjR%vi~3Hrw?N~MiYG`LF1ft-pLih(d6CCWnw z!BGW@*`jha`KDjvV;yjdALYQ})nUQxLD_rym>wfZ-L<%-67);6{>B)m{vOJuML>&> z{{Uec!cv}*>onc+VzN>p07|RkHl$HSv*aM8v*9dNkCcUwZCl8lOg|KV0YS1}L8&zs z*A$6w!paukWmKZ|fI zvA;(kwKDez3B|C*^T=szq;^z%tZ(%Ol8T)vx`UT4mE=CE#>;f4<^s6_+hGb^u~yus zN|iAJl)w+^SB%TF{QEm58~u#X&}_862pFr#g`jwr@j0+hgi%ee)YXT`-oy=ZElj2e zPLQy>*nDM8j&f`?FyLzCxhutPSpXeAV@>NgZ<`;Y#{5m1U`>j)GHrCz^!6pclm%*u z^g(V^U2)2ag1LT0w#p1Hu||`vyo+Gj5#1$)wJFQ86|gOs8n6Knaz9u!kr9`Y+RdH) z1;JEY62${-MHN_yK4VbWkHZv@*BS64)FQi0iXkmUX|_iQrTb-q#EaODHkf+Ur$_Ro zF7+aZ+>I+P1}$aqlyuAOPJPs{z4DfZL+@e&Di)XZG*n(6FtJrV%lekd6e_Q|1*GAS zVzdp^*q#3Xuq&Dl)qui*RpKp1IR)qk)^&x4kxcDM6KrHl$N+1jl^nfO$V$E?KtAVf z-$nufVm1{~>xKO>D_6+0t)an&V;}i}#L0c4U|ibdf8E0ZjR0|`=qv;?!_K^pI>l39vM(=PUj^PS;`$uNr)doUPMMH zD_u}ks*$f{(#1#-8H> zoh96=l{*n)C9nvaHEWtkz3zEBtA6E5*mdbK5d1upaJfVDvZLIVQ{%{tHdL^{{xvUS z_LOfQ)X=x%5{A;mYNM396ssW(-pe;A?5SvLQ7?s3#Jq(;03q1gs?72N-6KrH8PXdX zsa6`&ST*YatWb2G%UxM+!j1itS(?in$O=^M5r0VOm7qn~{MeMT`@Wt8fY7MDdXbP{1&8DkJ~>QxGF>K3~z)1FWGg)nNE zb|=RtT7#S;5tYAEzUp@134A5!`~LvU9D_=aq(Y&V%YVj7Kox}n=nS)KU01}Z5I1d1 z24GicZQsXv1CD`CooP({6sPQzKSHCez)e7-0aB(?YT8OU* z6i>2qV)-194EAU-!==hYv2)0LK)1}8Y8gn)2G!o846TanBZ2uae(EKT{v_@C8i{uFe8XTq38YoB9iE0kAQy@Ihc5jZ zi#m>tz$mG+YhKL`)rImE6t;;%#)2-Q;eHasb}ieD)(&#mND{QfLRpM&b}Lk(wnn!; zh1)Q2VGSZfwQO72N#QEu0I0V^^9&mBvB>z1L=iS{zrGMaqD#qAEE)u7cM`6whC?Q! zqTv=g1QndUl|npL90-uo^S1-adsmR!`-NCg!hGZYK$2DaHG^Ycw5oT0X9{Mv%N#=D z)60w+Vy;_;hF$w3D+bEYHgW25B@Htd0w2$ILO3C9QV3b}PCAXRo(;##MZ^xbS|fa>5s zcro!SXr&E7$pOgjQ#l`5aF&~CPI(9y_DIo6R;hqNL^z=gvV`b;Vy;tU#e(QuK>$1} z$lced)m>k)#WDu`gSDK^ie{=SbbPleu}Bp`LhP2d0D0`ekYzESDe_P#AU$EatAi>A z?kn0A^8U0IPt;RBqyE`XR=xj%#FFf3|b>wfA$gtJL@58Y6@VN}#tN+-7Wy6(d>l zL=%dEg9zTS4NB=+is@JW;4^#>LQs7S0@aK7gAH)xEoul=T*taCpHkS=omKaYv0oWV z5Z*9gE-i%PSpK8pF{x(viFkBysbf!KRsf$61G=S+SsoW1UXI480?T@_B}J`?P}?r+ zku+^B%ZXA_v#_?`NW$0AYXE%1rGnt*?GDk_=n z+#siJr5$Kv*js@91+9gl3cr|}1&fPSTD`EJ>l}xEqCK&Q}@O~XJX+SE~&|u zCGaAX$wJ3v{{RpmP{((xA>X+{C990NvK|#t=>t??*cEd`#?(mx`57rPC@-+E$OitU z)9NV-CQD_XY*V3XpEAO>lEACy!~sd#ZD2qRWfy*A9b*sCEj(aaYNFoE9X__EI+gxK zTZh*tG}EQZBzh61vtciHV@!Bg>L|sgstQs{Y8L}SK3G}&k6e^0h{_H`az$0f$^+ej zV86A+cH5N2e&QH;5V*-E6mnEQs9#`2r@*-N1wVH>XC6v?>rE57^k3A!sE`#9+Pp(> zugydkE^p;L4&KJUk=O&67cSaDT>E^!7w!@bE-8FqTgR}fxQc3)#rl-zkhj7G0WnDd zrBmXfDJq}IWd$CkRJvaLzQXd>D)}icgOMy_#Vk^caYh_gYW%9h01*=V(>ErPxZh8={jUvbXA zUagl2{D%lxVO1U41r=2~fJ+r|0HaITjT%(itz-%al;F651-MWfOzs1Ao3%g239~g} zRVwfyAPDxz1>gFN0a}&Dh+SPaHoaj@RZ~sPqytT1E;@1<=yD|`;Se4n;osZ~M|~W* zrJZ6?1v~qkpshdiEM4-KWX%LGG*=f23ohKYp%_GH@2igO(j>bPerJ=#U6aV3pNa7D zG$PQBp~G_i=7$YKomX3`e6v7Q4K{xm*zGqpXl^d`l^Dww;6%AsH7=5fLGDYzNYAaX zD006Y)B$=UP2|(gI#TP`8ZtmzaC{V2>M#wH{jLEZ6Q>^cgp( zR5?{CR1H7gLS+O~x1$5AM}EQqr2>CvP#i4zmDs9xtH`O>34|DmJ7r&!0l6rx^^_bc zP^nM4i&NxiRbyKk7E_eX!FyL_Ez0zi_*8GU9EvS1)k7Qr=iE%+3_;ArLc-#+*@1O` zOeR-Jv(v!d+y+L_z|*L;Ev1qp6YD2ocL$S7-G#dfB3E>pFEduNabF|dkbq@wRr z*uaDYyXFv5IA4%Lya*?dLzdcllGk5CTq|J9{IL8BE)y!h2H;B1!bFueKa2b%XsQmVI2TNI~`jh!8OweqjTfQRdmcjDEmY=(sprQoFyL;l?tdsR8*lNQnkrKqs4tdtI|9NIe$`- z-F9gWQVK0T(LDf}6Gt#Kf>hq%DhpM%+bWeY~ikcoy`&3dp{l8fx}nTGeXvOp`6qZKwmT_HR)Nr>^5MQ2AY zXh3x(0Bd$&MxT8#rBzwvc``i=jIq29gc7BVihkqynpWf;Nng1PipdSsuVj8GMd_+UCUPrL{=w z19bI;9@N_x$Ad(ti7Z_y3CAiC007OLO)qgMjn~G)Q>p+i;PBJ}rv9U})AsTVvc%`i z7@4GT<;zy~eTgsuyt!hivAJRJT8@i_3Q`v59fGvQp5#oQQh5yoCpXDgYnKr6<#VLe zt`iN29j7giMtI5W8Zkgt*KS&9iGNWBNE6WeFmt1L<9iV=1OnzNSd=OlDqlY4oYERv_a&p4a!_I4QE{sn z?}7q{{{S-^1CcbdRz3Kfw791ms0~Gi{{TM_!3kCh>b4(664%>N)c`k9OgJbe|F2O#cAv5e=<2V7VJ+M|fKOBy# zhlgd408l-a8`-DVvY_TDzaeEHrI*OJctuO@2M_%~k!n8f6MwGM#9!^yZpc>~L{Dls z6-^khg9{Ana{`#+M6_>+{_=Nnsv)Z&7n|)uL3xLeZ-9Wxs1n5T87_RysM$OC=ivOX z{4<27@uaIGclZ{k8J8+EYIztyfA}DhfOPC~=zV-kTR;VDkJR!hHa{jQRmgxuUP`V& zs$O#0(=^Of=3DRI<_k=7{F7{dUc?GndOg!krS3aMJC{grc;oC*w z08iY7GV#vB9HZg^SdZ5nFOwRaxc)-y4nz(_yQ1f^x3o&z*Y_A<%z>!O5`!dd)b0NO zgcPckh6)Uns+29%}c#MU>cy<{m(eCJw<6jiLxe;yB}bqa)<~CUy79~TQ1#; zmTfQ`dj!sdB}m-3w^giKjf2Tgdo574Sjz{P(%E$r#YT`*TI{I8SK*W*!i;N{G|LMq z2fr3p`vrS(VOR0spxVU?q#9;eo~rsvugi7$rHfI6V6=>X$w=BdPSp143u(cE%~?aY zW0cgO^lxqk0jpQv{{SMYf?v~sKMR-nK{jwm_>MgqK?$l1Dj7Cv=}_Tbknh_pn3P>a zim4Y%HRAF<=AZM}r=e~FkN*HI&WJNERoe&fTzUfv1S;DN4e}i>;Or`fjuT{Vy?Hft z)#C$~{{Wdx6!uz~@jL^MEQp^YiICHUPAlsh8t729p2hSq2(`HYG<*F}QR}Xyup9&K zK9aba5LK!6>{W>vUGzs?R>t`~{b^6kusmB}Ds)`QPL-;-O1=S55WpMtFA8v$Kp~~e zfrL}KUd5`9Vk+F10Tn6Q#K%$q?ER4_Lg9;<7SD>72~x;~PECah+>9p!@lY2O@dL?k zpD@EFF^0g%$c;84AQiiS=zr=(O8Tncwm*JV!haSP#$KyukO_!VzOvgiQm#|lo}%41 zZMX2E6|sKJ%N-UO0tL$j`-ZD!sRD@~VO?CENk|YwK0Jv2t@$44Fa<nzo zomlb!St{6%w6w$j08)u%P<_tL5Y}8#$Xd3tFw=EbiB-|X@hd9-085P}O3fcWB?h|% zgn^jp8w{<9N*vcKZZX9azsW>VPM*bdr6y+})85-q6l;^^W4VZMejv38tS0SNL#k90 z=vI9DE%K3eImiLU>NRrhoPC+FvKtt}8VhJfmmX|I$Ku+Qs>jfT^FD4ITcn~wl(WfA z)X`il6r1H;h#NByJwvRzfD=WRl9BACHx;+H${mch zIo5A!zIW~|+f!YQk!Z3mwR$757M`s*!pXW_!=Hz;&_ayMj8T=Vb2TrLcBVyO8Y1e{ z$Y5wfvy5WY9!9I@85CPK8bJYa)^?&Uu7_$X1E1_eDAs;^4$yaz^gi#TT>G6MYixX0 zm_UvFkf5q9q+Xgrj)+5`&=(lxIb}&M2OE(nf#~)CwUpP8w#c3BMXl`lOBuoA7by8; zZ__UIP#?L2TVq702x7=XO~d(@QvU!055aM0ogzS$6YduLvAg^*BG<8G8A*R|rf|=| zNr|FcQu0#FgG90)wmiF!Ly?UC0Ma5V_J0Hm+J{3eqsdY4%=DdsV*nVU0xnNxE1V!W z#v<|#3WNZQON~b(vo+WaL=0k{TvW1L?Ays##Byj2;eFl@PXt37}((e-bAD#h%I0-3{m9UlFuQPTM%hS zxd(rAKYl=QQHk?$o{8_p z)koYiDugG$hC5MdJwVYKU9=02$%-Ab29s<^TD31*Z}5h+s<0L0pkLvK;{N~w_0Ncj z(^`S!>%WyTQBe@^oD+ z%N5ipTq{(;yp7U}rcoK5_HI#sqCr^8}%GY%am9NXT}m zJfsvdQ?LT8s0mh7wa&_=oHLT4J_a(XxU}3gB0og5Dq_#Up{aYw3Ikn5povFXfRA9{ zHHx9*8mo`?Ck9(<>8hB0K0hE;E;`LIMXc?|kE@B9D=n!@ULU#CD+F6z`;@x}pJ=GI zzw!a)0V&&y$;*Z#I*P?xC4J2s^CFraE}|8FyX>Mss5xM7hhUo9^yJs);-ZgnPI4s{ zqwtGRTdLqJocNghwOJ^b)$fww7fbS3@a4f6l9(7Sj0%nK!7VY}0`eeTTLq`KM5B5^ zL7-Hr`+bf*gGuUp0KN*!Yjc3Sj|zONLSBtTu2aHK;?r~Hu##WIQ=zst|p4{0V zGXPCMpv_t=Syd{Ee@)5{zZ-;z0Uc@yk=p!Sf(?~X@63Li=oW?^p4CcuSp8=8*zefJ zY%0Hzq>dTa_bIZ3-aEf4T?VkS`*A>G>^33|b}7nY6Hc{FLIG9^ul4|4&xeqBS@|_m zgS@{#^$-{piod8f1WFfUD=_ItBes+SM6ZY~ve<1+21D&_7@!emM7|;s6U{O24^`R9zSRL^mj+srjkp zq2Sc8Bk>7nr^OmafY60XErR<911 zJcDYwV%!Dc7b=5X@ep9sjJy|#dPmhNFW7w0CRZ@&0rpw!6}LVnP&FVi_RI`LyvOAx z4v`tMp0z$)-3xM8~jSYQSt$e_{H+)JcCBcK@U*wf5@RG>8$LWbhLgV5Z zr!bMKe^WWF40NNumOuge7*0%6Es!*Vt4ELsQQq9D6?`BUAnUi-lp?1~i^Gtyxv(gW zu$HPmA_VJY#8-jj0ZXnJRgihfp~8;4DuDBX>5E%*OaoZhFTBOd9YNq$4Q`TsMQZJ2 z0RI425glF6{Yo1G{C;4foMU1SM8IKGr?cQ^BM*p2vXK#;8Ef5Cx8^o>A+@ujiAc^l zQ4WDPyE=ueuz|HIa1j@F8^|(>-6i%^Fiyta#RYL!i}D68%PEN|v{VVwH0G`_C7R9- zz?4|@OaS&XD(YFd!iSzjET)4S&vs}%nX90Kpe_Znk<|C31)h+)hhpuKx9qrBtc_Re zTLk_$b3v;@rL|qe@EHj>)kJsVQtpg4L@lF)Wa9i(Fc(Ki#k!X&(7L&Sw0$qIXp~Ei zFwwn&z-&T}Z(9gzP2S6vG#B>(nP~;n7cS`7d&nh0{v}uHq4kWlc2;Ngp1|n>N*(jj z{{W;V+699}wt=VOJ7^cg(uq+@RWx66vMC7EvB09t{0YDq$*lQ?BAdT$m54zvmjK~4 zO8s4zrV^Lr?`Zg!_=E3~rs~<@0sXw=IVCtuP^Z~ugn+zKRI;}kjVZ^cvYmuBW|dK1 z;7nu%PW@m8`}Z5b6zl>QE^a>SeTYxDcBx42$0*Z}ajbz>M77`K!AG*lm9Dgd;_)c*k1QeNdzro7F;SfbrUGRRqs)3W0g z6oBgH8lakw({R^(d^Z`3&z5mg`zWsvS7o#2JPp9+3yq`%&Hm$InJ`yYi)!WS3Pg&f zZMf!B`?%I1Ie&5I?jSGDXY~MSP4TIgmulQm0{E2vrbFQQxbL-6iui!*NpTh~+<+3W z8fM-b3#JqNz8HZ-3BRnMe^H&S$SUb+u^$j=Dp{2uOP<81w6nCAEFyv&y{PV`!sQ68 zSbzEXmc~{}fjJ&VR7qp-@A49gii(5}!35Yp1CeBR_zuTH5nYLq66Z!G5L7gH6=K# zqWFEVB2;mlfvN!cHr3bN%s2?#{{ZlR_#&mY{#*_Sr7%OFgg|SNR$9KGvEDm!pHc{D zH{_-*rzwlccmc$QlRy1m`U?Qg6swiVhzrxrh}dP+r5(eu4#Bko&RGf-2{oz7W@_*s z%6<>Q9+CjRS1pJUf5EfiQm%b*QnBGsnhj)@Ql{57plW;C`-)D_iim)imP(Z>SLfJ7 z;EHqcr)ZWY^)5?3rH!>^)j^8;zi@oW+aWAf+q$Zhy6rYmL5xGsY^0G+Ov-El;%eb4 zS8diyL|h@;O0pyH1#_{;^%ArXQG=8FF;jN=xpc1A`tonvL#5nnAXYb#G>lE8Ef)95 zL))5yAGXko($cTE9O1Qo=9-j|1KajCI{yH$3`Q@-L+r70V+*Jos8O%XG$^y?QxYqs z#6S+W$QP(9@w~XQ%6T8~U{E^+y{g;@Nj1`IP~TRhK<~;fxMZXb1{i@>dCAL$xSU24PVP*pxf*0mc#fOC}+l z7Z9ai9AaLp8f)r`<0_8yBO0rOuTLOwx0K#Rq}lnD-6i@W1*%`{9+#G$$Ho++K#%S! z2rue?QBulrVQ)d!iK|yilqjoATpJuJG{59@tsIoYtS0&4(%>ys{9?vo!avF}d=sSW zk@z6gZs?g97YOv$=oxZ=S#w=s)&4zzC%*mR6N8qDziGI6?Lhg1Cn|cFK|*|nNIE_xE?v_E$Bg@kBz}V1IG+u6Fh`t%^tDyj?E(;k z2G3?Ln0m`?{CiAupa;22jV=6XqyT`m$P2!w@f(3r#q%)xp1U zc*20dX)NyJ`jx~iM5pYgKyi}|j&e4YeTr3Dqjr2`qQF^WT3@gadE+!NO5Z6xsrE&< zb%C|(Q8X7_nKhZA_yW*iTQmOv;)(D|qAkn(Ir#fWj0tH9qUYQ*5}rY_m~6{$l@yf( z^Ph|QmVPNI6kwi1U4XF#8p@kse2IO9KNpgmmV^9u7}!g8G(1@1s|Yp{nolTO%!Arz z{DGnjB|=WC!XznZvr@WKui(5AIk-YaxMf`wj0Fa%mW zfmN$q*<-HOyx!Krg{p!|AhL;pRA>JHq62Q^16=86%2fDE3Yy~{sK40Q*cpbO=$Jk# zbo3Ydg4L@JAGS7V($2pSfy6R~-2KZT3rBt>phy*QD*hr@+!1<9xKs?N06kD)*XYTl&Vbt z;$94m*|)=T$|BmLHdLq8OBg`b=2W1^rmr>xZ^Bfkvj$7W!SqyIKqX8@2zbCpO)&oe zB9(2hY=d|FV7QxAs)0rCP1pcp+m%dImp0e4aww{`zo})Zv-W#UwG51kAiTJ1(wd^} zh2vuuOT)Xc+4(;NMH;_{kqfZTyRnwls2fH@>B;%8roH7Ff2`d)O+I5o>SK zW|SAEROP_Z{VkN#Q~v-bpIU-i_Mhy);=zi93IHDb#YI{pNh?+Hju>C5MaAuYqA!Ll zTkLdcNn@;YZrJR-kJPuq$7&A<*~-3+Q~_DKpguM-OkFm8rE-SlhLT-QLYt0Dz=|s? z@nJ90EmPE9`XCjtSm@4}0;=Ig$b6Xat3IHEWz^UJfOTvlsymn(;@`0?EQvlzP3|Q85j0oGxLQ!1J|f1-niVq&?c?C^pZtZk zub2d3vK_8>-JOUETltOJg`tn`E%YQ+gTqPI8b`91<3698iM&Hus_DX_#p-P@p(jcQ%FB)Ef)tR6h9=!)oPS zk_r{WKT#Q{C5}Z10qh!&_6b=9gcM@6lw|~^{u++Tornrx4x5M<3ZLy!PypnxaR=;P z$WIqH?6cBnxCd)2nlp-?P&oPt+WNYhh4j5Fms!v-*xA18>O}Qk1uhqXA{q zqW)N&gSBxdF4~n863<9gOcXgS$!b4yT3j=ej3uS(@Q>if;HgrWK4(oSB+Eukrg4q#5YB2FuP|~-^#iLHb zEBZOlVW<)M>`RBL%R#?rcU%PcXR7?l{K5PYYHC{rwQ_u<=q)6dbXQr(l=TL$^$(@7 z2kPT$#`)xZ3JLvW_Xu>^2b0E)`>g7MMGomhKvtAK>^q5hQ`SSW7eLU|zL?aBaM zSZWQXyl0@uBZ>*s03x=L1S3^S0|Tfd6oLiw@dkqpISDzk@v*YSzG?v7SL#vnxC7=R zi%9ILN?0B$r&P2e?Dl-nttxBL$m#5RDl?_LWp;~Ras*4NpFCkl)$U5lT3itaW*#ME z3C8gBmd~+#egiKZv+e+BfeU?YA66<9BRaAIACIc`$dk9dLN-{55G*mvN0@|q5 z&>=r^=h1tx1$$reZH$_ooRr2ZRu=EsHvBbnxY%2b{GrjYdZv?TZ6~xnqR5;lpPkXW~hGc8}$zr zO1R~(-wePDJkbz18rWDBXt8~O2u|qN{fSlK?`qQTM};6F#(=2`z-<1HCFd^I}Gw%mWQ8hgCC~0N{j0$zr>^U zIcZDZh%+ifs*Th-@66NcS%uSTnniCCYmR#oqFsE?p-?i#0(?fpVZf97kq{tI)BeSu za?#ZRQ7v!z4v|1RYXYvoswzDR4o{#_0$iWb@fDy%+yL?RKou&XYzb1fQCNB~0u8;- zFCowStgg4Bsg>>qvKR@gey7kuP0L2cH1Z4K7Jbe10#U>~xSY!Q6x_aDgbO3_2HUB0 zf)?v5U4_}m6RrN`h8lST*b@5?!HgBu00>O`;_Na`r2 z+&fhTJC}J+DgbbGkp^V+Kv#hg;y})vw;_EcOtO*1V^Dw;#fT$XMMSNGbU{m1?5>0m zs%^I`{e<4{k(~u&=cG}5J(VqDA+UC9$iQ~Nw(4P&5`ho?$dYPbDy8mKHFwEIw6M0W z13|DFK|5DffY@_OP5SW7J}Ad$KC$`ffQI# zGc^$OET^%nL-euAV$>wDa(z@MgK;Vly2(O0Z-^qN(DU1s3gG5c6j}Q+ik+*do{~R2 zY62X-$~d_D*k)FMw#*+CDx1~HC<09yO&IfspqE3$!az2BlJuy{UUL+eBF6w#%3*nw?V(&bX6Pk_m0i^*!z(H_?YogoKVcgNfAQUR|> z`mK!tlA_nYVjZqZ6F?NS++b`k0y*NMk)+%N z(fyGvEqf>x-J;8mKDZ zSyt8i1OdE53;rOdDLWCw2AZBV67eqJ2(Xr|JSEclHB}yi^h`us@HJ*WFGX=O#DLL9g1FaW(J!RNAtPbHV-Or^?%3?q_vVnTK;jr?f>i`(kv2~4fH z8Ub*LR|VLyEne&b*1tC@Hz9V#$I-q=oTH7Id}fHRqU(7Aq7hH_UYD<%xQ#4b8OUs4 zNA$s4RqPbt@M1Co8E$EMn}!u}EsIOi=^MDKU*y@P5oY&s2ANYTf8;kbJ4}NbsO)Tx zrOZyEd_v=jwa9Bj-XG+kDty2zb;)pM=CZbyl>Xds97(OxfL)TIUQZG!a|XEm!HSdh zdS(H-i7-V@v4hipA5i^?#y1=n`wf_Q8@X+(Vq2uO*4Vs@L9>Q96@!*STheN(JH=3% z7HVlgUc6;gR3ON4s2!*$04@5AFiVl>mDTDagI}=-%PdZ+Ux>)fQ}1Q$RmEk7Spn+ z=CM`qy^J70lxW=xfJGq`(Q}*2jto(MsQL*O!llOWg6yae@7e|CPrOD!e)~RkAPF*} zr_J&+yDqm9;wNsB!w0Qc5I%NvZ2<@_i9>DBjVg@y<_mt=U9QDHXJXZ(;TdValy!&t zooGqX5;(}}m2K=u{aI?)k*_A9fSKH`=E}yAv!s*>MT{WE7kr%K`8SwRT40JgR1pfLJ+?Ih z_pF-V?HF3tuh*muhS$Qvz^!k$0`vBQa5@#KVQj|S@-b0cdfh}AIxAsD-Ro3vc+cM4 zD`Wd3BTJvi0sSMJY2ui6=Aztw31Yt9AFGcyeQ&d5Y5S^;=`0;s4PY9=$D=t)0I@(D zU4vH`C)izt?txD$V#QOGfyK3x=Vu~9a#dn{{U*@il@Z!4`rvrVJ_+GkwC)Tvc4cf^Ute~p(+Iq zWTR?vq^j7kfF>>~@@iF{~SB&MDDqPD1j1l_OnMU5tx zbbMl)bzoUV`72PeWi<>(PM=`_t3Xu0E2~kuKnG3BUc=?&0XlfVE*jB)o92W-5z!q#rV|E&Qzjp{LLxQ3c z7P%64l)sb)>!eDhjtwmT0I^iH_ff{0#mXJNn58k77heQn?S01xqT+nZ3p9CCgh*RV z`yz!asd#dI(Pj^F5Qd}MjPq-)Dg(+$vGwBk(Wh}m;=&n|ac?&7PH2H~F5oJh&cMn;~TnLhd{{Yxj;GS1X zs2>Z1YGZ9>p@~iVRNdCxacDKQ ziaJ{mk+_$;enbVv3_J8$@Vw(#7v+Oq7r4!OS z(yT#>?EEUK@rXjq&J$>FbQxp%3Lywd9u>ls{#s-bp zBqil-rd2>qh%LO8KiC67aHIuH+j#^Fo)XSbf8-z(17Mf~4$Uybw={KVj*)>F9 zag1dw8v9}hORP_B5NzZ@^WR9B{%M?_E?F#E`HB@@+)h+h zF8A3%jmv7D8&LCCb0VgLS6*B?y*nBQ0FBx9;iRIyW<|x6%7|*uavxN>eAt~EA3OYv z`+FZEh(;F-wR<$SFevzh3fgAuGvCyzi4A7FMmYO`(D5H5#h3R6mD_|u1J(!J1^)nU zHZ_KZ5x6g95)d0I0#As1F?2~{K190c>9WXFt9{{WcOr?2)6L&zBwC*&CKc2sw7BRFr$AQ^;S^foa; zb5Btyy7;M3gRxs~n8Q3&OEzJ#P=Ydq+43<(9!nk91LiZ)Mu2CK)wQe0i7Dat9{ho2 zW9>>*qN;wXT^y0Pv%xGS5Dpr`jQe90ZrzT%DQsZ0-6a=M4TAXcU<>43%Tl!r*VGfc zox}?zQp$h`LScXpKtFJfmecRXD-hNnxSEu|`iikKS-#w5C9|k~Tnl-SkqeO4n>~e; z4zMEm)&);C6kA(?jbuBH_$z^}@ z$wIB)WiLghL~JYA&{&}Q)CjGKigHq9YqHvhOq67^UvVfkN?fmmmgn(5JTGaIc1Ns3ErTC>V1x@IGQ5#3{_9?|R z#$0=00q91cYT6W{*moE0KrJvsYv$$OWHh{2le&O)in_teV7ZONqQRCU0TWjL0A?4` z%HSPupRpc|4%B}9sz|^XLY4B)2Acl>AQMm!BZ}CxcW8<-6msF*5F)X?gR6R| z_7bwIDhx)4uV++JpWL9RVN(dA@wlpqt||VpV1$(1D#PcMy1A5_zhdG_10l2GQcC&# zN*devB0#n0>H2iIW-Outf1;| z4$3DXR$Ec9nk=nY{yQ!nd}K=5dcMCroG)*%NN)M7$$7018&Rcg@>1M|=@2hT1|Wo` zC$g0LfR@!u12)d6zjOUvVG^NBIOp#!e%DCZKKtsJIHjI#mk@{^%4T60X2kXT z@29JRt()T@{v_(ISQmXT>lQGpbcBA@7nFTVHfeU`40e59ODu?SnLI<33j6;6`BL=? z1J~Jc0l>cN><~6|H?XivJyUTdzYDh|A$=P$sc$cjm;>8ZRKapAN3oK?)hmY1AmE>Q zZb*FHLCRnrJy8}a6(*q?wc_qMv1|H{$K3tG*VA_w5F7&A$i~uyUp~Qo%8fMIbc9R! zmJ*b@{1l{6>{{!v3%P?4lzB_AW^xh->0;;Fb=S~}j#2|zT4 zKFj-U#4uf-1P(op70G2V(XV1ve2T5?R;qG-l`V7#FE|Z!<;RJQP0UTv$&rgl!Z)YX zJ7l_v=?1fNJ^T|RU%?TX>?30QR8EDRC-nj(7+o`?E5pX)TE0qbv|eAa2^MV=2Ly?g z58H97riLM77=c7RiP%eHveQsCS`gOdm6fej!my%g9s04$NVLjBdq062jJUblmBmDAMnwc3BqFq^_{@W+|V2NT%t#`Csh`zQ&9kb zJ_xDo-)SJO&c#bbH)@y)U1>1_6G>nAj=a9s%hhf#FiAd z%BWUFc?$mk;t-z8Kf-jMX(3mjm&GlB!s%+NKXEA=RS6clEB%keYW>rKC)^$|D7X(r zv4xZ>R3YBo`zdQX0NU%>Yc<`1bXCb}UH<^5Ajix7#g?{SpC8I812G|BQsylL?wM|Q-8rY!FVTeCh3%Op8B^TRbSoDZI z22oHQyonzN58M}KEw&M*wtFawL@EhN3V-j8kETqFD^-3==~U%K0RHG=f>pj3EUh32 zar&Kae3ve$7DP?TsYBuC;BRVV{mn~TfJ^U@BGn~Ni>Dy!8uon0b<&k7bWgV>V7udS z6-G~|iU|uH5W1B!%^y&KdwCesyCORgL1(^PE=4yL1h1&IQS#vnp#%^Ye^FMJ&3y$J zw|IizhziR&y^T{dNRS8A*}j%k{z@g)w#mU8aBUaAG1L;N%#`KMA$sEy2|K~rcrD_} zxEK|Q4zkfveRP%O^=D0&IeFLv(plQ5ZP}oKVejb#HH98jU z0_+0oKTA^SeO2<4CG&COhw+{heOyxM473iO#)`8{1vcNXFgf~w(F1+CM|R{f-;Fp# zEwSe&K*rm#A(n&>_XZ3Xsp}jF>9(1@HFsrJ)hnEc8$~zd1$e1|)!~E|UWv_svW0X~ zj7jp`vdRHF7L{N9mtrSV@Js&y@8A{A`3%uSxX)!u8sxOxQvU!#5Zk8MB&a-L352%R zF+kR_RljG7Ay*D+MxT;u<`cZ-P9bdGK&BVu-i-xgu&SvA1>xG8XJcU8h&JeD!mB$M zRoMx$C7CI1T&UQMs5M?Wu(MKzm1?3tX|a9SLKfe&DHD*>;g#%s3-SkDDq%q{utzvc ziSxL?gLc6F78ZyeQ`jcz;W8I~ZNAspR{ml@v`Qaw zz^*C#+ht|P?kVWZGqRPkk-Nk3=nR3$pGr+cctv&b0FT@e$W7x=4FUk^`<2>B2Y~p9 z1hwl89!nA96{T_td9wH0kE?~RpsV&=Ay*NhzD$Kv2zaPzaoXV_$6!ft*6b87WC!zK zi=U|(peSpK8&R>FffW^cR6#9w0Rj@a5qpsWVnt8Hp%)O#PqJ1*q9we`gGRXn(Am+_ zI+rku7fGv@U0Ev_GAq)hvr)m%xC2{1Wljh7l<0+L_2e1FR?_-@+!_9N2vV@ z@(rL&L0fJ4>@`}2jmNMJBuO264xk;Bfllc!mMni`Mh%+mtad4AdPH$gEuEIMs3VDu zV+s#e3m$_>lmW6jhpP(YN0ukXx~&x2|4>q7JZi~7E!hQ zB`U?kQdcjcTIG>rTga!gF9@rQC=fS50FA8rf^Xu5FY#X=+Rjmyuyd?g`3vzPLgvh8I;1=#R?N+yZK7m}vUb>w`wZ8;lO zt8lgh6;UtlRz7@7Y!^{MXIt|qD??kAaX?Tz7Y#9LwNJHj$6zz-B`?V233@c&NLf_v zindty=h^9)F-?bavj_B*DwmzuLEGM6-4!bpm&#Q@rFqoVl`ReTrd4fE+`Hs}j$~Ca zsx2+$7ZwWhVn8jo2}=Bc-d|z+wuBX^iLwhTx@D9jaeAz5D8Ab?x?U3V{4TG}L&U6L z4Bb+lxk1xCue&rY1R6uSA&t}eLl$L@o6LE-Iqg|K+uCvL)`8)Fw zhR`;yAW_~4P;uai20Xh&fCx2*HJ818B%!E;{vaX$hYLJh`|UHm2*O?jz>++FWQShq0&_O zZ?dK}WiRM>z^fmUEnxL*c60v#SuN8Cgj2#~`D9^A@6@E?#c6WZARCJXYH44B>hv2| z$#h@14`P)@%L!TYmkpK%Z~d71a^~BDTL>BoBLUIUFYK*IO_fAKS8pL5M~LEt^a*m} zEL^Gir@*?X``ea={WCr%hvE z`7i1EB}60ES1u;!)9MID#IgiAHeEl68gKsq<}jo|;(keWg*G%c+;js-Zm4n=8-|Gn z$S>h2TkRlZ%O(6*twM+a z9K4r(XBqybS^0v|BVBXmVxx`1+20>$+`gAo{{UeVLulybGR#W!;R0c72N;TlYh3<( zMQmODKN=0Cf*7n`jS6+T!KWic)GpeU&K^=KyXJ$>w=`xr?<%}jO_ za=1EUpAxCSpi;ir-BOwr?`|tb?Tl7y!LPY!Ps4W~;lJ_7!UK8r0HbAbf)!{e`jsUO zuoQjxfrwwQE(7rgR1ef`C|iZYY`eiwE^J0o#6;isu|TwGrLZp6V=^VVngURjXcIh& zYN_Q_Oik$mmIbVvawSVrG>(jRS`@lYonQr3Q<1Z^0-U+deRiUdVAZ2Y4KS^U%kx#s zDbV~>qxAGwCYKd;4aF+F1gX*}2T<8C60AV|&RUm!F#h6y&8@YD5ScY_LGN3Xm!dN`6Y31=j{p zzSBO%8)(!rS=+dJIs%u?sicKwR1ZS^n+w^cJSH|g{{VBz#cCaJ3iwn#j^jxy!#-fl zyb5fktCTNdisA7#u-3|=0Ohjvw?gV8aCV@`6fMi(eiiXDK|B4Bf*m5+w{s7wwFvQ0 z0Ha7^Hqk;Tt@I)seT}NsKEMF#;e9AX$#ItDmVlnIX{D$Iy_I$PflH+!Ufq1yTo4^Y zkvU~3uGg@sM#7qg_U=@;<3QF>Ur;6OqIHRIZfB&%BfrGzEb_FR31N-5zjg}6{uy4sav zomo>5=ilz&6fETxP>iLvPUl()$PiL2;R#jO%~Ud$9~!XwD;m2ubxti)J~}NFEV`|A z3*q&fB{ph6cD}kL3S0I2g$1UW?4^pswy$Rq0H>q|kfSK6O1BIg{hJSs;$64Iu))21 zU<&F}suhbt2j3t)oNJK|%e=nIFKj!JxB%lW2KLFWY*x1A7OtWG2c`31>5U;3FaLNlywUNXRI}_3B?HRET_f9R(C4WR$1dYf*<8vLe)efw&n1CArxhF^BD^NnCc^&!WJYXbQ?4Ay4`PYY zkzUsOe3d)hN_j@OawD@HEjZRfSDGu-SBFAno6o2MH(zTQu^v_N3#@18i?F!itv^$g zsFYWbAy<)NFl4K#@;wBi0cjGGyEds!%m_;~6f7Viv?{>_UyW?C3+mA;OK6>iKL8+! zwQKj{52fif?hqmP62%@G2LAwmQ609&`BMtYix$g@eEvIRJVDmW_q^Cn>jZx6es{a7(!G$dwP#|Log`~2sUrY&Jtqt7Y`oqw@b<<|bI3P*Y^gczu1~40j zwgo4D_Y2vBN5o-gaq%uUjYV*5@-{3)xn3Y57}1nJsPsIRgHxcR$OXhw!MuUuX(B}g z>pFqLSCELeFH1zS$_JgwoGhh>%v^%SKXS-^`2-!v+)=kw?O#oxv{G;w7!ECOn-8h%tgte=@|SWG@P1w z-zM-8A8NV5>_Xcha0cl#8l@}oELftqWep3{q!ymvmYgER0jaXP9oSb};* zAGnJQ8f}iL5mMVQL$*{KFe*X{UBQ0ZAaW2wc%R` z7o~hlV1+2>ZCd#*fauR=Q|=}JzJ=6c{GK;7p_>T?>G%dPxOnva%N$LskL;AlruN## z*_wVO9WTPBhJw5>7RsyPFYst9@enh7j(m(GjJZrP9X< z5JK@VP`J3|_t}5&_#5&XF6)EEz>~jj4%8$H zu1wa9R&Qvc^)?MZ0z#XcCFA|H1U#-b>h5CtN-Ix_}fVN6SDb|t|Z#VNZ6EklC0kO)KUbks#2w+a{@ z;ZQcaud*o#^=D!ST_Ja7q~v^O%+n|~eOVNl2f+UTkrhQSV4$t@EBA3CCt@hy zIRMx#-9v+jrg0TqA?gOI;SF2Fmi;C^Ezvmu7ZQK`0#n0f*)h0<4Pui(H$PIQfcTpS zmjq|Tzlg@~LwrHmK}5lOD&|Vra_#zzZ5lQ5O3q@-b+PFxfL8wi$&gvEC8y%B=OK4e z=G!hxUhgBJ1q;7P)VyFhy9Y&AtWU}aLFowt2!%C>y@;zdNmcg+mZJ-RXXV4*IC&Oh z^uN;qM#?<$R5WB<6fa;@AW(pSma_9)D2kyJR>?`KiBfe_hTE800^(X$$2kZo^s_b|Fy5(J=Zu!srSLtCy~a{Pk5s)03?E`gU$1fel2#^zbVtM?Ly z2>JM!^@Y{cqUkDO^vCoqh6;7n-A5Ys7)Jqut~Wp>un3uS7SsWP{#jznM4&8KiOml2 z;_6UTE|q%uJ7!UoWjn~ce42i}mV3qa4Vs}1C}aW!V6VqjIdK-(WgmDBMub6#CAJqa zS$(gVCBe4;01O6MiExW))*uzw@}>Ax3Q}#JA8=Ymi$QQ&fDF65siOtNt+=>qgnO`I zVbqMR8zRQfnu?SN+%ouTTvXS+sFo`YAf+>jG+z?1)&fmIuzlF8F0Ys*UDG4QY2o-X0C0y=iT> z?qX84ps3Ya?PFYt4M~#QON1W@b4wJoOTc;Aut!=W0J7jaaRZT6Y-7B(;z1$D8Pu^L z=!JEfROy7_bTvqcwQnGGRudgmSzGahI!k}`fImHa50-CH7CO6?amb8XrZ2e`NLPyF zy;Rrn0w{|Y+w5{JY5a(q**E))^>$*DAxbtmK2{gl177-7!fkE`ax+Y0_c~%;K;>7n zPzYtfe9dC)v_X5GK`1<`;FN3ee3iI)K9a$&C~PtIgiJuNnOh%m1L;{JDl8Ux2J~KE z+#$@C5hf%Ob9BBr1?J{%mje<3x9e~3ty>Fy}XNw26<3w()fdfc?FWfud>0>dpyO$b~&}>KnhI? z15)Rs^#Q`4sIZ~t$M}r~w-;%axhi*lB^Q#ZRryO++f6!_e65nX#b0mpUS4g#`iTPA&T)YXL9Zx($O-U)S=rE=__&LQAT?l+1xD2Tkq4u+xxjVF zUrfU&mI^FA1cV!f;`1X5!3q~HeEiCrJ4WgpUM zx}ZceR9rrxJNSTWa??`dV5*z*aSFF2qt+-Jq+##=qAnZua&~|KD4+3fxbx(s_+X1R zD&V;c{--KsvX3g_zp{8Hy^3z4)9foY75r?V4Zg~guw~?_`q$`L4p6RrlSd*0@bX?& z?MkyZM~~4SgKDwV2rlm%yMobiTwUO!pQ!1!`h)6SGX}XUBrF|GXn+K&hYjGth6L&5 z#N;oJm}W52K1+t2%lgt=8q<(PD}%o>!oYm*%y>RMM{0D$1);s1CtfJr_15(g;vwr1gMLuGA0jc?7hQPAU3Wlz* zE0cQ7RY(f0oMINw?q=O~)){4f#vH=Dm!QuJ$vw^0?LU(#0qTPezj-5tKqHMY}PTnEG1#2maT2jPihgW_afq^V7@ z+leN${16f0dekvo2E&9o0OTcV6iXh(ZR}3PYP(#QV7Cr}uCMMTJK~1vgtjAoE>^Os z_i$F2ww}NR+{ItA<*;h%Jb_}Dqb@fcM_hvwSHZ{#3%TumLf#Uct^q8fl%H29ULWEs z9wk)4CIqm~L1W)2og<~uR}f+rU=X-)`GgDnOr^gfO5OheQA7tmRw5Ra>_XDm-}Y5) z@2{410*Rdlz29zhQ?jE065_|DrCjmGBQ35>yHi<~F%cuhsNZ0th02|FUxLS8Ld>CC z_MZ$uK%o9=g2vftABlxWrh8JVAZ;ZJtX0NmaTShXuo3IQt~3A!Hw)gf;!zt)`wUK6pj$EE`5FmL-j5U z3JXhJUQ1Qj;Z04!r<0O1lI1(wyo9MCmfmO82QBC37Md>Uy`{(^jb#aCuZ zhmwg(q!&nu61AE%wp)=;nFw^UR|!-430sF{3vxIT!l`5*U6&Lce^2#AM_9vf)0ELJ)Jg{{^*xBoZD_YynNdAPfI-ixW+Lg} zmJJroMhuQZjFpPS9&Avterj}`ZVWwO?b1b-6vcz4gisq0sg5U{mN`Z2)9s1_BAfI_ zv67?yC19Traz7~5##p$e8+>5_+8BTB8Xvah)IeS;AlKD$&24&*0&n44g91rKr3jD1 zrG3j^hBjFXI z^r({qI&HZ#yDXt(TCP6e47J)~;Olj}*3LV!&%psf@?{h<#S!v_SoYy*e3fGiRTgvN zE6&jJCb?~TVM!5s!wKe%aOF-m<i9MX#wSgfGjljyW&_dX>D8qjfFq(L#?Xa zm~!}=SmnSdDy4i&BibcE)C)`O2ep$Gvku#nxpWlE6?0%qQFI^FGfIU0-)1&y+;2Xm zHv1K(uA^<5?BgyMp=yaUjahJ(!h-5+A9*xcr>KgFSR9JKId{o^UBOHvqTs7c>e8YD z*aXDKQDOAQy)o@gk$3z{U50scHk1JuMANoG*h5~e2h2BAw6md2D8gNfUvV|)POEnm z8zu@1!4zW9D|RX)VAmN@cxNo|5y{rxf7B`i?WnJW$qdhR{UL?u)9!aMMb$ybJJ(pi zfdt$1h}(-aZ3*Y{IRo^ZoG&YulQOZSTWpu${^LGZkKB9XM|^{Mv%(dNzlPu>)yHK} zi&o!eMq=!NQ?(p?M2?2qxpn2%#LaE7i=(o!=#GTeeULS2`kSS%+a4D038wPhlqg|& zGRl@I@@w+{0FjVhs+z&2j$f0NYnE4VuYI>Ef#)7HD)n$jX;7fTl3ra%hsH_S+rVW85 zIhX8PtdU<4QWE=LQj8-Ou)sR&f5;4nrtL-PZ2d`Q})YFJs} zqEZ6qUnLxPY&0h06S~Jxp}b`cplnE`&pu_rVZzz3S_73XuMJ#B6Y{A*Sp8IXk!aM_ zg3!&Fo!v1a9S0vUmdY$X`zR^ZrUBxA@;HFrR3NN=l(12SJv5jRfzZ!~@lnqjq|t6L>aBQS{_VIe#V)PY zTv`i08E`f+&z{jv_@8L{+MWLZ=_}2Qt6h$K1-Y-U_V;o_MlUrhnyV#4xU$aZu(&!_xK2|#{4OKs~imT6virbu=rH%3nbAJ{= z8yM46`G{S(!AMjd6I-97K4JkkT>K2vQ$&feuiP%>rPaZmT9&VU|BTLDLH}QE6D`2EoD1H$({{YAtj87^$`5+VS07`>Ha%$!-O~;is`}&Dw z_=F1o0A@m(C{;^RmLKE_Q{q+=*wsfNFjUu5zYtgVbLy82os>4~u+X0n9_qobBLUsJ zg&oyY;zhAZW)jnDu(o(-Qe2mKa&ZQNs_BULSX#Fgu&snU&@IYaOJW=P$zyNuHEUSf zjv+KFf`%=;3y1P<<6bEm!z-h?HWS&83Z52}1)mcB2Tyyb} zf{bFnQBbDa^$W9Q;rf70LYO`tO>R-_WtZ5Gm2&9lEISh-=F7 zIuzV|!G^+?lsqO3P}8?7D7P(e<+U-=6~wmTLHAH=)LuoRs50^rkK`pPci zvaZ(Z=GVI}HsdJ!L%`CT*LnWYm3vMKVPT=&&AZc{38~u z^o$DSt~Mo)2<<^Hr`)-_lKsXQ0+#RE9N1B2KCEDEXJ{S6uQ^7u=R$0NL>bMvO$#y8$dql&N(DufilN@DJHztu$S zzhqaRK0lxnA@n2jdnv-MRmBw^ z&)h2h1{KH$N8;vi+u8FVF&)*kAoc)L*JV@`IKX?f-BbLIzhO2Yt)aITC@0NSzZ&0b zDqzq=ZTZOE&`I#wP?ckA?JS|tuw>o5XYE!q`}u;ZHnu<1WOH1kug`*IOQI3dJ^CNj z9D?)#P+0)X1JU|Ir@UkJnZJOd?o=Dy{={A85Gp04%c9~wh-W}+aL}WTLE|kd+fUvBn?3%fHhuBPMy}J7ggUGhl7b=)W z9hwS7<8u;g_RU1K#>e;bE@u@10#NRE%7B7_QqUFkWLtvGVsQAKFaR2;P#(VwB$g$wmcSzSI^Q zZs+ZY6gL2&ru17YhFsVZN-Ld`aa zrTu0KYXD?IThyn?V+vM5PKs@YB7y$ZQPFt5#zqXpUv67axB>}y-+t?3qhJg<`L4DvK&SL)U@nKhS*2_~iFPmIqF+TmW#C-2 zrJGswh| zQju2EP?0j@;#exBb=As_vuZ8!N&=L|SxOESYzTo%P~p>KaA<$}X^ZqO<7fl$f)3jALr&Dn~1~c!AXgBG$tz;ddTp9h7}9~-o=4JlA3De+P#eRv#e;03Tm&( z%2N~#{Y8LyRTBgi(HR9+>Pdg;*PM#b?Z|f(&uUntVNSqG*>DJdS&rNo;i* z?VGTm6mFAK06&;u0k0r~43D@(b@T+-T57%el@2JCXD(geNbH3ZV%$($>HyhP?8qx2 zSHtj^NU|XR0E}_yVlwH|5P2>MZKluEXnip6W39B;CP&H1TuK0O`;MyxZfIdu)ofBQ zt!%!9VQSyGwz-9!thhX57&KSw*pW4*=u0A!p0AO)8)*-PG|D`}GBvOwPnk#Qh9}x0 z3YYc}D+`_2MqD8DxIzJ55nZ>>`!n=K9r6@LFLqy%fyJR8Lb3JyV$vV%&N4>Oy8>m5^JQsWS)RJ7jQOh9bkAUc)Enp-37_EglT z7#zjjRY;{L!%%TK5@OIIP-+ zSQTFeVhivg)YPqxhg`Z46_I^bz+Hwl^#p0`xY09iXO0P6VgapF0b1{~rptvYQzWT( zd=#HjfvpOu!9%*nNdA$l<#`QcMQI%6$WlYUfd10zc7c3s)k$vAI_YJt#@vFBHVM0Oe(1^mv~Fv zuqz0Q3E31@BI@7*S?mE|Su6}LyBk1sBE&7^DCm-?Wb)*#C3ioN`sAWf75%S>DQRya z@D^ziv%3hJux-w|LA_Pf&`u)!O}Sd5joJ!<%Xu464TYul&}ACG`+!>!G~Y3yLW0uhSF*TzN+1e4q@h_>*tD|pT)OFOC}@nqusFK= zf@(RY!L!ME^h+D^TC5Y>;|N`8;S=dHg4JcaDuZm<0zfRF?O6=W8m$p-uRk#v1-Qt; z8W?wwOpGD6doCg+D6eB48*GAa&4aPejDl8l%gJm2H@|TOG?S|*1rYv`2jwVtaIp25 zBm>O3MZmk|#ClGe*sVUw8fE_g5SNNOKe15?-1gNV2~m~f@S#Ji5Kqxo|Bn*JfjTf4M`p}UDdjdR5al$DS` z@sg$CVu{#g@?v^JRgYh~j*(;KdI-u2_RL&~g~!2)74q`t$zIg4>!BO34QiqVnd}z? z84=;wK)WL3k+ z^kaJmxZ>@_1$@RYWVtnBe4~?-)S^Tb;#+mVsR8?pE3hD*o#ZHk3@|@oIR?99kA}5%qj!=OE7_U{6dBD#=UGocd>plIP3kFpE_Jld84^z7}QL*(FwrF9sV+u>^Eg>=&IkcUw%jGn672QFAha+p?^`yRpF2P4%> zW{)M!JFiKy<-LAlP#*&&fjcF3H|UJmTL-eL zFW$-^3TW8?dgXdolDCcvwo40M1F=O=l76S!TjZfHMIERuRO)g$SG|mdL*^>htr7Tu z0xw_+Pgg%vT#0w%gw;*Uies-eY`t@^(_>R~_h8D zV0T~YSGLuMeG1jsy^#d7j)&AFvr65nfEFK{sbOfHF6Vh)FG`h>6tyAo8-xhC1Y_J+ zejqBDW>v+G&d(GV_KJBRVN!ra=5`AaV}H27U>-2QA8TQW7Wev-urusaBD78r*i3#T zy?tDAuE+LiKH zTRo*|06%BAnD7J*xmQg(i`XlbZ{_4v*Gsh@XbN(`6jFN%1gzE$Jx1oplovAV?p%3tKKo zR+gbxdlC5xoA@IR@63L-%ZuZe7y%5>)7Zuh-zq5m#;w|I6X6J;AHWYu>nOkE1cR;+ zZw6l66{r{P#4VH!osH2N`|&k=n06|uRA$F!nO>K1g-xoeSyflk<(G29 zC{&?peUy>Eou4_ff|#3eyL8{oD}Xbv@=DoFlq!_B?jUbm`;-Crf+az}#hEHqbUcJ2 z-mJGMrnGm+rntZ4eVnMM>tqMt)FSsev!|kIvyI#C7QHrUJ=Jyl+sukpgz=Fd2wM;eW*Jh8P+_7A2bTAX`O>*HmVuQMyGMc z3h9-;j3Wu8$L?GZF)cI^bJuKr%Vk|Q@?Po#+J(TYUc^@sW!bmIPf;J%&OykkQEbuH zyO(esimaFNfS@2xFg=$DTfObVg~ddi>O6r2R~Z^78j@SqzQld$5g3r3Cn zY_q>n@=CMTSyT==Z;&hy?0gE3!yN+H*-)DeiztLbDq87tXd*mJs1I-sn0}ay<(B-a zsc}*uwF*OYuI`O}f`m5kZwe5}clX3;cT- zaE5y72*FMLWGcDg>kC4xpP?>iBer-ZSq<^rxUUbmrMsh2T6$>)R9BkA#QjaBOZObKIUAKg$v>FSzLfBSQdpw}p z4$qVq#Sx4hYP6|)=s{9fKzz9=TFnT?$jqZhYR_W4wDp3ofcqhhMJ|%ISSS`C&`v?* zUKRyIgsx=*4ofX}lEY6)+WC}k>K45HOujWOE%q|F{3pzyCfK{lgWq9%{AO1ruI_Sv zP|ZaJ6USATS#?0ZF4;drBp9HYBxhBLzY+G$Lig;H658)+3O&MD&H`DemE8}PB_YLB z3sFrAbh^UgP6v!bdk1iqd~~<5W~1?_5`a5?Pxf*ZOkF<6rvkZJZ|!ndRYs=Yq-NOg z;gq(NMET9AlJ$Wum>d@Rs1;TmsDkcj>@y2%iE`I5;}#Uy+SzMZp>fK+0gC$5w=Gx& zwOf@n-H2#&k7H?2uCWY*;Q4>XVbP+RsIa6k=z#Jbmn-RwIrbfjUt{BQl@vSiH%fG} zH|9<1qM(#ZYmdY;0?@uhYV@3jUr9?MfkOPM12Y_8CZdX8{U)S*{3DH<)QnZkN|)HGK^nu-DIZB=Q{Rurmu>=6qcco_JyZ&qD$#Q^x%%<_KvX|_a@|8gcLZ5B zF0iPIx@@z&oGuj5-p}EMujz%mD9L0{NkxQvGG7Q9(D^?|z=g)`NfcBF)k{lx7Qe~0 zG$oG9IIEC^4rLr*ZS$-h_&X4R zkKytSDd5Lp%k~jp9H`REm5t6JlKm2}*+r|8j~$dg;js`qEEB8_kB9+K2Oi4BgLjXz z!)vR#UVKFue5^|1M+r%EUtpmc+jap7H$(j)K=HN7#zH$XY!wc*=Dyqjw{Di&2yZm6 zxC^qwa?Za5V|CB;f;Oyq&TZD#DkVUMt-a(}cq(sY9fI4JR{sESWrvdPLB!xQl9fQb zX`7`hKe<$X*EnS_bAQMMHfa)~;P;`5!Nv~wKkTK;4+Fn}iHaVq_(Q?~*gz-0@NZHf zyg*K*1OZL`MOL;i7H){2db3q(y&|fCW}=0H-Aj~PlLoA|3MrU=(t;&dNou^l%8YU< z?7b&0S6zJNRW=&nEF+Sg%Eq=W%7iW5s#EK_?=D@Q{xVj_qC}g$7aiOH$^miac_^xA zNPv#P3QMzMH)zwF1cN0ax?S8*BB{Sp$mlvmvIdHZbKvnBpf6g9Y(i~vnPov)Q@vq# zA53L7zqGnv9jL_8`pN$Qkd{T#3ttE>!E{^)E8C)>LCp8=TP;U|CMO8Ji={S|G~ddd zH&%&Kw0ttgxKt-V9rBM$XLHQYh{Ut;Ql(0jHdbM+ynhhKXtJ>Bh`I(V{CoMY!wS!cF_m&N{dQSsUb}MdBU97_zha=cU6;I-6m1!%+S-{9!HabP zt0e+bl*Cl!Ct%^Jbda%TT)`zrTY{*V+^(}zlpPByv9!o0*=Jh^$`e$W6 z?p)S_g?1!?OOAa-JPYy<+{Fr2*1=PuqWZZ}K&A>g^kHAADR1hBuSdT-2URU=gY_1u zFF2@r)H+;qP=?M;19_VQBrL1>Oai$?CE%*H8Ya*+Qv*2xI+UwjLL0u%v7#kjT*(iVl~W)H%9w#h`c?; zwe?8XB{u8#815Qu$moCH!UGNSb5MI04+x|D$&&}buXeu_3gXXDzhr5Ra&hp-oGUKtBYq)>api>?A&z!F7B_ z={nM_R7D!T88Vyy08m%VFBN(v0^m1pBlLS|74OfQmHs13>iuT-zQAHV_xI32AsHFWEo`O)Rx*zULLe}9E!S+wfoM}U1AU9qqL=8^ZN)uDHy{S{;fwoPC zyES5#4*HL0`x7G%)C~rU72kqZ@lmDyLpuJ;_o+g;P_4a+oaC~%QdX{CG>s$m{{Xns zsn`eTgF*^_Y_(Qg7Kh1D1?$O1i;q}+#Wn80VgyxG1wx}04PiFo#m@FsE?^~}w_t9n zW~*ONLva8~6;ab)5Lf>I7jcY#c{F#7LZYIpQA_--&V8br^jVHiW?Sc1F% z03mqSi`;<=Gr>t^2LRfQrZJBN>;tNryObJzVL=o>JISThwRQcMnqQ^Vw>88@ZfENV zsbfQ8#QoU1iFSRVtB3F-La)=Wsg>!;NzzO7nlo56R~U4Q!lF_Xt^=re91oZyxETyE zE{n1>Vz~gqENiQaiCG1HUm_&!m7cfBj|Qr#WzK-ljH6Xgld#=O%jrq`m(JK1Rgg55 zpnx%!#c%EbXji2tvw{b?kRz}oWU3`P6VR46isWXzrB}=;#jpHNvHt*wi3DAM_Bz)! zpj}H^8S_2pN)YqUnL!gjXR}FnC{o{Q8&N0A`-kh92PMK&@Wcj$;IB2v1wzBOrqeHg znxTNl8UFy|$Yfzh!`OkcH84e5pKREY+pEfyI;InT?po|U4H1=uDrsT@pgzJE0t&(W zC8YYrOM=CbTjxk|eUZ-&K9jR3}7O9sK9 zw&QhBU3e5D5E%}cP@o1>z|XhLaqSRK*2WygE9z+tDqq^Ai%rq@@>~hM)~}fs*aWB9 z0d4aBt_YU4!3;Izfk zYSMWbhjwb%4bn9IPS_u-f<1Dmf{cEn43`Amp`u=v79S9GR38x*EhrdR2pv=g7t-tZ zMH-{v2azJm(8qET?&U|A!IX+r2zYvgxLnzZ2PU0UDTmR!z%1n!3)T1KG0drxLhNh zoSS(AEGC=~6f_Gf*|q?h;mReg%B_xz!xdWsgW`RMXNlA`Q3rAXV_^$R=_>cuXC}bd zyoLSCsC~`tk)u*j+IbQ6f}qL>I#F6$Rq>C`#2>%7!KJ2%3)28Sd_^IB+NQmVy_8*o zg)EjeWMOMM1@RK0!SD5p;1NNLOb@`y9|d8m0jt#!*Rn#WK#W`VV}{@F`wqW}T_0HA}mbUwLp?<;DUJ>=c;Ok$8H zMrY)PEJYt}{{SFLRs*!a4POy=1XFJACut09LtG1m-#^N5lGrpmOc}4-p;ce}j2ya_ zTv%)Dtih=8w0*pR0#$GKkRxVF!fRae2SuB0$w2-@0JC%T8Xi6$5e^&$?Ll5lHLO<3 z8-N9qD6wG*f{JBLY2`H)EU~d}MLJOc7{q|F;VFTzCEb&s+E?}k=i>m9<-(JemlRWA zZD@hk7sbIstFdPU9Tn&M{{Z0pvy!4HH3wYIX}hESmhMG1p_LO=(k+JFekE3MFt|*s zU#v9-fo!a5E4k!BZ|sXo6n;98B}n29Gv-#NktOSdT^p^~{vi<-D;XHA5IFtRsr3a@ zgOLEW8o=KoIU3Jf1=yH-2RMIq1XwJhAV!M}w&7im?q1ozcGBHxOD>p77s}d=E?K42 z0}1jCfenUk5hjH_Sv_UMtF~K9A#+(#bmt(|tMXs8WCNNjYA?!{=est#EV*Kmt4%=* zmE@@71tm1Ez}#O{(^|7(P70J%U@fq_)?E%Tj;j9i5LZB_+*5r%?piuuxzL--`;?Fz zmR$XZus>v?e?rD^b$b(yxE)r6{>+JpfnrzvLukGDmtYmIA&pM|01+EuKY0OLX=`J6 zMX=;Ae?g`9HzBUgSsv;1fF586N{AZI)k|n^UIZ)LFc`!L3NHTu`Ll*(D;5+)2vtDK zAji|NA6}t&7Z%^lQ5Z4GE3x=#V5m(hO}w%?y0|N0r(*A7{{YMYIUlkt!E9P3YSu!< zwEz8eyqvYkD646H`u55krI~&+Vz#I?`%DKRUIShfJup9+OGJzeMKEPQ~ z8e>2Z6)isqBVtC+qDCdAi1@6_@vD?AWiD$2a*0g=C@)3|6gxPVXyIQqNK&;tOTj5YdlqHi z%;4VsDHa|?k098zurDEdVj3;60W_c1QYT$@ z1^)nQRbGTd1WLd)s;l@sODGWtSDE_i+PS4$juX$uNt>Ia8d8Zjs7uRpjZ`6T~hMeZ>K>_LxMWSfYyK0^J!a8j(!oZrlg6E-lhf+v6Z3 zy4Y`-GPI)ik*gN$7HV5_Ib~y8$wm-$PR~IJA>p++!0LzDU;;aG*7&NXgWRg1cNH%U zJM8|5SoDeuijI`e?sa9{8~Y^yAlDc4Lf2T=&OsHCQC%^#Xh-%d5#afrw`FgT0BCZF zOpvh`PstikoCT!3W6+2ytK?iTR>b}g2#U2>iZv}kgX&|dQbd$*a^EJH2+7TACF~7B zYY_GmDisj4w^HUfg5e*(WnIv2Q0m%+AgrP~L>m>driF6tL~n2#a}S4%!FlZe01+0WvdUcWZ9ZU6 z5FSi{IG^}b`oHW$P_08!t=2r11-6>@;5)9K>=y09n2BJLYhMV;wO-v+=K*Js4=M6FRHh~<2vAy#8p8N}e8#q1l*@p}v9M96q@<*!aEAjUX?E@0v8XvI<6xjA+9U_mWc*`DI||_NoGbLu9hCR@rA*2dQlnLe;`OQs4Re1PGZ$+z!{`sYj6^A{A^gg+i*shV~#U zRORdoMT2aicNo=MAa6#aN9OL!fCMl7q`azZ(RB_-Kag={aMLJ5P%E=K2K<3w!N+Y; zDQs}Z(HIC($WFORs(AWrQ*zU_gtZ|OvXWJMU{+V|8*cu7n6?A0o%)=fhd!A}q6=I2 zH65j8reS%z=z=z&P4vNa@21K>4GA-2=Ni!Oy*%Y=XWOvAjDs9#VI#VzxbbLq0(j-G_L-8xMm zCRIZWYNLzsDu7rh{lEeB2Bje{bK(VM;+@z62Z2IC&Q~0v9Jnsx)pP0xDgf+usIOoT zYdkR*S2*?4IKsGdOny*_7Epw(lyI#;u_{#p{Lqo1qQ@UbL-=eQ5ymkGt%!c0Hc~;j zKLY6cJE!sp9oWcN=D^^OMQjSfFWjpkVAf4NLPGLunvFO~LfBJ7aePJ9@@2fZRr-Mi zO3rWE-nSBlXSe*p!WVFBLBek2fUA7@XYX#-NGY7`tY6{xb zOXJm1%zyTlS`X@@6c?(vv;0bA3cg+J`GO6qnhl8$8@l1(<<%&PkL0AsFfNpz+;=U0 zjF+OMrBdw#Wg#Y|*Gmx9rvN>v#uuSJ1;qpNp|LSXE_M)Qi>dB0+*;4Tja*s3P~!M~ zQ3uw%aQ^_Zr(%Cnl&1dx$bru%W%%%wrE+c)34MDvM$1~BsPg)1~n{GbV?^6*F_O?mOyc`p=2sFX>HlW?R)VKO0Kaj zOF><&8Dz9&V!CDID#ETh83LXkNUaw@1neqKKuK0!+@S*(Ockp%Xk{FM_a%_T1 zqv|Y7!=Y^IT&Cm-gW`#DS_P@1cxTU(L3Ktz3(A0?g=2{mhfe{FEl(!Zm5p8~K__ zQ>Af-#TG^X0QU>tizVW-U{rQGEK!R*2A5*oSpYTuK#2Ed{j#wM`>A2~0;QlsqC_>| zhy_{h!g>Yk*sQv%)&ZcxJ8?p{FO+Rp;VbKh{{UE7<4EVCKKneLYdthA{{SWlQl|A` zxWZ}_=0r-fO;?u!e&Xxc%M0=QioklR;4-RHNB~bzutmEBs4OnuLR-gJ1=6kBMq0xBEnf~AT|Mu`JToJ!9@OIhO~mHm1=^Uf)Gvkor9 zl8^1I*yu51`N4_$tjnsE=fkjjeLsvXAtq^I>{Ts&6(5YA)bQT0h&PptP#F(@r|MBh z!(E%2RHRpjOTTA{z5$PJ&Py>gcpwqem-Ra#iANJJ_R-C zNmiDX{n=0P_`X2+c*M4g&~xQ359|>9Lg=aTO1{rvR?W`%Wk_d##Z;p$Fa4W_*6}93 z;1A>tkEO~y_wZ9iQ}uF(+E-n-*b7@y8~Ri_Ac|`dZ4g-rCe$<>VusCB`-O`c!cj}s ze`K;es9f1mxuT%kjJ&U5ZzT_`TR<*ZcIGiA5HN$nn9)ZeZVxmE{grCC zfeNt8tMUcB7|V_o4*4iG{!4(ZfQR<4iT)Lmix+a#7Hm0CAFVeBeWwxuSPsIr6^V#1 z2-F6bcPQgK6$Z?p!U5_k{PM_@a$-ZMS@=nW>-ZaLp<0Oj*FF*so%%=zKUyjVIQ1@9 z{OrFjKUFB|!LP`qZFAnf>0zJXCQZN8C6}6h&T2{sgov?08a@(Q>qCJZ#_AkIrQ|5O z6_72K2X?Y7saf|eG33-60$V|~+-mqdU>HrYTvazEs*qP^>NSZjh#i37Do|qo0MOXe zmAZ+DuiUML#iOg_dZXE2CN?2-d_sDTfQ^;7lmyz`36s@P#M8JH!Y_*p*fA81?<7f>F zx$PQD6i61L*U0Kn0xNnb-ZNZ}u4!B7NFjJHBPxVYG% z!APn;4~l^ysZ>%5*UMeR4bgGFN+P^IE7%FU9Wm5a>>Y|XUHg$P;=qIfwafSFSM;?A z{wwjx*`(gy*#1CkLbVjeg42Jtq@x=Ie|9s_uZRfHM5HH)lVL7O_R+P*;*h%^J5!#?wX2KT)7{rrIpsbH)7;VO9v;P1Ri(Rr_ zB3H{(SX%{LXob3Z%xe{@)p*JTEeGU^3(~DTxDGDV7r*`ZP?f9SnUhy!6^zAZVbbxifJSZ9L;p1x5)=ZvTZP)}W7Cx&nL>R^Bs{6 z{?S7Z642xS0CN_E@JI_=@LVm$ZYvXEFgWa6vK+G^WvnQ1W2Gz;b-U%n2u=w908Kz$ zTO!5@q>>`I{#6(IW)ND%zf^p2XOvYG_y zg}OeHgj>iZQa6Aq`3XzXKT?9D2)ci9W!@#R>R2Ta1cl*IU|Pv0*#(SPHoicTQk{V^ zR)Q#J#AC>zsZiDo58QuFSUw?y7C;?Su^qzt(@AD@RDZBPy+nMXth$xd`Y34GL3M!9 zt*EQ{SEAj2lc<0YsN8^h)k7g?1wtx0sQ_2w5e4{5HHrSR!lb_IAUHO1rv>b4gMo@N_KS5I`(EY(<8mHnqGUD4koM1aGvE5X2wi=eF)?vA6kfYSYO-oHIl>tj@ zu|~^cdYBk0C)?)O8>-Szl(^KGsjhO+(7FuUT!Cq+L}+{>*5#0mQk2}@5WAj?mx%Je4%L#z!d-R%8n+iJSwL-EYm;vvz5S~84O<+kK(miy zO};l#BFFQwRM})l38pp@t@|QVShbZdnmQ{%lOU{uTatnVi2?jQiotMbpB@ot;90bvTp7uf3Wgtn&%nX5qbsCEo8Zgk4*~ZT zzTI59hAs-0>IE3&v?EmsM&tCT^d419mIx#Hb`9P`YILcyc7WfQze@wkodUkI>HyNM zS8sa|zoK-!@+VB-7DuMw6HsmV6vboI!HB-HU?w*gb&L7@007-`F0c?U^(`rJb59F_ zU`_05e24ogui@;qsJnsY0deUHBTk7b6L$ij3Q9XEVu~qtMhCu!L4a*KdR75u2 z-88|^M2PUf>xgrqCGApwwm;8ylMIfJt5~rb?zT2pm}xW8{ES*fgv(_HGVjt;I}tv;xPtQ_ zzIzZ(laU#$_(9tQZGbj|;;rl8sGKgz}`yNA#4}*QH1GgJ9uFPay3^97jTr=rBW z{!3mB#4itJ-yX6QDe2kERs6wLK+8j6O#@6>b~s;Q_8T-?J&xN&e}n>rCEsjv;;CWA zUh3p&cx^{*0S&K2HtRYkar=Cq1UCrkr3F6}%PJobMYOSBO(Kg8sk3NKk-y1B)S|v3 zf@8{j$~;{`=d%J2JRi1Vn`9xV=)fnS*0Wi8AqF|^!bZ-=7uZx|-df@Oi(&z^Qov|Z z{^#2;_xA@v4g~70b@c#v0zQFDa_Uf)-O$3^(ce)k1C<2|A&nmd^|A#0wxvp?Q){HC z2*6>SQtzueXYo#+l9@ausn9`~?7q#^Tqu~afu#CS(v-<(4rN8wR z00oWy1QU(5$QjaR*Dom4e4qf-29lKXB~(EZ>#!Xs>M233#B2tfZ6DtPAjm&!d)1}`Nk_T^B*OF8Z%tcX=rz=?>Mf*ga=O8C0j zL1GO9TkqRf5mLx4GAdU^DEr8aBLz2PR#|tz3dA~>6+Ee6<@@;k2y9Ntg<=UZ8Ej_s zSn~d2j|z@mQ~<6acG@9P{{XU@PAx&Svg?Ya#JwM3kRi9E=EeXa<6!|e;Vc%IIvZ1= zn*wS0GPXWmL1E6zKF``zJpD}!f}Md>?~>Da*(i zF^Gcr{5u3hKO4BRU(D+XP#{7uSq=PU!muKr{vP=pO8NY7ixD1>`im1P<`{zRayJk= zEB?iIPYa}@n;d-4kk=}3Rq|b9V=cSi4p9-2~ag@`^mM7_! z-(7)Qa)C;e@)v9YG9nr>&FOJsGmJj>O`87mDj5>K+sG+e!>7zbO|%eU00sc}q4ZZ- zoKT-6NO~N*jy1Oln%w9=!?N6Y0CHDkv*{@>Xs9z_&F_tk#dT|!ENs#L0L-9^2V(^4 zE{b12a4Q>Z!c7q`9>HptJd_^t5(wqZ2IzxhB2iqLF*?{+b^idVMqErhf0LVK)jWU> z69JP)3+#UIKiHR~y4Cqm1yWi1oFUS3DNoBV^B)<3bbS0vLXp)AueMlTc4aLf`ME{W zfe1A2u+!>LQMfvrX%PtW&pyd;h(p9s0cNK^K0I*>P`AR73r&q+OE9DAd7+Cm33*H=NjWaJ{Soe?( z?Q9IaE}Mo<75!onwZd~QU*hFLzZ;HK!@B5UMSUJ!%2YR`gL2D=J65(Eja(m^P^v|a zuH~@1QojaF1?|+JZof)Uurn!lAWPqZpv6V8kQdnSvS??WKpX| zst>u)uBHV63J#mQsc`rB$}N;?yE+cu{Pqa809D4r)gfFFrpRta)V!n?Gz>pt4m_Z0 z7*A;H=c>rXu&A|4zN^?v6=09UaTeEIvX|v|092`nMSxd|V&E;ExStTE2}`euV_QCE zj&^o_A<<6YI@>xmW19_1PLtFQ-TlFs)Uj}`{-UO}Q-cwbZ1{Ko0C8C>y^W5pS~rWZ zD>e*Hz#FyqwJY@9q^P8PO225Q$b^Muqk~=q29w@P@hRW9Ooq8A+(GeISSbv4n9;GJ z7ofJ0{^a5hEwHGyTc~dX#uX{LQ;FmTL^i=Mf@@wxgDuU2c6D^a7pg!m*IOyPD1I(9 zwm932mRfO*z*9ylx37N!4WQsV6#yk$`t3j_${k}Z(~yMU?ocZqH6X_nB}E zF}3=iQ3YLpke^wpZyGLI1U!#wXh@wFAfa)E8&zQXiD%~TjH(w8_65`>HelBSBZL#^@_kB07YQjZ9MN+MA??7EHH zDQt=?KfX>Ka$8;k3cYqJ*%#%Kg5P;9VpUYLn4&_rZO@hgfAVAcbY;o~8>e6fh>g$*vwJPB>b`j|vrxE>ZV+kumeXP| zV;NKar?7?+h)RMd;Ow?lOkpeVnNg?Vk^BS_rG70cDvT+WM{~%Nl7PjFGo`^>SntH5 zaD(7x@}#i6ji3hD^X5FGZoz6dP@#af8|2WClN^?<93rT)SvuDYh}6CR0AQVwKrt#6 zTAU7PaaGtClDxc^Ud{}#s{BFpluaV_s8EF=q_vAH&5Nxl@3NztL0p0=%LF{BLK+p3 zS<8C?O%Na)DcgoRYlx9{dk}Z9HX5aed+p6$*ThL(>}X_5_CshK-drudn<}LgT}uzq z{>!PikC72oIv4(9o7j-ife|HFhLBd`F zxCtH5+YkcmP^dtnj}KxPviU4>*eN}h4YB)Sj2nd&A0kj*m1z(N!?A}==TF2)wf0N| zytrrivM)o(2o$Y-knV1lm2Vb83_tvWkV*&3Frpqr7#zlsxoCgH`AQAGmTY5P%o`^O z>_I;N00rq!NVHw~lo$Cf6rcY9iD^>a<-}6NvZPOC{xtbQiA{=_yk-$R&i%?eqgrlG zg+Ak^j=h4V(njN%8WF=Bg_dx?_E@r9_zAVOUgbj-RS9nIaA`;X0Fi{YXnvl+tyiz) zyJXav1=l&V?s;SZx-cEIRn)VWDwgN708AsrBFZWy%3AVko`V9Zyd0Joh3e%t+aIc# ze~^+3G%^s;RttG^hV3#L2?Oq3h{f6h@6;7dOOGN{)~_v(l?7+S7vfwd=&4U5v{T8G z3MHXN=FJ#GMfjGhDEjSvM%Zggc`jcD5fodbvSD_o972Hq0Jq4d_Qk>AN-&C>t-9NU zKTZ&;SX8~NNxKE5!7i?!`oZQbm(2icx3Se4D(YEwhGQ)YMj)wqQPdp!si_U2a!?mj zF2{sEwH-ZfaA(5*07B#CiPcf{Mk*f=TF6G$@dJHOsbj1z#wEL8%Vf_@%sVZ&`rnYa z50tPsWF?x|fM{3hsEz1={6R$1#ehEJkUQ}K%o;ENy0mPr%jDhR3Y5|$E3bwDSX#dF zG-`nfV{5=KQotcmsk99?oq-uT7O3>z=^wBz(Z!^xhfOU?0BNJL#)s}HLSKv6#z+4E zP&>UYw<;Qo;67n9h+=l2vEke7Xl~xQ64KgPYFffPc*Sqx5~0&^LBQBSjKnTn>;C|R z%2c|Vq5#-KK}`!~kj}EAU{>~A9D|>QMH}HjZcu9jczL^W*`bTU&w?Q zv9JNIN^E#$o8CY>mZ5{7uiRAzieL@PMI{Q$#Em&js22@#<&YDI;jY1@TvDm2ln@Gm zT6=JV_FTQ#%YPA6$P%~0aFGhF!2la|8Dvtx$FLWU6DMzxM$VY7{K8$;Xw@G(5p5Th zkwHsMt3+ODq{O&j9DyGafeK|=8mN9rP@I=+$@+_vE?Rw}%1r*ug}jC@;YZ*Q2?c%^ zVMp;Sxkpl`dY05OsEiHY8^i6myr@e&e^5m%y@;e-!Ehz2?N7zTWTi_QdveT_1-1jS zli?Y)JXQS||r z#`aK)jk#hp`YLYjvd~dJ6$D^=cMzIv93ZgmI#eFF;EjTtiM)vv^th-Gi9#m*69ISe zEVJ8;6gJ%PaA6Lje&Hrs_y}p!b3iX}h$~%#kl44h@)=VPmZ8uURZn598Y>&|dnm!G zw!}gd0oJ({wu(L`RJd-9nqY$SZq0sWk_&S2vY=`&5GgLpUBDMxb$~1eR1`fqoRqw! zscHd04ybMwZ*C(})fWR!*3y?Ytp5P~N(FtyMZY9%-O$9$+$#J;_)UuT;}JF=li$d4 z@)2{Ixubmc68NZ|`j$SWtFllZP#rSETxNAmiD-bG4~a;1L1W$(2l%EjSlpm{Rd6p1 zo(;PJ--B@qYv0pYtt#tw1C3t73O9{*1^hTg2U3D~4gRO-E=HQ_IgoaOzEpLJI%%Bc zK$*sgrkz(a2;&1wdned>_+)0w&jxm z<0-^9#g-ZtcW!ELqb?TKL>yIK5`mRbs~YheDOAPX4X z+!-FOp@{q}L~Wj`W%z9%DMx?%Md-e$QT&EYO{@0`KPZCqGR&l#KXb2Tte~1_Cgs6s z*=_!zFULfeV`~67ZNARd3Sigu3pB1nLK1rjtN;Qv*iNENH zM}E;w=2o%}J2lM{$?YktOl3>=MU zr6@Cp;4|^!!R1HPxK~HA%HBlMR~yJERapcGuv|keqyEd{@*p^}uH`{$v_q;Vk+J;? zh7_g)8o^4tQsx?^!c|2=ydc~Jz`edycy&1o00U}k@a*@9o05{9+`zb+<*@ljQ& z#%8@j(@<_h_?Q<7M2o*{nV}1MGeEJmJ)S6?4zODq<{g!(g z;9?~mV2L{r`A@}5uv)YOu%oa~;4AcqdPNrphE{+Ll=}ew5g=b-n#Sw8BC;+3nFUQ# zfW=g2mvQ=fJ_8-Xl~q{;NTZojsxAa|za0NBq0Mvus(v% z{HHJ31~sh`!vLl5l@n{BF#Sb4QdL=U#$KYIjDZZ=<^4fcfi+Q04n$dM{`oI%Lq2;7 zX`B$E=ZaxmLZaQhCISs9fqZCTp^6Pnw5r&;g7UDI2M!DNJh}z*Vph?!wMAw?TL&$F zC)B03?~I}7;T2e>6(A)XiMCY6SpNW zZLGVvjkC2VzcE6C!B3d?K0K5@{{WM}R97k>94nO-qVA`J!I=o!e8wQVlmrYFEZlOp zH5Q*jG*3VL2Cv|maSw_w#w~)3f00{KVsIyMmOc@)*cad;qTT&US{TH&boK;s2&Y?M zU!rVE%u2eABJH!J74;A|w*evsyRBJO3N+R1-0F*;5yCe}0Oh|8P&myhM{Wa}MNf0UQrWia4C}>lD4C0sz+gVzgte$h_BseXN!O&nxC=Y4-(?jS8qZ)fQFL%7Lq4gW(SlG9g;wb9+CUSl9L{h@u&&u^Fj@fV`5mGuqGSBYwea|aEe+eIst1U5IUEveGil^i?X*9+2w4J^ zYYMEqnDKxb`vr>yggx?N58JZ~#dN_ZO7vj0Vgcl~?9`D$+-vm^e+vE7(A7}YY(qm; z(c}ql`7LuRTB&%T4?ZW%%2LeL)+k?HVC@b^mIYb~D@`=A1jQ8hbWasL6K9vnNbO5i zZI?}g8{}4&Dyk>uPJu`p{bfZxyKXcd;9V?o7EBCbRICHyWnE1yM5D&U~( zva!xEuvJ&HD!V7#wXxrLPU~(MxABpr`-F?Le7Qh3Q*#^grBiP&Cs_a*yg%3~r^I4D2)J1ICEJ+*xNM*k7UB_GZ}MtVOFyVb zkQ;?$8V;*=Blm#ym-qS%U~8FAvsz9mT(y7&Hn0}p5128lY`OXqSqCa1iCng&!&}c` z64BR^m)OA%pSaO+7gY%mF2KqcSN)W`Ibp@V!+5BR2)~$vihZ2tf+b5P$c(Hl*YSnd zT7Yqt?b%&BO|yeTxt6Jka1c@?kJsUKH3&+OoV zxGo|sN2y{(N^jJla0*6!q1N3E%eer)%6mmtag3UCUvNAFayCfto-!_C-bCAgD1>{+^{W1DE5nCVfQUU=;X$Xj*u^&Mo!(9 zL=!Uss1$L9FferLv9+ULGNinmtZRD@MNYufc|w`Hg&%@2+W8w0w;lFUpjiI^$w|Uw z7$(cKcad~f*DQhk#Seg&6<1^y(54ASY*AdbO1<`}$whs+KFMY`Y&41Aw$z0if?&_kDWoV1Ir^=L#4L2?Pl(oVz)(+31 zj6_v!5hzpR{hy{zKBLx`>HsV}Y{`8c{{UmmvqZ~@SFuXyjBL|a^yGg4ko=JRGM0We zA=HaPl2`3PS7r;P%Zmhd0s})OG;J({2ari^OO78Aw2Lxr-_!)J8Tus@VN%!JT0J8r z-F$?hu!6mtJA<5qQ!I>_D=KI@THW|YhND2^ZpcK^5Uw4I~`Ag_nQSy|;3}7XC@e1gF*7h>+gVAZhhHMUu$1ex(q~3M{+! zI+RDVdU-RG6n7{dMH-fXScL)DU7uAc2BG8tQ3YyeCt%rssZ|QLcN+*TV*@E7lKNF! zEd^o|X*EN!tFz!&BJ{}mxFLYrn;B5Gww&ZLb)N}GsI48iRjs^AZbY}Sk1cejT}dl7 z4P8nS&E21^ltx{CvpBqh;En9)Xf6-B(7Hf4Em$(e{{WD-=xOsRd2JKL&!+DoUIXo@ zRa&zn`ivZpA}L4#uus_meZ9CMxlCyGBa9FmR#f41vjzG4g~&f3!z|W$w`H+B=#VV? z>bs3CvdfsMV_zYEp_bAo%QR@$(HsRtEUcRZ58MjuxV{3thsYUiM<2mA6ge(m=*ycf zl?-lr3)yGZZWK4j>sA2;Y{OtBa;Cnu5nB_5UoIl4y40t26e(hgwmXMl{e1bB^{u5#4U&b?% zzy*Ot!&|_m2B1)z1(AEIi+;oWhGy0 zs$w&PiEEzreBH#BlJO13hS>*5u>n&)l_-YPmxvU>$lr1TU$Bix4QQl3f!<%PU5TFS4f!`OnICHw6E00_eC zc`4U#N}T@C%M#@vEcq@V+b=3s%98RZc&Pd=zN!>hFUeEvjGZo658J;mg0ZvxBQ?lY z^P6$#mM<3(A8BHbUPNc<+LS=Y+i6i$IoXw%)KyCZ1vL~pQpI4cT5dXdqbCh-%(wQL z3y-j@14x-}J)S!j(0$ZP$|^Q4_xmz!0m7on7}dj}(Z~P?;gCUo>VT?;5q1{DwU`v* zCSzmua1?4%Hqi*Si}KhJyKi5@C8W~UACn`ZaJOau0B0kW>nLFaHCY%dg1$uBaI3Fs z0j`CIkot%L2Xv0kwpr`xOcnYzQB;}J=2faOxOSoHTr29etFW_ zaNxSBhV2KQif66npU?EvwJX7qSss5OR&lT)181Z$g5RR z%9I79@$!AJmp*NQde%hEq?8Tw>RpL`WJkywi&J{lefAu-LkZL*Q(-!E+k|R`)8U>AQ02P5^8WZ|h}BPz&K6OyIX$QuQgu57Fe z2}|`v;z|{LV3r_rDgu7sEBfran?;i8us;_R76b!(Y`w!?`vIF`6Cp_~z!%KmMFaxx z{y?-)P(uRlcrH@Dg|k0^DUPTX=qg)jkrmEs?MuOd`nl)QXxZ3@Iy*A$K%E9W7iM22 zw*!CpM_*r6Fknu^rl7E8)PP?^R9H8z+Va4BWdS?FsAc_M@V_!E&`5Pi4*&z^Y zvgu3%v==P4A5#J`gAS_wl$YTU4XQ5ui-fwq#3bPM=8~eaTkc9jNMSHs5m$Hc=a(Q5 zSL;v<;>xjLECF|Nvf2=KAkmbU7=hSg4~?8LvGLcJ75 z0sjDq7NR_R8VC%UUnipvU?%UKufWpFK4lzb4bsb%i(GCRO7Su(uU(A>x*+f2CfiFb;;S-BIV8?JUM==M-IS;$$5QB(y2Bod<2um>T*tCw6Z&xD{>3~ZLZ zvY=wi*~6~#G@9fEkhVuHMhnoNljpKERav_T_+gNVyp!q z-%ptI4+*)Sb?Y)dSVgV&pjSweSS;PjVZE4M3A6SGU%A$^q51wp^2cmUmqwRkNL7Nb zA_ck-%i7~K4qUM5s8bXr520{0G%cn9vOofufg>k0L4H$zYRaQ%7*K$mm2>7+KTOi-CxwH;;K1sA_YF~AemQJQ9#v!*kwn1 z3ct1f>N%C2CS(HqO}%1xmY@u)Aojqe_HG( zfZIOda-iuDV_r(&P<9yRSyu%~tt?CdLud>A$Q3FysM2s;LZn#Ir3J^M@hZW1T*bF> zQW{nIVm%vaOF$ed4UX=+Z-|9^^(D=~l{TzZTQ?(mL<@F03fYTIRgUCJO70E)%8f8o z*rLC13j86B*n3B^%ezwJaLhpwNw|n8!@mCjecKQyuoFg6OrkL{v2d}fn6IKNRDy(haVB_HxFwP}VQ-9i(eUA%|ZWJwrsx&U~ zGNEEK5M(}{z>|0UCBGqyp*)I~Fh7vS9i6oyr-WhUdPjV@LM{t; zDP%kysfO+SK)c(25Iuq|E_8r}niVKo{FrhW;TF=aaJz|XBemieH~THkxnNXQLj5Pf z5K0Z~ria*td@umKB~cVZshQZd)B!gpFO0?v=w$%MijHwzJ|$TNR8Z_uuRGW=jgU6i zk&G)@ttA|_T+-&Y*Gvzpj7sVDp!}?e)ynz1g*?8O20I{>?`p>I~ovZey5yE^!mwWHw>YFvO!R7=XKkfN3`h%QA2!fT?;E7{N; z9e^zBHZ@ET*8FWB5jxRwXm+I!4~g2ssw~AWhTjzpX`&#=;sz184@M<-J|!V-oQpPA zmTppf(J0##!33qm=xm~cmk>}dkT4#ueAv53(;=YyD11}oHJFI{atkXds{(B+U$1U& zGFV$@$tf*IBdFG?<5+cn>)7Odt#NGR&cDyVS7yQx$J?@=dJ!!V?8k!=^2 zmm5nzlbzT<+ynK`V(N~v)xCu$%C-t82J5lY>SBh(sL^gObFe{dRgS6oC~U84kbtRS ztll-I)E3Z9g;He&6bE4isDqlQ1ER+E*Z`*m&IkUI&6aG{#3)+Aum-fgO2A4NY^fl& zY09|7kMDmlpt~3O4iTwW{E7_|N|}9#rHYm-*BFl5L90B2$L6vTL3VvwJmj0;cGEM5m9 zY+|Hoi__*NAz{;Cs15yI%O*W=eT75_+uz)=@d#l~y8;^&zkvewPWLW3sj~9rm7AAW zUuXu?ZUv|eBB7`LCm*623|V)KTg!)C7##ui1MLO3*ju`nr#+UXh+rAVKTOj4O$WO$ z2GMEY9b$~0wjhmpd-koAg#VY9daVtjnVNk4`3%$=SUO8>*f?FV6czsfgvc&(0f@ezP?`WvY*Dq)KEWJog4qnbw_M~wR7F`W ztrXt}*(tRSak7Rel}hc(H0(|-ECB3v6f%)4GOQv!F5#m9EtxCP=c_*8C^}cLZhL{D zamF;e09Pw6Dc^PCEjA(Bh_t%68@mkBRxgmFDYBHE z46mr&BUcv-T(m9wg`TzBl-aVMB%vY~Y&UUHYJwmDe831AxJnp<<-tv!2#|eT%GoZd zVlAkgc5OKX&T$U!EdcZx$}XnnoyMNfRejV*Yw%YV(tF`)OIwLy0YRL%4)Wv zmJNF^%i}My+bgvkSCJ_aTO$7eu^y@*Eou>Z^(mxnS8M!$0O`w#y4gSv5%KH-{g7MB z5ms`0@j7h5m*P3AzfloAA@?YBVl1qKYL^72zB9v>H-s75g7dHkc`lzPA#u>h z0|XqQ@p8jbqU8nPaoU`s>?3PJ%GJ(XJ#_`8mdse#Qd6)6i>N?APlN_6xCXr8tRMpgJYk|ABQ)5vAzNAML^r6kZQrp2mjG5FlROf?{KS<=T3mt!!i(<4HKKSZ?J zpt%aCINO^=v2_g#511ouAo+rkS6Ojdceg~er7Gd^Fl%LmJ)My?(Ud86R@R6G#y+UL zZlw7ovw!^eHuq+!eh^wMNioa1D3?y(M$^1q@I#-lI17= z013mbmbD3_UID&L71&Gd^u`+Yuj9#9@l0*TqMZ~AhD$0nBOMaBYq2|z7Qz+OXe*Cr70e}$PKh_WkV%x z*;H>KR&6n#_$|s4Ey6oreDaQAH>g<{Yqcu2 z@-*;*)>d*Lv~CsNN6V<-Vta9>k#UrOaD#${(eye?+c?_9}X+W1uE1M2p{mVeP zad{~Y)T=AxdVY5P+ZeJBejgLts4Y_&XzZf9Ia>=sd|(0d_X|qc=!Khe4^9|RsGf)L zisO?Y-)5Qb$;y_Ob-R&*;83Pg@_K%v53=%T3-;<*QTP(Jm@s@qxhV?E`ImlZWC2cg zGzZf-j2l<7@;O*Q5m|4+4~gk(3kAFLDq_(nG+_W@#aG?UA~Nmt#VtHV)at;XM{@pu zDZ=7>8qVkzQfwuhJ)CT9 zWW!y&62*?4f>+8sxf9y~nxFw_i0N9lQ zXR{;#HcFE1@&dVBmMA^Oqt+fDAebzMeS{T(_qQGOE36e&0HVM8n`*t5jCM3`*kki5 z`pH49UnW&`Fo!{7NK6404XOVCl68xB4YicqRqmoG0_#~3M5ov>b+1db+kv&J^)Ai} zU>a`|B_&X&xikT$5a-)BJ=u0TJgLCqw~)F;VGhEj(&h^D<|^{MBWlnK{iPSQftFW^ z+CKb-AtE`_SQ*uSy_#4B@a&`x22x=ppkFUyoLU+o*9XifzjNwc&^gayEh&3NOfJFB z>GF7SJ-UQY9z%EuLF}`4pD^Cm>K0?S1;oawOuIX9q5%s#9>=eVU`1Yo?g}SVpKt=L z3IggnKMs_Z)UnuJRK@G-F1Jem0I{bFJ@yT^6J6f@N`~mZl7_`|0@H6H7V8NmtPH%i z4J}s0@Oqa91;tjxbfOt5u(4=rhX%VQsB^RZ(4#v{k6A01}Ol)S~m``2PU#6%WW4dDdsr3-nc9VBC$OG0QNeeuFl(jz@{#!66Ijj@hj$yrPr{dR1-cf6yu$R(19*&z;Y_P_=e_J zShq#B{{RHB^2Yw4*4kOV+g(*fWfWX+|!9>tKqmhblkeF+7y-RbIsG~9`N+MJ&ZuJHBE)=HT#)FJb2gX9;;bA&qvB?VJ_WLe1gAZa+rL7~F8=6m(Uer|w zP*n+kWe+9U`?-yrD2Xmw-{}w@PNBl+t?-l|Q&<7!MP*dG7Qv>VYx>v%{IwQcHz+GA zwXtjU2u%Hloak zkvKC}vr^0A9RpOS?l(165mf~OU%5>OB?2?-Uz5Rg?CM#iKNGs7@&FK*jW_IEZMAn4 z$hfyAX4A@;tJ^MGyU>8_>n-*R#P`?>XMY66MDp|QNkMRKE;~=g@ykB$fVHGhhlZOiO4fHi;LjDZALueAa0@# z8mHTeK-**Gi0vf6CMka~sf>pZ@gD%(>cTYPzd3W|D45FBA0{C(O&cZWrGQ1?KOZv3 zgQV{OU76@>$mQ@n*t(5|+PeYxRfWBpVAyq$1^Shws{a5ds>D9*xGKGU*`a=wx-Qve z-jv_`lEei_yDZzw*83hP+N-26tA=kS9W7r>(jVF%W}KF|C1e>5C=tNaU^*yTrQE-y|xoN^EAgqF7OHh)5Tts#b_dkleNTi({`{sd*`*04!e=&4W z+!Ze)Lj~X0UwW)Ugghf1=>nIsU`r#Fb z0ZqM>L--({PB9fj3dyh1S!0msU=Aw_V#<^sx5eLRItwFwVlcMi$JtrrZ3Oc+4&Tw1 z2A(5#0peg@#GR#17&X{HP8Sp)?4$s4#g@bnHro8`v1A*KSwgT29}>kyQ@x3qK=hZ5 z1$%Fk{{R5nlq#Ho{{V82=^8S; zu_-FHz|z4wV52%FB`H@UfBPaRQt@)7DtqkUM|u!8Ub2f1dNl%*CCgEz| z_(a7)_Kh6ggG=oS)WdfTNE+3y5+YOHN&s#W4MX}$8fpe>@mP>6S@RWzQ(^1mA?!_V z{{V>2U2E9oe<2e?`396hz1Z)JBC_Fps223r5x8uhWT4A)t6v_yJqc2yhRJ%SAfGKN%Dm853 z*dUtKUc%B?xx0QLP!-uqG##d;!IX=>z$0l##%YX};V&wxE|$$L`z%xeZeM+f((u?m z4FV&eTR-dt1fqgnmVQpC%s{J%HxGjXMl%P&UZY4I>P_tZMq4fa@n>2!=AM<$+k zWn{YaUfgMY6@J{JQuitmE#LgTCs%BMOUGQ6m7(`heppJ;cVwfD`ZFpp z$To1B|hTE2vO?_!khrQPsV|r&6i)$gEjch~KnVRB41OtdvXmo-AZz zq&8oXQ{7>vh<+&oPPfQ}8)f@|Q>3FaVPC09eGw~-+@R@I1m>QX+1B0ZKH~D1P2Nlu zEnA%-C=ufB02iSa*$z}Z+IboV+}mHw?G*jZ@0+k%zM`##9JVMi+8xI7jSh;GEDrKV%qWiF}gZi1@=!fHZ%m4s&R278ym z1*!}Th*S#(KzMxSz(5m?%rt&IG$yL{A?)aw-7a2N`h}Qs@LrPz!7A!AsZdqWM+}sy zmroF{62UGFC9cjA?6PQscHN55GB?wA!?2VwvI(l`sI6KM8ZMLH!|$+(r6LyQq4hMz zqG)UqSo#>8JR`smD-irfdM>J1^kQAv0n|4Z%8NgSR&!X}fl|=q`Jslce{~THK(gTp zSc6s37*%4-xQ?wYOa)-iZik$KHkCkOGbX}n*c3}5iF0npV*=!X7j~V1j#ict5+1!O@i5WlL6Bzl9hCfGmsVvp@&1QpF?*Mzhfs$1q75ebeg zFZC1vv6|!(Kni2?6m_7u24uCU&tS>yik+YJEb<(b$lpVxB@(I>i*F`;fZXmf@-Ut- z<91Lv)JCzlSw)Ip$IL>^Uytk9+V7FY%|tK7!B7N2Lqzyy#>!*>yx8oeosE(xbwan< z$g9E?WV&9261a6k%N$nLg%6?vXFetPNH3QgS$_x*5v%XXP7%6$p3Dxu=cAIpR{)0S zD7lD3{Aw|TuCzp|jfzFPC}6!RHjokj0Chm%p~jGi>s4jWEPUIP9$m#R6N3}39ea|9 z(bHIgX2{gM_Pm%E$x^C@nuF-MMOjgywtnTL^;u0yEP(2hfNR&!+;oq(Nq2AAEMQ=Z zpei|VXr=7-g{qV#xelh($;#Mn9MJxmUrMivQUE2_ta4;hXXwqx$>&zQ>lEk3&u=G%n|1iESf-{517 z!!)POEIP6cqp)eZ;Td`zi9;>8EwzFas+TO*d&rKN(Pd}-OO>A&Y86EYr=tksRq&zM zn}qf^2gwRW@FWT2{zT%=f5Z>dBGv2Rl-Ul^1>RjXra&axgKZu{^>^wNO4^VMS2&3MDBT z-67t?M!(pB+^8v3R0@M^>>>&hpc)7(OTCD{`3@f=iCOKm&OYUXJ7!FNeG4M_5`R+-BbeHIfbVLG1mN$q0O3VTl`BFY=pUUXDS#k z&O*_UH7)?9?d&bIn)XoWs9;{0$l~-$evujK4J8RxaS*8*9~dDL!wjLk2M;FD!zh;k zI{>PJ)(}Ei1Sp2z?4ju`PsPVlzF}$6>*{a@@+ES@XbqlB(aQ3F4C5tV(ExMDK4A?( zT-Gv+;IUzww_=U1#e7S?f;t1fMQ75XhNTU14S{tCRDpX+Eo=uIyIpGOF?@ zRHe$AE2rWUve&E-nw*7KCnv~ASf7hSmjDge#j1U-88=@L=QbD$l-E9PBHn|H5#nS! zrWO@-_QXr4qPW7)6#!W-R26s-+OZ-Ijpg?~DzC9C-Twf@3I^U9i7oZraFh(#6sSpK ztOLUOgA>`b*l$m;uw`jzrwM`xD64hl>Tv1n|Ob^qLj7RXXKV z0x-0NZnGg%u?omZTU`5DLsnfr!b;>xs?Nlv^x-p&J2xNDFVWQzk5HGD`i6NEg@VCspfkJ(0lb2NCO)Vzea~m%O#b8SiP-^#TKJj zbw^$^;H!%-Q7PmS2K&Cufkdvi;FXGu{{T!q%l4SB(_8VdRcar18$*Y;DhgGR_7?8u zm{&LRC_oLBFQQVMfj}Q>4iE2O05ylRLAtDo*lXL4ex(d|p-j4KTK@n$G~pOn*%KDQ zq|7$uK&=f^5JCKut++}zEsLdd>LMB#wF@rWm&20cw|%ThW*U9Q4y-!+GX!~TL=$ac z+44#T{@}rI+hM#A*_B@jhp{?&jfod(GUcpR0^9CJt5odCmTmH7a$t5@Dp_WI8CVw&DtFc^yQKhRa@UJC{+5N*kQ?A_bmFMJ0(Z&=6P*Lo5&FZ4GDmDme)w0Eh_YlO^ z%gmkZ$B+|Wxrwk1KkU!68RkVKM=nr#j!Fk*U$_mXb@*aFN;?Zva->aM!y8tizi5s?Jl(*!SNq%qcSOu!3e3d8~PoLbOKwsoEKLQ2dY+?rJ3=4yZ zA5)}LV#5Mk`H8>3iAr_0pHL{gI;?RuzRi&5Ht0%ji)rS2d>lh#U=gD&2DOZwWZm`iLL3XXkTIaf$$J z-&@_7PAcE2cf#DMJ8`RbB2k(E961}iEC)&HC(LBkXR_f^#Ko*@*fz4QwhNak_WmYS zwB@~kIQ@n+k~Ho{>Yy^}#9?vx9+*CE2mk}hf)?nt!3aZ-!eEp^u{#AzMML+nJbn?P z>sA#C`c-dBxaN!X0@og)QTAY2)_fWxrNjX#!dzU@1fIJKvcc%z+{zbq&n4P|P@m4g zwzpxIFPU0)Dil}dRJs)uqF5~#Rmht`wN;T^8FSsp4ziK6R$})zqc6K$H4sWJo-qSS zS02G&Q{qyt5R4`sPSuBu#Dl@}3R$G$6k(g1fLKFw+6AWE2mMC?@O5=@HkSC&Bq~au zdUux*XjY3Z0uN5ch7V;CqbYu0XqXC}H&qa&qIq`^Ex%0F&>j1ZXg-2fs$9Gi@5qd4 z*GYKj-@r{cX{{UZ6fmkahMz;w> z@>kJfThH?HB9_|)KLGj4BSJ30uJDHI0sV$=zUJY%FeN7OVG zxku^gDY(I@W>IRmznH$6TIxp*1^1ye==j2MdewVLQ>1^p`hfsXg;d6_`6`mae_3i4 zxvcVYJn|p`Qy-CHMJM%ujBPNjM$WZ{D)5n3X#SVtjmeJ z8ujF?Tcq^w#K$Gr7sxDDg(;QcaB190w=ZWf)DoJ)&>|%Ob`>=JT;%O?A2L>tOxW1& zz*&rE0hMjZn~EjOK8zV#-4A*gQ6!%91}1 zpd)>+DaawS&V0g$#X#D^(7{?!+zqI5ASt*gP*;~OY*Y0tRnPhp$taa`Yymh^May5g zYjy7-H$}rrnLvQD48U{AT?dlW6`R-xV@B?Id=MF}&6=~~0fn!zw$B!1mL<#s{UlWY zQr8Q}RbL$Jw1A?`88TM>&)39kC@YJ7l(I+MnG@Ea3j_`^O7~z%wa8+Pc^4iL3V`X2 z(8YT!Ya$Z*R{I%a#jSx+N@-ypNzYi~*I1T6B(QNklsy`c&Z3#4Su3YaA(r;5?6Mq6 zzCap235PB}sAXaR4@2fEASk#+S>*Jordq*4BK8!mE)3tCmcju`JKJOBPBmCZhrcio zM%?mBm5PHJ;V2c)n1B}8w~?i|6nS%iU?~*PS8gE{OQBM+1b`uss}?e<^09jzrB{}h zDN5XxlxC2=mTWYqv1Kei!&fNJB7v4X9KND}Q6FH5fWP@z=)kp;Nl3e_vDWqmJ$V@F z6YM}L+z`=6!`Kgs)`eW5rld`vd{kViaQKvxv|oO5SX!>>Z23z{7ESTVweMkL;VYmN z`RvF03(B|ZHm~HfR7xGH_8EyE_8mZy+wvgZuO-n{NPzf4xBb*hk+irXH=sN0cIElI zg&(+rwY-+4>n&MjTrLWV;lL*$#L?r>s`->}9cCxAPIev{mPmCenjsMTOHt1=``-V{Lnz zWw!R`eUDph1O72^iL%tBU2L{16n&9arz%-r1}fPSp=8iNRb9*{3jjF_Uhc6?-Ybx< z#Kwt-tf^O{$XbzGVi8E)*(g~>iZTe@GqUC3h`n=C;YaI8-MuaU040Qu-EC1Yp!>ML zXVgS}GK=U&iM+jKW9Vu)%oasD7a8J%*=H9GNUT;U=l=lBjds>yC=eRfW<#;9Q*miu zny47RyLS<+`kN?M_CXT`7Wou-rHUi>w-(N&ZCZ)rq%~ABQ0fe>snwPsoUFBUQN~i1 z0WqxXeqayyss*%M384k8g+(j1@(UOp4cxK#vw1ZNLF)w7VM$85$^YE zC8NkJSE_-t05F&F$muu8+KOioZT|q0`>t28fYD4PjTe1|Q?-=KZH2$}Dobc?I7|77 z87hVQC^VZ;x{Q%87#J3Z@*%ldM#b9|9Bd#Q3mUlwavBBakSm0D+2%lt{{V7cmWuj7 zUuxmYnS5)TQCb%3smdcXs=uVr7HADB^BL| z&1PPYSgL$PPB(WE-iLA}s~8Eso<(~fL)st!UBTK={-Z?&W%?t8Q(67EXS*6LBVoC3 zkV9oGLcJp2yq*eR$_y~K;FeWPjJy15#6rjF5xd2z?j@+{+kk$BKtZNr*L{{9H40a2 ztmJU+b~V)`RX5D$iv7zV7|E=$?`8?L(hCDQ8>qP`cE z8G?N1gAqor^)>G+dm1QEDN>EBAz*gc_BZtdc6N1Kergs|t=!bI;@u=7hLH`BLh3Ib z!)s-e=l=i^lhvDX%~iRaDw~~4tCX7*gKLm^p_%~6jPZd|z8FLmzvKo*vw? zHV`t42rN61a2bq9zZMinXm6t|l$V-v8V<`=8sxUuC@$MZqo$Cc{?V;!42a-1Ot56*?tk=qq#-iR|qpfHaqHeqYreOuZg!5i{A^lv%|}mG|(-r&tqcFJd{g$ zDsXOfS=ogmFVa91Az4)`5|O2fGFRqYS>&-^$f+7p^Ko7aSAjB=+RBXub0MW&pJm|^ z%dLWOwb{Vi%Ps{JfZCZ4y(bZgqF;$=;$sm%X&VDVnbogb61~{za0OIgJRe95nKYd` zPD`bh0R~$!EH#3kPUB@89X1Ep2i!|v>F<}33n5ke%#6!G{RT>bcLA<=OymOEoezwR zXb5au9C-q&yE^?R%PC~kqvU|Jn-`J&?|}kwX2t9cGeCDGi@EfP1;F35qBXZ~BV^dG zkq81PYe>EAluV0muNv3}gI!l*75!sr2j1x7Bd{P~4=+q9_9 zcb_p)A{5f6G%JxC1+#RC-8b+EP*o_~>Mu+$9k@(WdlK|~%rtG`^p*=n^CFde z4c(Z!x6?#_Ope*kp zpYk9Vs=EEmcOMeTQ9fqJ-KnyRM7zcmcYr1GGFiTy6&5Jc2sGS+E5q;;U_(ScE(~>K zp(!xaI>dZc!DDi%p?+g1Hm#Oa!5JT!1uP=Hh#flyHFrPVgLPH6?o?>oX{d-4pySxV zVxKW9Tj3p#*}9#CAHGHXlNan}s^xhE(OhW4T2MYf0!Q*+sxN@bK=Lq)&;3v7Fg92L z0odMM-gz&a-}5eP^?j&Otats!h4#XwD_DQn=-FQpUW+PVa=z{~Rg`Zkb{T@9Y|%xf zjQ%0uf^t#n%;fSbN#BTG+bPxqN^RqFv~QBd8eCujINBXlrFE{{Za8>hJq3mL^7$S#thAxL>mYKvL`qZ67P*G$n&ZZp=h&U#2Rv z1HMWc9LP~ht1o*CVy;8~025?eBk2g-ANtD%k@3Udwcxf9^A`88FMg7{-wU#wesVaYqM;~pbGm3-*6wP zRWmdkhPzvC>Zbi7v;P1rixPSpo3k%b{f6of*-_`E@&*-^JtmsCED&}g;!zoXI>dJZ z94=UP$$RE2<~=(N-)bWb(` zv};g5)6S0w^rwwzj3JCs%m`vNk384Ug#pxzA>Z{TAlL5=7~PpKyg( zHofIKsNcOePTZwN{YIuQNF)RYmxScxrT(lhyL7Qbj7q^op z4UJ?R9N9F39s#fTM2zh<02Uvzru<)rAcmFpxS&-_8;g*1p+Z};=64#6YFwV7{DFDq z&1k8lKK7$FX1=CC9}ydtXb*Pfva~=9{{Tfq3~9&$pCJo3Gr;L8NY#rg*{WLvp&6wt z8f>Ald^Z=#5cqlb61DHJtxs0A0_>>3HZU5{-9RBJZl+u+qsBOseEODJ7QvWX4%@jw zyX$`TDY>@4P=NxnrI5H>zDIQt7C2g^C5sT7Dx4+N*0sp2G+C)&MuMZ&M%CxoLNp)) z$EVCdh9%rboR_U#$8B6;L$?;`*RcQrhhs+<5YZ@b*I5c=T18Cnv4B-+vx4{Z$Nh~k zaH)HVtzaK##27~dWp#b2L9FC-8(#g)wR;gC&EIC(U(%d)E3LfCA2EXVu)`_nNVMPY_j(N1&Eo9M}ZK8 zsMW=JV~^?zq&YtX26c!yM>>vKaVc5Yx|SY>QMK|>ccIglEcq+&%OvFF>va>_*m(s~Kas^}p0g4T~RYQne`-sunmKNL&4aRi{|#37$=rF=~QLxg20upFoos4tDnp}QQET`0YR7r_ACw=6gxFnYf`eU2ryzL<^k zkXcN*iv7g5M*4!#4~bjY?wou}xUu;lAFO~|GUBTBUZSb%P!6?)0EK4Y zB}%Rd{{XI9UDm;WCCc<-{{YyBR|1{2{!bIa2PIDK?vK=E1`Az8OLN;5xyemaD3a)fLy*=E!a@BE=I&|r?EZ;<44i}Vjw?k zqDQvU#lXO6dEpd%1=y(69;L5hDx8#{iAh!uvyX2fc8nFQy&Dva`#MY{uPv9XH)`!+ z;m6%FEXI`u&636_dn#n>h&=_BXOXKCkb=O95q%D)QKzg2M0sq0j^^gUbPbUiVRfpC7M#|TA}d$LJ|J})*U*!4osG1g;NG+ z%8g0^L80ZxlSM{1I_0rnQn!#7EkF>P*NAZy{B1-v!BQ9v2ZqFeUl!a+T~B2z68)Dc ztyk4U1ku`KIWGqv1V5;X>xNL0ZWsVFyp`5Drw~KnS}tvHP$!XzYw=xx8}d@^z%83;YYS4`n}c6mfpDsiqvl~- zAppzYRs%WrE^H@oQ32i#-WTPVm}b;N!TS{!`QIJ6;|79Vl25= z00R6j2DYw05yViiD*IiOSus~23{{_LaV=myL9hN|a!}+qZSB>c5w71MQ9+w=+lHxS zRJkfy-&}&ILvMtX;vG}0YWlku$$%!7t-qE#89q`CRgjexw1IEZYt>AvXyAHw04hU` zZ`=`DpZ@^ll#CY6gWyDKA*RV#9PR;N) zwE1QL0_;RncM1bV`=~8fumGH%TYLR_$}bn!_bxvT{l%hZV}`1&@(f$TI5S~+NZb@| zc7fW63+3taEmxI59JmM{c`wf}0fX|&K~&>J0c`kT4TTySa`$1Pp^}Bx1xEhnV)My& zI{yINw2YuZOFMJrtZls)`^FlgjfwC~nL%C=DzJFGkCBq-ET?|pA8=mimR6@Jc!nJM zK@b#{zgWPh*!C7~-t3|={{XQD%c{}HGDv_nEgVy`~BWI)$q&UJ9EJ9A?Qui zGi`^kZ`|^Iqf}HE#LL-Alfx1nn8d}TE+u2kB59qCWa^ugAJY&# zNw*JjTTmcgtO-qHMpOh90L752BW_3QDY!w3K>pRm60-0FFgua6``iNJ2(;8TOVSZ> z0D|gf`3p!IIJyVhP_i*dn-6p6;)0i zLpTtx2-zE=yAn?nxpDwqTWY)Y0{D?iLF*GMwM8X0@ruFr0+}eNWQ18;SAf2<_pM7kd2 z14#5SL3X>f0__zn6D-nMoqc&8ploQUY-z}-O)mwjWkqoW@ea9!^-35;+)^!-k&U|0 z*|e<_kHb75tM&f?$$^v@$b(NOu$@ZooSNB4&7EUZaqJ`2mX_$X{edt(8HvrHiC9?`6bmS{%9wkT=m6qg89y3BQ2 zT1>}%IydbSiz2xPmPWeG*Aeae!C6@^>~)nsX(qF8jlB`5=R4k}p+N42cd zAEY$j}^zwQi1FR&L!bc>%`uX~;S&!Sgk0#mPynfou!nS`@2QQlVG} z<2fv9FNyc}qp?Tr@JcV5R%051=4=`^ogiX}EBP#Cz#iZv2HF+bYL?%(9TxZoVFjL$ zFOI-vCBq7{8X>kD^uRADH$w8RSP_O^7^RJQ}pMgVG96<=vewQknM}{g`if&v;HSisW*IoR;`aR2+ z9uC9?>c?~ZoCfVm*>x03;ktL?AQw-Y64X+#Jkh9&05vrm6os1I+`rppCJG_W{-U+5 zeZ{qYchdcdly?Q}#gpuWNZwm0Le#QVd?>i9(xyQMATFiVw8qU*hN~$m5eDj6ef6@~ zh@$PN8+C%MKlIgzU7GR>Jxy-#3fw+U>Dc5w`2C~B{!w-}%gi;0_V zP~O6CIbEj8Id7v29J9zb9hF+N(5k4m=n+g^o^+UZ;%C# zjmm}=#MNv8wo5}GfM63zH4cYr-8oaFz}c}D%Rq(vH4HeyQb1qnfF~txaeq_vtClfe z(hb%2B9;Q97i9oBDz#tK{w<%?Evt$UrEs9#mchPS%MZPxia7&fvgMYxqO0nOda3}i zvDzC?nbH_aqeOsd3amz;FKAxE9445A3XIVWt*KOBdbxK`kl1`dL+*Lg^>XE_xQTGL z!ZzUDCAJ0BV%lMF7A)h6xx#QYp2QD>06%3_2i5(`wG?H$`;4+)-Tc9<1f$4`xar8+SeQeR8-7n8sA8Ri`i&AK+bT8cGN-&%(DE<^ zvIHIiprI?aEpvsp{w0HpyD70qT=-|nJ~^mvDxM_ZN-i~U3YGF%G9uW6NX{GcFN1!S z*tplJRpdpYRxT2O!%z$=nNY_vD^Qo~AN@m=eE#MmiG{R445XAiL|WuEURwVEa24J# zqV0u-TPRtRxA!P0QEDA!YzSoI3SXKO+? zTdbP?L>l144KdhZ1MmkR{0uWLZ6BE0v5hNkEeBTm&M=zV{zIg86O(Ep$FkPT9D<5I zB^fH1<0}-JA;#Rj<+=GtuwIP@>#J`Sg8?tiW!1=_(v z18=h;T5MrP3rqOhl*k%WZYh&ps+RgoH;ej=Zyy<;QS1j*#`yr0r<-s=YT2+wt_wc< zP*YOMdh#(ezH;HL8h9tOwrWEPx}?9 zF0xT}0PM+x*$Q9yN<#HV5jhBV_%#%W?z@8)^e0J|*?lmeWBs@h?%(n@H?JA$77y&W zYb$-Bp@_)6Ez67IaVUP0XirYllHqi@jYC+7Oa-VyHnLGN0|abRm~P7wP^D_Ah#};6 zUH<^KO&9AF-BhAoTLcJAVA(}tb`<{r>MLldWA^N~%({%&00_|7@*}(8#64iXZ~HN_ z*fQb6KiJJ^KCmCrEW&BPdm1atDe@Z{89U7BYKd9}T@CpWMN7BtCyEm6mop+j?5xTH zs_5iy5PQrK+18E0M)1& z63`e6a;jVnykdam9C=XdQp^LUY2u02@5CZ2jYkyaR%0Iy^tg3!aH3F-v_Dh(ECSaD z2CQ#x3!nxnstZMVFzg!wwb)YrRKUCT5lvP90R9&#^G~A*^%`Iu%b6vX6Jldu!k{s2 znnbc+vo1Qt0bj{XFRgq-bc446%R>Qn!CDQWzj#s?=k6%m3=H_2T|u-up#HMdN(uP5 z;_!wXf$QfbR`x1(_7FO&$l(I%ZMN7=uN%p``;~IE=CUw?1@>}B>OUsS;Zda1NYlhd zlIDSh!9~ER^Rc%3D7$xV3$KQ3a_=N@G_cOVVHe0OMCw_U6^q}QR<3=^29gH0H(-Xa zrh9M<8suHhO(dehMQmfuv|Hq%qQ7<7`V55x6NDiVDJjw_d_tlqmG6*?Rtpw2I;HKv z?S<&9WkH1#u)qdOUknD^Z2O$SdoGNt_5!5~#;z|!Akx2>At*cZ0Dy%hLgM}z$76^g z0jx_FfM7_)jj&GwBi)QjhvXsX~wVan`4UM)&oDr_n<7a#&? zT}Ew6^6qyW5i*0WX~XJ@gHB@Lciiw_+yfTur_L<)nMVNcW9G7CFl^b zSpusMC1O)g!J!1y2o%_^F&&>UjSxhDWznYLZKfcgbpDBfy8X`Mu@s=F-UC4Tlura$ zBls@hTp$p<)>WDFRFfxY@v4`!x7BV_R0XK0j(^-rehc!Vhtx9c(bQwo0%R*7Fj_kk z7W#y#fCn1#Tdq{y3J=o9Kk>0}b&<#?$^^H7)Tr21WFbXdZ%AI1=xn@K7F4*2S_mmO z+oDuZT?q0k@S6Vs+z<6HsRVcLI8f=j>-R7kv+H01KvQP@LJ%{eD^KL0UsG|S^x3y+ z@7x5@b>%|hzuGm~!WLSC?8~&aghYYz8vd)KrlyDL4b{o0I1RC-wM(L2ds@DyG`wPN zvRo!!eg^9(DWs@9?c7LCWuG?!>rccK8=y$;Q!uy&_Pr%Q*zwZsEQtZE25Ypi+6e6Z z?al>H0aX%ODGMwDqwZ|bp=ewd6{<_Pv9%G1BDMGCpbQn*jTHv!G|HYSjk6H$)VRBV zyCVCWtYTe5kl(FGQp+&%5?1@D1H#YK!E+62?{$6kGgb-1|ll*8Ne0B$3fvkp@7B&1_YQ&BgztI{M= z6^Tu0V_AeOQTR0|(2a7DJT(-8d8h>~{e=b%xyj#;3Wkj+Ziv(vR7+E_BdF~X(0sp< z==gX_G=ltD5-zRQc?xm>LskhF*;6vb{YFcVN{#)U+g+RyZ3nRzzkNe%rsd|9?S03| z3Z@qnDHLmRf{VX0rYQ?9yZeA@zs$4u7Boz}i#h{));eQa?SBZbhzt9k*`_8%S0SlD zD%^;VAcjxq_E>ebuAmL zxc1@++Ljc;+g~!7&=es`3)_lrRn%DCE)tZ4Z}9qp+0E<}mO&4+xC>j8Jes@7+1v1! za4;=(*hu)e3y7@l>=2gZriwwev|w({x#2anQMq=Y-(grj7FUY6ia6ykv};0$yMKa% zbwG}zTta0%FZDO(3vbIDG2e15Ht7u~kOgZK$yQxR>82Z`rAnVKV?+e`fN8>Lf3s1B(f1pE(G%n5BwWZ1d=E|n?-jo7gB?Kq&7c`!htiX{Q5KvY_tv_K8J6sRu? znhRIz)T;EKa4)-xE*lGs^fTFD7*;KOqP6y==r7H=iJ-f~*<;mVzTs*qZUqXbkjjOr zl*V=C)H0C-_?F3gm)T@$2h zCCj*ba?wq)(Jt9z3nCFlBu7*vpf-Z(Dr%)IKX3rCz6)yq0C_yJD1Ana&taz3JcrCd zp1@`0q2}@+_$YsHP+fK{G1VW*hCuRIf9nPlV*E%|x`R865|Zc^?r;SC)GV=DEKL@> z1;=9rS^@Sq9SSInj+9_*I{%F0^r_Z)n!8&bJrRFN(;U?Z}{ugDNPKO~?8 zU$V-0z?26@kgxZp6}^XkqP#HF~qOsErV{>!LVv5y@E|#{gRtuQUPJn)Pnh%1OXo1iZnkYu&_x{M`1^JglHEJ4<*Zd=jvV?QNq;? zE&fWgS8<6~q`V9jDATONuI^NIBMZ&izULUP@jYI_kL!Gu5r~Ag1Yjr~Nr7LWSDc zwZZk5no9OKid?((fASr)uVMgjZtfaZdt_`VS;$j|l*%OgEth+&1?M1^uYq!cKotv% zwU-W^#H#Io^RWa1*-NYw{K<3oq@W&R0KB#kDAteM8cwU(O1QsjEh?CcZMO($UurnM z;H`h8RQ&${$fb4;mn#qrDmS4<<&W6Nf;6#|T5f-`7Yk2z;J>KLRbmIH$cjd)EmhxT z07)P+_VydCok9ZnHXuiePv*eB*PkCUhk#u6SXE6yXoA?PvG{NPNV6^H_GWgQ{lsD3 zOz;gO)%xloeTmwy2r3XCkU)Fct;2G(fG%H|oR?Lt_}P~Ii-=!Yk?^-8r~cH2NC8ZY zZ!o&Jm>`}@zosP>4oeF@pfc7D9}?SS;qh{2eqbM|brLoR+(A%gL3Fb$w?|mC*Jfar@B11WQ2PrBRXJ({sZ^*<*@jBg z5s~PB*e5IR4MBEMs_@IPXCN@x7lU84Uw}f&EsdHx8VdhIt$7+Cw#57x8OcZKZ z5JOjT$Gj4v;D(koDQEU6X)48d5A$V`tr-bp&DrcF`#=?sH?gGPUi zMOcI;y0rO%V79P|VNI$jjs_9)dlTqs zy_?EgwP6{#h}yU6BRrcLKd3b?n%ojtb|*}AR?<>;Y4-=(3leynOnM8dH*9Ctg8aOS zaux8am%@}AcMu4GYr`s5X37b9EG?~Mr6dB^%&~Pgzi6)Zo-Di-{{UtrgnVx0p3(XQ z!dJo(Xi<#_HXibO3;zHkRfUT}%cK27!m*UCYDYldBM?H|h_A1~3k^#`#f=E+@k9jp~%bIU@YLJ)5Zptff}T zl@nSujPg}&wTsD~Lz1kDnmCg4DqVcXidJ?v6<1eF>8Oxh1KR$ji($CcL8xoK#u4Zo zK%O6n2wW298yVHg5IA{|$zx^93PIa;ECYsw5edygl`VYjTFY_+FEOHCCm0I zwE!Gj09Xh1MU)2i0@y4n{&H3xPumawKznkCl!!WZ}vbLSuFA* zx%-xyEQUB%y@m=_)?62+(z#!7Nef_-&!PhmUE8qDj4880EHZnWH&-Zc%zjv@@Q=7% zV==3!B5mlRu5`3mvf-18`IuGDW-@>edX!`S6V&0AD6~d!RO@>H8dRcNZiLJr`CPnE zAge?2E^RrmA91h1w6OY=WgLF+k7{WGT~)uRg#Q2vYABSCVy&JQ_Eb+BGCvS{yE|yd zA+T83k!lJQ%oLUyV+A|GUqclLinVL%rO`_9#x`YMF|8!3-6b{3+h8FJOzc$y!kE+Y zi$;oBRV`Zvf(KspvQiBy7vy#PjfSeWD#Oj!lDcui7p4?JY6ELy6s3)NLogs5gUNA8 zPBE2Hj@kN(32x-B-;h^l8m;W=+p8W;Do{N;Ex@alW>}34X$8u_>~J=ZAO?n|o1uC# z&l(|hu!qo?tTWHJKccP-xa~0kMejl@*?tSybRhhELq@*fR6 zpipaE5iDtF<@KFk>$Uv0QF%kjYiB!h;f3TqLaUMZAZ`i=nLHnre2pJf{l64MQ5I7) z-H{E)Yt}4bX=Ez$xnD%T^=8AB`HdGF(ZXxW3txy>2K`+B03tMm8U~tx*!T-=Mw99g z>2V8Iy_sBfu~VB+yW$Jj;#tA5#C2yrCaNm@nFsDKesUZWd)6^kc8jlICn8UQ$Qv}+ zCCBCg(F_OAU^WZVQHw<~gE_BhY!=>~mfD21V0U{lG}ch^ao8qb!HnSFHdy5T2nmz) zCEq&@N(&>Pz!ee2_=LNO(b$2Ms2%oX+$t@36HOc;c(Y8F!ul{%8mx^cwtU2!P%Mm# zf~0?ms;N*&D#NouTauYu+0HN917}bK<60XDtRbh_jt4L9U0Hw8Twn+#Z&p)P9Hy37 zkrPtLAml6l#76Y|r4jWQ77aW=me>|$RNZkqGsqMYF3iVuZp>kg_Gl_{Xi;TsR#Qa_ zrYJ>MW@~F;AvU;A(MW=PjJamCx%0xI`pf=Q9mIIf$3oaCi0-%;M-Xg4xl&3h7n3&0 zXLECrdhWB2(<_3$)GgeEsx0}BV^)CXN_R%5B1#1SH$=7*8?`N6oPci2T|WfnAKX>+ z-9>&?DEuwK64U!S;4Pm0#3xo3AdzVWHhVrUA$+%9!68CmOGdKbom1jcO{$^9D&ZVb z5Uf=#&^}@GsIA6HrGkJrLZsU7v1c37WFN!f-M5MzM$5`B7K-aOAd#m8o-j?Xoy0A4dTaZ0EyVu z#?@JB{uum(8pp)Leiw4Ng_joaXG@37z|yi^Q$FAjwzP&=pv%7z$n5r;muf-djm{AP zr^G}e5{CVcs68J~?q5T=rbVLHi)=K*a}v~4K}J1;IkV;}t#S*|R}$LTxOO`VQTsB) zjOWY(2-N)f7S=ss1&hzPpfv6LPI?Hl)JnH+un~ZR@%OQD1xMHSaIJ;9Ky9B9C>wo@ zwzW@*L%&Os>`bXZK>)WQW=ry;Yq~b)Kcv^N410c(q4>M}A|%+!sO@@3GLK{f}-ZQI6EQPYcytxXozp)%`#)WG8IRYo-yYx6JO zKy`xo9*Zw!oM8)B9R^<_b7J-zOb~-g?GOhf0+>GX6sqsBqzYXE*A;{{78OoLLza0c zIsX8tm8Y#_d|+A;0W=o5v7+MvB^7~aJ{trT*T<6eXIBf^No+!e{{WDdy}OMXow- z+-U1Nqhpg04X6oi3gO&=c}3$2HT zR%KaN5M+Q`X18+|4%mAeXF9O^T|8#WeFC9?4N)>P%GVhxVRiytOl~l-p0xOua|HcE9GyCY;GDY1LglE@ z9|%!Pz)L43hQ8b}oIQmKB?$6oj4dc-g=ZiEMy-ISkKhX?Maz!A2q}j{#!7%%EZc|? zx|HU>h+Zj(1eX!Q!MayI=DE#?iuy(j^Bsx^6Ezb_z}{5vcXm<;s#qAYM`!~RE0yO@ zh{QB2`7b`-z;ag#VxjpDNcUiIya=sPYrnXO&5nxLK-89jwFj>2oj?X`<~Ki@NYKL7 zd?CeAWZ=fTDBkd8cPPj&bLtslPV*d^->Qs(-uocsNOovLkt6~Z9R1`yuG5zX#HfKj z!h<4%@uJ(}K!T1BA}VZk*eNdV8iI<**fZOR>O!rxTDa61A|ZWmCh&Ppn2v0Oj}(@EtvE0i1%vf%L`q&eVQZ-FV(M#G_8`x>7w+&~JO1Vp)GRy@`vQgRzzqxl9!`*QsN;+w{psJ5r)4rL_dz z>_t@tg#l#}Ba~<8m}Y#-h^4Z)T#juyQ-k*yT6dT18V$AlF-&!;Wj_NPyOtIu?L=r; zTCOA!R<_)x)o5E=6<(tOJe6WtMwa4BG3>7y%n*FXbt4hM5AU+2^ka1g3;s=X79TOK zX0e1^ITO{>mUV*FbTQkj z1s4c+NoA7~A#9^nQj(*$-^@+f+{G(A9F{J^Uy#^k3w4V{(6$ui;{9Df8+=ZxvtXm` zyHC`}N^Tm%=2Y(kPGs2& z;mYz}MR*VZAXGA!6R)kQpFWT}4fcBu(f1%0vlnnk#!uk@7`o7h2q zIV}Q$^Csc|T0U%4U0im+29?d^P+Om;^H04`SGo^Ci&C6JqJoVZ(;|d$)?iEx|FuEB~rld zrGd*^RoFwW$BShU$w2Nz*X4mUl)Z{ovFyQHAATVOW75-482GFwQ)P_9=LQWgRQ~`r zAcF!lIT%v%VP4gElnrGcsBXZgD%eUDd@faAB`sCN6X^>AI^6ADgoBF@nF%vBq-)1u z0`KW{aK_voA!^H8srGMY3CVPb`V>~$-_iir3Af5&Fn>^0$wDo0=EqC?Yw>u!SQZdr z#C7$I@deG77nkaouG<1sy9k5Ee37H>TKP75L$2IQcA5Kr;KG!T?&7y_s?-mr!F_e5 zuI)r_SR3Wc5F;NE4V~hNtgXV?e-PHh5hXZ{Ovfmg717z57DW(2F1Gn7uBi!stP=o~ zOl4`R;XWZBMZ2#h0#d(QEhRxwwJ}Gr3ss|$JscJJm^Q6%+-A`yLJqpB^Xp}YQtGc| zk;YhQgKV3GH&rVK*j=|J-4#gD{jsVHd}4b3!?4|OsH>hRLNcx0V2&w9YLyyreFCSz5L)$IFrYQ_R5Me=vX;6MkfF)^CfU}Kz z1(wUBL=Aapx^I~VUkW2!M1f_o5{&|{h+erMSQ{3gq(C;X1}zpI@(Smw)F{GSMpc5J@ETUg7Lyq7O% z)4tCU4`IdIlsA=;NF_^8PB15h)!ZVbw#Uqkg<-w8tCCQleA*s~^SFo?H0AtC(x9WC zuiT=+b$1VKs$T(h-ojW{MQ(BlK1D7{Z_zzlYW={WRi&R$z=e+t;xv3E*nSi6C4O#N z?^yQROT&{j2)Y?hz&kG40t9`^v347!+9MS5?xfjYa8(ysum1onCD9Epj3K)4F;(VM zev+v1fC=!8l^yXAcR|<&)gp}89gAy9l(7s1E<9M@{DETX==t&xTniz5pt5wC*S)x` z+4Cw;YN^YC!(TBp1!~wGHc|)}!cegl*<)@`Bv$N4QR!3;yN2(Q)K8*$6mhEsTQ!1! z{hgML`6*VIKsbwLu3SJ=Lv8;6vM6a&{>HZtsNOHb0|mdXtUD2YIphOq0V%v8nk9ZB zecqMXbhJ0pD6`tKT}qGWiKw!cmW-f9^4>=A!~VpsTHh)Jy&DLlR28j-o&7_S?*SQi z+VZ`QfUXuqv9q-{55c(xsDpSVS8az4+tbkjN4~W$e-SbiXT zQ)pZdtOd*%#@LS77vU%usgojImY`rIAt{qA+hGU@s{C@So_fV2ZJG-2KXF^ihxHAg zCE9e97FFr70aeI{KeLLaL~WN4<9^Qu{K9}pi`m&^_X{Sb6hZ4! z->HmEUpD;1b{CK;-?}bfyZuK55&~RGmLj^nmZV@+qZlwQ8pc}vV-R=c%3Wt7YQ#$7 z-wxE>EPWw8t_8Yd;t(To)%$=7F%Rn=`2kk8LqzFK?yY~f^IGu>0VEsO26Yz;%D)ykMI#0hM3~3e-w~*`> zM5!QEPX7Su1KYD9v3d`_-1?2XmP5__QFxS&p-{E~>9{_ah!81Ks5g?qaIaNGz}hH< z<5Oga{{WPsMizF3oFh=$njSD5&)Q!lD4hVyW1<_?-4 z?qEOlr6Un8gVe>&#a{t08{sSv%iFy495H92{aUu#Ww!d>~#SI2n zY%IIPB#Vpx0F94Y2(PQLC{)ls#2W@rk$zcY2q1}@&eRUwsQ5-5b(LMe5qCf_jE(|f zQqfP;F*H79;B{m=(A2}pLtk}2SbLQ=jRP`-b}Eag4a=^+!U=1=V(2CV1uZ<37z?f*FW*SE<-U#RG?c)(-ahzCcB6EfI0Qnjpnet31 zxdqEB15h@iALl8U1bViSD?X)FmvV!=fjLTjl9p-n0FfEk2t$-Y)(J{l48EFX`p6y{ zqi{)ob7+xN1o+Y)VYUbfruuvgiCaJW2DX$cB}aQV@Is04LLKkKp_JUO3#}iie|^6$ z?mZJ>nP{v&#u{#fvHik+VyH|*@d>;fIWOz{nMQ+;xr>vjz{I0tzZ10LRQW^ju&tMG zg*5_r8jZk0U^DI=9jlKqm$VA}KzxP&0P`-ib!A2LQ*!J>grX3o#lX6#Ae!IQUA7fA z#x*5xfe?8fjq%v4&l;joZA*aEOrVP4DB{Bt^Dt$ExA!+vu{OUI)9AJ!s`yk*lu}W+ z0+Z%mrB)S+GQW0n8b5PH9YI5~yDi7sF`;5rct5Cx1((|@0xVqPo1we9mJ`Zycq@fNfzA!nHO)PvvfzE(DGYi* zxDRMl2V!1+_Cnu)UI=(WRi#@M5Ue2;xG|P+*;7V7Mb|^HsI2KJsZU+xwhf{zG?wx8 zA6^WI~Una?$LfCSxz(MG+)?eYVs^!a7s?OAUTNUwuS8S#1BkiD2^5-Kz>NQLD ztY2NMGxA2v-6Q`1iiLdr(r11JOHCiQQQ05iz<|x`f7F_ zF!1tdelA>jFYtqAdnug&2-K2V8OACPs4fy92HVUfq^K+|?j@#7DzY$kFiuyQi_q0{s^Pa4COqdW{cYAF6}o z)pp}XAL$V-e}dqHZU}ai%Da{e)k25`)zL#VT{t~urB^1r(+G}Dy3}Qo99YfZT~xxx z*?$l>_Zc{84^OC)>tE>*WegkaE)-x)JgnG--S~k0-}@SfIiwOV)t77=S*Kg9+oo3U ztn7&?rzOQ#<@=a^Dx#1k9j9jzwG|ZF2n9NMaIMnrH1Q)Jq89u_Ue1hmDgjcz05)0` zVzd@9CBUq%)Gj5PPj&&%h;4ePX<20>+*NdajjMFj($pq`n*ejLU1@e;2MaBq9}@t$ z8f;h8a$G6w7q1}Ihqm*6Vp(Hy+qk8LW3S48@hRwDhFJKWfH+5hJram@D0$>SSa@n# zw^@hBHk z$Xwi5F4K_%1E~4au%c;i`4py_`hMb9q=3#)d-={xpEHA zABX^HxQanmemmGU7(=o<)LrH zK*z!eAK{rcgsfxb_X5y5i>QnO1knY4U0S^rd@pnLxf&s}(=wO3J&B40mRc zGx(>lulqDN*+2myNH`lveVrY^%o^2U#3|H@-O#^w`cmE1i|F0 zt=JN}rG{S+OZ9;SXDTXJ`ip3s*+v3g%Gg`4Re&&H2MKgnArB!}R7ybJFWU+VY%*H> zjx_02DW+0~O~G(G?L!{y`uwFTlHZC|^ns?W{{T}e=kB6VfkR2@epCqV+E>SA2KyHT z316(Hh$USU7x+uZZWVvIVTJET$Feixj_*Wa;~Oecz!TBgo0B{x02E!s)|$!~1*=8N8RV)Px?g=P*%!?qsZr|Xef+@*>(gM z2>WD8j4#E*F|qg_Ag5$1j*0r-mxyTm@A)C9h=!Ic{INQL5udy`Z#LigF2hK%Rb33R%wijBuwj7!G~QhCJ5}!x|b9`$0PI$ z!HICcn1 zZ#=mDC&(oge3uFwi8p^vL#Wx!Tx~5yaYqt0N>gkRfOnq~#q@F^j4s@4{cLqgE>P)m ziP&TACe$0F+stNuxJfn%~>fvl86(nRaB%Z zR8kbCQV<{qi^*VWj+GwQq&4Jf`ha&x#Aq6*D@=eG%d3JsjIQe^8#W+lPB=`*1;8j$>PivHLWU6iuyr$Jl)G;jT1 z>QgNrQwN?SwYI{jB(ox+q$YJ7-pXn{D>zXiCGOu6;fYXL||F4dP&Qx{vdWO z^tin(m1+%T1xQ7$;U2vZ6U;LSI3noYSnV<+nHcs`yfy# z=_rDi&&86RHdMbcsNqZtF)U#XLF+H$AdZ;e+(fv(6vNoe$*2=xElU_Vu(W+>6IoEK zBb;~Jo+PFrF2s&k%zI9|l_;gckVIZ`<_Nxv<|qWUR-D(koA^?%mVOc2;t}l|~cE=D`~Ts~V|F z1IuD57VqV(@;M%H@;_o(fh-8(p(nEKBMVM!}L9fF9(nT`x-)z{E?yf zX2nNFj!#D9oS)GXhX_l3Cv}6lk;7ERaEEOt^87mzQt@ z%IIYkVW);(iKF@qVWVbFfxP6XxO9bOK)2Xu*35gV6Nk)7`*4p~ZL*_Bk^ca=l!`E< zO_pug*sP|`TncE)MV2wvMN%Py;du}Z6->BYE^Ea%;kc_0%Wh*-2gGWooT#t#n9$NH z!!FPTv0+gfg1(@8cX0s;4}^+ti*@lYw}X7B8Bb=ls*1i#YDHv4VU~FWMZNWjB|%(F zGxWaY?ov4n#Iyc*S$#t1 zvl8bB>4*wE_7-eK?6szPLYh8fLs2ysdz1zE#}c6OZz;~PO+_j;6wTp;T%~e4=lm7q%tI(vo({N9HhE+*2rK zG8d6**9gMDhy*Uo6_t@*s3f4zcF0oq=O>bkT+UWfVQ2l>OUk>4Ov!X7km*b*UEO!; z0IwEKQ;D?l0dv$%zOpJWL?-6v-8QA6tI0$~JFrK;vNb#L`am4j9=a=HCGTA2@8rv_*CblKG>^f)y^lC%UG0lAE{ubpnqP$Z->I5gSFg;fr^b^T%>{^~zQ1S^;&WM@inJZ6z(?~y=kV=EjI=oaj#!P7T!no-p|0Di{X zsopwm*iR)NB2dA^Z1#L01^e*;fY*4CH1MfJsxbF!BB+VOYBJS_E{5_e=OD3@Q_pu} z$rr^R)*wnXTDf?4bgBp3br3*aMVnV%$4Ocb_Jk&s+-qAuc~f~((U%jaGW{5}Ffgm1 z)3=rNTi6uadAIr_Dr+&$wLfgIqTv5P-RN5KzbjWz^)p1PQ<5HFbnd^=>c;6lrVe98K*Uf$dcJTZKXac;CB}s#N2Yz*nK9zE~?4 zw-?|#e8g>lM_$8rf^M-uTuwv)v3GdFgC=C=U&TtsPn+Zv!3?{XUAdG#PpA&o(d}ei zL{PpJD+C_b$_lVuO}fKf-{1mpF1ZD~p25UeTKUOMG|+-{k5UCF)T1P!6UZXk74Kr3 zRsR5DB3M@Zpp-2yh0A9sL#ff1$TM(PS6h*i0E`qDW}r-;{{X_D1F(K(RnUqyG4@{2 zTF4L7?6KP76;%Prbsth#ljExNja=D7el}JuB^YDRY_{xm>Q}YJDAS(LNmns@U!RTF{%wtsze@6KR zu+*Xr*Meljr}o@!H56Sc1MMs=^wJ0&+l54U_w_AUQ$E;0P;mWnG=d+dU<-ys*0k2_ zF}k7pmUt(Fu%b}!?GO^vJjh-z*}1SjRSWHIVICt>jV()2a?C_wx1^!}0R2&fe!#Hy zptLUym3_~-qnH#WWj4yVYzCGR-%BM3T?Tv^7jEbQdnetfgT2vf!9BhdFZ7fULoQTGXS$i;L^<*O3i{i2xTV{DL<} z%v%tMz0bi9WvsmX34eg72Xy^Hh;)6ZP-PYf;Sl&8STGpZwStH>PlO~~-R>majd@WW zlxg~khwCQ3rN(TmD$h@oZ%I%Rcso->`MHE{{XLJgYFam0Fa_n zUdlQu2OWmEO*oR^g3dF6c*2VowGiuziLC|s>j?d(TPmmG3oG5zISiPJ0t$saX6&tFT zF3Qy*P{7yAinkP8T#!`=500n=Eg~%Dg4ldNaHA?;+6;k1NVQ)x;seNE5{Z7mzp4$D zsZxeqx0xg2kXH+qQZ02RrRwaQ~+HqDjP$_ zGHsMvpNUW)EU5)AZBu82lvaBmI@@f1h<~8krt3xw1F0Vv1VEyJ^9SOZb5&j@9f+eV z=!FAoy28~L*Ti-ev!{Pj@LI+oHo1|&ySQl^69up2-4ws`g$HLHtJxLd`uC%D14zDu`|M;i*K9hVr=)DVXTLZLeU0Fu6zs{KGa z+Re(IEc9Hw({@n`aeIC}ML`|#6Nd)Cx+~t8@NGnZ1Uci7W78P-OE_UY4Yc3kb$XoPB4FXNR?aF=yNK-_t9m`lO(Ib+2H6 z;-1P3e`UZs3#J}dd8Lqz{e6kZ2m4UVy@6su)zboMTKO?3Tu&x{AU4U~RD9GWMXaTp z>dKXt?g@5V{g+ zTBussx|E_X*c$9<=LwLN7F>QyvJo>bHosr8_CZZG*tS___<0uAKE%+frd=7Ug0{h< z;Q3_?e<47{(enX~>sALPnnL{bf^Ad2FgV7KnuQ5Yjfg!t$((9yx(v;3v+5upu)yf< zK^2NQ_YDVw);&$XljD+(UVO_cp1v``utt|>#L3qXe`Skt&>Qy{2YPuY`5c{727^rPzOQsyB z%(+pt3%9QtfoS_jXdSNnLKSbTg=>*g=k+pFZluyRB?sGxuhxF0LJN5dptVXp6O!Or zXCZaEzpsgG$etGszN4qaMGcAdf&Ridp%I{Biv9T&9Ugxrx=@Ao%S~V*?4>%DhP)B5 z5V3rS;OQICI|n&FQJ}(zW48Fz8)+db_52P3{F!v!%v6^O(EUmnr6M6IXJ`GnK=6Yh zD1@m&xn<|Y zeX^>>Mx0*GP(Yon+_P|h`2`DK;#W!_D6!HcM@pgu_ZuUJV7h(6xpR`>-pjJC3E*VE z$K@#P9>xBWnl4*lFvkMX2#r+DPqq^dQR#mn>s@-v0|MtLz@>uS4hOHy z0be#GLaUS=>_h_BKHw$DKZ7UC04F4f-5dnxT~U2tHar&jz=Fr zyoRf`-pgus>ZRL7c9M2}C|>@xm1d2cQqB-tQ7MPX7MW3ix;W`^VVzn$^~j24_vG5h z54wVc9Snhes+;6U8u$7@``wF6)>hkQK1?+*2x7Vo3c6mK3agz}!7aNQ9P>L7r$AW5 zE4l0(6upAnpxR*DXE1;-Oaz@7anU6$QfwG1>sKf+T18nGXaX|GmZ|>$VgU2+B0szP z3qYal0M<+wS27q|RRBCN33dMfxB};5=~)wdd=uD80C|77Y_H2|4X~$rs8dVfPW_PE ztp=j_a&iWuiYlJ_4;c2ZIZ;?vYT(D4w*HY0<7!w@MSXllBk;^_Ovh+m1Z_9-^OS#3SJ#bD~<1$364)<&4S6($o)P4 z04BoH#tzQ4$zhvOtMHX&AxxlcjUXxtp9JBcOFV&NY?`3D9f+yY;4WMJ1cbHg2u1$@ zAhjB&=N zAEoT^kW>Me{{Xe*C~{adhNY69PzhT4*zB@>l^v9y9@N^D{Zua~a2F9i)=G+&!MY;2 zyQn|4tyvL7ZqmtDN3oGr-Hkj{TNbAJ6irmRLU7oyWbb{LKw|-4%Y?OS0kG8t@%>B@ zQRgb)wa}N;PwSS zQH=rZOJA;$UO+N&EELyccaWt+d_=-NH zt0XlZ zT2X|c{^gTvvCx$ZsKm#j8yrN&MWcODCjziwQo`_navDKM&ycz~$b{az4OaSrbU>~_ z%Qk+XH7Q^l2x@|_TlH5h+Otw+G}Vy49h!KJ zag`fj_w8L=dfhfc2{d9)#tId z{eUG}U<9M#enE`hEZEl@>}qRbg7PS-%nMKe6xFIQQ!WyMjcr9=+)vS$ke5Z0HNWH( zn*k~-47?@JunUM3n6&{#)>XijjZ(2ga*hd7si;(O#l>fKGOM2zDFP7Czig_4zzQ{W zWmKS0+MFt+zovGVuWDUeV(*9* zK6P6faWD#C0|DFEH-}#{x*S|`scZfq?(J}{MW!p^eEmSvQJYU!kr(=g9nw2`eu+j7 zXjPK2w8pUg!iS`*FSQ7OK>q+a8%T=Tm6c{n72#?Wsa}*m@}<2VkOJLNRNt|b>|DUR zJ-O8ge&H3Qf5b^;A}ahUHOsZMj$!Mb)B&!>2O&15T(pUNwL6OCFc zz{a?84iw%%6iQ^p&Cl;8iiuc=AE{iYZyykg3dn<~sQ~`$HFtP&_3B?+d!NIgYI(gdaTsiQn2W25TfaH%PRyV`)X#fbdeQ|-FdN}9c9ZEL$N zS`Ud|KxDQoS1&5y8nfe@1ss%7K5TMum{8vdQEP=V)7VFpcD#a>@$)ZB=mX)1>km?c z2(VzHC1un90GLsN2F)A4a*aOX548#U%4mpL?MrYL@s_G_)LBZSWh%URv10WrSkONl zgOx|IZz91)vq~+A7h$3K3cI{>&q2VH^DjiAYAU6i}*R)14~TI5-Abbyv89I2+y z^k6KjnhMy{p}ZdBS;CBQ~e zlFC7+Wg^%*jA?bWu9HD+RZA0mWbzZo&A<*2U%5foAj&2A0SI1Ye&UCYE>P?s7pFdv zWHA7g3Gd9&Fn?wd5hXX3vaNIya4;V^~w{a&J zSoLz-mQ}4$9j4{tDPM%mhD><@`1ue(vgLHCKolM`T~-&C=_-#&aJ_?Wbia`(zFn)1 z8#1Ay66M*Qfof(1M7_1~TNF+kuZosNMVy8I0LU$yy7HkL67q;5aF!osQu_dNKMNqF zq^zz{{QNXc>z^@(1pN^N03E!kq0o0>b0@mk{tRZ~4PzJ>?BYZZikMc5Sb9p^@j&^)exQAsbQ7fBbW_0}> ziCs*Hl%+Q?csmG)uZ$>Ug{uO&-B$c3bmDS-QO%{0%ofuUIv*n;$^g?7KP(otpAR46Gnj!G^s`7XGn zr$1>>C{EcLkuhP&qWQ_sqJPX5tNf@LNe?B#%kniTY49;##VYUna)VZwGXqZKu+K0+ zoqi?a(E{{}Fjj>umoExZKEzGY`(RyKI%^=y>O#_G;gLmB>#;&yB6Sge>=^}U_?Fg{ z1bg!VB|0;)Cu_tP0+oena0U}%S!ydEw&e^LS<69VBBM%IKxR*P_3moH%bO7)rbH* zk6-~sc_`5vb8q5M-UShOKUV>*FaH2AOLt8_Qu{@r;gJ{7C54+SR}zCb)CjmSHz>d- zxB8lez*?;Kv+7>=fwwEmF#Yad8k+ zk!6MQq3MeJAj=IyL3eNfJaQB5ob~cty=zj*QNzlJ4=tDbA#HmL&%J<+mjT1(U8b%k z;BFPWxnyD`^1~ZDS+x*ow<7U^y6>?2ujzyqwR*s?AOT#cB?n*<;QC8BKX4%&ghEtw zb{i>1#J-F2T<{ilU0pszi`G!PTtZr#ecB=Hd2;WU`w;43sE4pxL^}s!QLBak?6iC( z#hRgD!m7VDGuYmVdgyPfdIaJP2~jre(YhrIOxSnGAUQ2c3kt)?1&^00XpIGT2~`Cq zjPU`b<5@t-{_&(8NuwE4$Sf2fZo&z^LhrF0qf7mrW&}};5h-Afxd_`QtJneIzR^<= zYsi3U+ZKt66Qpe|#nOh;L&i_E1l`v2c=|_5>8P;|g!!Y}kq{ zr(z`5AHEkcU~3Q-sy2F)2~59Zj0nHL7i?>D;aGrd0$j`Fx_+h%=dlO2N)ddk9Jb?YX17h3j zOUYM9MEmxoOLP}101b;mT(#lz9pz*B9cN6&prz!X+T>V@>$28dloO}OKsoUl){WEH ztBPaB%1vX9%MDTNJhZ5&gB8nBFNhb~E5t&D87WD+s-GGZ`oydQWvNgsbNa+>ZJ31$ z%qm&g5YSNjRT7wiMSshTqVliE5?BwKfi)rE*2^ZrUI+M^zFXE?W%8SsD#0e8yxSsB z0+saudk1NDVSl=U2KOT@VHF``Rz{OTb;pA+J+S08;NrFd za2Tar6f4JI0fv_Q7P{6&NFnhRwyvUP0hRF{f`lyq{&HRAf7pdrP(G0;I_v>0*!{T> zy%R)Kq~U!|bV0CKqm5a&E+v3h&HIpaZX&I38fq1P_E5UU@q$JJMX-dpTnKeewD^q* zwgQW)@v+x1<+myV$R&GOD#-GB1|FS2`&I$~(%5qa8rg7?9w$Po`NY)%?!{ zNB~!P$bO|qmkMn}TZUK6<2@(Q7FZQ^8U;Jn;}c3SscM=f_hMhYkJ2TT9~z1Z@+NPt zN_7w#sq#OEk^bY~zs6eYLY3btFlB%`e{(pAX&I31%})4_XIGN9W2MsyMVScbM=2;h zhtT+esM0|@&F7O_7U~JhYf%&0AE+0fE7Dyhc-*pb;MlrOWJ}(&0(%DasYl!Q2HLDD zS_G$J@hG~G6M$koie@j0se-1H?h5-sHiAE#k9ObCEWzOHr>vSjL_iW}Mz%0DSLCq( z5EaxIQ$VXweJm)4L0{x(iRg(!kzKcWrg8y6u)dXJRnnxiDyx@O00`Z3TFjc=Mci93 z%iIg-h3J{{H7s^u>|4`!7hexxqUF#AF$v4^N(X8i@w@g5_O zo=X(VzbR=sSnnl)=1V_NvZG<(KTxGcr41|CH;3Q2;Y~}1+!e67Zq1@~OcsR&I3S{_ zS+x!XHOMd~@l)>8h*km|MS>OFwU11=j6qI(G~5Lc^<7Sa7Da5%e)HUig!1_Ig> z8NIsrn8ge8DWIcQ>OD?UMcF7(3Q*N&kr%$UW9)T`zfQj~G`pHy!a9K8Y5`ExH^`>1 z^2)1*t{Y%U?vX`CY5+1yHC%$6L1mR_uagVWP|?R#xS=U)Zf~xrkK(9T#0A#n9EAfj zha&V}Hu57woZyDGJN=-1L`DYoCZ-V#D2fe0wfKP8b(@S=f#c_9ls2Paq_%rs|~tv{i_g0=0hZaaIxy-3u!^F$=vs zJZ0hn-7th3RO&R6UMPN#_bHo8rivqF}8s3d^ zrJB8gsdL)}kq1h!r_-{TUAzAPl8;}i zh`!*6MfCEZ+vCYq#kkNhVg;ifZ$3SYsuV@VB05UC6)vEF+677gY9y-2wd{}t z$yk~9a)H$9uHxr*wXl^*r!6Ih>M#CGMFkYECin9fP|6i>sDW?NCUTyq(IAU11}yuM z^~pd{y+U1(G5Lrpzr6T{;-g<@6dY!a?$6W(8jaumROh6^T7UpEP?cTHp?#QMk_|D2fV#)+EK0LPJnFuEl)>|! zz;7wSs$G7zas-TnPB@D{)9wVDD=Dkz!*F}Nvq zh(mBvs45To<-<)P_FON5rmz>?6ea9=lKt6P7dQZ-#3W5&;5+-dt9%SWo*MiBv|a z|>T$O>Ma9j+E!rHk(vckV{m)R5w*t4H-K{l{`Qg zV9+om>Ch~NW#rv}M!x+1!ooP|cPdZ;p=FjorI`i=yU6=e4V8*q>_gTT2B)g_DaOj< zQJ1|&GqTIL6i86*nk9|WP+h=PE3M7H*)42%IXx)UhQ6xFlxJgJ%ifprLX8{PfRs{H zMuaez*v7dmO-~6~Z$oh2Vr;eqDs+8;Q*E@knQL?9G81Tv7G2yWxDpC_G}OOFoy>Lfs6huI0NM605lswi{XNDMRmaaWli?+3U7-mCH-WrI}tt zyxXzC-zfYL7WEgj_NB%c(Yu@!O-q**!gViqT$88{sMp3l3k%@7XW+Ap@aXl^?dZo@_%|J{*4G zv0AeAX&}jKw!~!{e3e7!g4)iJ1sy#jy=Dcye^3;^$TC>=&0)waTU3c6uKxg$g26*v z*mM@|87kHRwWtaO+2bQcwY1HT3YFK$rH%_JSw_5DuaL2Ol|L;7d{bJca)6YO3*j|* zOc}^KKWPHNMP_o~zo^IN2B8(R^RNoKfYMdz30ikjp-%A$yY^S=F)!v5*-&`P{{YhB zDC>Qsv0G!TKT=lcKpY1D08;4uiO8X%d?E>ZS%kV43b?rU$WmZd(~(tvo_)cw-2IUy8!ur8&srgElhIdGT;|j4^gU3; z0v3-xWjV6-rPu?f6%PtsONT7oW>tMa=plqP8iAHC2&29x0R>rtZMG4v7A3jykOjNG zY(-+4Ai9H($POGD7~4~PgWRo~r2 zpz&5Zt-T-xS!xFv(tv682v&t=)I1pr8yBy{qM>BE%Jd~vXXjfHZ^6*{@Ie+hz^LFS zPw-K4mWpStTmZlT4Y>a0)1JU7xmyz5Z;^SeWkD3OzC50k&ADK;YAX&ARf^U&PKay*y{45 zy^R%XWwZ$XFthRXDBj8{)Rg%G$iyMW22kg{w)n-Ah-rL!jjK{{SEd z(2h3<(pX@6H zleT`TI;6T+kUAQyf^cH1nzE1>q2CN1f8hQMGd3+(`vDT^h-%khBe^*{Y^ME7gK@}* zPtWQg+FU(QG_Oza!BfZi3Yy<6$x`UMYuNh6T2mi0rsbGhnl9pi{6(Sg{u^G7L9(i; zsZ)ePx#~S0co`V9Z&XHPf7S$4*n-r9Q1~CHo5PV@sTg`kOZBI+{Q%0SXOKQ4Y^PR+ zFVnyNL_vaE;fRc^@64sPUK>PYY^%0WzV@H|&5M6`838LlkUF_xTl$nG#mz8!P~!ez zka2#!xna^$G`q1%R95R@Gbkx3Ucyxtr_IWEH)6bmOMa7%WT)ZZ?6uwPL_~5}TcoZ1 zyC^d~mVZ$ezxON`2gSXK;@dd{71Y*;Ssv(JUBQTyZvOyL6&Y6*e-f>QC4XQV$!&}o z2B>EC{@L^K$n4p$g5tMuOGsed{^dbgMdr^30u&W8*dwb?@>3v+txUBpMPlPaxhTDs z>8E~C(50Z15%Xw@agT>0H0-g_hD&D_^yC0dG^h5mVj(xv%3wgewo_1tU102OkkA#8 zd$Zd^kX5@V4=IzLtUoH25#GChP=}2HU&4HJW_-byV4g4zyK6r1K}E3gyDMIlcB&z7 z{{RE~7L8fDaK8z*qO4!AoIHmgaL*%n(o8W{8)Z{Z(RBe#17B&SRayybUK>FD3Hu?a zCVBBXtoPW1T>^$NDtBnbaH&8=D2t^l7+<tMBDL)I z*q7Ezjeb~M)N^Uys0Pxv;t6SQX1&*p1u8(WlH0#hmo(b>lmQQilc}e_o=s+w)uf8U%DeuI{rt@b3u6G30ggD>U-B$8q7mz()m#P!gwK*HTJ-1F{O0eAS9MUsqFd$FSCitS`*u1{e=W8*0mZA3OGzflcS7>N)K zH7$XkP%Fk-lwNOVQclt~266<+O$W%!8PbIp*<&xlrskS*7ZUq%#@9kFpg62zsmChNnRg=9#xTsY4jjk8J-&{Z8`B1V(#C}2<`6F66;-vHGbgt zcP_hJn7Gez3bO5{Pq~aJnkK09?k&K(e3mdRS@kUiep1mCR21Q;fDjhUTy`^H4lxv6 z`HZEDmpK)}B)X^36ta04aPEW>Y9HK`0JKH6{G2$!HS|<#3brVwD5R_fz-~XXHoi0| zEEhJe(XjgHSt~Noy7>BIgWJkR096gdvjsD?)4Z9hYsjkj@=?<0WJQZ# z5CY&*ORQ*tet%&uZ9tXsshBPZ7TBDOPz4h9Xz4{hJ`!QHw#quNYCUZ;{7d%%nd$jN z%3e9+*5I5MbkQhZIxq^=?4rd6J;+s9OEM3>^09RM!8m=;>~+920SIKD zKpQUoOWa)ZO?DdPK+i_D+*r1&&wZ$ys1{ubiSXhojt4L95D-|lzMzhnyNvxSB7o`t z0NenSpFI1U#XarIBB~5unJnAn2}O1yuHiZnd2uXNoO()Dt~{wmp^E(>K&>wAKvIn+ zQ*E4HECkl@C&YDIf7>M+@daF`%^V^|oP3m2e^X9gD6TR13-YvS(Z9v%$|3_|^^Jf) zExCnt-A~~e8o%)uEZt>XBh~WEnvxxSK_wwtf~NqgExyM2?^fby0Q9SroJu`eEiE|4 zX|H$YS)x!kDS8J_xkZ(J@-r#&MSey7%dgaL4zP1gv-XxnG_J4aB@+H~$YgKK56~i- z0(>4q0Ud;f07R1;sZ@O!BP)$1>!!shXl0WMo69a>2U+ky*bY&bQR^Sd zE*r1p5ofTr#4Uy<1?ad78{S80^>Cms5b`dp&mRzqD^l5VrwO*Y!0pnYC0IZ66X?j? zLg!Nc_mK4!BA@@v|b{R3p@L+PO_I4Qx4oX(*BiJTD7S^(aV`FdS<@{GNS4bUa`nsed+ z9T18{0{oN;1h&~JD(DB|C?A*$0~an*Y`jXnzx_a{ghVOwRvV)sEMrc4XJAG^#Iepp zYa!NB?@{|$3W}+>6nS?rtHOx%t2=NpjX#4GF5=zx z08CERMfb30-5!&nQ13Hf3Sy(62zLYOR4}4SzPQ;j3pCed)aaQkbaTa`F6BaR(>i(o z07S$K3lgd-5{~a6+Y-eo{{XQ^OcRC9kuU}ynLfghyKyoPrQ9KBn=-yeguRy#1p7i< z9#$UIvS^Dy7Nsy_zi5UccHtmxJ1SnWY_%GnQ**&e{1pH{gtOd!>RMo*5Fk>Fgs%O@ z$x`(UH*`vXlqKsFqvLYMo=f$|F9@`(zgS2JYUDsaNLoj!R+PyErFo=4?0m}HAgSa# zt7?=Z$WTWs`i-6*k2b0Ud58{^H*G~<+}VYcs<{K=3bR>Msw|~>c)_osImvaV4? zyLP1#yeU+258InAVyr)L+ft07Q7m=~V15?V<#?Tdt9GC|g6+|mvr@JO^-Tx@D)aX* z0N5b?_7nut3jRg|Rn`m*1ME9tNJI@pic$Dli)SPK%6G{AZ%v{gzd?|7mKnb?v9>iV z@3#X5vSvYB=tvqMgplrX3^HX?E$TOOk}FQFeZWXB4G7j!&m*g+C0?M0YVQ$;5b z0<^%T*w`C=s0RgtyLmc5<`EP^t97#~QR9p}Rb95Ag={D{vs!Q>l(hLSCXJAIF+sk; zh}ITJXlmx)xP%lI0;}7!7CjfAOt_Y?Xcwed&+trg3I*~uIi*%uwYF=KeejGFxon&e z1}D@xF4o5~TZXeGy>3LHf~m`k$OT`kr%HtuGp~pMZe6<{qi4tfpvwXKy5s{zKr+UI z51|weh+%LcgLZNu-gzwuiq`#rJ9l^1C7w|$?;ggjv=-A*=vWfX)lyW#RhC)Pio1YI zMYr)>)vevi7Gp|$u<$SvFp7DB>;P21s+8dkSQHxo;;kvPwp2@E{-FA(W<|oPF32jX z!i5czEgSP@I4_mW2qkleWsnVDvv3vV$^tAus00o02mpT~MaWPiFe*_90Z+eDss=GY zZi}j$GF^Nqoi3PiJ z0~WB+9|Gaxzfs^$+(>o~`k@d~>J}`TZPfZrp*7cCl?sRWhA=PLVVHf?3u;OC^1Dz;s=Xi!y?AwT~BF(qSq8|=WrmTB{pMf(DWZdkL? zi)wX)M0TZ*Kd|d+pquFkCq&ic1);T(tqv5wNOdAryHOxne(xaxTkCcge0*T8EU53C zE8#3hJ02Hmi0{@=LhB-i3mah_UQ^i3bSSpFk1j8Qudpz1xOrWR6>K1CgR@0By_oha zIdh^FcNiIhC9Nz{iA)F{MYkbFwuGS7zljo;X5ZO;co6;3#nkYbb(*UtW_ToT?nP%3S?RI6SLB4HNjK!9q?>z z&LW0h19e$(SxLcQU#nfXY{mUbbIX*^H`%Di;ez_yK^v<3k1)A^7$SKH025Wa_?2^a z&#ud6cv;87RKC@@hNEukp@5!e>RMTSfI{NdqHJP2*wZN`U1)wKWlGZO`*TFAJ&3ZV z?947TLeP2RS^iT?nk2JQecs@~Oy`Ys{VN-gXnu$G9sKV)|>)V-FSCYgVZQ=I+ z00U*45|!0ol?sT`Y)d*Jq0z}@Ia1efm$4QY}hmMNj~M z?(Z&GtJq-Z_<^Wwu<|ggk%3FN*`uLqJ2%~ykctRu+mTRRC$S2wmKMt(rtw%{f`C3? zh-p&`^gdodD#oI%mn<#pSpm!;BBf1VRW0u;{nIZajIzU>$V+zU`iz=1-m;I$-=Dzo zO6m2P0P5p#`?Br1WVXMP z0r{Q`#x|uvTDq&G8=r`*Yt0fOrZ-5@4tWF~t9Nj^skbP*7^&o^_G3gERXb4289<7P zAs8CFza@bEO9Ek9WsYzDrI4(0Y3N+hcPnYX7zxxi72C+6n#v^NxyaUr$-Q49U5=+& zk8*5W)B#a{WX1x;qd#+#K$UG=0@5IMBK0k)N6Uy4kyX^j!dub+bJjRvrGMOv*-3k| z_CslvMlNII7LOrqDly0|`wZ>ad`<{ao8&R3tFybshZ2X zYGALG$~$tA0}%@%py?Hchr|?dd2qP52Chbo6B5OWT7a{p1K3B>2Tn~v@-AD7_DdyY z6AP+Bkc~Q95N(QEe z-q{Uoytw|MQ8dM(KQm7~O1g}I2JS={Z^K*2-P^U>kO80iiooFPrOjRuR*LvU>z-6< z!D)#!Hu}w5iGp@hwnJ9PU^u;wdefUOG~w?epHY|y$@^90+~lw;|VlhEVF9E6!KOv z5fQwAZB7Wd8+P!mL_HAlXxM_=VAVB6sRWrpnEvX`q!X zL68dttf$V()qF=t&}I}zLf8RiK(0hL%o|1{6t1>d{mo;W7I56NQVJUERaRT=M_{VR z9`!!*Vz3{FVL1oHJt<{@Ci_GkmRaZhxE!Yv;#%4DEJ=dHw?4=VAmL@p5H&XL35#z! z_9U7$e5e#aQYc^X9wL?+i@!P*w2|FxP*w$y97EP>mKG zwmDY?rppKiw3B_9wCNEX1g%}!{{Udow@6=*I2FO0!(%`yA_J;ye&udwFeSg|5`U^f zWhH^%_E{;uysscCh-ixM>R5aAP``1${YJ-VX_)tWxT5nuARV7Bao=7(nM>qhu;n zuvdb|%4%V%aF~iE1uT0JZr^W^mrB0JaJ7yKTiNx?17ZfUEP`-i&)3N06ME92EInbs zMi1LCTjwKH`q>4FXbQNWYH}4v4B;5MJR*N+bkavnDH2yIk_?WN>xt+z?7<8r~W;F0J&Xm9%L&* z0^3r={YEw)b6_o06lfpZeGxVb_-UC&dMf2r?7X>#6%35A74&lFetO=;JcKDIh^Fn0 zhN1F@6lw&BK0opycCm@+m1)vUY~0ljI-{RB_oggP`<3sPU)u(7d5&Rv6SQ|t&S zjt$Pc&coYaFIs?Dx~hlQe1NOFjztr#{K39G@*Ny9sFd`{IGQyV3hT%Wkk`H zc){K)b~OMfy!n=4PneWPpmJ?OUvNq*NQ+RjN#U0RxsW|R2zC`6mkgmQS&=F#GDhw; z@>t|;RKJ23M_f~Ml}f!BBs`VUFio%6hrmu#0U)EqRZo4hJWfR}tGgOPjWrN)1Hymd zvWF6&n97>P6;j941c57iEK>tE6-vjiv9$Wki2liH)t?f#2P(7JAh|pyfP(B5P5%H` z?+l?CRD{mtu z4GFyVEcuONO3fJ(G~}WSr)7sMi|X;KV3}~2Xtu3Ud2NN1Z<2>7H!4zR>77F98!}jW z7Ffn1LR~*HmGYzPb5fC0mMvfjXq3%0s`!dWqw6@TSigiCBZ{&C)(+HOUXsIQ=sPTS zzLxS7Ic{<~fkKJR5b{^*RI|7hDHOFW!(lzBQmS3Fks;$&2->Rr>^8!lo#aq z5K9O->2X|$l;w}IyE|6Xa|kKc0WAdr@#`ra{{U`3QRG^{A7vnIHdxJ#=KR0hq^fWM zzrq6O^{6(P4oW;dxRy1WEY$!2e8IF|9i{CR!X(pZ+3jnQz%Wk{lCgeOLknB;G6?9r zimoflf|!8h>sf6reniwR&t)dU;DxL80gY@3mDyJ<1y2RKh?Eq<$k-HJ>KkaH9^Co% z-Eua`XRdotD|*Obs45@SQk5f4dMpryQ*~AMTMbCntKEoj1{SGOfb98=RR=$71-JMX z7_va4UQA-jB>MbF*gXnuAfhTI*h;0{GT4P9(}N+MGHV*swgkwV4WfeA={uwEO| zG(4`#F=_t*v<~d;x9DY4?Ns;zqA9BSpD)D>aodRdU>@mfE?QTl z5Fi;+o7=OH{mUpy6h!#0%9*dM4Qknr!~i80=&9bL757r2uP1vRkPVii+h!_$3L@-REp_= z?6mrq5-AGGP)4Bjg4P~m%DLutBKw&Ni}^8OunCCc*Tkibj9R|}tCwFHNV;^m+Y4=X zlOlto>Mx+IZd%E;L2*;&3)?9iwU*cW8X=Y0l9tjm_a&_%YC3@nc6$RyN)*{wj2l@& z)^;N*s8+V>dKXOyDud$+Rcr$25d~UzW8J`x+)L|=VnFZY1CT+AZkq%YnGyAJz;9S6 zDM#aFX$b!S*ix+^Jztj*SMogEa#IlDx%E=qml|b4NdKB5(Aarn#%V8Jg%aw^BId2>NqEZuMHf@mJaeDcZce<0ox ztL81m+|r?%K>3!01Yl*1#HvL*+mvj)N6RvXvG{<`gg;dqMPrH>`yCZ4_wfYV4ohhB z0BEnWbbknVUiNJ1MY(XNd)&%{O<&ZaJ;Se-w5jyh{Usf-zh?)%jG95WMXkmQN9wLC zH;Yu2QmRAOW>D8|DTK8J)l?-1_Lkh{p?5Zj)oVCZ3t7A6!2@k#%LLIs!gvJ?46cUL z8j%}8P+{do>IA1lbL$M7u(^qHnxISdy?`LM#Bj9kLX-=8b5EwcW%~Orl)ivWYOIpFEcT04EG|Aq4>hx)AJOk#~0=pCzyw+AC&? zj*|MErCmV>A>CX*SW#6{-{#IhZ%)b$K2(rFm(y3Vet|7!e<%PdUzGsx7rAvDzsa}; zw&t*+`DY@$7U)==7zhvNG$ zVuw}3@i6#71w0F4n;L)QE&DH$#Ur(r6N4fWS4NolFvO_aK~&_%gOf@Y#}LEhjU)c$ z#Rwd{5g3JtTV5{?T2)6JYZ<6zhVDdpn_IS13&QUF@M+`{8QqsVg&=rJi^N?s7e+-b^{ z@FHE&ss6z4--*Hh0GV6?ZyZ4 zqTlUG=G=hy>J|l*LY$Nx$`qEktD`^b85M4-8D0@=U}CV)p8UX#mNJ{=Dp?@|>Bdw? zE-2LtXq2i4pCqK0)Vb0*c{8@`?L`VSP#h)gg;27Xs%o#16%v+=t7U^_RdSXIUf#oD z^+gvO;pBz=3SB^OjzA`#oBJ+0rL4lARb8=`EPzVb zB7w|my^BJc1q9ZbV&ft3M9<}9*0uQhL|Im`s8nW!g!W|L^H1XY3? zGglSS=O#}J!Bl)V3*8sd^7aueU7{V>E`stiX-1lqw{TPxJ5jBgO#Q^`+6xSS+E^5qmfjsy@RO>M*9q;4krtWfjtn%&l2r%ZKW`u z>s!VW=sNdc<`-u(?TbSh54XzqrP~;0of9D#57x zu61e^jPbmft-dREBSVT7e^=NA#fF}H2s$lcG6Wc-oN5&>3juCK3o6$}${s+}xIhNO z#mZ_0a!Rx5Y$DNF@*t1!4QURSvljl7!-;iS0DQ25!MSuduq+fUr~oOo0%WSO{e$fAHhWNJjJ)&Bq}j41vgbE&Y0KX4USq}ggY z?HZVZ9xSlbYA6OnFwzvsv0pp`{UyQGv<3i9e2bPszM?ZW4GRqkXy)2feyR(y9X(~r zR|~^!?7y;gGezQ}NW&hGg*@1reyS!ZxT=HzDRq!)f{IkspwiZ%m(qaCQ0Oc&&ZE$_ z763h{0{l1ulIN&RytLK<v*JL!&>vT%g7s1_@L78kSd6MWzeo>ANA#vXX2lxnRab zTH%bWW5QHAK7w{26;&;DQ5R38_xThy&jngKV)m6CXu)@iAWd7$d-}P7WN6WHf9X&OJMh19oWZxBTsBVZ%kU66P22nB06 ze`o+EI-{u=79Gop3Eg$KGNE54LKYZUnfCl-$FAL#zt-g<*FfxL zQ@2~ltHFY;{gUMZ?O}2riFlV&LITxleh>jZ_FUrp!7E0(^7{fu)2=0o%@Vd+C60Qz zellKvU0mJ)|7k~5SBWttLg|yyWI$(jnLKl$-$JqSJViz|we+Zo34u$y$!LH%RW#%}O=CU2N`ys9t^WYX zjMMOTqd@EEi{8(&p;&K2+>6Em%2psIJE2?h&oYcFv5|KKmYuDBL#-PHY9MM4xJ_)@ zDvZFROn2Em28mLlFVyH(Jq9MDE|Nuh(N$r5hln(+Mv)%wQ^SxLhzNTu&TBs zv5-WXb_v>_vg5(@T2w}Q{Bosjb@oKQzUBNF>+9&Rfs*S~o|h~@v{n2}p3^S7EMMqj zma^SZG!+jw2}!=OUEFI*$3CTi6S`%~r(*URItG^9S5yW+b3kt0p%NN49R5m;1;-%} zRZ!FPlys(J>LQ>NiovS@^6Ds6tztQBPuUNc`N?ssZy*b!w)Bab_r^*NFT;!leIT#g z3ruR8o06;*MYsSwrc%OH6HxUZ6QzzcoNN)Kv_KY{qS!PLCf6d=x@k|{OFIg1CYYt6 zxkVP2;^i3^!LV_t_etngdoUsY0D?2F_PM3o{gykiqS_xdC$u+Gb-%P7Y?bM<0haJo^rvYjKSp`Qt@YNI9XQ1DR= zJ+&JZGxvzO0W@~9rKBZ*a$i*vw1Tjeem7u~ZvqgHyS~TK82cPqLfzZ3t=x`@#Ml{G zE3KBLkg#$6M#3ts{J_j>u~M3WGzZwTSvIN3>v`lmOa$252lHk_x@l0ORWh+FC48f^ z1s_UMF?yTD8|D#RR9#E%!H)v5P)h#*CQlbpCN)2*`hw>$5G zl|5ai&_V=qwHfjjgMX;(*6vpipSiSQ?Hzz(^2Ai(Er2Iw+5Z5BTF$N3KBEwHl(yy= zJ!;{u<83XJMhaC{L`^>TA@#&tQIZm>uDHu;!#Pn~wTZ%7(_{r2M=7NFM!~3!Y9F8O zU`^JJH!bmKsH=YG+W!Dq4&c9<8O3p}USWI90&a>a_z{Ze@{URb zmHMayr$3O_f8h`cjg+PqI;W+|bODV)he!nj+G8KXfK^Hx?NZ@NnO3u~Yfmx>wscOK;H^J3$l!^!2$Y?0!3AgsSOi(=*;%X5NeLzuu%#B7YnZ0rWU&vlS zmy7eWZd2k~r4h(xzB-_LK>#lOi~j(U<4v>qC<{j;7eZm1{>n^8Q<;wzLY9E&uD}y( z>f`W{(X#&lbrq{k7@``+ZJ_octzT`i9wYRq6@=AIz^7{2a8M>w$->)T*`eVnbhBct z{25Z#DRKnzEa;bT@3Bn=J&UJ5vDVvSWkjG#qbja9Fc;ud1#FK&yr@d(z7t|-DZRM- z5P=r7evwnKY)r(pK2fIr+ML%uTuGq)6+9h1yMhL1(lIWx8Wx ziSjCvmvMmWL&-;?;{w1OgnSag*9-K_F0D8$t%S4@Wgb8*ZLOZcZh|YS70N0EsH@eu z(q-;ijglA>rE(=oAL9ylXJ5NCXV z<6q<|q^ycYRHvfJa_97r7MuJKW*m+TsZDDKl@P&xMg@O$Eee%YsecLJeJgz*0CEXFDb|)(na8@8C zkfC177Emo)3bbGW(Ck4qHf_Cvpt2x!`-9UOPR=Dp_7zu2*+ZFO%7{d?7;RxvdTySjrgvD>5wsj-_D~=T@R@^Q^P{aT zF!V*bx5Tu>QYlvbtxQ+hUm*U#_{3E*BFZbXe^i2zf286y((1%4UR8d>n!C~_V_yBi zEf)YZA0aEf+u8NNc6#l8=GXTyqB3Tnfmp875G|yJwldM*2q=x>K9RBp9=mZ1lm%?D z*LY=!RffI^TN342QxVZqO9(Xqk`1P;Mj}Y{`>26`ZTp*peZpy#Rc-pKxko$q^8~hK zmdD6~8l1n}KX_TljZ#%_7FblcIq8W`Qs{r$mSHOJLbx9r1g2fa07LdBEZCN{U#aW{ znMnZu04`-C-7-|bfk!L$q7&=xprUGG(u$7&RVg&dK~a80B(2+)C@`9+s0BTiyx1hw zQw|tQL{gHPipYU}C&w%W{ID0=gNnaIdMiFzUfQ6|!GDOOEPlBK)m#b1Pq?|zx^f2_ zPs~UYY@ws$S&Uz^$*>|rayR=QnXK4EwT!ha(+aLo;OAwkC?rkvDf)ry5m)yLTrh<{ za?PcqGY7<3zzA(cLKkP;_Q9>ss601&4$;&kvez#9(EUVANM0Zp@XG}oQkoJE#HN)K zkWTEog|8|ImR>+OZONaAi}e(!q?I-*=ggdEBjVkujST+LOXKDiE1pG~vc6^vf0FX> z9K;uJL=`qcg=!-jiV6&Br4OuSp2g(*vH)0AB%KUWu8T`UA2~U*<{Je@sEVXcBjzbe zpk1q)kQUqXU54v76W9*tB~tO36$BwEl_>Ps-cdDu8I>;0`i(1V;dXIt0WAUl0Ey7B zv}1dF0J>@A!QB@s;>r(cxRXf$Ts1nO2xUKW2Ut{*ow(>AV-M>Bg6NBi2flqp42z@G z!EAR1vxg$^cmaorx35iUs>b)Elf|Wcz%AaPOA^ z8!NJ{Kd2yTe3n1M?s9tALHKL6000nzUNF3xZXhD8J#Md(z`jaY`2sKfT(K=rlA()J zl;bc{QG~CQVF=$Y96lgQ)qAbV0;5X)GNzS+ln&D^Ij^@P4r?k>E&I1C9tlXOt#;@5 zvU?UPt)PBlWC@jVKdMW~Mn(E(5k?1%gcz7kVQs(&-J#7-{Y)04#9+FWdey)YV5xrM zEubp(jxOIRhKnD*L_BHLMLs_y?~PEh@ka8Wf2Q}%Nbt-*;tA;7ZH4yu?3eI zvaYn*V5P0?z#G4dsLKry0PT89k{NXZD*1NC<6qjK%8IbT^@z}d?)xsZFGv2dt{|!i zY80VuP7#!<`6VU~r{Vyi70(KM+KDM?y8aS_rvwwGz5UHqE@^_lB&DrSE(NO>_)9kK zjq+JRvA)DraO^FryzBvMPx%L58dOh~Ua)4N661bU#IyyGuiPB1_irP}fVGCs7aKu7 zkN_bGz#cUc@6q~*f>W2sEvD)RRWi6q9jnZsBUaHEsn?- z?QU{f`m0CS0-ta|`YM11m#eyh1kznLuo2`8RMAV~p%Nmd`A;8)ULCEi#Tq`cJ=HiD zj0Z@zuDE~z*qh=2tw*DG2%?oFEFAM_Ly^u^nO$JEQnw#XK&ArYUBsjZP?lDl6Ckcf z?ZY|(K6tJYBESESUmB!DT3jU_i_ zs}vy?>xzbS%Kqb5Z}Cyx%oj)0Gr9}l%SJJsB0=_UScX2i005)aL#-{@rk=_d?)4;C(9gIyG(CqSyF=#;@L*6?R~h`Kuvd9& zP;9i-)x|EShQk_!w@Q@T;xWA4Rq=q=a9PGLOZ>z-X6eGa7}C1X%uE)SRQ)kX4UQ}- zIuKT>zuB=}`qTqC`{C*RRyeH;XQw&y5**C zThCCzR%~d#>LNW~vP3HaEJC$y+8`5&bGxOZYT(oUxJsEzY2b^zfAIi?J){ZJljd3@ zdr(o9z!PcgL!n;=ON5}5Fw~~tM~fMU>Rlk)YmeCl)!%#U;5A;L7u(df*fI1G@{(NaXpt~k`ZEi5$q(p zsGfpxRR-4Dc2B#A8nc#%zyoc8K^?1V=VM*Wh_tr_b{1=IkQ-N*lI4BGxs(d6ulT4K z>7dO>8-kbUj0keF7t)gD~gw7jgTaUp&N~7Et14<%kRdNB{$NaFOEEmTUChN`by(F(1X&8~5*KF!{{XQc z7fIUi*BAlm@XEjrjJ&E@iddQ&K6hY5wlS+-15k*!MNj^ql9|%Vg%-tF`z)v_y}rjSpen>RFMs>Ey#bz(Hr4*B0dM&z zmzCiL*xLJYyDsZ9{OjAyM zPlpafSYoU+M=7u&Yy|I##0Kv9{!J2W9n=+MPNl6qyUU*{Vu4!34pE4~nJK8r!}VDWwWarY*V%x`KueKt83*%3D}~{{UUw z;=+?V7#S<7fPv^)e!w)o7Z5Im+n47pLz0oV-L1weX$ol(FUfZ z)#Pd`$mPldJ>b}hU!6RGC2(g}P$$o&B8pP6w_@7x(PRbAD*1rEV&3+)B@vCL3wG~N zzbVj+*^+dxlwn)(5SPr5Z0g-~TIq862?30=BVE*%fa2(3F4LY>~+P>!C9*-YN&6%R{CE^x8cjZ*7z zy)7y=^9>2}mlDOQ?TtXvw#b6l`v^}&BTIsU!oH>AbXlrpT!XT%<>KNHd_*NcJfAjk zSyGvgDg=AE`hQ|e<(=9c>s)D(%8HL01>}f5R zE43&TtuoBcwUH^p#Hj@egkw|ufU$s~&@vWZ9e>h!40t=3RKO~5U->Rw7Lr8iO9Y9M$no7i`G3UqFOc?{X}O-mY43cn&&*BXOXk59D4w2xYaE)evVH^%l}**JX0a0d`Hcjd(P z0Np`%7l%p5DM|Knw@-!%Vm?eogXbjFbMpmwQtW~))^z}({p0|mEzBVbsA;XE8%hYU zT9qk5#EffX*V*%ATPVa(XK0liM5X$Er-KTZI3jNHRo11Hx%#P4rTi7e4~ayq2CfOM z`7W+u*1npYv}@UGqmvD`+kg6ru(Xf9#^eYsvAIs%)oyt%+QP3rlsfD`h$7hp5Bx>C z+#mtlYsh1zEs3gWmFZJ1E?z1 z0}Pg3ja5g65ukZXvYmo>*cL%<%|7;NH^i!kRVid@p<9J}03n1g?3(&qDN@?=?3RSA z@?E72NCAHhK~=BIa|l{~>R3bCt+-*as<-~2{lH_cL+!XNxIB8xYpniHW@5X`i_sy@ z)V!E7;NV&8Ku?X#fajI-zc4*VNqXdX)=`OAC?y|+0~HJs zaN3Bf_1UZFslkxK2}yY6mVA<;t6EG0c^1N|b_hOVwj%Xf$)M!zN;PC|%9&|vD80;v z2msZk!q^>J3yo?3dl_T7hdu0OH%1oQz(S@?@^K}bA{krcD%bXiHAHFvztjLpXcLab zE!BB3>-&HdC}+4d`uPhg_AmoW^HP|vnE5R`yt|37tGKNfK`L7?i4O#OplSkC7t4o6 zQ)337-S{U|_uaW+&-I1U$o9R4@Kf%3ngh@byZJ#{G|LtoU@25zQC_urUnS!|)@U=g zR~At!S=aedxtI;^@h_9W^(0xbtoZf~sLv@HIGR&uPMo3woiuaL+*|;prjlL|M{#9; zL7?;MrNaa3v&g5F^DPIpb4+w_m)}m@Qn!ycvXy8S{SheV!#tU<`}lwWT!Pv48`$lb z6Vv2w{dOTUc@~0Fr=X5`069lrCBS>+Z0ePWl@J7iE)?io&j5cS!8BLaGd*g4dnubW zaJ-_9ZzE~%*+(v5=pQgl1OEULx&nCyl%W{Da@@irUE!z(RD}g<9~cx6pbG3a3cXjJ z&k^ZrxbzC_y<=k0%ggdn6)O?%l{f;mA<0m9bh&mF(D{ocCC08*V0su_ViQe|kLiZ5 zeqch(ehpFu$K``g3_B;_YT`F z0-8>i+H53z+%6oX?J}*qGT*4BLFt4v4;ZP}DWK~DFpE6NT`Vyk#IW}(B9thHz|O>Z zP8jjfPsCGotN_8)8T&G|+eSq&pMqZbQFDN%s$WC)7P74Y(Hs&g@dp9%aLPCT0Eun_ zGN=0mTXY|(-^>tX47;Hh#YZ-nw|GG7@%jB?Tds&IU}Ox(l^SxYQjS8~qFfasH3*a& z0@vzMX{5itL9iLB3{{h4hkjy$_4r)m&fUZJ8ttFBG}79=j4nJ<70VB0UF`NWa}zYR z62~E)18EhKs*_{&{{W~rY6q#VrjPuunR>$czu@fR6=Ah3wH4p)rkv9D6gN37B`O&4 zfCBsMQP3Q-$wS?mKH`Dl?D#HeQtM5qLH5!(GLmK0xYQt3Y%Vo(vd2x9?r*|2VN;0q z6x$!&N8U=v;^KjKbphSDTMSy|LH?ySTk_R4iE1@crEDM<+#V`PQ`4OQ)zaR%XNGqDPBNV zRlWZJQ0vfsm$e;mhW`MY1`%rEwFIqPw%!qQcksBT{!LxVLX~k_7{$EAbrC#;My$i- zO}U-m3_XUpx@JFW#R4W*1q0H!4+e2oBEAAG`Q5E?F~B!5n4APj`h$=@eg22Xeij!QALuFp3MuvSuH#f zLg!^RCw3HJBSyi{F33+|oGxs+asZztLKo^PI2-$&EZ-KA)E=-ky=nkjCC4sM*H`$I zZK~PWe~=sh01!(L;W!4$g$ZBif>Vl)RXNC(WMO|%Z|M=mJ5jb8`It8)FJL?XD_N+R zwH>u^i4*gMsM91WvoCkV zcWKsEng!{&Sh`v8ObZR3OjNo`0DB^O0j@tsJbQG4=6}VNe;+e!rs3MN+V#nZ*{#!A-z=) zrrp@DA!G)>umT}#Y%3zc6_AHCKWrC4b!2@Tt;EsuD$r0}+(H10`WS{Nf$^wyMl*b5 zHk~+pOuJl)m#4k+*GY_j5bd`?{zdtE0PBER%VGRCyRn`{V_fgM-3Nt%i!SS<_s)U$qQ1 zr;H##ay{4T03fxhlv$+z0DXpH^MYJ}HF{Jn$xN#3#9F_`<+Ydcj<_FUVugctFK?)F z2)y_mV6;)`NO9adX2rw>avvEkyhDmc)K|AW#!PW9sUOj4mEdyafiA_bjfGmc?CpFoOR8kyBW$GAf`OQmq7bkBpY+U_&50 zCj5c;it;}M{{Y^TTu@|mCD51Cg!ZPGwLNLZb zg{bTe{r>>TSF1wsfqZYwN`U;CLyXi$z7HZPSCL@ZUOb50gN)G}<;B>0}smR7y@dG$-7Pa@cj#C85WmJ9fxMI}%LCW;0^s>w{m z1HXG8t67re^)wsCba3UlQSMjvKuO_m$=rc4a6{RFR|7G~Aq;61924n*89iAzqQwU3^YkZfM(7^JR>A8_fc|jiwC+4;R^PUa5rH;y=ubf2aE{*m>A3Dp1%s zY%FSzVQ!^fMvhB}qOGApx=_0y=%768d?Lk5R@eUkQv>=vR0M|glRY+1H5MQm`CnUSP> z3K$XdZNxuOQYH(x zXrI<{FGyXU*2JvAus^U*@}RKo5ie0fM#^uYM%4`-A!OROG*ig_!0H1@cN#<`sQ%FW zrijq624!qiI}d9jMu<0Ji?;Z?QeCSr!GObrTB75>52 z!A+_UWp(+KvIsGVOoEJ&dpgPv%4W-8AQlTY7YH9`{7x5(MUDx(m{^N<+c(8Cukrb8 zR-{ve1+aHbA#AXzNE;oNwnr#BUcSxCp%SGfwk@LUfEi+6h){1}riTT=AR3vEh(wh& zMa4r@v>PXPa-Y7*p*)J8%>~PnLg;omRc=ZK&%=_;s!bt+=(!YEBP`t!ORJry!P(m7 zdoKXgA&Eo>vccjK)W>Fmyq6AIRo@^EgXPOLYTx&eZC6o>J=frh9ko#S%&(Cc{+O*T zZ9*Fo&^}P2`lzhX?N&h@mt!siwaIi8iOORsXkF4JxY_!M9hRaJ;ZlZqkd~1H4fls) z*4Eu%y^OHwAEixc^!TXRM}1%lSxt~yZu~=t)GFw^h*UzmarER%}=`!l$pUlYJ;?qU90NavzIQw|7m`6ckl| zuV%Q_O1)s3s*Ne%WpQCYSc7r_>Xb7{px!+lUl0SHwj{dp;>k>@SFW zKF{16>>1xCF>HWT;H#B`B~58;@O;1A3#U@=)Yw6^iA)-!M@zeaYY&wbagyQMu4S~ z+Db2y;2WWkW3<+}0cmXy#K>}0?LjO97^RBgRI#XiGNuuKX2<7H@>l|T{YrqoN^N9P z^AI-v>Qp}o0cJ!$g2Hv!gJuQ67;VY|RYbWFR;q|PB2+Z!dkPAEf=IeI@t);Kyaz$y<{1 zE~SDNx=Er|)FZ>W((U?{uY(H+8$fT!4j4+Do`8cvZS5Bj=>E-L>J&h!P?d8Rc2Y0q zWk4(e4ZwAP zO(hjkv&lzBy_}vp5GwwoN~Yk|#M;BZP&u*e!jt1fX_aPl7L#Qi2$b!VXkUnk)oe3V zH3PB8WhCJetuGR>RAGW4Gz6;F#mqOdjvefl<1JU8&_!ZM}_%SP~#8_=wgo6|03tUc`%5JN=)effZ?>3}OQ3kGbZa zs;C3TYOFZ@$J3WdSAP&G9wi=VEmv#5+^%RVkVZ`>xj7ETrqu|$j!olsL9EaNw8M z>RQyUKpbUD?V|jF|9{Cd+-);tt4`L3Bpt|G&0fMKRaRXXo z0<2Lu1VJh@62uat5^my{N>{fU*YU>@WCp32b`ZHaD2Zh_HX!RQ@~~lwT6mp+DiBqP ztUI#SZp1f4Xky{o_=4O1wk1?<&j=LN!}LX7st~JFs@2&SDxuAWZcit{pd{#;;K&+8v31hHW6#Pc+)MLn~rOR6? z0?&d=2j)^MXSWuevy{se9q}ccTgH6GhbzM!5c(h#(c6McAc;~C@Q4AG^B6k=;#esq zFu6;D#vOtt0%6=lu(EoB7=BW|PYs17(nJYui_9Tgw%DJi*bFHWmS6`N78Ne82}F9P zAp&fBIAK}7QCj3yPko#XxhO=+g<5Iktl9pw zbbS)EPn-VsX2{S zWVP94&TTNM$OTiAU-`M!tNe>1g|X))G3S%$7FD-w+S1=@;;L<_s`95tkyfeDAchqtwNO!xL5S1v#2(F+PP<;ExvXu^b;xHH7{=iq?Ex%Uskw76C@_+QBw9 zaSz9$QJ`B?RqXOB-svqXzloxi@@$y93lB{>A|$+iSllor2#NMx-a7y($i)FU1m-}` z5Uzm9SuXkO_ZB~DGSQ)5v>wHFo)q zQv2kwLEb@SD?2N72x}Kr01w$8cEH<+SV}_t_UDU%{>mXKsmRs&qvD}`#g;-`E%sC8 znwx%WaFy5GYi*-zvddqwMY<{Mz>y91}(i))u)p!K+&fFpmZ&V}+_EC)Fz?)Qm7-QXx%UCuoud%6$(Xmij(}WJ^VswDvNUlXchYlv^e5>RrCJEWq8e3}5WC5Tkyw&-dWmh!!U z^jsg7WdsljXf(^B%6_gT)>NTGz&<4}+J#IvKB@ph>QP#sh6_Zx?bsU*VmM<^1O#hl zz9Lhrb#;--&+hItXtK2oM+U0kz=39yysP5-FGJ~Y((RpuWJ~NFFD{!QzJ4JY4Jasf zd2^!&=`wQLZzJV2^kqzzOTt*ejR=IRlpeByER~FEAEYGfr?)mfMz&K$p+fcu@;NSF z^-`|e@jc;vg;8K%%mnbXw5X4W6|G0*xPb6SPb*D~cp><9F_m6ZiCRamer7=EJw_&X{-_8vi&sI%#)+mHej z89e(!ET@D4M%<6ZDXz(4(mM;01tvuV*xoYU^0FLkr)~s8zb`^qUx8$*+yvE&54NQM zZY-_EQSl1}y@-Xvg?=&CQ<9?xM`1-Oefp?r z4E9#6=*ur)7ol?5NuR9Rop}LN^eQ)ix3p9U9}2Em)Ps9q*ZT?;SvwclM-V)A?nP4e z86mi|9+%sl17P@#BT#I~zM8vg*YuvBu^ z$^>Cz>p5{nln?NggDfeGU&tA^yux*2^Ed z2y8^D@hpLrR`v>hj!Wn_U27$5g6t#4951QJz*y;E%RsmctVq4p&0_rn$X3J}_5gA# zMICy+U^7grZMcYK^~lx`HQR@k8S7Hi4HF~M;?N4|R*fzY76Tl zw9*FLWnj-ERbB}gY!OO_xfTWFS)+BMS zvhAv?ocT+Zx9HSM%yI>YjaHAFofS=B3^mD$>H4U+m1%3lRj>A)Chkx<8kg(<&{`;~ zhkjuZRvFf|EiI<}c|^dwr}knYgIs|Mp;rI^!ZvuQWFJ(5lYMLiX)E^#nqMF#TeA?n zsFJ{5dlYe^(*lEMj8=%;QQAMUpY;^pd}E1ijf09rT_sO0`Eh?Iow;|f{vlL|+6ab_ zi>kefay9X44iHbY3fOR5UQxf|0F)OQaY5+S$w`Tvzgs@L~#? zXz4w;SZpq|fi$-OFHxie2(>_3n6ME1Tk=ri5h(_3E~Tjo*b8Hm+?H_X;REAf3>es) z6SSsbwSTZf-v+C)fU3E0TjT0JfoN6B8F17808kaK!B4>1yn*#{+D@rn6~98^5!>Z? zK4k~j6ha+2FyIhAWlUBviGX7R{q`&^L@4U+;@PiY`gE8uz5Pmo^$QKIih-z6&g?)N zs>#F|3KhLex{MsF`M8a$ctjkO2sTf!mls%UO2fTx(ijVne8o*yCtHiAy9{>RUnR9O zD>t>W!>U@+f+ev8UN9&tlcECs?8y1SKetL6)PEitN={XQaI#3kYX0A@0-3N#;x z-AxS5T(IepTo|MK@+U68akVR?3!v1O4S4*e(j8RXR+X~qxPdLx%6?!QdV0V7!9(-I zVZDicAfZk6iK~5V$Cbc0V3P>cxNL5Zc2?ow`1tEzxJc5%2~UQXtB!`GYCHyer`X3gN(& zF0v`Yu3-&33=#P@BSRouD_`WGH>YBaJIF$f5ys6KDJ@DtcN_N>zuZc}Sq?+7qK}zK z7%7EY>;05jC>)hlf5sr9Yvo_$d`84pivAHnA+yV3?BY;X#f`m*_#z_%uiXo;uhS|` zyJ*6U@>u@>#RlX=*U3r(IWEI3 z+L&FH&B!vK8n2mr^?a0UDH*A^uk0l#u0*;E`N(mueIu?3a@w%` zuu?Sr%NOX)KCP4i9(EQo<&D54v*L1AyD&wjI~XSDveGiGB%pd_uS9H$GbK%duLBFB z?SMA=NF%-WJq;O&?AU0_^4VB$Aj>FK8kAMFl~500H@ziDXA4pbuynJKX`|bDY{)}; z-O6+9IUU z+A2{3v`lPPe3ItCJfBbdn=%MzK0gr{5}7t#f6pB0e8sHO?A)NzoB z+MRaD<{sLXrAnbho5f^r(uk z08M2q+qjCnvkHKRZFLUAPB+==QkrWIJ1E<6j7x}*LYDkz^0%b{-hLG0SOS@#fMzpIa z>Kar51&WLMfR7>Ig5%(VAVozUa#xPmDxkw9)A+*{{{Yy_vI*+!b;-r5a33Y=9gH^P z%9h265)W=Q1uv%8QOiVBPiouoxB=Pg7F544&CYtl%EHaUdFtNQ|RB2mP53`oXDtz_5!1$mDy+o{#2?Gi-+6y z1kBnTl~T)Zc3cZ}Opnz$ANG-(cO{!n> z6fWDVaN6W$KO#$o;d;{=dN3epT!(uaZ9#FbrHEZcX9vvLK%moUXY^rNY~9K;>}z6! z7xO80St6JRkjusrl$110i!(52j+m`qr^Mq}znhMRN~YG1%U%ldKHow@xjPS%s()jr zFoydJYwWTGEmQ$v2rX|XqK%Ufg1l!29G5dw< zJ(i8L zy2j;?akTbS8qswbTau5rEQq-J6#@YlSOMW22Mq;6?O{j+2ki{GbYd3oX=c~};7l?g za!^oqCvMm~$m|o+#9R@f8z9|QJMrwP;DYP3_CHZB{PK7M#Jt8ESrv7xaWaEeviY`r z@>tHVvlg$^u%x7W_v6??x^F%uYkoycUjka(Y@3e*v9hgelSzp%EbMXe+;~$x`~64P3Em@Dgp`t04O3{Gs={m9yL*(BDUC+ z1!tRkG;rzj{efsXFmgLS0WzRY%UY$Er7XES?Y4?A-2nBMEOOtXsda1)hzkB0;ug?tKkigO6&14qgY)Q$ZW)h z>=(!G5?fh!;DA-%&Ey81zU~Jx1;F8#vcxa8GErp5Wrgu7pr6?ZE8YSO+*P@=*_ zmMUGQOHf5#CrZP2*?`w$5kk_F^^&xz=F@CJ90T)lAZYlO$4`L?rkB_QxI#%+DR!Tj zo|sh*z^n%kam!2x6JfkCu*&%= z4FQ6KlJ!&|eZUW8B5+_NxzfpUy<*7dTNeTHd@<8t>~7nG;#GGL?8qUSV|f6jKw7^8 zUZSe<2sRX%0wS4kFFBPdyD^QrLIWTNM^xXK*Hz5qpsqJ^;w-cAE|(usNKJj+V-;>U zN~dXsS1v%$0#!0~G6LJrisFLFbyO2>woSQ>xFi(g&DrS8MVlZx6F#vulR2JCFvGV}zwF~V2;r4@0)k_QG zkDF8(*`1y{_eZpV`v*K3XC%{}8cCVSW*tP9S z9Dr+WR8gIUrE>c`5UT4gJ)B9OH!nxe8PJafJ1ISy&Fr+bp#Go%OqC%d0Bws^{-G6c zL@ML+C>8mz7rx6GSD7i-uRNEGQlNjE6fC3V*owBHz)KWM5bFsnH>jW=FC(41x_^?I zyQqtAdP*Y*@^LRVrQPSSO9%u>Q5>C#!_hRmkMJvAU&N{dVpZcZ5WmB)1a!P+TJm%* zI|arz`b4hUSf?QpxneB;0MyfU5`9fs`;jez+vSXW&06u7!C5+3{{G_%tErO4UnPzF z$4deoxCuh7xPo>xI}1z2iZNL4;VFU%yOmx?3s-L?LC9hwss&Wnl>{9P{%7w~3pIa- zM&Mbuo<`^i_t;rp5az*oPyn(S?*Iqc{{WugFij{eqLBm=fZGV`?E=sN=gennRGYp) zO7~#l_d<1v>?g4Xbe%Sq^>W1hLGc+>g;XuXB(n)>*^wggCY5YdVTWHJje#yPGQ!G% zq!RM>6|iZJF9%~eEE0~)Syn1fLgycV3b^#H!iYFmYK1n^g;iEC1DF8(!qjtuqP?9S zN*`2~K^yj{h$!KtEcd2Hf@p){`z(Z-1_U%MnM_jos+G!iY5JKt{FV^dNAEo08-Wj4 z3F$6U(!7K!#azi@Mp3+jGG0H!DPAXx3a|~8E#*@zuA1>?>bydgP~S=WD3}yoD&@TT ziK(qD_?HQfAb>=deTkPie7%C!tIN=2oXK)4Eqy-Ne3 zPKFSuDqFEbX_=4!55QDA0g#H=>_)X@N@>Rw+6-tvte#_Z}kvF^a)B8Tnbd- zDf3qVw~>KxdnmM;?iE!nf`?b-H8#^%Dy%|EoKn09mB2uH{4%OuE7ic~_Qef>ED5qv z0*|*FYxKDNO{S>Er5DvgRa4zyBIuXi&E-YhI+l|E0CN?DUjaQs@~ViW98sr_gco_U z?j*M_A(HCi@c?X)m4(fXDj*kCDH7rUR8<}e^IKBc|sT}(J1D4Xrip^R-?mR(N#H7)q5Veobw9|BlpqNOeS za$FjhP0-%PPBsYFjXzTAT_Z!g6c*u;I#d3{vZ0L>5UsW-z_nkfhmgh#Ujz4x4ZrL< z5RjKhrom`qUuF68k#;Vn{-cFlFt@J2adZj3)nqkj{j&$l-GQ@* ze26_Yf^s5M6`s9>G_KX`q$^iNPKDGGqQZu@Tk6n=5idb~fnApiwh+yP5Ri4d>0+Ks zQ%ky}?8P+9i?`RZwi@DGs)D|sGqg4oXYS+G@k8uTdE&e#w5X{R)6g#RFvY=0%fZ<} z!OEFO^F%_w79Dcc#2{MW#S2?&Yy~UEFdZXC!jaXWZsejDxKRR1TgTqVTt#}axo&pK znj+QBf+Oe%almCnN;%l48f_>zxd8|*BGLZ|jzYHt4HSy^r6N&Fc{!5_Omwz(hW8}bn8-m-T;{>pWC-0&KxEiksQmc_IhH}|r z`kx~H;{@MV?Wy3_7zOg&rpqoOrk1jm@|S+2B@1q~=au+^-W(Qizn!?7gOZ8^lYbJy z1|4FuP_5HGrUNfb03lX?*+W`d)8u9)gJCQigjSq@@VdTyMAsdqfsQ9vrF!H)oEPcd}xmPaB+e48m?mWV(czY?R zY1ZWqK`Q3`iU;DA-$f-+wSAK6942=ie-esS+i7p-VR<$0{c1jJT{oBK0j&0z=7)`ng>J;5YAW%*r?$XVR~IkttbVdRFR;zE zFd(;;C|ud6!Vn7*$zD22J-K7&-dwd_qF=~yxf2DehC487Ka!UKCP7*t*_D$mSERwW zERn|#xvHC4D1xZtlnX=d6uT?{V{*19&Dpm@!8Xn3#2jW9K8Tbr$PL^DscfdYcYkxo z0gDFt$kcUy#G=ljRdX?lqtaRI3gop{4K=nXuOJh-*XM2L;>Du>g5#1TKR&2aM&|b zOM&tom!ASGjR(2dKrJnUiwPrqzY_~`sYc`_K^=ltLirz#336#ppf5@}dK2~rg`_c`HeD#UzE}d9_zK#L*jbRc_@?zkZiR_ zlTR~~?Tk(F$cfaI7)2qbD?{Q8cZMY}Dz|SA#tp188Z)B_V661)rE=IIO}&kFiX>7} z-Ng|X+|mYT2UpRt7o7VaF)Bgp4S;ZQoSbzStFgEND<&8QzfcUP6@O7R{hd?37)w4s z8d|n3#aU)W4VwEnOEg1lWAtBT8}H1uOjRXZ(QKo;NVQrPaLTDv7ZsPVzl#*1NnyI9 zu)8=GX(<#sOMYkBa{xx2f+p%x4l1`{+VLiI? z;nsw!VGKg6$(M9sJ7pMj>|6w)k%C^f-oiyLRU<@I*pJKMpthZ;UReb0doA9!_wfMO z4Qrbaq>qY+H?+ZB(a)%Qot3g*v^4O6a}j*5vJTIf{{Td80>*nXC>VCpNC9ze?C@+8 zH~T9kih}))TBGl=Xjd`2fj}2YuiOQsZT1#ogV~`Y1q-QCsDE?U47bm2AO!)S0pMZm z(|Bf79RU=kVJ)@Usz2m?K3wR|ASgvA)0L zxvHTm%-Ou#^$NC)l~z)DzC4{vwwbX(w5 z2(#FC*z}sZwqYunluZo3Co0_Y$#TT?fkbiiBcG_LXjkA>MchzT(s(FzI}&ucYLif3 zay6H$Dr4%)tK_s}JlpZ0d{y_Y^OC(3rJSxD$p5gO@N0s`0!61IbrAzjp~rUp?I z5McN=h^@Si)kjnaTlW#8(~t?nOtk8pCjwq%Y)TK;E-3zA*oFa1)16B$wjksYm$V&} zF3tm!nKxN3Ta+5vC~Q@WR4r;;>@-m_kJPnCgrYVIfkM}s+*KmQwcLd(3YLw5j+!D~ zrbYN{EiV>ZPe^)Ya&-~1WUF{r3zP_(Rt!P@O(k+zXag^(C$>sCE_Z0DEL^R>H8Czr z8`3SUN8D9_HssfbY|6+^%HYZmkE(`Z3RVNOe6_+|JkOCS0OmkOPktkWv| zs^IJ_g}G~x;R^0s6c~Kupc^f(hz+osRh(xbpCeV}5YtOag2ZCt&Cx;vw_06S-y(D5 zmVi6#aguD7jgNVxY*HaZ?eK}R4Vc?}R|x5CfF(m|q z1^g)XRwBAKLGBY}4~VGOz_>7%(Ng~asGfv9LZ2!Dtf7A3zH}IRZBFv=nCPx3bTvrAUpR2B+^XE7V1Z z;lE8FSOV@KY4Q-!(V9vPZ<64>Eb4GTDPZX;6k+5Q2cM0&nrJjrU4cYlzJD0tBwEqF)JK1ZXol{>>qU|}~jw+Mt$Yr_E+skfEK?1+Oe~afj`g5wUwv$|#x_|TAt<>c2THOgIxSR4B{n`FLYs2m zAz9%PFMsv`&;yZv*fp?RD{Z&|dJk7&5OQ%W#xN2}{XBv#5y+*D8m`NZ1rV-8?v|kj z6~bS5J5i5l@>X6PekMX|-`u3T&O-#D1KFP9ud#AeYHp!c{ZvhfPn8m&(kXjI!UN&* zRUdNdF@$9uUfdgBlB!aKcS|v;!~*O80I@8v6hMr0`v-$eH;3E~rNc4o0inpk4cUTP z`*&3W)wYd+7YE%zp-2(wFCM;6NGQcpp|Q6$74XET%5OU#lR>c>XyezB*q=nviS7A2 zi$Nw0Y;cUDzpeU++s_F;^1@Gw^ z6*dkc-7V}wnN<<*NQ?4xtJzg#2TqVRbRtt^ysw0(Fme)Ycm71!JA-P79*|+?iJ>hcsYHF z#UK!Frl}ZO*;#*5%AkW`mr=x;4_F0x4F})~7=^?Va_N1DLBgL=N$#@Y(u7#-uojF> zKX4vd#jZlq)~Jo@&HhIkmfZ3s$g_SQQ5L~a`CCQ8Uo8H_g-Q_X$=cu6<@;hiB&MBv zbv6}a0HIYAV`ii^C#@@E015F{%F#AhAORILw1rXRM@^u|p%!JeNFzV=9}ITj_JRdS zx~=UJv+u6h@42f}0SGnYC>cAy5uHr-Ue zNI;>&HUbP;uz#^m=?04dF@l z^~jf*edh~eL+Ab=S}-nH#lk;aHX@Z4#bC2Y=Sk3vV93Yrq5H!f)B}^Vr?Bwp5{)S# zi}C*eu)0klra`GAs{HBXBNKo>aS$Xj;ab!2P)oUyfGk@4CI=2H*-38qEeG5Oh-b{o zH8uT5M+W}@Q7XM~^zu>Lw-WEA?69e5F1<>8rHj!g+|))&Dgob=s9V>O{gw7W?#WhQ z(YI_s(`3HKOJkcqQs2}7Qxqi)QC2WY^#ZZ)pK`wY@|AJJL=U!e3!HMIQA|y*xoC-! zhWL~C9hC~VQAxDXTc#@2E%nk6O5t#WVK4gHh<}JXk0RMK)q(q# zAp>fUy-I*o6o?kwv)}E@3bsntjU8jUyKbrgKhikZ#qs20P{@Ed)X8X#BZR7fz9K@c zGugb|WtA=gbQKi_a*Kf?Q10&~&4uU+sJ@9QLjV*7Ks5MkFx9R8q6u#PVydh~Rhg=+ zZmhAWfg4UURSfmHZWHW6w?w~+sd0{VjR9pu@gO@Yo=kBl@+?pTkc+dPT)Ex(R|{4@ zQe zsh4_<6$NX5v_nu;wChn*O>UO?k#WE1!VaMZUcl1gG4`#C{{U%(7IAmkfvO+{cyd^7 ze9<%gN|&mk5yZH$o!R@8J5UU%#6NB&c>RklSue!o*`fy+QRM(e5EbbdMPT>6h>H%( zFr2rMkv0H6G9b}Qw~;}`ZbqNB#a~%m4;qn86#Nk4EpbE-oS>YFoQSE|tqi|o3QQ9V zmryldP+5uC!BUGwB|{r|4t-p(+S_JL3+zaH@j){A5~F`eE0d0X1AUes+?8YNBA%`T zb2cgI3>j4rU>KmH*izV=J;*(aS4BF9%o3x3U(7m%LQvERX{n!+FO1lwWPADSrIizg z0uPS%XkJ1ke6HNKTyOo96ugw}Vf%nhZw9JT>{%GyRjw{T`@uMrQ-FqdW$ZJXb1YYV z4OKvtr^+EEeLmTRTDg@_99OY|7AWeG*D_Y#nO(SGG1-JbhF%%uFgL0FPidFFGN3^w zv*uD5P%cpo_Rhqzk~WKoi`v`zlwwytAW*TdhUMuRtK9h5l0D=>Qm4x*g9?hRg$6yB zQ1onm4OCXJ*+F*Y)DDz;6i6so)L%(~1eFY=5{jvo&U*o%%B5FY!4$a5vdjYyh}y`O zLcEqe8EcSucIQ~OGfW!$sL7-rO7q%_XI}_opKxQ*PQ&vI##xc`e{8DhR}YskT7cCR zPr0YXZ-!J`Wo>^br+HG3?4#mnfJk77{{ZBN;~YOB%!gX!;#=cc)NrQCay{gF;6)W zi*ng>K=npb(pha>f{Qo-pn$+ge7J(&ludKlVZzG@K26_Inr%F5FLo9IipP5ol=u{mB}*p6=*S#KMG@bwer1*X&apCweP zI~G{y#Gysh2LAw+8gAMa)C1C@>55S(tCRrz3MH?pXSSuCP}M{5b=Y63K;pLLh^4~! z(X5sqFCl|)t_-r@V_KY7{;E3uMzQlqN6lSlGE!6EDDRMXzh>ZQ6m2>4HgPh%B`spq z#YEP9r4E96OIK#>mD(2_8T5i?Wks2Zuqt81yJgnJx%CD6`<;PbaVTZvvji&U`Y{F7 zUXT=mY4uwO0RyQp)8Asw-5r7yM99+2DdQ5I^A_OCKq2uWiPf;FX576gZ-gQtx_rym zOysd%%}V#;R~XMBuvUP%lY32jD#&^``5hO=!75sAz|yd-TxlLMma6{%Q{(&* z-Lq0Of3N_hdocv@^#Za!J(USp1rUXDi-@IoBp5lH-CdRoBHM#nA)|FHrfo56uON#c zkM5z5vaq`2S|F>64luC;X4LQks^v=vSbky_0o8I=v?<2!P)=5mV>EuESzOJ1&5Hr1 z{{V1#pM}i2OYKB9t3#e3X*>*KeB-xUD83RW72R z31aM|KBCt>7Z!s1C_BhvxWF|kgwy3MTx`YarDAbN^1YT`L~I(40w&VW{3Z>q+uN6d zAmwAS?V?x?z?CXc44`jHg;xqHm-P&htxFhIbZiju@hMw!=$36pVN2cVL4jGL)>Qqv z%%DrMryfVKt%SLeYP6gz;>hETrp)T}mA#e$yJ>Ow0So5I#zCao+Nzc-3n5Dp%Z?TS zZ261T{x6Y1!N2JqJT!h{x~qJtLq@17<(QT^1!lCUg}$AX;AGVKgJnDRP(eOn1zUPN zW|C~NZXm#{M5Y`z1$ikkhD}gzX--_Zgkz^y8#R>t$|)6W?!mBf9jgBT;v-RFT|y@8 zvu#DCGF=O$+64aqOm{+TXk~!0i;G0oSVG%!qP4baAeyb(RX|C2*^1l+#ZQFEYpQAu zn3r;mj0XPzXFozTK4n+=EH~G&Kc$k5SJvTR`jrVjPB3D0oH5h=fzGNnBoE9`spp-K z6g2}+AH z0qmxlP-;;e_v$U835Q^~-`q)KHjLIK{<$iOtNy}}auHbtO`mav3mkbg{R<_Z14uSQ z(h%#D#ueFh!_sMZy{=BhoVaiVfn{#0tzir(P-ROdw^A)aQ;5YiZ(nd#(JB_ASVDYH znZ^ZGg7%6wR2&MWT*OPUDgOYNpDLME2Tx-NhH645Vx7G9T)*q;b#_RlxI)@>c@u8e zkkPA~a3cD*G}@)|6m)2|JtRYyh5j<@li5S=_!Ch;SAmwm8dpf?K?sFhU z^!!RgN0DBZwm-4z@}zAilEhRD9NT>oT-;$TZ7VNfB`%S=Tcw@o0)^ZbFF#cQA1nsK zmAA=}29ABpFQ*Bv)OG^_Zz-s0HOqpR%rcKMZohSD^OI#E-;KyZj0m93v6xML!s)q6 zT!Yvv>_AwoU${MHp`$XrR9fLIlB-`r7O37Q&m+A~e$dM;)$*X>VlbGFuNSds4OLaW z)H8rR)E`z$dQ)*X-YvBSN<4H=P5!dFk6iu>#H>WvrU4+;9Gz*oL*a$SAQl*RlgaTcDp`DshHnorJ9r` zmcAg^I$R>6cZ~>dP$%2Tbp+{tRK5^)*5ypO+0t?bSnsdFim7hQ%=tvxZlNuQ(6|(T!zvFQv+_3cesHf4}G=8)SfF3?Q|e&#?AXN;!A) z6F|C^6U$<3n6SanEp{Lm#jzh@F#K*RCFJaFb3_Y-HGU7Qt`{}&R46KPgJlX=NWK^c zY8$@e8r+uab~GS9Y!t}1%wfCAkHqDMs6HUwOAaV2GCIk*fB{oUQiWTPrH``XZk(MZ z7p!Xk0P?~G3NNqrG$V0R{H#R#g*gT1VdCN_%xe2DD0FC)mGoWA1}Y}pz!%u8?R!vI z6O)Nh1NK0Mw|V$nh8NVximnA3gY7^U@7&a#NJqIA{Tx!%0V&`3!zbuF0ELQOF+tKLJxetCijS&WxB}>{fQCK$ zW{*apw=DVG)|EqJ)ZzhMEtK}R9EMt}*Lf=s;8P_PL`}+uQJ)b(TTG53Ro>jO&zLZl zwhQdgiMTIScBLdO_^E{NvY@zXC@oCXqlk}7Sz|_7b0D<$VcAag-Phd_)zY+K1?hb?cm6j#~>~LL5srR=Fw$tRJXg0lf^X zlG(htp-*V0`xaequ+UWk6kM%%xMuHVatbBM<52o2O19xCrpDFsAgl%#?98j7c+Ff7 zq6iuTd9i>WWzi1jN94~b>PoNAC)v2UOYtAWyE{74I2%N6w7mUJ_@u5O7{mpMkP|a3yPOz4 zs=b1XBE|7;TvcrXrUHD3E)Jk11j4Mw*->+Nn{-JzfaJZY|Z~_nJV45vPCkl^=K`*9CVP?Ax^dGpOtKpRh zt=z7b{{ZYu&tLK^2~ae`l3hb>AOvSAe-V)V%a*`0B?In1u|5MzzI{q69#0F{Qx}hf zv{yYMC{=#B2T>90e1)$JVW7sPkaAF0daszhH~#>0wE)$% zP}QpH1dsc!9t*kD|X{kH=~~mh^s>_4a7;tQm4RN=~`hX?Ajno*0}7@ z2E2iPqEXf>#wOqz93^lmd_kS^i%Torl@LEANBcd2W%eRPUDQAp-2Dh;C{(KDH7&%+ z!BI}7(4Ov|#Q6S^8`)FJYsj=$AbJ(Z2VGs1-IT{e4TJQ~h)b&j_Xe7uGs`(TqHT)F zLqw-#DDi`9v!EBv&8dJu3U+W_#}yQ{8G*@IbcIwg0bf@Hy7n+I2#Fl3@9_?ewfZJG zmYO$1KNf@c5~YE^IX0v8r|Bubl4XtJ<{&Tk=DM^n-$Apmfx>EZ?zlX#%d5#2)Vz`XW39spX1+7NwVi zjg`)~kgYfPmY=_wSiQ(LEU^6({N0)eBFCGkgCXJzgNEXmg5jhoqyo_D=b(xwp}wUX zw<*nkQ^*40(&4lmEcP>$mVj%aHFAr*l}&`PcGLWe#0Uz}5pKTVzVf*<)+i4giVO0~ zT%d@)Nn!RfT8Pr%Dij+!{^RJXjyU8zdL{Bo0aln*(kS528dAjCtcl-MQkP7lKo+5(AW{p zg|H14uObeP%r@8kRH!x~j*~+R%&4c{6eAjmc?hVnMfkw7wl#3Hvy%I(x2UcZz_lEJ z1|ob^Vh;?tBkZr@B{rF@gYNrPX$9SJcjN+IKF0MG!3L9_yhPzdFXl$tvBSR++7o!} zph4xX8WNyfC`Dv#%9(v1fUj4I=|_dd$*L0co`oiT0{!dO*}I5m==>j>^X2 z^rOqFxuFg6h=iH8*hR9TN-CnOPzu1Xt7xl>oqI483wk~Xg8m}6JJM3y{FW3v$N`Z7 zuw3QihM*#FUjelhupn=O$PIS(QK_aTgs@u#dW6gs6fm-~Ug;wySn^e*o_(+ti~>6E z>rvtg9r~67EK&6owp)FOKk0~I3i);*e{U)g_39v^256(mwwj=|WzNP|R0guzOiURE z@Yt?b{{ZGvYA}l1TG>Sm@f@dZf|{LQK+bzB;&#>8-IT&ord|fZ=?s>>?S8IMO&vbX9shseG|q!)hR3qS+;DF-c= zO~LzM6)A<~r`Gu>FbhS*p<}!LM5;ruVwN$!`IV zDV80(S8iam&O(88jlY6GYeU2l6xTQoxexG>KkUbW!^% z6aN6>QKoVzu*(*>s{Agl1Nc~fieXfl@bU<6`#QR?g#@toGheNUVP^jTXFu5Q zBN0;SB~{;>hg8nn6&@*~vQrQXy*5lQx2DE}8~`R&=u_IilG1H<9J5E{i@?+mV|_?B`68uhqBBGVGCQYVYDfvqq>ynw1LAoUHN zR4KfEr=%h6{7SO6uV4>vi)H=7z;<5zOAJV$zSYXF{>z;5068iF7CErfTFM!C`9+cB z+ZUDRucTXcgghgU54RirlB@liT*$*FE467rbhH&g_!0H>@m1^W~^ljIwe3O`j+ zyW%+dBNHtyK(^}SAMr3-3wMa6A<$S(#|FBp;==o6bfTpQVZHeJi~1!KV?|4i@P%w~ zi@ZQ>P5bu|JPsAffx~4!h){B%M;qK0<+S>ZAE?;+h)pawRsGaPlSMJjnjIP8G+Y+d zA7L9maOvwsRIqHZe_zl;QT}dQ13DlBrFBq#CuC26mQe!BlAX=&P5@C zA?3jfjNX?Nr`;rPnt@%a)NLxu-(B>Z%OL_NZr_ST2hnJyAD`f?LI0} zSWDr2ir(nkv8^mIZ*C#FmagwGqeMMzvsxBLD7SF8QRqU)Ozf(n)Ki~qLKmONyr`ft z^D54&qu~VCWUw`Sn@9FK0YAxMsk0+oA>p{5RelMhS6>qZDpzjDS!cSyGM7*sE&%)X zX%%b3`#T6sD6~EzETFAoFdfKV0pvn-#2+xh&uo)Xf{hdNoAYi0DB-+?6eCq zo7{yqM#)ZL1S058_6o(V_?_w3%`P^!)AcIK{m+%cKoBigDb2C5yB15VnOed#*z7bxu??@02BKv zeA}r<)|{0C)3SgHp37uChcXER=&1UXJqr`UR8|TtIuWRphY|>-Sj}-N0*XpBR0hf# zRnu{AmIoqNHS_f^LGVr`(+*?``Ig6X>qi_+Hde|A2(VTj_BsZxad39MhM+DNqJ2V# z734}VY@ukpxkD7qx0O<^;$XHeZti4X8yKa#1Oi!93Jr#n^-zIOn<}H~widcg=gg+; zvRtltH-ua+SkT?d8v5l#ozBGCGhy;t$+#sj$#4MMhg9XI$+W-$SsM6dZfNNke&$KH z*-K4@e>g7BgKd>;0I~iIiH90Nh7;+-@{!qUu~(YFTaiMg0NC<~pEYuERpbG^VPx$?knb{)h!UsBVnf z^zz^@<|Aw(n=c?&&}EO9-OB#bkUKjoE)_}fIAk=ntN@|kOSnt-cNAFb6)P@SY-mb= z9Y=izP%;}dGNSsN0JJIiMjIs;&xq(umW0w)Kp0^J3Tg~)?AGMc6xjUxdjlJOsv zwL<*$o7u8pLWwkMUL`}1OEULHGc`Z zsQ3X=jp+DxDle#OZTypkOmnbe@BaW%jtA3XrOLM9l@p?u?&1P8_Uc+#Y!!O?S2F(q zv1KX#OALhBv~eRkvY#wcSP=nR8Ec^JL~0^5yZXF>9uBEARqe~TK3%muhhm5Xl`xOQ z;v0De`8k58_9xZj_cOBIKM<3h=dhb)yxZPLGemIcP*TyXO`-arOkcDmPMdqH1Et**mbJ5 ziC5Z16>wiYfdhUQE8!Ra0Qi-I!O?^V(JyKpj-VfyufyaEa19~)PD)?7O*P149zYM$ z_A9%CSC_3rg6b1`!7ph?jomG$Q_`Yp#y&73e|%>+bC`#rj=i809kUVe8m&$ zP$A+`Tn`Uo0YvaF4c|c{CQlMrVEG1pF_b*K>8#E;$ z5xcop!w77l!n?*;t=jFe2e3}DRlK-CgM@N?9E;#U2yP1(daWYr1oS|>EK;=%N5kY^ zuu2E2>O2l=KoI>PDE8NN1F_~80^J9sIR~V>UGHTK97KUqs42K2NToj1u<-eV6D+JJ zt8h)AzSH+A=vE?Qio@az>RpSH*Oy_{MacgEmu#d2`Zp}r)M~fvaP&mvM{X@zpAk#8 zuA#6C3jRg`1$ucbCy_v?vpS;8?S9&TH1HmWAQRbPN8L;1QtRpj-D@pz=#n_(Je9IzPYJv@%6UDf5vDt~eIX;bL7Y6cG%POGcxfn7C zIp3*4-t4PG#U|sEDj;D3I|_JyR%nTq_B)`M(z;5Skq+Lpl@LXY`&tOor65&JuORx#F z`1Tt+s{U+Z6*iToop}km-)<}-)fBL$=D}f>jrw226J*Nan3oazE)?9csF%nTkT@Uh zOAWXkr(rPPV9qqcwh*3{>{Uj|ZO18X#=G$lG|`2|oU!m*I7_0UFE$0E{{XbLD+yKb zkOpBM5iV@r&m#YnB=}T3HwXm^$_#WUnMAQZ4NBY;~1hXI@e_s#r#EH>GJ`; zzCeK9ulpX`15VTqs-jRRrw@{%fngK?O2}L4m@R{>y8^Hg16Y^I`h=&_a^nqV?J@-t zl^d27OMlt8PH)ICcLBO{2(|3MQtYaOlC(edP;?TKD6O*c1Br|sXBQHsD8EjZ%-_?^ zhhN;WS5k%|1$FVrDE#E(^Q?On{81@oP))gx$F1uL^b@ObCK>Jw=cb2#Wvj@)*q+60 zO^xJMeRiOq5S-3S0D<8BRHY3k8Nm7d&Y~&0s(^f@<7c3?b48VS?MrP_w_^NS5x1w3 zk&3p*vX!a=@H~_ZrsvoSYZFmeX@CGTfpUz|bzVRT9x#zVR*s|(~ zEL6lT&>u01i4bsz*%~1^C<<3VyYdN;tWa4j8V_VPM?#^(-%Pw!n-5q7Y^Na+CqdTun3wGWKY<09a|{$X6PU17++QF97ye z;;ZR%VQ=CyeR35p6(>VnKxN1#75PMb{*tMe6FL>^1&QN+MCuaxQH9KEZO|S= zk9VZG(xeSjw^7<8F9PLTI&vimE_+L*&4gtk$?;$#VV=t<+=KEx;9h4NU;sB+@w3TEdkV5xir^|t^S!sXJ(t3Y_%r9kKe=qEN!o;`h{G<6t&D? zwG_6US#tTca6xvw(lrAMSp2-QOEU>5^!CigA>042B@)e)BDvBYr7UCg_y6I6>-7@|rS!o$?=oibRwxeXQBB4!}a5OXP$xXREVwV!&!j zN@?WyO(85wmXG9Hb1pY3UHwE@tSM8&qwx^G-i9$;>t%Kjr1SfW&eG4Qa#B!pMf^^H zmkp@w1UKyp)prh(!;8{UvAQ7aOXXl ziaP%Ql?^C!A5z7T071c5v%M93BCdxCQa<(8LbpiW)J51ThkFHqb`V=f=d(i82MO|; zc@_~V_^p3aUo2Gp!d{eF`X-Dms=go&;~8owAi7>l0HE=S3C2{z1@p&3m*7n*}AXYBJ9;F@Wdon8zVba;9}%t;-d5+MpINU@QLs zDlIRv%-u~6v$bel++onGNQ(C@ej*8@sGVA8>R;yHILxK&rPK=qFl9=h2Kf10zMT@Q z46vra8!1@@LTN*7$!9_`;I66=S^}ouJSETLiq^_GNBVm-&~N4^%p+ziwy0_%{)RpE zIPoA^^N5;)E-KVe?W}*Wo3@lA74;}W$E>t&p=6(GXF#YjI+z$b3%O`Sd`VK(^wTJf zueRfwU(|XYHp(ZP#797oeDbBieTcWjXk!snv*IC9e+MPwy0`Vqp9?BmgvtmC)}T`L z=>yL+AOQS+6_ptM=pkxi{-GHna{mC#ta$cYi{Q$Cb;%2&{m=X$c8(5JMC)ZT;X6n} zF;_tAv5Dldc-LsU^m6Z^0t7KYDKL8*XzbRJ`e+1|v^<@~W-m-?4 z)D&vqZ^8-LQ&ewu0G~i$zu<0YFY-HrwQPh<;A~!tO1Mle@enb%_!=P?piy0XK(!w> zRtl(TF_%;*y?I;|vcS&Vc&;%NiYg2B8EFTmEE7hCyq>A@{7gSy%5SmAgGRnCIqVkJ z@}e7eFD>g3HKxH9x@-EvmBS%S6|?mT$d6(fP8P6Hi&#)!`=4V+?rGeYM&3T5z~mGP zPQ)>qO`HZ&7HjC10kv?~G8&5X)UXA&LIdM)fzk0fYbKcc3oX3&hqI)pXtb3R1SieL zKS6TB#>ExMW3E2SD(L?J&R_O8YHP45m!I92zfgy(EMp^NSy=W_&~Tspl%EG-Vw=<0 zCy@_=l8=RCyeow*!0AARtO8At7;W&Qf zt}#stKG^OoX?X-sl*;4FASPZ4U;tJAPgg3cE}vOq)mQd7HZ!qzh4v!?(WmkN^@zwz zJ*b2iJxEu~DrSo}$O_IMRgjV`>@skxg20XE>M++&AR79OUk9jLPfUQ~Gsc8!tdO7SQZ!9LV4BNgfTm6Au_kt6f7 zRurgwTDW}6*0hJf?0Og#XeL7gTQ3K9>O+_v>YW{1QU;~j>}LOvAFkr6eiuzm}SF<8dN zG`aOtf@1di)@ep&j|y zic~np;J}t@xc;RFlP9b#NiZ7#EeqNmA%*QmF)FrOGd>_QTI~Vh40`te0CR)|dd9&& z473<8B5F_#$ZVf^180hq79oB$1gy6SX+8p4GUAnRaUntui&%i8F#$rfA{rG6ig#t8 zm1Z4bt$ReKQd!w^ONI6Tn!hNW60+LZl+@%D;Y~q(AwSttzb82aRqQ*}>ut9YD5~~U zq3;SR>f%_O_5e|2^tqcs09FjNN=~8A+6W&*`+|h8m<5jrfxbIAY_LGTRV*=L@>rm0 z%h^{q;=6IIv1D2cMf_wsZSsyZkE?4YpPqcm(WgShr020r^T~@_E8#3}6*^+7;9v!m z_+x}wYJT7vT)R~e1l6dvz|()(LIE?uc`fZw@>bT z1Ujam3LUL@d%cYnTq8!V23i2n6wGmHT7ljBi5NwpZ|dV+7Wpn%XL!q3-(a{vusIdA z0be960BP>q6%-1W^(`}4E(o}*X~Hd{scdQFqe71D*i=-u3gtvovg}Fq?0@sgg)QP; zQ5_T!$f?Lq!1qmwy_P+(*uj}P5vIJM{n&(kLuFO@WT%?@Q7RwHc>>+(KGNtyzX~-< z#R^TnO2(~~D9u$&i5R}3mMR=OQq)T_Dt($g*<@&;MKIRz3JE}i_@uxN*=#611p+P6 z`%VkDvDeXhx|BBcuZWP07FV6PMLFcNK@}sb9h^NHsCIyNJ1YQ~vyu=h7Czp>Y^W7u zOn{+oP{lqs;wd(WWkRJl@dQ~{H+5t&{h!G{$n7rDTRn`c&Z@kq4HZ+YYbWYuOs)Hx zvh@}g>=1cB;ge5?veBTXXTS-!_w!RA>suPc2$7cQsEe#-iW3OM~30d*9qc2i$*3;T`JnH}C_$Tofo zpTUC-h1xFfC7Rdmxf&0Pg24l+DI&lQu?~F04UQD2$x`y?f38H?`Gv~}VcMIlLsimz zDyU-@n93^;)yEDMf03ws@qq0DhER$xorpRYl&C5ZQ9|i}hYNsJRAjB9;o2x{*>n|1 z#in(?m}s>cEvUzD3M(hbrD?HDA4<7WYVe;*i~Myh?Jxq?f9_>aReZvHi}MXRXC)dL z&NTf@LY_=i$r})a&w96gmva-U0h)<}Gm;v-h)7xhV zzc+okRVLq-DFL{Yo_X^Y$%0hF+_jvFo`O6Imj$J#>TqJ63?JXFR=_3J$}QZO(WqRF zcqg$;SFl|OOGPaYWeSLv;Z-xvN(x&xSlDA<66~!|hBgbZCrZ)Y}V~wI&Dz6wz1Ca$(OtxqRh%fFj zt*LT?@%vFDz+BSYZ^gH<4&d||xBKkUkrfysdii-$kw?4tC_i$@B_LR-WIGSXveN7q ztu^$YYzk;D+b?gRd|4Vb3@BXKfqEUdxAuo+M3k%9_bsJcwJ1D7)%PhAMSoL5Qo9iP zNTaRLXj!lbtArHHAIMMS&zO#+B2#%>166SsA!BHW)K_G*Dn&jFEg1tz^O&C$)NaKx zSMFFfePyDZs^p=Pi?{}=>>3c4Y9<^jsRmcjQCymS{{S2h++XyT*%|); zB|U55ZeANA{vATbIH~Lp8B2#efPPcqC27;{#Ve3sVt{d~AW!KvNVm+3MD*9fCZ@9* zxcgP2uBzeygq9VA7Y+B=0vO~66jjUe=3J&eQ7@j~NwG=QU4*IuPHlSByJhlSL<&r` zv51z(eu;K`g~KYQx8q^s1>klS?Utd4KxWFEg+dcefv(-eRy2feN~8VDf>7omMG#Y_ z;Yrk}fI~&pD2Y6e7Cb3p*OdVP-m&zLGiAXoa1Y7x3BIe762tBqcIFiaNpuUkCqv^I zabQ=ZiPw*ja0szng^;ryb)OQ3)3$v}Sz7W7JvISWuLd;=g3V)3tSt?=uv^%>v^N5H zJv$xp4N?7q{{UZrt`>0MHyZLL<+53*mkST&F&779E8_+CdVvT>*`SC=SP?rWhG3zQ zbZsmeRQXV`H0r&8raNu?aw@dCe}2V}OmUa;;XfbzL@+~c42)O9taT6vB^Unyh@)Lt zJEZ$q)`RL6m#%*0i$0d)q{;(z^R+0ny!}9j_qgiWjXhxzEk;q4;LDL0WUJHMnLt1y z)&*VtM&=GdYhFP_A%3Bx8RyL17T_G70s!_jBbUjcF};{W0kB3HQp|xB1SZu>F2V;0 zi9j64yn>ScB~i7#VBF&y?b`&wC5P9MrEbb9>+@#^(P8&-d${iGigrOS#%*nw+YO6l zL#E_JC}MhmFnct7&G=(sEd9mLSImYX{bB<7x7-5UM`i?xs1$ud?k(T0{X&b1>mwXf zq6-F!>=bk2kwH7Nuv{(hpIJ)oFf3cZI~Z8}DK-O!8>eyhKjNah zfrieX65OT!Xo8psdcb>M^A}H&kB5n+Nf&hnL0p?E||R;*VFz&7S`LEBPx=@CEKVA z65}AY{K5uVX-52os&UJ%u$gAxp_i(vEt{Pu2wLFkZe%AG}D%m8AZ%2Z*(UOP;nOR)Y$6xO?O(g23)EoLDK_6qCzs4YKaC@IPJ zMAb;UZVNI#Y8wq`Mx?~j00c`WXIIauc{G1$iAMtvNN-Nmeg*veLkw(X>=;ek7aP{aGPLDOk4PdyJDkh^LI|%YpLJ$B8vgI~AB~kwXW>pDisj4q^lpvE< zWLEOaJlMTEI)Z49Rz$~F{{SLLq)t=;HB%xAm{h{T4aN+8GWTul$=SvDMW0L)jmT?W z`x8tpFT|wAoG_0^jK_%cWsQhP?66*}OUt>R6$VRChQd;{n;etCpqEQ!t{>S8B{n9L zb$0Ot#eZ&F@gp?9o@^t(EdGXT&)`R!@=) z3bs6dQ0M}TVC?0a+bVDxM6vrrI(ae}-&vJ}K8`Z|m?q){ibUT(l~4iAt`^ z`i?7gp;G?<(XeS#WT!iX!06o2qRl(d!tRoe?s5BUu#EJ$g{ z)rSZb3@Gwe_?H+8Z8q^x71Yc*aLBK=08LZZ{{Rs|!s;J@QOKen`ht;Gl`}&AI|vX< z6h@!aV$5M=qP}JIMs0;Xg$L>&93kuj<{4!?l{NlEAGrN?;-RuBT0(^_iCz3^Rm7jE zric}_1;XG(Ks`SLDFMO*!&{oWGi(4)PDDX_3c-CKra#F*3tClNydY4XO}fuz1A5uc z5s)KDk;pa=(2_t^nySBv*kfQ-j+kCm&HlXp!LEiWl>*OzH>_n2diWfuC85Us zA|Ue9S5Q_r+ZBwhDi}){RXw24e&@lkpZP4ZkC;M-6Xu}pIk7`(*w)k9hRCg=G^zwQ z_blllQuq<5#A(IXC4pspTv?#ljw00TvKFjuhbsM(2w**6?qjmrAKO;|XAKAk1p#+e z5C{QP!#8xg$X?eHT}z?ByPp8V@`EqeeU{s9QV_pVoE5C2gSr(`E2M$yyU}&jI65srk*8QHuR~123Q1c-RqgDyz&3=8AA4xzgYQN^nbtqex%GqGh zg49n}a?rQI{6&sUZQ&54MI}D2aGNXBus;&3ZYE2al@anBnRF}UsC)<;w1&_kIC3ox z2azmkwx)mrf3X4h^hTpI*@1=yT~P+p1~+j-Pzf!ju$nv9CB*XL!BI?zY;(1*n4jY1 zDDD*~xkUcTvAuu*)yv9)Ae!8=jY`&ps1W0PsDV#~O&mromgtI<1n=BRqP+T)g>IR} zsT8R%l8M;lKxbx+K{m7IZ~8=j>a~%-pz6njmGF1uixwFRDb4=bHP-ltkMK-oe+Vse z6BzVfK1vB^Rv-p^r6!PL{J3!=Eeqjsv$2Meq$)KVIMDI zqB=@b#5o~&xqQ19sv7#ZH@80}M8?)+LN?EWXyn!Z0N7rNi)t=1mbqhZ@}}LWAhKM! z*DpqjWxmD(L*h4Oh04xC8exvY_Sn6cu-H$sl7X!4NQAX5t(t?_{h(?sVc&-#fm!WJ zl(w>3y<)1hx`tBJs(lv_S>YPRQlOyvE;cYm1wqVO?P&m! zM}Gzgq#~qk{zF?u2_O8S1-Tq4C&CHLe9AN&?!>{NaJHrZdvfQCvM}bBFxRw70?(>y z8y{y>Djs;t4=2O^!v)7Huz_sXwG{b{Oehkz_B2-|5)?GOh&_NMMxhr`7RWO7GVEI+ z?8Fs%nB4n_-l!Gjz=|;ku0{X_u$2p=@B+89Cr_!b5TMoGy`DZ&;L&dJiz`+&*=2&t zOB#;JaWN?6h$(8Es0&O2)j@vTwQ4I-Bu&<|lp%l>7@O ztL7j_V~*TwQ42nQkY+OdbydF-+!5nQC*0?KuLU`IoHNDhP6q+EhGbBKWf`VKkto z(@f-+IopBIKiY+y^RtsLU2n`DVAOgVxL1$@(FoL93Vz}Q(qwRV`>2zd4+CCAROj45 z>L&^gpmi^Ckh0btY+&R?PdPCC#-Zsc;S$qF%TcgBO0l>~#e5M+s)vAzZ@0K0G{jGa z)M88g*6s)hm6cXzU^?!op>_LGwKT>~l?6FOAesRSBd<&^9Hoak+@! z@P_OAO6HBWKu1pfN?uk)P_1txTHFu7Y753v^!-B5p#X!mge;{j&I1p75Ra~qmJbog zD%X&Wmq%a{lzUO5UH-tY5cqC6Cyl-MFdYr{6aClrBN1D!v6q- ze1max5K8?BmC!d)1*MN?#mbhTm8fR!k~@gsX08(zP$1gWzZ0vtZ_KQp)S%P(6b80c zsk%E*a;?bZ!GW)YqR|kCgG&5+rLqhHx^E)Dz2Gni$~;sl{f78YtA|uGpnS1mt;J6i zte~k&X88#zu*wbP%Lg|qt|>$}(0!;EHE$|;ou2~o0F?DtrpIFJyZ&T1rrQDor#B;H z_4HKTCcGJNQlVYLLRr^qi6stDxmJ%L;roG->n`P`4c93qN`UptV3bn*GR1JT8>SZw zW}m_bf5{7g5(s6QsY=i5GR3m?29GQuC>=Ja2yzOMjx_dSz8-x=3_Awz@F>gVVMXaN z{mYd@U~q*9Cm&*6K?f>bw^3DTk0UMl%BYI_ogP4z1eBzu250h6HK+1dq8dFw3NDd# zm1XNt4-i|DhAUtOy@)1DFcP6x+*B+uOC{q}xbD@%f?p$6c2%7KF#|p&q=0i~yVj@$ z_v~45UXqpRfk)uy+;RrSy2i$!k(TmYx>PJ3I!X?} zKE|%Yg{5&-oD5 zPZ?tGB7;QJ{)KjK_^gEi3qr0l<*9t^6j~sUc^c!T+KL~799l8-r~^qeC6u^2}XP+kOdHO-Gy!P{$)x! za%y^e3KXdv)%O5fUc+jv_9zFda@uhK+s5N}6HvXNi!&?^8wAkZ=0{S$5a2gP{{XNg z>XMYL!aaPNBJ+ z1%cj0wSA7Sw<-Xdp=#@VhNUOM%xDfSDqg=|5TCDQC$XnXJio%U#H>6XF)ws^DG_Dd zvccr3z2FyGY_uG|gzP*203w5pqA%{C(@@pLjVcY@OSGX}TNl#;vN9Uqq~NWr+MJu1 zG|7=)vnvLvzLm*)_qGTT^en8pJ&^hsQSHDJWsl4&Vezx|QDD`V+1jN_7L59(zlX#G zJhC$Et0(?B`+^|)A znpOsnIdR+klj0Q#vhj-@HEiFB@&ao*Y_V|2S!p%mh}{(IP@#`t_%~un@dXh}tGBN~k9G z0LS=}z~2|k4xLTP4)Gb^05NhX6L3p4wU*cSb z!tTR1*+nz$l6)t4H~};^@(#+53E2MtksyNL0(l)37XT;1lUC4}3i#M4x7{Y781Xa-K^oefN<0NYf6iP*)0F@M>*6a~SV5%dZkD9b9lZkYD()Bm3mQ9wlV(9 z!8gyw(JI*u+vthASm#?8^Y||N2IkbO+19;`1JH$c1hul^S@8s@zo;a%f3P7hE+{Qp zirvS*a2K6F5DLG1mQ@Yb39z8QnWC~PuYM_)^%2A`v6_>%t#=^ZxV}*?lL^WtFR!Uc zxUMy^C%$ZGwX@M9a0VUAm3vQ#>vo+znLiP?Ly;5q{mTWV{S_^txk0%Q^fi+d5!E90 z5G?c|7iN9c!GJ|8OsHU<9Jnb0Lm|G@;CR5QfJdACP7GRU%9N!ovRcXy%+YYB0FQ&f zly*~-oZogbe6mrTe9C;OruHa%Yb}fPKrBV7K8p4fV;hE!H^>OXO^j^oRQ~`w8#);T z6%$3o4D8st@6_uvPW2lVa;R6fWS}Xa$*Xx&MM@!O)CY(wwa6zfh&N-axHDMn+^Wvs z84eU0uMR{Cmw||AvHB&wRfrAyK1yxl5+%1g1|JfWLjM3+q$}BXs$8_maDuG!Dj`5| zSdY+#2nc+jN;Q0W67-_s?N@0}Vv2Uh!`V?vtrDAQ$chf#$F{{(ZchHnrgaY%_9RV$ zZdn+n8yH`_myvb1a@L~rnm6|b;a(dB+8qIPDV3#KD&|{@3~FEgK+A*cwGf{r4MrDL z9T8zlV^Zl*)fZ^cS9nEq>;in4BnLiPjH1;v+^vm)`zE6sQBl|eXn7HKTo!FPPgvJ)xEgD`h%DhMV3o z-*v6*CU1|qb}g4JDAOQcY~*i*3u81_&{g$CR?1fBT3kaE0=VAv(0k%0 zw7KGb*qL#IcPU4nB9;6o{JLx5~YA|Li-SZeFPt(cH)X0K4DGn zxl!|rb^vtQ)xXHJ-o)sis9{Gk*?~}gBgjgcUNj!uB^^C?A{*dDvwe<;a0*e)@9iFT z<;6mYY#o&j&z))&I=NJ;K0^U_`wX=k-O7!CeCs_Pl`Y|A250G%71znY?AX_&>gEZ? zSruxR>SxIy2`tyb1r@UGm<(ciR3@3gdS4L=!WC?6W_myXEOH_*S6C93QF=ivq&16y zs4`U+qp)VBTQ?{M3AL_M$Zfv)R}OUGrGrw22)uqWUc{q?MYsAvLi^ZVe55!m=cCAX5={l@il~)@YxP?(Cr3IAkeuD{vq<+AdvF zW9C>Tt%M>c_1F~WkUhjL8wLuTCB;M{Mhf5lOciEmx3B;z*lF;VGQiwh1@)~VzW@j3 z1MEq3c*a8pu}MgvYK7dl(6o@l@K1{4=mEN_-q;oxu!ODy+y}FCWOQC*d`L6IzU__tDt(iub4xNSM)Yx`mm( zpt>1R2huXKcn^Cmom?opgd3wW<4#`{QTJ#il^CQ=*zzSk$W?VIY(7ZIk9i9rQ3=GA z6RgAiRqGmWrMDfW+&>n#&7OoJ`YpI3wk$Y8?Brk&IB^vgpZSQPZF;zh_6gD{3gavw zrRS4PqJ*;bHz}~utSX>dPxwIi*C{N?u6G}wwp%{dd`b`M61DiQeh*cNMkcf5_^lnv zfGkqVtGG-EM^#4Ip+oBxR`jC>_GlN+s4I1KD@_|@P;-1WKmJ4#^+0+m2o0q=YKoT# z=Boby!Y%GB76B>q2w6W0Wg_=V&XDI?iCPRb{{Y9bk0~8z)EQ7xu)a!C$mGApMdoRk zsb8a#pmZyNRV-XR-|j2~cx&ktF?~K!fPlsB!AuP+_?XkA-q3&#+-qh8Fm79p%3kWu!wqwi*(^>#ksk{vG(uB(^&RP*0C>Z1 zucL|9P9u;O=}C=*McttdK()2?$w63q2ooj>(Z7VGZoC_X*!>j}jYJzOUt0u0{{Z3* zxf>MKhjI$RDg}48{Y&P9)GjJbGvcQ!d^Zn~ZvkwkH!(+$UbpeJ0&EFftBIpeD`U}B zququrM~daa2HuE8yUP-);?JVAcUR;DeYgWmf615S*;WwXo);aPiw9|dv54&G2rVFi zMFyWDsP(qldtWZHk*F+5a1!d}DpFi6N^&E+TZCgw1_0f(>?+Q{DhBZ_v0oPpAQM_e znpvamBdNx@_$UmtLKoBYz#2#QuTsmh zhEpuw&QwB6da_;+xbTD?huM&9Y2H9CIDfLC@W{TWGja^50iE))1yOdWVHui@43|sh zR^CPp?*nBTYo*;zsU`V}8`Vg^?Z;~uKY5I;cZx5JobacK;?_E4DS-!9BQ-l7;*T?n|3Q-qu+8&)E zObjkZNLL5^mP-XYbG#PW=4TTLsFs4%!`s-(Xe#5#1w|6A+x7rPj>a|*OW3tZY1Lgt z0j#8l6v~)GE5AM`Pz~iQBtGUaSwu`Es)!2JK{e3?rTP2pQTYD=#JyhJ0D%3#aHrrV zGZY10{l)6AHiZ_qosF|k!x)5>Z%c$*>kncAwQ6O-tIxSwSp}hkVcd?vb;gJOmUIsK zyxM9a-A|{?cX6987b`7fG14uv0ta9sBf<`X+K;wW`;7eY&dV0#}EaiR8p82nkbP!(~l-_T_Ul_}Yls>*-FT(_=%C0Kz(gv`- zd4fXrWHO69`@^CEmBvVn2a*e*cIkMgouiz=l$G>-NIR9CiLO-%UOY0YeX zL4@g9D>T#vtOY)3KT^dA6L|Z`yb7XZs9~b}0eMG75v)iS-0C?^-0feO;1ExV`GNo@ zvfy}B2-VypR>(b9zn=)xYQG7{L|bB5`-s1nKr1b({IdT5$(ez>Dl>iEQeHFInyZCA zw{7f4S#)I9DF%WgH=*-}0ah(GqF+L2DXY?|ReA^G$SRBFI-K>W6v~CHlBl_AukJ6S zU8__ucmmiOLusX;q70!ACy`Z5dJHY1deQ#?gi?Zs#5JbIIduh+r_*B*dXC(=bd4$_ z;!{kN^#rbSwNc4iiA!)CRmD+tMqNx6QjeH^8&ck~lUAFE3q{_(Q7hLMj0n^6$f=~f zNaCfJK>q+Goep+mRbf#McfXHf4s)yJ#Aq4dO3RkFPht*jpUEi2dJmW-FUY?2D7-8w z*`d-}%i!bziS_;8+4lt?B0hW(7H+M&P0ERz24I-P1O`Gy0aSYhxGJT;lIPK~L`x1=`6#?>5GRo}!VCj4NF3i^!> z6)Zr@x$!BhwfX`8_ByB7<*%*WqOJb`BR_+c$zT>6mvOwKU@B8V!`4azv*VR5#2iic zl@PoQs+Bq%u2m$|&DCQDv_*?pLNzYm`5+-BLM@|6CX`h4vYAjmYAp118zLaU0^NZ5 zsXhjO!g1qTU$U)_Ywk)g5)30(2$pAG&4zBiIYtB*-opz=hw=cVKPr}#3Xk7ox2nd! z{-x63w@0xcv%`d^^v{5w>d9aq5EE4$PhedRk?9o`6nhwGj-^=I;4T2wY^Evjc{0>% z0c$^`1`vS$Pgscm0MD=FYTP|GRI0C9Nb}O`5__LSRs4dNAEh!;iEYU2DHZ?-F;Ztt zbBGQh6Gg;U6||Ht!P{fjN3`T?eY76AcxAywbRg6W&?iN|NcB^6crk=X+rOBNBI;!b zuR#vuLCwp&mYaPEc?Ac^bPuU<@(5CQt{?2R8S|vIIUvVkFE~O+DEbIiP=F&&ZqMB4oePEY_0R?{W ze&;}hBrx_60LnFG#S=)-?#3F|Q(NS062qY~rcnm1y!}NxTu>jWR8`i!e&h5v95IJz zHANb&&y7kGOx3dAvA6n%iOI8&NlScLcCB)sUXu70CrNWn`niZ@&lXB@fG;vH5GZn! zBJQwO{-u?oAhDeahQvZpOLW+OelbckkrfVp;9L!cwd$^Yby*lA)W7^D?PmO+sMW=hxU#geA2G0$PuhHm=;CDmt=fPKYmSwgeXG&K#C4N8JH{D?)Te2w=~B)zqQgTtq4 zan^?;J9iPAoro0zm!H{IS?ako9sU~>ymzly2;pmFs)6-WGUfo+^$1h0T0aqSabc_d zN^}&cu@N^Iwfu`jd=H6G4PKgvnrXYOma|c+TC6W+W2T=_45kf&(?OyzK)jS2{jQ+Y zy&e03v|zzo$$Cw$!9+0uE~3y$yxa?Qkw;i%`Z}s1XsDHG6QlFgr5f4rM_kuwP(pcS zu^kuVSkyQBb6{f`(gwXnyo)l=wG72-v#iU7A#YfdQ~Emy6s(EDWuCx)&p0eqw*OaLldS->8$s#HWB{{Sc(_WG$xgPU^R zor#`S+$c8x0LdKN{Fnz^IRN=Dur@-cbIKXaX8;3#aY?S1?iGb90qDo78xH*#XFrpQ zsGLcUgt>BqmplwUAeh3{D{b2+E>UGzU{$|02Y$ZEc0g@^h`m%x*>dWKlCvH^umsaO z&cVQoa~9&>_Jc~8M6$K)dMQUpnZ?uYQYS!#vpm ziB_zPw#kR?aT}|fFT+x@ho7nFKX)paDTD|6P$+~obrF1q+(St!x3KZnqK2O|jDgOZ0A0SMEp-eNZ2Ci7OD>CIJ zMBZ1})&8iV^#}(wL`c0>HE32Wy3Rl3BBdU#O3?CYMHowsPm1gn!@9=G9R{rcHQJqK z2A?qi2&d;p!RRXNmM$hJ(5Y1(Aq6ObL@7c9kYv%iAOpLq6O&qgl^FtL0u}6WMZOjF z7=Mtw>zUd!T=o`pmztL~!6 z29$OUV^E5Y@(Z;4*c1~YkF-_SKBjIBzygYuH(T*h-Gc3Lo9JBmt{Rq>9GXA{0(RvH zwOfErR46{!93*#Tu8iQ1LIf8PV6BJOFZ`6FFUC}eM`|Li%gLZ_E^t`1`<6C)`3Bfc zggRdc2rgX*WAX7USp{q||SM;qYY&yUh2_|kpc|4H%j55|>Q7BOzf*W0&<@Ulw zEU|67AUiUiKI5n)prAcb;8fZlY6z%2mq6!oGT2HaleZeIeuSXYP8STc@5?KSz0vYV zo9P38utgW)1BFt!6HSPU(K*0!n!Bs3)*g-)zxfQUC=GQtDkefBj} zL;l5Ld`gwDLn?e=AUH=sERxarn*@X88sQe?6?a+grt40Ouw5>=Z7#H}!M3m@KJF&XJtNOQ$Qv zhoGPr`;Qc3?si*|sMZN}ZT|pf$S%e_LMke*zT-PZs{zq&)YPh2p4#bG$bkXy{Xzf{ z;w5)#T^);7tCulki6p`vSK=fUs9CWR&5n8#DwXVA$0^2q{jflY zGF`MvRo4C`UlP>%@?5VR*obVXf#I9%f)L@do-iM%g-B3gaiLo4lj6y9a@f}~s6&zMn$ zmE;i7q*9ZG)z!yM_Z=31kv@WIE?%`PUymU~RRzE7Qp&H;Wnoc0s0NfPl>+_>T(?F6 z2-4+YZnk(<#DD-*!U+u6WT2E)bMYB9*e|K=%3AGX=4RRks@4{3;j_pds{ku!5+HK~ z2{_EIu4vdm6&bfa(VmI@AbrGA+_`#y%e1I=2Zud|kKq0nIgo1VBhXL2%T2!6+r#3b zG_I0SG88378>rq=FjG45fC`ZuyHNyl+(A*w9HzHqi;GHQmzqdB7HQ8Nh6T9MdBwVj_9$kV^Oooui;e@t!H`J zrD(XSE@>HE)0G85MRr{fx8Yiq?f{#jzjDD;SN+(%GU5R`&P60Q z0RCc^FMl61C6%_pu3V-Y!u0IMIB&@lH!^~QII)LTZN_7Lv&YCs1{cI~rz4ZFTVhlf zXzMJ!{b3!^WZuN2ew9i%-qgCNd`oes-vHtKpKuc7Z=lAXWh0BVeTbG*I4)sTQukmv z{OWLnAE^q8h589+Bd?3dq06WmgFvU41z*m>Cl=(|R9cXg_6bKwexaa??LkO(msl~3 z*vK3JsEVIt*nva-#wst6TP&)t@}jm5{7w9lkh-frW|dH_K0YF5g)OjFq#^gRp-#$t zPcidyr>lBESAlMz)04&gOI)R`vQQz-tDh1ge$Wummq^h^8$@sZ8I3&e)a@Z2LN#9GX|Zh9 zODo|nun$XuO5c*_dJ*yjA+7h}KEcuI{Z%Z4DuvYxJ z01Ggkeal&C*i=O@l)W?#O0KRK#6?wYLJ2Snj+jfSc0kCfEJY)56AE>AZ3Ly_%8aDI z$jhEh=2$Ja7-^a>{FyS^eLmp&mQOaKw?}%bBKR%PZu>41L3

    =q17i47LU#I~7A@ zu`Da_fSQ0n>=giuSnAiP6qQo68ZK16AKASi`B0}9&RR?e^R@t2I^Iesd=$ArAPHjx zM1dWZo(Vujo#&tMZd8(E>C@pr}xCl^*ur7HJXCB6&;_bzSw9kTC zBRCKgN{c#uT&xuaFBb2%A+i`{YPli z&^H2>Uxd9|-b>zF8yCiV9Y9u0!pX12>n@6-j$8tS%em$>%9~H1{{ZkDW)TG#XesL+ zKpG7@5*&{Y!E)G|i3YuZRDrNHK#Zl+dHa`5#r!;l;Vr9`uvN0)whU~hT0dS)65@y` zz}!^VW~zs*sMJv!Z50CoRaU%!Sw2uesNx?HimQ);A$F_$h}|qVW$wrO0qXBR5LdB_ z0bDPps1qX2QL#xLW|w+gC~3m;q(A`IleZ246u)SJg<-l(Sb{9}Jmu(^KmyYKVkrlM zurx9ct=vR+11_hzXRSYY1t49!gFS+v519VYyy%oZAw&QPwH92xfJoLyPx zj>{EXc`D#uktDOX5m<6`v)B?`D9SnzLnSH_tAwEFFwxZ`DCfCy+0iR5?jpHZl?@L5 zU|-DIvGYWst(&%Zw&{ zv@(IXZU~oW8n9MokSaMiclG!-VV^Rx=khTla6Z)qN++t;1H=O%vIbix$@M5utGElr z{{R@xYaL$wx7koyaj^D@*YwqfE5jd{Azmfi64NM|5+Wy(TZlwzvZgAJj>3+_g*6mv zzp{z~VY?_~XkzQgA+|9RvWNm$rvtN-CH32$de*B@UBspFl^J?IC4mjfI};h(mp|ZP z8beY78J{qhZB4k#R6g(v1&w~$gffc7YRCN^>}WfGv^;q=sCy7pY>VN}!VCvo{QBG+&1 z9KYV+JYoFnv6~J1J{%gE~R5Kj$XlL5kHdi?r}MmjA~LGtUAA#D7;V;8B^cFm9mDTWs1o(U)O3|b8CN5 zb?4!C`j|_P66?c}7nVWw5_c`p^EId7yd}oYM*v>rO=AkRU2PFpbyhJoXf;p)_$B>7 zi9}SgA$O!mvgr^u^|k`EHF;2<*OHTpye?qI}(R3TPfm1nz9+d3!mH%2YpMr3Wf z*cBTk*>zBdpyZ%0vZ!>7)8=GjN@|eO7$|!XpOA*2ZS_I9~zqpRXTwh z&=q_V%Y9lwCHpYHGzBFtRMq@1vReDKN=+&@ZGD*tT&ON~5dA_Rb~cKXKBB;v#ufDd zPkA1482OJ3RbjVJvR$!T1LkBHX~jiVqOK}X6Jow8XeohEq`@|&a^Uz_-aHB#_6ysH zy@)A@O#BFnlmQmDg9WGvP`CRKOK0yETF9V6kR4jsg8};jAZlp(shqF0@&}bx`TfLW zE~Q(e<}{_Da|7D^b7Wl;HImg1q4*B#Fnu=qh7}#N-Kjy{!lSffFK4ND67D0pLjta{ z<3UBZ>#?u}P6AjD!h zgmB#4zWhRgP@|A9;TN;GJ;2-Lk+B!Tx-go%S!mdbr}-$MEA7KB1rZ_*-avm3mMKVv zeeub&9fj9rOY2Bh^{Y7&yKPsf5Hu{0O-uNvd#juM)4jNM~r2`pgv+-b?E>e|#6D~?3kCL~U9!LSwG;S%6PHq7%0y9_OL$k=W z`1+YKO+T9jr=U=Af+~7GA~%;%tNp+m<#X@~{W}l(LAPHq*QlR0!2?)Qpm|FAgvpmN zxUTDHe&K7kws9GzqJ}6xpMn)W!^;iEc`?&)dLz2;1tbr$2ERXko?nD2=o$ zA8-IFdKqdl`Emxhgi;ZS*>fo5p%MLgP@(q_5$y~vA^`y9Lvknlu)>u`@-Z?Ss}R0Y zg*;1bAQI`z=0Ow}?4*;_Kdi7dAh!V{S8&nA#<#$PyCdOIi%F)9fJa8F zH?z=hAv>qqHE_$0!t%_Suwk?vVv>STC2P46djkIexB+k;#t7HMOc2;m3_=js2zW{j zRn;~a>4F^WS%H0H4npoMNKo6DwzCL^0Iil)!oHYPBBHan&k9Lb;wCV^_>1_0KT@F1 zlAQpGfazbTIP)OfW8#O3zQ)8FEm%m zlh%h48~*^dK2_Mmu)7bGv5j4pvcuTGtZt_x64Em1w_zXf5w4Q7IPvYMy{VvIUMU13AUb8>Dz88!CYkv3HGT* z?`lyUJfB=aR}(cygEoynF?z%Yw_q(1z1WI|p3Zd@ufKmKK}6B{jDY!s471IEmZqv9 zLvTx%OCS6969thK`d|heXxSu&Am6>HrO>!jf`at*yyhFf?Yz>w!k?x}__Z1X57I31Rk3MY4I>jk zQ)y-DVZn15`M<=aL%gtUSwwyXxd19(64aJPD-%;rji{6?SUXV*MgIU16@6Mrvm&A& zfmscy>aI-%WfpVqY71Xg61A2~0~nS!C`3R}cMBPUs-x4<3+6Si=>Eag6=^OlN|rqj z#0G&u;gG_$Yb8=^^iDJTnqzZnvVDXG?5*+$Xdi4KmY-1;DX>5btEr<>!ki!}z_`eO z?#v|BHvOYlG}U7LOh1ersq_nm421-<@jBQCpE8cJ=5(~SEE-p8HZ=aA2+p5VJwLJw zO4OhHh+17ru0`+`C}@N|k8=a&5~D?ba%g0$nQEz_4L>X}@Yi80*tt{S1+{QHXl4Aw zQX;2i#rT$8&_vjKeTXJ|4zjo4X)$Lei9s{Mb|Gf=E>d5Rn?==Zw&z`84~*QUMwqwm z75?B`>QuI`$#H5Rk4s$1iiI#2aU`y9RLT|G=g6ATX$+uP0NaK-R7F9KRASg8HsQSF zQqYqfReLXTmuIru_LkuwZ+MAeib-VX`q<;6i^3k{z!IV{_?;of6&K5HL54Ah9bhu9AA?a5QX10s;Rq_jo};UAfy&SPGu#2}CITWs zwaRL*(J70*DY$18yBNX`FYHXAj(zRiwHfnNL4^{DaWsj6a;xfTdlKtH>J|$6Wz1;q z#)f#7KA4GuuUl`+WkTbC9T1M=|O@KdB29P zFyR9&UnEOJS}K|Ft$>-42}%);Vi4RlRzM0lYl`(&j^5(sCejDK+-bJn%Y2}&e8&UN zumbi&b^44{OCqwEC^;+0v!! zh|`WU$BO{C{-8%9z-YPEZX3?*=&kD57`dDei0QRhmvMIYe@R&KX27R^?jqnkW2Rt_ zGT&f^BE(*oI3cK5p-Ui3qXe)D1 zkHRn(6nu?+ELg=Nm*xNuf>pWs3Q8IUh+O$RL966Wcqh`fiR{{XbOK`8GbeKke4!QK~CDmwUTXwv{UX-yN>*y)t}iEARu*tHg-;L%^mwk9#&x4x!mZXuCS}OHR8Ux3YmX)OD{u|b~sK| z;c<|ro?{CpAd713uyeS&m=uiyjuM9 z(3wZnueKC?P7%XfF4(5TPhjE56fT)ZVMBeTfh~ksVuTCWhK%(_0U!A$)Y7G7_>CR& z@GFs)h13QETK?ye23ZmXgbU^)eDNBMW7fS~Qc}MYD(jgI_P?n?gYB?7hr;euJ@I2$ zIn~0pu9mL<08yA~p}vrnQ^Jh69DQ4kOSo25#+RFA%rBwvLP}TSQ52tK@>NR@z}fEh zIuF7Oxl017T8^AR8if7JJVunUH2|Lm!VdOr`jiTR!n-YNuY_8ckv2Q{bpHTw*xCd7 zgb0akdei_=TYjKXXWh!YfRz|iwmp1IIw60Ii#3=&qAltZl`P`|{GLXUXzVTbU1i1W zzpSR1w)&N_%yv=$nLbFAF@+emFjr1l7}Esi#@n z3HH>uWc)yZLjCep>nVGi5ix!GgFfD`^di-0McI=oyDG1_1T_A_8z2Po1n9-oqK8-i`gm>tmg_#tILZ&*V_Xk6J`ssDks% zzuYKkrd}~ryh7RLOFkHWzZWQ$))wC;N>leMYHw8Bor~T?A@D-K8F9ggys%wToAA~$ zofmX0HHYJq%7BnzD7tH&!-ud00*!a-3Xb`JMW{S1)FK%6L+qP>Njdv!8Ir)QB37A; zUL)Mi#x*;)l*GGGU8NI%yfoaoT{6l&UB?}|*6N`K@!f7HoAF(VE@)KNz?X`?2n2w@ zuQ>?ZJ`OMzl`G0742g2CH~joUD0@cl47qCJ{ge-20-&9qP8iza?BO9EjEm9PK%WGq z39%W}W!isiVLxN;VsB5V){a0(-{dl)O136FpD|f%<0($=oa{k#E?T!e{3XKjD*ZFM zi2(Sjmc8w@_Tf^5!j3^dkv|I}4`@s8BDU*g9rXaE%8EA*{wU21fQ%-Rwdqmx-NjVC zOJF4gUc~z@S}Cr!8eX~cE58l5vhMgz(taSMQ=oeU2C4z_7ArkHWycSZHfE0A@~Ts5 z<NAmzn}%6`#W zot_6dD2fAzP!q!P;zhnD1T_|2N2mab{_We;*u4Y;Y{-eO50QU_^YEft$3}K^4KMo} z{H_iVpfEI5Fi}O znin_vMJ&8FI=M&i3cEj2z}YnQY*&Op5M9bt8B29<$XY(BMxW^}wMWFyA9LQZTB2Y+ z&6N#5Z~Y;YFJRZ0#nWvJh+S5&r;4Tk>f`30NSXk=$)ADTpq8 z2dk={_2V z)Fk!o0$UZKxR1b3BWIIpAA(>ly|@9av#<-+ord4Gi_J$Hxj?!L7TqhH2ror;W$YT0 z<&|lAX#}#qP3s+EsmOmK6eMw4BCG)L!k*1*;w_S|*5c{?`2=eTVXF4YjWh8sQ-u9W z{M>X8vr9fUFNWD`)VWe$6)GQzh?guyK4!lP&0!yz^pub>byLX6Yi3%PGG#F2sY0)a zg*|0!owF7>SVs@irP^OApya!RSg8n7xlz|GiSaKVt75`|t(aZOWutIe(~&iE0utTW zMjK@>Sld?^_Fo1<65yZNe2h<$T@<*jhe*=6eH%RI-a!1o=o(nF=7s+Ncpl5g7sIhh ze)gK4CUwPJZ9scg$7pG}Uoliwxf+607wV>=!eFf|IcPDhz=d^o^cJ1z%z>HyW{G{)urjKPLed4*HLoun@aF zeZ-6MuO_iaikTh`0@YjUFgLB2@T6jeYx$TXu6s89OWoYKr*T>Vz%ZY(M8=tPt&CK! z#cXW}`5cV2GA7k(Cu+@%x5y(HY`-#u=>Xb!T8iQ|aoxR?;vb!s^qs!MHXCcC@^q9O zUCCiib}X0ps9bwd$Xc-r5G}_|XiZ@VeQ3+k>H&F+vL8QHBABDfb#ysnaxrSM}9~AfKUu; zkivsaLs^hGTp105pVlo(GqkIfcaBR#x^`eYDiLe;IjK}z&_O~ zId76uZNG#C`315uW~y48R#Z&Wi+chtTliqw1=FeU{{UsETF!iaC~=qU1~u%V#=r3t zqes}70yL>s3}3inRUeE)NxgxzrBG<+%w(p@xzRUC=@aA~f*$AKJ&f|+z|(9n$yP>? zN@5={ZJ($IR-Veio^Q^i!+lfL%5OOlVjJBqDK1dHC7lE|%FInGYIslGL_X5YlvoiU zABLaB?6`~N#@w;5)PCBJl>=*Iml*gSvk}-4ex)6iCLtPM*v~71*H(d!F>5&9FFD7WrrmHr=5TqlzDTv@wZ&6l89 zP1a8gjS9Hm&dh=dEZKToP0ai( zpY4{nkYiKgGOfFhh`I))#idjkzk^edRnSJE&+7eujZ(UQ274So0%Jp3qE_0qfo77K zkd#s}f;dIkMpB@%R2ac*4QsrFTiTSQR7{vy(jD0RG)G1rdgwU&qve)py6279oWoKY# zVr&nh#fb!9`m>*n zzi<(el%Ux|6(7XlHU7quFV*A%BL?~G2q=w1qbPv|jl|va$d$G7u0;H)WTh_6GPYAw zVYpVlFR@MG#9Rz=y+WY6y&UQxwI5 zukD*XB{X2zC&U}`@pIX)q(3BFZweeG+$!)JydOxPx7Z{GH!(D)LYjO)6%vb95v~wZ ze~~aVUAcP~Q=$I=WgxNLeO^F(q7vvl6%I?hfdZJ}cgPhk`Sk>AA%BT}lo`Vfeql#+m;B`sS=9wGyjk$_y`fl`dUD z&c%(VjQW~>0{3DSmpIvLh>b|x6>|x+!Yj2Np%Ga*#{~-{^7~ zYgyXzeW;@8l&4E7RHhNm30txio29#4nrL(Th3MbhtC)WsSxXnN4IDNes@MO5gSK_5Xdc;bG!YmI=Y#{+T*+GQ*e-O-!36$^P1F@G-tBknRMh5W!iQ}`_ zQtPAS7z9%K_5`LI3zc7%Ek|j?=`?4`+y`swF9ZM|KZ_8v@Rck$1uI5=lFw@B6fT$I zqc8Y`NvGkYW8k?;BMKk80?jNY3|y;?sb+E$No{@z826x#Yn?kV~4dV$2W5mkW3BRm#7(a;{!c zEbTze8>;yiFb#aYxUy903tfy;D8WIzU{rpiv)d-h`ut0>_XV5m82+WR7WGjFTo3hd z&$26{kfi*1QA+;+$Pd`$IW`0QN+tkld`qIfH59pWirCC~91+snJo|{0_sN$ZXtU}I z3QO#0OE1X5$pLwx2}3#p+_p>*Wz6I-orJE};-|AET%>)#ga~Iu$R99zajfd8SyCZq9$(1PvX{R;-anzZGZA|Z6}XH zF4KuT=D`L2TssI1gcI12ip!L~eiZf;B7Pr)q?K@Q;YI!`=TW+W7^nP=N#qk-R=*@u zRV6%tEp%=31rW4LWDJ!UgBUR9A`En8*EUhp2p_$cs#u{<#vF+TrI4BctSe(s{lmS0 zoBK*H@i`5P*zU&}H|!sn3u}0MM#B|OI7&bkyn={bu^?=emV|PmtKsC?N^yspZWz!% zhM$Db{{UrEE;7^cSt~YEUyEujq^*e8$&9G7<;#Q%Cf%0MWe52O(p83rYbq4Y*|ba= zs~*K=0R9*?=zUZXZy$?PuS6N7>;gx@c2EUVs`!+e@!Lsif^jjqRD4!HFzjo{!;;$# zCpO;1@H2Jgj*EZzLupy$Bj+PQ6rDdj36m#gemI)}hhtGWDkP~z=i%4`#$c(J_`M^^ zbJPUb9xA9$e=WrUxn_(RQ4(WtTaRm=~Y!efU1`#P(iuWVvAVp{*u5|VL1<( zr951~xpgk0!7GuqEJN`uHs2*cDNn$ikVsD=)JrL#3O0EHv3j&rz7vuRyP5bUON(>M z{6GE|lGtxpVq(249vLF5wfnq6k5R4gS#a8{K12L&%oR3x4h;tZhma?~sO{hHj$v`6vlZPZ;>wMC(OSrJU$O1MrWCuO}DOutkF( zpZO_5nrsFN8F@P$Z71lnm-ei&cbilh{U(j00oq$ zqEQGq>_7@y*k~oC%c;Tq9FKMylGufXJW0Hwe#w6ghvS~X{4eu+6J@Mpij83wxUorO zzsEmOq!D9p#=_vm$%ITScI;}*^o4`_nLd9TE?qM!JcgOm*`0t(Rvj{`ri@&$M6)7( zBsy789J+egc1K3a&y0X72r_hBdoE&IQmi#A1~b-1F%N#PgdYrl23wNqTDz?5wNDyY zM&MnEgDxpAUrCg-XOij$^%$IlCd;EG{w|{#Z8W4mdLWJx-&;{Y`WTE|kKoo1@Pp(cOK-RIhR25YgX``b zaP@$tL8*5t;g_{@dSa?)^reODK>E|^v7)^Aix1b~T(&IApAy0)!T>`CjS8ai;FUBn;?MrR9vbXtiF(zTgn6kgtqyU47(>~KnNV0EPgv0#7LUia_6!9 zbcT}u0Q@qH5{MZnAYWz_b>zt9j7sjWAv7?P_xK~V`iRKKv0Q78zM6=QZv~@}tN#Es z=~9_R0D*qYJU-xQtGRHqLBBYe=QdTJ5b0IvvbCfy@UP)h=O##i9ICL`w{ zNE`N}(6D+{2m{I@Q!ZW1{20b9wGQ@FCuAeFV4ja0C(RH}vhO$n6A zVw>RwH+k{+y^Oskq40Clu_A`XUneH5AKxZU`dlqS{{R~K`^YuyyUBCevaj%2IP7fwur9r+ zl2bM<4+^crRp~G5z?dc6YWX|ly+eehj3K=U-yZmE`JvWXgc)RCz~N$OS`J}zvH};& zRcePW4Uwyd*jBltzMOyrn%{#XZt0^vmn&bW@?J%_qH+m39E7b;78`{ss7x27feYt} z$@XjTT#A|HL@fG(?)Cc(xnjrb^oa+JikA<_65^-`;w{T3Sj*X?CZj{7yz;uHXYr8&R$I6AAf*T4DGY7x@=utXlel)3+E^EvbKiink!$ z{6oYVVs;OiViiiFptnaaGRzq#K9;&u7w}7Nf;8SXk zh7;`aY;}tAFRA;M%wdK80I5$U-{F@Yr|N+GSVN@DC&sbL+4UHw7h#xY2l{sltT{u1ROlLWipB7$|VB1oZ^_Y{@U zU)O{JFjF2)PF>5IQ^>Szyr63md47YN{{UqKlU`K}0qewZ)LC)ZL%CI6HvNIbb=L#r zXVmgxU&8+YG>u2KIry#FapEkvt#W)!{B!Ya5NhN5gt)mZ{z@^Ji$C;BZM#`77I!|< zfW7RgUJ|sHGqg;U{UUKcQy><9l5r5ZWMH>XB|0IbYUPs8VoPO{P{q6Ky78${Dp`y{ zYQm!rijCLd1;}jqOKV#b;)0fE>UufqO$5Aufk^{#!}3w$>pDeE?7#kRIiCpB}XNGN9&MS)xjU= zV--`DBw4W7Wn{((FPJ4toW>^Mxl?)A6gbRMR?lzQ(mQi1{y6}81pfeLrcg)XtSExU zE2cIRPfYCSwMHMiiV$%A#L&)Vh|8z&E&CAo99p>OO^i^hiFL+BL>reJWV;1_@Jc2h zL{hsI0AiX7AU!eU$)AVD{w#h56-~<{R|m58au09tRC#0)vRr>H-hcQTgMWwANYw1T zisIlf5C;!PL1$>3kc*l*E;P8i3uFypa_5fv+v+Pz$Q4LvGZ1MEOG3_tcj6$9@&F)& zB2Z^i+k#_Zm_>9UZE;EU1EdT?D4i1gW<8imXtA`U|2$xEKf`~`lY*>IIRaA|5S&an1* z$(J%I4#bP(>_|mpK{h6?Hyw}>Rc#BLejoH(a;i07GKGUL@b18sH7aj*7YM$#BPp{6 z@xOy9RF#1i7Juqtclg0kO8birt{0WqmL{e6Y;^mArp7YzG3Ve9FjeeEL!(@%5?3!K ziAs#AZ1*a=!tNx~K}s~On2@Ol-pz|E4*m%}ehZOWCCjM6_z@!hEm$eR8r90+GNLM7PSpKNB)X^fFd|(8q{F=#N=$(oof*SjmmS&Psqhswdw;1y z%}-)!_yA0(G0cP)F`WjYBcHid14?h)-{H3amUoaxe7TYB;rocR)Y(b?`#B(a0(F=~ zDPBu?u+$+pLxcryZW;&I;>OIHZWJXq2h4v^oOwQ@$wa18Qoje%RzHWq`De1>3?~_2 zfK~FQ);MI@-O)ZJfYbi~BGj+lPCpBxT)c*%H(S0{#EP_kPQ+J!Q#FTWPC62h`-ZS$~UZf&}|UnRoblkIWpLCW?al3aNQ6TF%B^MY<*V5Fdr& zehxn|soy2W6082kbe5;HroqT(vgwVt@kF_>ykQwi!)Jz10$|^&x%m>imtugR zay6(-AXI?a}#-VnNuy zo7$T@7*UMTDQ5|IOi0v!D5<$_9?Px8+ppx`!6<%UNGK)jxuPJeq!8|jE`Fk9G=v23XwxGdVC#(a|-=IM%;!O3a_}|%o>+TT8$os zt;81S%c%pf_BD`)V&es`&0MNR%-D$*4~@&0ZB2>68-D-?@lnP+h9=~uFh3jkQ8@t~ zN3yYjk^Fc>DtRi|!cp-9Q>%$rhQ^M{T?IhH!3Bh==OsY7bOR9CFJ?v@S~|)o+eD&A z@F{$iXC_9tM_G=m_2b96vIaRK5cnKR-Ls1fA&iDVDJMA*AK@D(?V3tTW?iHv-qBgtsp=`{r{+KvL~Nqy<4#XJy({@=OC(o42-GULC5 zgcW-PmQN*Y;WXT;o)bxB&5Shc!(RRmV|N(bsAWfBPIoF$>_nG3o~c5G4*81`eN-Yh z0*TJYjBPO;=8=yU!HizjG}p-q@tm1jJ|#-IN@e9R10XD=qU;;Xm4HvZrSM0B1}ei*1T9L7=A4Pim*`!kulCuJM(uN|gMQhtK2mgf=m- zh0Ti8(sV3r`gyLP8Kl2#n6=&DXHEPQ)Dt^3|e}qmYnGh|Cn6#?+LMJZr^tG?< zVa8ql7NfEy9|T8tYwXCIsVV8y>FaHq*AVOpw|$+$Le(JI5S z#M0$d^YD+_FEsg$lm7XpdbwHLvtExi>+-Q7{FS#s9;QU;9?(hWT?lPR79GM8-SgCM4Wz7{{Rmnvmx?KGNnfs>;})7dnT1Ac>d*y6|rQr zsX-R#u&=;P+j^XZw!di5MTWfgqgMUdhw6QZOfrycs0i&1d`3WBqM2@huV|k$GgWdL z2)pL;41E#OGSzP*Y?0S@tMto*=(akfx^2!fTRNznxRJKriJlLKx0gJ8F z_I8Q-$O&`yp(Ez$F% znSd$s1x%@N=@UN!^Ia3i%~=G#1rF>{vATUh`(Dqu*WoUFM(P*w_`Qv?===O~XX5E1 z+-G0G*=Y~MP~>q8CZyPtX9Y(>GVV~LT&bFh**x|bC9E$002?^uRSZh7$eKLj1F2Id z%&e99CX27Q6{QZq^)cU?m-B?!hvV3deg^dG&zQc@GvmvKkXq0{->b6+wJn}6vg620 z+#~3gXt+_Rw;dS*zU8K~n7dwn6=I;EeU~n%qY#1ikbsybOD11t9?Qrw+x%$QE}+Y) zi!a1ppZ!I)7EAnWw&Kd!qxCKLgHtzSr&*QRa|iY$QcAP|hJsbzTMQ5wMwjimU~NDx z_6rPgh>IKFi9~-=w*1Fe3ZF8_sW?oF8S;tNJ_?1`3ZAZ5%l`nV^5w-EnJ#nng%8BG z%cO&P;mFW8vCDfdI`(Y(B}kpWkV#dZG?}(r*;bPXLY5=|`8hP|2}Y6*gQ0G*SUsQe zG(Q(CPNnSL%a=S$@?60!(7(BI>MXyQGWH~vCD_ZLKNnC(32a&}5U4DrN(U*FveRs` zGr@iYtl<9us+alFQuh-liC}(+UPU0Xdc7wZg^9T%)sN^{{S|0GV%I_4W%vneim2Yeh3;({{a5yvg$Qz8!Es1Gx1h^ z#Z)0w1ZBB56&F^jD{lJ1758vi`XiSQ(LI%Vlj;PfQ&WEjCjL8hSA5t|l@GE zLuj&%d6MIUNVbPcfIn*i4VO3Yb^4b1iwzFbXA!8je$; z(zl285}&2duRk8g55~(F4aX8jNu+$%w7Z2t)RlGNsUR*eupqVXF?7cXhdWF!zu9Dtu8N*W!$01W~}|HZILK zcM0YOJc`76TL-YTVix<9s*acHD;1&2lv<+O!dvfKmX!rxhDw(|u~FbV`i?ri5edNe z=3%Fj&t>e+T=dw~E?0WAvIw|X-y_k1pm{KCwlIWCnLQbNi%eN<%VO-cgHu1L>l`9` zQmG5eDU1?5LCJrXSqr;^4SwggHHA^dndjuBTNt9P`H8gvpK3+`-nDm2J1D>P=iykt zL`?C<@^iY_mwrkBqMXuE3j$qiBHXH`QFrX(Rl6)1HQo}BM7v_5+FJms_h#Vvm43tX zbF;6A403;e4D7Xb5tQEZ@o;{n9;|-{;;FyGvGZp?b0u0z0%*_S4{$$ncJ>*R$~-`r zJ1%RCVMehm$4QMLqGO>iXb?oh+TzR)%loN$_Z|NL5TW_IIY+G$z{K(hx|v^w<3i;W z@T)4mg`^*lhh@_ffiLi}?sS^38E3a-eTlwo{z`NoC)g8ge%WwHGPV2(ec1a1tL(ae z3y0vM(*FPy{ww{5>+^s97UJ*J&%-HQsiW1{!Dkd1QtxTK_5i149YM&XW2MTMLWc@U zAipoSTPxS_3}O!;5?7EjH|3WXb4$Xu14)6%nB`u>@c!5|{!>7)bpa8gyE!2?c{pI% zrm;(fW18lun+xrWn0mJDBJ1X4y!j{8m9v%r2wxQB(o=mlo0D`7fV)H7a?Mxi3Xab2zx>&-}841!YW9a|wH& zgKE1EH9B^uMx$@*Vkjpk@`HEr$)a-E;qMh4qBRU4vDOma zerxc4EADOn3!Hm8vgONVU&bO0`_Z?w9{5kk+n;4&smXV^qGv|I-g2OG^ zx$aNDRQ6aCBS^Ty-(jT%_RDf7QH`ZBxU}s}p6)Iq_$J$Yg%{Z=WBXz`ctk0^GOw(s z;$t&}%00*|w3jvy3DP$btq znK}4c;}ouce-_KQZbg5E?8^KS+Z4ZLpNlS81iY6mt(-|kzY*-+*zzcL4hTbtUsBn% zTZ(`XOQ^Ev@ct_8BA-GR69oJTbAmN6L1k9Tk6)M_AA)?wp7}Qxim+{e@>8*6I~@bs znl2`qsfsdIkb$2wh#UHkZwBi6i~+|{*w()h$bYG`jVHv+16UH#BrKb_@@ynRY3+)(JS@ zC%o*txK>)b8PS z;gyO@%|DnHxlor6?p^9}0T{a~*=nLAhbE~nDX`J%3MG7zAxtQiS63GA`S?4`8u#$p zYHYc2E`FkFDy+DNWz#(S*~X%ezm%6bRqUn0Ef+XT{CZ6Zzqs2a=?PY|jpR{fyFLlS zU~6y*OWP@5xRlK$WqAUHwMwqP5`AXq9N((2<-tX3sBqCXNejC__w z{4-+Qq(v1WvGC7C?xP`RN7e8%kj59cGCW8dfCar`kNi}*)%G`_^P8*GfH zoMQSg{H|RWEH1KWIR^MGg>oh1D-%SzNpXN5ha*_qfJIJ+j8rSJQtAopX_ruTaK%F| zo!z46Y*UvN4B5o0Wz3%>OH$l2Q9P^>*`o%yBuN}A@HU{V#I~a+Wi#ZPMaOC~u(j{s z;%!veKZfjw>QQ$tTpGD-!3bxQhu}tB^1ltG>cX`A2)iZ4Eg5z@Fh+0G#&R^K5C_gc zS~anuJezDK&1461#WI*JLZbmk$t@sfU{7WsA(9C3=Oyo&}f0h%Q$ zCleyHNUzNWuvUi3DK02QD`2t{d)lb!DW_feL27UOj6>#HJNRG@eL^Y^hI;@NXxdtz zLu4fNf8-_S%nQ%R{ZE{S;LnK4wldZA`RhAAWmGviTrNL_;Vbek7=AinN-nDUeVzwm z!de|RGU)jZ8B?xGq6;tTUPYfOP`lowZ=l?6j!Z8qYV7?(yEDn9kwve018fG^tc9c3 zNS~cbsS1AK4Gh*G)F=@72!Qd)hhUdX&&3W(U}>nL_mxBf;F=>7;u`?3Kv2KA_LwJM zxF~w|QBdbU0So+?5Lu)2S^)m z2j}oL6m$B9R~BbG6YGX5jY(DhfNrATy|`L|?c@#~7X4zHGSz|py3d^ED@+AKN)DmnXn;av_#zP{^CjKm{mp3Nw;F(N5;9O&n)AuM( z+ZbyN45%HS_mFRLw!{~;KuX-({1n8}Xv3*n|8hzk?zb0TPh-D84mU5`r3vwgJw=PDjAowivi);}|Lp_K@Or zbj`N;@bE-(>_=TM!i~97m$bY_x34ZY`YNc3Vv>O-{=RCN~7CLS#XT`--iu{d) zN6AM%raGX!Zf^6H z1R6UO$(JyQiArsw;A&KK4y}URd?Lh`zFer+x>`ij$-e`{GP(H)z zGg7W{T7F01zTC*LalgVpAMzi#(uqBpQ?ZUgPt14d z4V+y>sK3L;D#y{u;g9%5!~$zwl`xfX!gbhcJwjClXd~Lg%dlglR0b7Hu^J&!{8HSx zL0-24Bk`U_1U2`QRGU(xSqiygi^!LaX2=9+UvdyHBmV$MJWZw&k(oLWp_}kjR$O6h zug#x^qi~*|g;@$8z;p14`EslAzl$!QCH?t@$OuS_K5hbQgfNyV8BBiQKS^uuV88`L z=z+doxw4PK*p)IejeHQqadu=^B@oF}ei?0YSuFSt%jqeAHi?FqO@>;}kHFM*bEN4g z8;nSs3LvDo#raAS2m(LHL5#+|{6EeMRh4kU zL&7Qvc?MY79WMnrgsY;L{?lm_*6>^XX#R-U7A3CVDI4QWsj9FEr%amh~~bgdYgVUmao*a11vQiDZqbWEc&1P z+;>GUW4LWG_AZ4kEiuC#34toU4gJQAb?KB6fd2qut6Vz?(_>ay!^!djS#qyG7w%jY zaHvmau3ImDCsTqNxs0+jeis0!6D7-CkK+FT$#7!oF8H6wAAl;nf*S>jpMzNwFGsTGe5WHcVjKSmq+h*u>y8MwuanWIZzh(_(NYH*7W#*mycrEmi+ zas2@bOXM^XzNH_ExN9Q}tM&mq#TIf{T-wK#004n$^(btpTm%=rW1~!zGS}I0!Fena zk4e}A0gxqExT$|~@RdTyhVG5Cl}k;9m=D~jl7>>HLfA?bg~q3U2@k_p_^p6Me8V7C zMpD9M#3F&u#kbAuqTU-UgyTu~>^MUsD2k~Bty!aIVn2~x#4@Jt0AF%up)J2=7RxmJ zYEebpH@3xH!a(pYqCy%a6^`s+>N=~iK$Qt(oBsfWLtr|xjCntH4KdZ>E>#xj?9hc# z%9ORb!KPikj^)5q{0zB90^{Kn;pzKj4bbRFEJc8%4`$i%9hRSl3_5+PgD!Ra7f@S+ zOyT%hk!s?_{0_2$6rYDxOj9oXm$0Y_v7gAzBLkg=c8?DRD$>g$L@p6-e3MVwX$Yle zWOkLj@*yqc;TD+lvhAIvkV~Ie5A>FJl#r4|MoX6agKKPI-)5nNeqC$kXyIqCE*GNe zsmaN3PMb5yZ{P{vR7zIvp3*S>5S0bO5&e`eV&t_-2!&$y34~P=m;|(9_4xb?fYfp+#^#Vg>vG&50D<?@Cx zvjK+*ky@V1{8M8a^YL+ISvxY}+9s2PX)09NYL8#3xfWfe;j+mA1U|f&t!df9JYuH) zJ8W)vSL$pv;DHAUgYj%{`#0uX2ETK~tMqE1S6jpP1M!@LFXkarQBkQYH89 z2)S41!~q|)<1c3)Or;1ERvZq(+*Zbx{{RnNg@nMCRZCGWAB&dleN4E} zU*I7J{vf3+ZEEL{1&=#%(L)~OFyItEr0TGS{g)4 zm@z&|McnVmn>`WvQ*#2 z!=+6&TPid}iq+KH__W3ZTAg4WH1WgoI#?7u=WMzHAzGe29x$`bJ55_w-x>MLH zo<(#m1OEVRaF*0*UQ79dNp~_EDvlDvCI?}UxFIag+@(&!?r-*WKVQIm50MbUTP(R9 zRN#WuhirxFq9AMaD+0`dAS}r0Y5ghvC9YIn(;)pz9~w2wj|aON;Va@7a9lwSw`r(N zGyec6(gv>@JV|BJf{9@eyX^U&b7f5Ie&?`DmTahd2t`JTYmKV*RQZHVoB4jB`K67; zShQ|jAE#pXKyGpkXWXx-87TooEn_lYp2EqWcE-O*2!vJCmqPspOWR?MqBYN zGwOUMr(*oSjk!pgV#`PIWW9l`xIZk~g!EoZuhI@nmmV-j!EmXoGxsr#+BOo2d2x)n zxqEONfvSK5^%NYr(k5A{Jd{V2N>losHr&`lwM&;pVyY?#wU|AZ7Ja3GRoErWTqVJB zg-)W_mlFV_5f47FWxmb~AyWz)4(-3Ga7RCf&*N?BZso#NqT7HTsjAo{+218Zfz7#R;){up z5H2iHm1YqNP@@;-Jo_fC5O(c_IVy0$WlCx3)8<_}-Gq*izY}2Yss=()0;*XfyY;rC zHWVrkjUdrlmX)vtwpLCdhbLYT$;oqVhgqUh6Q6{D+Y{;pIrk`318cLn02x^V{-6Wa zRw;D=8BxXF!IwDm@gN27BZvAfeAd?Gxv|P3P^^3S$ZU*xb+OWrg?Y7E$8B;m6NS)pWGTTzHLvRgrMbY=xH#B3Ij_i6VeQ2 z5S27lSnI5$X!Q-#0f~!nx=P>QAmp}Gzb9j^%cf<0${xa4{xziDmD@7>j_l_ic1ID4{-=0r5QBAn=uw= zZEE%aYY1sR=P|E|hA+or`BLr4ctHD%@?`zaEc`eB0Ow&;%b4<1=#t*k_`(L8jAmQ- zYD$Nt--xvi0w6;Qndxj`Td}&R%H(~|z^h*0>Ix;4nw#Uvk}-d9#^-AiAWjrujJU2( zPM-S$$VmLdjM~_zlha}Q_Ssa)dM7gnCC_CQyqj+7AS;oN6k};@vIAudkbnp4KWog!##>r8EcCV+_q2&zluqc_FARO zmr>uv+P#J0tFlxo!l(ore_x+OI?379hmNJ`y9J%b* z^17Z8^%W5ZqvURFi#$H|Uq+#zST@RM)H^vAnP=fkAYwLXK}K870Jq;K8D#Q0lIh6r zq&%26N6OerH=eS{8h(7ahxB^WB9lK0L5&pW*Hm&2FdibG*oKN2fz7>eD7c( z6zuhlA-wnES7JrVmAj4=08)I$RKL0V$m4&2n;KE?H>*6F1#av-jSW@`P>LngLbQlZW*xac+nN8J@EJwilmY3>9M%e39a>)u2mTf45 zbt@b9(gewB;;YqrCTh(cUG=O?eRXqR5(%BzSmF%s{xnH@h1Um*R)!?M6l zk5;e3%9JfdH&t65^Z-=j^Y|)IBUVz>@Qwiyg3|lcI7EivQ2jum3kPwFmDzxSrUlX) zV&==%L~YbTmO?M$&}HmVe&v$s-GUd9S#tImLQn=%dPM}DIrzD$420NgI8OLzb#0X#LhdKCAa3H1d+Z#L1H(Ekr7DOa-uDL1cmow>h=g`gZ>S zh^+Xpq);7J5D(PCVGtiEVJa=qKBHPy3MQWwT$)9w+mNLY>n`#abq6h$R?MvD;Hel) zVT@aua^UXA?p;glR9Sf{)1QT5J^WN4zwJS+6;GBhMS$9XWp#o8{(VZ=WB8|Ipl92d zi2-{WgAxYD2kN8PZzANf>r3ga16d2qxmF?|~*)H?P7>0t6dGbK+4kS&SIulW8fasf+19*e~ox!iKK-68s1Kl-U)~)TL4t_)o>BEAed+ zSS*5xach(I#a@|I+ko;5wb$4H?>~E&ABtIV1CXnk^BX~GUUD-SHG3}Q+_GIiGZG#15+S#K(0J zfm4v0DdY?gja0g2>LeM3*S&~dmflJwl=51Vi{vWhWMTz{p1=`QGxrecU*Dg=Wgp=J zr7*cs2}>-5NtVW{SK^>-0w{i^gAno@o%||ASlvR{^p*J4jk2OCg5bVwIZzADHT=qz z1;g2T`84nXs*>Zods0dNy*U~Ep zZwg-+4KB|nAZupp>pciGFeUyg#a_nZthd!fn;Kjgv9N#HeoLk=z<_j|q;WP64n`s) z`CE{eF6AeCFlswCnu;-JDqQ_WST@~G0~TBiMC|>-F71x~EtaHQl(_@=B0+D-Q5cFR zK{=|8yI%$`M*H|!j*E-L!cqt(@ei-@KY2=yOMJ}^F3#Mr+wu4{z=NPhLLa0oW~=Z$ zwgB=&ZVi>BEE6sjP#llSV#Ke7w-I5SxJ6RcagkoIgR1`kB?rt~ZVwEwAAy}?J|0SA zaRl9%!aYA7F_+K6+Z-gI#xyFWF3cd@wTt&I3uQuA>?wLlfpAn5DqI9CrT|nS(1wY9 z$oedZK>AC{-6{H&v?WLX0N_FO4|3M$B`f0KtI*i$qMrTDVb=&c!Q3i)LNG_S$Ts1s zfC+a;BUO*0W`Gr)=sK92MFwf+#sE~^GEZx{OEv@|8;%egVLN@kX@8aG| zA0?G7mp!R{c|8dzLyfB8o20LkvX1@avr3hfESB3A0xS`9sDPkKiShCLlwickdPUr~ z*uh|B(3CVXxty1DbPD83FXAkVKMPLBO#c8UC7ugqOKjvRngg>jaPk(_K@T!5wd}H7 zu~Yztg1xv3xu+qd!v+dAdHB7H@B=R&n9E)>Y72jg`219}lQMfO{9VRg%a@YsG1V~d zW#8ix;|hq#Y5HXb*=#-IP=p-_l>7^jZVHb12!7cUUW1Hvw=T#AI(8Ob!HXVDKwH}J zveUphDZQep^*?-xj!TPD!QF*zp{vN9$~BfDFs~|KCb#>V{x47A7g`zfIve&fi7g#L z&xkBot&-6cA2$J6$MrJZejJ^+UCX~^6Dk!2lSPRtBk^3MkLT_Y=i{&o{5G;{_xRJ3 zXOf^)Vx=Xc8tk~VTC1!0fedT&_?SX4I&u$9i)-`NYmJDj$Y~fTx#R*OFl;nm{FwlL zexVTd`2|gG_(J3EUV#3@`6dySC`e0vPOU2s0n#BXBHMC|bt+UKODz;P>LfEhX4#_R zbXPWrY`?_{wYw6C>{Q*~Sz}(+v6PiZB5(fy ziKDg*3tEKWUb_YUc8&hP$1X2wQLPUkP&E@&v5^03fjWKpXO3 zdr#I!Lte2{eq_wSiWPF|68=e5O$x-8hw2j8I#QpK;NDA~RmDshL-Po`?GxWER6 zHbJ9UWT}SDGU5hX*eZJPl-Rzb9blrWWf@S--!?KnIpmcV?$^tUlLKJe-_V4-~RKPFmUKs?t@(19T?fyh5AEVeP-stLZMU4ER_Zxuqrwm8{F`Sh0Kw*7!wrc#S~XdgOGm3)}gETk-trm!_<6HW5W3WoEei&jMnDMH?d4*Kt$9rDJCC8HIxQ34~XK35EVoM zxz@A zW{Qr%*eg=v#6X1>E82KLP+o+&yU?}JitZk(APennJevqfwN*a6trgx}vDxuTHZ!u( zs|1>>lE%R;gM=~lXdqN*xO@Cn04OL6xC=lIOO1A1*Wk$ST4RcwR&a`;V-SfLffX%2=>+y8-_IT25iC#f{s( zL(VQAyii|l>RVB3xdn^l)3Ch zeT@YRiP>}~GTEPufc_nV%Oyl*T;KJV3zsVY02MtZmd78#`7j%b)Y4qOMpUWrp8gsC z03(gd&Q1(^mQ(oW;T0RNk;*dHgi`(?9qLuJQl}uEM;F=SJ(pg~R3Lr)6GB*IS-*y( z_$ZUbur?ca@yL;(bs7X9` zwFtnV(l5fIRad`;tAlYR85#it^6~*xV>qx^<+C`7X@}zD$RiCIkt#VWa`Wn2G#H?M z0lgCJf@=+!%_--T31aqN;YVy1AuOc(R9xCS0EQI?*H-{T2q79e#0yhU50NQh>;MK` z#7E(&OPxiK0`6#`YpiJCvg5+{?h1!uJJJVn2?>#;-)GnXX?=-QUI>Mf2pNk20|Uy6 z`ico*_@)MO4p-(SAW#jS!YpQdK)GE~WW2CBe=7dQG^+pE(*mCFEu&VN&J5 z;g@hwmpKGtghl!ZU<*-XtQwb=uD3IzQUv3O(LTvjl2q2VtJy);<(AAU?EqoNU}Cu~ zHdus9Okbi2VWnb3dy#8QrHoUOt=^#el=SB6&mm3y@Mr_B3EXV@@L~^R-#Yr zT8S%HG$~@-AqU|l>Rc=@b)(g>2X_`PpWztDm7? ziv}j6e#0AK3F(+s*d40DR`#+d{6qmSNKLzoBC);QrvbHLwG8iXa3sJ+1^@_8oMnlNgrYC8KL#rM-+7033y#$mg-9kR;wq zzjEIU?6DxPtAzdSrTuJniy%;$Oi4dVp)B#b7L$NHEFRp@8Pw^ zzmycaf_%;vEPkaSY)g|%1bHiPo`dQtK+qD(!##^?_a!gV?BUAzi9kF4CCjU(Qs3gH zfjiPPKL+4)Z3jXC>@}+ic1ik`F$7-(2H&yLz6XgF->l&Dv~5c&AzKRiA#m+RNC8BP zLRm?_5t;OaYM+Qs&N~?P0N1cy#`1=UdNxWI_+<^tVUQjo6`P6;h*1)oAx6Vdu`fw| z7JelL=&r^rykJ#+a#kQ|tSulbO1OX=>|7%Cm4WoL*nE*Ae4a)#7j;qZl&plVs}JN| z15+CfixgI)WSYhENpns@z8ec7*I%i0T9!)q)Ed`23$=0UNJ6KuMWRb)hETfbL=;ol z2ya}6q!afq!g? z%1dS$NANxl%DvJcufT_}c|xU84o`uV7P0xIepns85};A76X;x_*?;N^cH=ZDEqhYD z@QH(a0NUg`SJ`3%)%$>In7a@HV-V!%w?$l_3PXfi#SKuo0SyXWB}QZVL{sC~t8Zsu z19CL9UzjUIs(Tg$pp+ejghc-Ui9mT(xPV{GOHxA+0+^kAqrfDoh6=)VQBP}-6S{U_ zsYDCxF}JXEC2zRs3IZ3m0_KNVMx{DGF_P+=fOa^oA@j!EmlaUOTX#N7{X$qcAxkC7 zNP-DZ+&kX0YLCe&Kld;CiL7-nxFdzdbe{wx0Syn#Poi6UE5(Zo%nj`TkL`-(U&I2J zV8W=Vv;P2uY|8#ajHK*Psrz^yl)648k&55&g&%I*G<2zQ8S;Xf;Q-eiLSIBEwJ!ev z738^BlFMi%pRY)-6yP*57UfN%g{kv0X0`-s;O2IEJc~888J>JbAY8YywOnvQx3CMi z9zv8;Ao0&)EpzTr-;1~bYw`(CCBo7BW*wO>`6(|@CpQ6E!<8})OUGe;2rpW=*Vw{T zt=VhJofjT`$0|Q0Z*@wxvsLk?2p}~PtH32_hPQtb$;j4u8N7`nc7SW;W|`lATELa7 zQs$ZPEN%wyzRM*Qlv*AwCcIP+m>}P0MyI3%{{YklDTrH%VMrJk=n3!#tXKx`jZ1!_ zeB4OllEehgqfw4AZFhMT7J-&kz{;i9grzAv^%A@&KgVOb-G5@v6v~ZYz5*`XXGueM zF!(zH^VTg~h?N6M!?r%d4N~TV31NA6ZXj>O4YhOc+Ek*(Yi(pe@=#$&q|xv}IeRT@ zu&BbijW#BFuc2yLEHOZCQWa5z(>`J&TddO$iqRV z#5`Q*30rohO(sk@2z8V<7*yAUy8i%iiP>R{5xeXf)m?}!OlsKv&m%jOH?e^q*#Q;> z@?g+SW>(6Gy)XTO-^2*G)j*J71XmXiG7Y-yNp&b}E(e3yFi~t$`3MAEX~>FP*x+zz zj)fmFrqS^z1FWeJZsbZv{$T?MpXil9(Sj>)h9GVh52;`d41s)28&E(RfGzElW>Ab3 zA0^MK3i|`(!Zr@Fz>TWC{E@)Fx9(B()e_)?TG~mGT9!c4yL_1#rgyMR5csc|iaUht zz_zXkWQOa)3st66xQwcYNF@>ra(U=-Q=j>qA!dXseB&G!J&j$({?PpHvvtT z6JlG-VM_Zoe9OvsN8arffnaAMB1-VCO$B`rv7`F|HY~xs@)OWdqxC5N0N%#ji>*oq z_beZ)JY>8+;;B=0>LPZYN+O%s6rpeqkr*I~ZP=DlPUPc3l^UgcbG-4UnLr&4Qet1hD*{5!5;G#A-EG<8;5Y zFI+F%GT@D%pn>o&kHk>B>*h4tY~;Kpi`hVJ8$Q{WR#35DT%1nFuX z&3wcqBf?&)5ZLgSAvS67ltZJGsLC+`lRIo9O6tGtHrv-_4Q)DuemkO$nM13FYoNU(5wDC4uk*=<)DdKFU&$})(7M&6K(O+aUntF{bS^lxFc zx-OxX=fiZ1K4yS2mZ$>;#QK63+I+x#{X&2gvqAb< z5@@J|Lv+so`4Mcn08}R-bJ=%ak_ahBmkKV9)YWPs7fQH3;)RaEr8v(8+$z0`q_*w= zfNf9Y(N?z-$HWmf5{-#RVkg`d@lhLHDM93*(3=_wc583~t#7i{dS$V9yHF2Ab!2Mj zODf?(EalA;5q=QYq|v4{E7-iDOja0&#FuFmyBLKL$v~@I_#VM5UG}(Y4&D%~Q2jz3 z1_wp`MC-R2`#?|VWn7?3)eGg+wU<>J8pV&x$fXD3eS#NmvNDCG3vNo*YwbZB7R(+C zdlfEPd0#B4>lQ@3V=s`+4fc=xK_Y+*Tz~N&aPs{a9|^F+x2=IdT5_e5-9Q%@A+?hr z*JDXwwvV`B>fXyT>Z>K1K)NdwOERSr0%{yJ7F62+TY3GAz@bM|5Od6rbV))muS$X& zP&*0>wks@2@%+N1tAu{wEsb%3F1eAQx_Lh63N_q}wxiKhbOB$)$f^Z-DG`IOkaB{J zfURuuTHE3^+u349ih+sc0za~{>1v>Z3!(4C5cIAr=%Kns=86aGpI|)ug>67wR`9JU zsd%yrWrC!=GbSA;@FgORU|u)k4OSTB4>=oR3Xc6U&J5VaN{)ro0VB^<-;o9Gh68Z~ znmGhl4n03`;Oo@v_IyUhr?rQb^D|_!$xS+iLa&lxt7wP1zURzP3k7=3DS@naA~n{T z*s={j8Nu{I?%#-o>QTA~pes=8misIN>c${rC^j|Z+5Z6AnK!Z5l{;-l z!%O^tahzS0iqZKCm5^qsVl*uoG_7zhG+SlP9aETv_4QL#_i?9FNU%XowO7bkHDHB5 zP}f*L6HfmCnMMR$g}Ds6qqbbv0)o7bt+mpu_fw5no@*@pgd3gQt^cHTsyZ{~Xih1vUv zYwO}7zJ6w=+go5LT!_v7Ff6voNe34XsNdj?c*O@M)#k?br&OQWa-PJ+MvZ2%Rp!R% zS&?ih-(|+Bus1H|h-gHmau-B4;dK`Zw@U=|W_*4wJ=>FAV(ETG3-Kv3_?HIf!iiOV zrF#e7gd4(R-2O&&sZH0g3oIM?A#X?v<6!+^!44q*@eNx54Ld1TK|n0)tmr*E5x4H* zHAc&AE)ZlZWn*h3LA7$jKLdd2HstIm%)hOPvT+(8@lc$53|YfaWRMoyV7|}~elBH9 zAaJbM{{Rd}50`PEZ(hxdC2Ijqf&_jQ8V3I7eTB#Zu)EL@mKlF?fr79K9|Sy2mgmRZ zzC65@f4JZFdPU5LRXZ1YUPkmP&+N1i(Ojt5eZ^p?_{K^tguZs6D$3K1cID1~WwiE+{Qd5{w7P(8l1^U~YY^i*U}m zq<;)>KBi1+S=^+ei#NhMOVJVI*wB4S5bN#>T)blNrZ*MfnwJu~bckQcu_o~M0vYWPKyx%K))h|X#r%i4-1DOnynj9*YGl27WLD- zIacK+@&>Lv_7r4#a$ZXQQo5^qeP%T=j+PatAPvek;4+Ua*?2>`0QE4w6*4M?SH;PI zMDVDhA0t5Nji~6CaL{~(7t)8af?QTRp9My|wZVvB2=Z<9)yuX*7sCRF0$cGO<-|AG zfSa=;MS9uT;D@;iwnm>70T;XM5)|A)(FV+#svUz;q8_xhe8SM(^~vbem3zt{(wjua zi+9+_-G0Co@<7JgoGi{ofnjXEZ223gLMijUbN4wK&Jfhc`V4?6ofrdIRNPL5W{NUSTLOv86RB0dz|snH7l7iH6}V6n~5ZW4SK!Q5Ka88aB!#PM{kF`xB;I z41ZNFvpocsyM?RxnmK7z9!GhqUC$#aqeJdr;#9OCU%tm%DCND1Prnc& z$D|!NZwLuS3Xu#lZESKEI}$VMi(PgQ!;dF?Tqqo)SxA(}!0NZG(~|lSqM2G*vzggx zs*AB6#A*UGThC!_Tec+7;6u{~r*Z^mO}FM)VaN3sCsynLAvF$cW$0a~fv-q~gRK4i zje7_`^#q6JSMh#AYPL{-5e$9Y8cT&37T0PvU}_erj`i$Qyq`dFdn~wR)so>BLm8n( zzdsOO?j{P4scdJ{2-S0y$!i6VVg%lS!ZNC8O$&(M{+auR!THiYkDSoCcFsmcg)V5M z7Ah+vZy`pVfDxCE#4_!ny0C(171-a z+=2=5_VN<|41q*J!?!8Iqq^XYT3u`tIdG}(Z*spFDDfO>G&%Cl%tn^!Ds-+^zyoff zub1y5VCgOkPQIlW;fX0|j|a6Cz9TAI+zCrE_iK}&rXznF2o!F~6>zYyuF8VeKgi?e zUcF)&Q>9cu#k3MY;BqY0EDxdx7Ssk^n0_^tPVA)#6P;$omhDv#JZrFEmKz%(BY_oh za;sC|@tsFH%WDJCE)tj{PB&2r_Y&K!HW2Lr7WS8)sdm0H2r{CXNa!xMU1zWtV)yC+ zv~n+0i0Bh!QE@L3aQc~ntVxUQ%AYZ&{vov55cVgjlXmT$hA>sge?cCozEnc{`~f<@ z`|V1bFkoa*M79-FKsHu4C0`6J-(skRN?MJ4Se8W4{!_&F}eUxeApV4z7x z#|BaqcOtBlNkD(dn+Ibuy{I8JO%+~LyD>m^)fV?lMUXXI6S%(+1-px6*0-`GgPbsluI%B?^4TN!R#Yh<$x(fTqe;4 zzvl*NUT-BZX}WWg^J?r9%~P|{$Vzi*GUeM6mBj%`PcE)ELAa=jBgMsC#Y06AWM<$ojqKWi|w zE#VUlWvp?H&66mc+eUH*FY!3;zE}?eRjj&*jk4*hfupB#q7};sQGT$wQlBziV(a&D z$Rei83ghYIL9c3A7g$30z$O;} zN%gSN(OWlNyOtY-H78xTKrCOF^ttgrNYGlX)Bvk3)MW7aFnV@TaVyx^TW?~1$tV=D z<*YCPQD2Cx9*Y{Pt6E%9WDeiVGRnN!^c)U(V*_=*34Z#-<9{rI2Ltp=iCHYtwlb{k z$1ag7u1x?p35e;~_lWCC$SyPHBq7**6sXV&3!&_K*Wb8_mgh&4X z1aP~*WlCU%%DSSZ!%J|?m1!(Ym3mcjeelZqHGkQA>YET*uW62tf?_E!D9af6 zWc>0d)*_3fml{}s-S#6hW$evKl~)^X)C*y5{TM=!uk{GSCWC7#ahDah0DQPXiRAcG zLpCbGci-$uU_WpRSo+C#2?4X?;EERgpjNeB5LJVF0Hon@lWmPE=A3yH5T+iGfKse% z4>b{_TM^Z4t963v&)-t9F2TtD>}0d*E3$&vYACy}k0Jxs%BTeWc3PlZJ3Wu3#51bB zYm&7p*1f-`IHG}21qd~890ef*{{S!tYtm3JT^fhWBCv}r4%7z%*C12-x!YsFp$)9; z)YKf_M}aT0jSOOQfU@FM6h6fGdSxK8vKLCwEpoJZ?oT+2c`i9`( z`|J3?<#}ZVlosD}?g@3CK~|d2{80!PeZ?P1=tid3b8E8U^?$!1wM#5&4)yhB*cyFQ z85>5AOa77I=^gN~OYGM{mKEA^Qrhm|E`f1~I>x0oS_YsHdljG{yZDwO@7NkN=js}w zn_c(fCq>lrAbR|v%alF1K%(cBsEA<2EpEjGl@nAk>hyLtsp}83s)|kC!EF|{ zl?+~mK%25xk@hgDw|wLPr8Si_!Vl!98HB!{^I{EH;oAC?9Gb~WQs}ych`Kk+KRVHg%81DTou1KnGAjrVW!K{{S0`5jN~?1`uGk z9Iug^N$RW*&u|iAR{{VtuX%eGW z53a!yf?*~hbTzKc+~y19GS@Y#jRgo+0U8!BKT*{;ObuIYz!>);F;UU@fsD`iL;?^Q z3_ZD!%o$ajvf$t09Li8_jnVd&>l6v+{6JPv*&R+Ue8&@If7wtMw8FTDC}2ePy_^*s zm%2V60(q(%l9Iz4Srr;l#ACo**w^J31;b%8RQ~|yC+#S8*tgCT1SnemZawn;wN=4X zjrzi_!mGw!Fd%4UVs-_Es>$;##+PE-H!Qs=yg9I@(tiEzJD;Z>h&1!l@QbC@fK;06y z7zL$K5DUQELr%&gL8|$j23PqiH)BgxE};7Srq+hNfM`Edz-cjJUiqbJSb{z^H2}fn zI@AWqahLjpwN;r0hzN1<6PuBAGn1fW9QIbxa-t{UMk8N_P=!^$WG>%5SV@f^1;T94 zsqfq|L>Tff>~?7^ZWmIe!u*BKgy%h-irAH})#B&SL;Vv_tKDD3YJI>%<}&2?Wrtr! zAla19+!P`ut9wxb{c=*QdtSv5zOjc48f&n49#H@dS7*?OzsO*+zFZItd6gTMVesxo z+bqN9;w&o7O@#onY66qb_FT)iqv%SW< zu@=CR?#rDn}tFIG|p!B+-dJxs1fD2u-Ac?G>B2GxIt~={~KA@pMlMg;oR>*xsR(mYEwI}7`s31fi_6j~?kUbc~k(RFh1*Qu=NMPLwjx0%VNnrcEQs5IOWLgmvk1|7>x%t|c9A_=u0-#>r+%^%O}ob#OX z-1q&yuIsPU57@_kS*NS{IM$QXAVWBJXFMM?D&+o9`0B?mjMo(SLE?v%(cEjB`wm## z2Typ_(-Rr>w~iUvqC^MHhTr2#HjD}au`ez@i#Zat2P$6>FY-;K4a>B1_v7r5Qsac%;>zU}9;VGc=U*EMSE2MYJpQ=a^sgYWJb{`~kD z_w)9ftJ3aE&wpX4A3g1S;CJPW(dJt}Z2y@H-97fvH)N*<^!pKlzf^ML&+j}0{T7RN zmJ}yGemeI|Y@V`SeF!^0>X?7f_1esn?;Jl_rk~Nffb`E#Ts5&gSnJYUGKBtoFKUBu zwSW5cFBV1&ZV=Amy360)2eS4(Qg9clfi2>i^w3Jv@qru1`mX+==1zj4F^i5vQ5jda zw7XZpu10j1?hdYge(ds@r{4Cq6K0u&FZ^rA4xSDRYU?i@hx{`a+1=tO@(TGwTP8Sy zcFt|GTG&uP2gt#zy?#$ujJN$7FGFi+RzW=uzrUUPJAg9bhhhX3Esz`)SJ!N)!%+WSXb@X6waZ_f=Z42=v8 zBkj5PajAl`wypF1P8OCTP}bkezNso`48(M3$I`Q zck9RwE|ON%%|)VQl`>E4o1)0hS-@Mh`bFhA+eD#b=H6fg&PAkJ{_=8eT$5)Ag5?an z0kYd8xj?4kZH)~BW6H`C0FV+{Zu_f(C5Rr`tRT81U7%1Xcszv<C0|iv)eW> ztc$M?srrOMt#ZYSU*=h7ix9+i>C_txsR=T?hc$WT*+5^u&5L@D(1Saf#?0F5exKEO z>AT5yB*{`X3z+lFUKECWNU9lDB$Z~@S5Br>05l-a4w*uV^pkOO^R(IRK5Jd()yIWi zV-!|VcFjQ`ax7mxX~&GD`Q|>~78z0WmzF%3clq*_MS22Z**U4=PMWj>Y!L-Q8$)G6)E#m?85cA3(X!lFvN*Sx$o26VJ}l9vk>KQ$M1@E(2A z5?L5#NN40YU|u;n7s-}!i{8M8yQWueaz^zR`m7qDA*EJUktH-dYq^hK`C2;!~2>KIyw9{>bAL zJq^hR+OrN~$(?WX50|t+o^)n#VPR)k<|%DFbD*y$U`+I}@@Cswv`M03a~{gPhW~K) zG8-&dDb0))?5{HCv;4xKB3N#1BHE;Xq$!-#e1xC?6;x#IjY<$7wqbgbc{#C#DVs(@ z{-P0UE}YlT{$-g`|Deg0ZG0X5UdF)op5&N;0CLITO&|xdr1nIp+D|*#;qXPjBOhbjn zMo2<4r#&*L>ot@gFtDu4%x@p?BB_9&W-=eJ(Rn*VRFf>KTQ}jJIP;hZDY=l5AQd`5 z{vr-`B`r7(B3&)gxd9^_34{wxGOfFEfTiSYW_xLpXLB{zZu-x<a@*Ql>w3z`$8`&1~e3jQZl2@CTXov_lVuc*O`I`ar?If5joC`$1UKXA)0?+fMKb`$knrF+BiM0femTI0; z=;K%Pr1kEf7BFo&z#M78k$Omu|4;Bt*?J*15-HVai-J0q3qCDTJ_WI)1n0!-{l2!0 z1afz^W-J#0eQ=>HlT-rG0T-7@h zhZQgGfIg1tk}{g0NnC7aC|m1;+U*?I<*fVoNqeh#g;r!f36n;U>zmA+G?}P4@sqN} zJ=zd%7de`bjo?cCkp_d3)X_ro~ydx+(E0Zh{sKlHiF~a;B)dk1F-mgUi#B#~fJPTP=F8Sod zrsDV*tbo!aTpw4nvdBu7DUP0SRwI9kKOPwJ2ACtZzg&(K0puOsZ3;7)&zq>=;{t1| zSR97_T>5H87iuGPdNVAp%S9s#cC0Sw7iK@h8OviSyHIA~VQD453Mg&Xcle%zd3>!v zTgqzQq{0w}-V%Tl|p4^~Di zD2s$Hp?wOTuF4$~kt2y63g>SIt_0@$I0bx!k1hDgYDQz5R8Q{7c(?dHBwUj-8MC@r z5{ihV@M)-|(x5B+L=?ekinfppS(@wZI0IzZL($}s z-a8d8d0e#r1E~~QAg5G{sNPE&050|BWA{F%Ara(lU}-vE0@sq!({{HORu}RpIwzw} zBeM)^#Y0&XOG}|?-)|8d7ef|nZd^BqWku}&|2L+TpeXkS#j(S4C;r=&&zi*19 z{C-FQ-%co3?~{HQ{QCE_gV)uA04i8~6_Bdafx^THR!nRVLwPa_2yudtR1Ao1uQZ^S zfU|}E*Y$mSjs=X;JiUz9iYeR4m&9o|e@pJw9TPbn9gEvLuo%!)%RKy7dJD%ZgSui( zqh91PS!ROQXy?;n+oa7W2n2j`y>u_h;U!rLmq#i`7@h=VasSG>2u^6A{?IzeMadwp z>7a&M5&CDUSc6F8dFpJ}mK_J)nVv~>)o+{^I&V4V4e&ww3dp8>`n+8`aqKIlVgLz~ zRvgsw1!$NHML+{6O&9&n2ge}E@>%`NcrnsP#vSMw>Tb%qflvOm0*4dY39NbQ;d2Nm z?+kOFjlhL`HlOL0^`h|$gg1HJI?3O9n=82DjJT3~G4o%C3a%L_;OS>E4u8!Cb7I?m z^Vg(%g9d%;KJicNbm>hIT^`%RNDLcwJ*u?(+PYlpa&FV@Coi=XLLQ**X- zcOV?a)sQDSATHWl9{#<_AJh#;4x-BLCY^aH@Say==V+|dO8DbFReok@Q|=_8w08gz zp#{O_kSsrzH>pMgBDb@>5;lt?E5$fIMIc&TJMcn`nm20LY;R2fF0uz5sVj88tj5+S zyp)eQ@AMGj>HTn^Ey3#%JQ`P!lORugQt$ZR(VP$T;L@5i0u{5rSu>_7;GwxO&s|Sk z(Pr#w>kW%}J(2msk0Xk$m~{P^k*oUo<<_m@^AuI=K-d*0TF-0XPHC<<0nFG1e1Gf& z4-8y8d7p8jB*n+=b;`N+HcxN*8OwBVmrG{SwI>n0{~6CV2|<>_`km!?G^{RQ_+>0& zZu-;@6pw~{3jvvv{v>b>I6{8r<%2_QHXJ1J-+=5&@V#o!-OqDGmQGNmdqolR<8M0N z8{jR5&=|XwE3z_Az9!I!<)$-C7rTr* z%^YKL(skATo<&eI1dJH?!t&x3|CTm#dbfQ38S2a)69j(8ec zzMP)VLdp!;$!8TrMMs%L9+2n~B{!99X(2erj%QaA`g1mlb6iHXDtioJx#(3|&j852 z-D|IG3%hJo@G=gQP0%}-ntX^N5khM?(g1mq)a(+WC?T=C)AA>`tfYjwGM&H)qozT# z0muw14Z0{)yvCSeKHNMwa3-_G=M5*Ta5v3%H`+Bwd1aoz6ktb}gG4Z@#8-ATdTrM# zagsys9IDGXOdO2+&}RyNM^J|Z%-^WG$qp2vfAxmp8f`leI3#Yfr=$k#mCy($H>aZm zcaz}_p^)EoC_;40eGI`FOLo(jaLZUyiJzH$Hm=0){dS^k+E4UxUSkFMX3)E^tO)J* zRV6kG9&oFhp?Wcna}vTeghOh^Ih%x9xhOvW8vo7{?H85a`Seqi$$}NGEpDOk?1WHq z?EPe(x6dpX+r)B(oNKc{1i)X^x@97Q2)99r2JWozAA)^My3Am;E>3@sN=9#MEW@WQ zJRuQfw{!XwV*w>u^pWLoG&hW#qou`R!o5|YnX<3-zi>}^pT@Grz4*2%Kt*#1_o*?u z2;6Do+WqAi1&?=OEr3Y9m?Y-a}S2 z>2NsM>l}v!9y&>yKb=6=6Za0js%rki;IN{uo_E-Sp^VLv@DO`pKc8Gmd!>;{f2d@-n-z>pFeNPAl9=6 zOBr_)-PQ?ArWnU_P8AT&rMjKC(72~*+;p@-lw|T<@++q(h40G^(O>%IAT`XNcV{CeWCb< zFTD1)>i2u7iP;^iQpz5L4bjrrNm-qV?TP=Dv@7Hl*PV6^qBtD<$(ic`dg_AiX8rQ` z`5TRswk=aZld&vFygq*$TGX#<3`-oG~x8oye2aFWs z-#dx;;brGws*HEn&oMeAHrG6q+8zjJT;X~3FesAtKIJ^8Wf0(oxBsl|2cg3zv&Z**Y+li z7s!>aIDpZx_QwmxuARg87PO=aqWC<0v7>7)c$eHU&1VZ*?MqF0BHpXs!B4Z$!QRB; zwOTUjGApi3YF=5gi`acoasMI?xOHz8|xGK^)gc z;8fd^2ZijBRtieu4juH2gw7!}*7P?17vvt5DS)pqRN5U z9x#70q!KJQSH2#`nB^DGKazfwx=VM&HYHS<{aKk^Mm3AKX$vTCcCWhMrSCA9#i>ZR zb1e-|>ou|Jc<(w|-c3HnA6;|39ZZRNgA&Zs4RdUY%@BSy|Mg&1CM#^tu8ppg!-+CC zNXgf8>cq@Qlj8xD+yo#oWfMfO!`Wd9E}aFj`(5B8zRoXYHS28}G-pV&&59h+bJ-MW zeA4YQzSyI})nqvra1K})$*L#UfXr-7&w&Lh6DS*er8&Fl&DUj`P(ff}fD^Z8_+9*) z@|=@f`$7Ann$!oVfERbykHn{R>V8=IMqQeZ%fmu!@Trkd*bu{&xWsKtTw>cSO7~oS zIRYtojJ^)(!4IoKf_ME=bZ$IqwWZzYa4flhyXvn2tRJ>Qoc}6hisb#VF|>@jEJr{x zrXf{MTl{`n>qFAYp7{EZ-%6u9V$NbI!cBKGV@|K1?o%%dmaVK?bm?(&D!GC=@%eO` z5(e1$IiW1sPv0Ek4G#f!@Sl`~YI!IJs~6eUXI~He;j6a*FPj4Y>35Mmg4#^4;*C&4Dci&Jpm%>_9E(WW^(8|s*ALyVtKQU{sFsvgR0E|n_aH85eAuId=P{MAdzU17{LbqO(M0y@+i`| zuTtM3uFMu2bgGv-vM_%`Ug$$)BydHMEbIH)WUVA{SyybAW_ZW1v;4}1@3W@dSTB5M zQ8SlW7&!3Z|4PpXmAhSXeKX=4D}KCKn{?5h3vl*fLFYuKkyFM3FZ>F)hb78wtw_;k z?Y;c|`PYJWMyC&$)laEg=3F^N=F$A4-T?eu<#N4z5T^OMW2-&Z=%nRmuGYit`_1GK zHJI=Oun4i^yb9ryG&^Po?W5c!q)xWt>=Ove{4}uB*8(PefC&9}q8I6jeeQmE(es=ar3hmAT9eoOF!jf0LEHi-<5k9kN~;a>lK}J80J(tgJY~x@ z!byu%8X0Wk<4G?LD(-VSzF=A35+$?p_<-#TH+Y`d89p=>PRNBj!dG;*WUf~IVl7n9 zL~%oV`Y>X&bclS%2@dEMxV~JL~aBvzy*c&&u@2C-wjC?vBKX z+?3t7C9f&4Lu2Wd`Q+Eee%&l;p5i{W9|CwGW802K4)$ve=$l zH>I56r1865Bj>9S*VlcQ^HCoK>jQ1typ4iPbm=z&OF^z3_bFSYrsC$tmT`#Q$1w0k zcliQXPVkZ9Ydi)!@6*adKDoI;xTuk6F{rMfL3^6Qy?Md5%Ggbh#*jQc?zs1c@0lX4 zlt^8@6FLg`?<2Ur?hWHH^Fs1UdD^wY1Ne_5Ll@px=j<|DfTlgR+I+e76YCn`gQY=T zNBgJx3;#wH%JMxK%k;dqAG)+qEb+p5QlOWqJRM^93|dpEpT|QQDd+QGIo^K56ASGr z`l48(>}J+>(^5U7QcL+#jcIfGG|JiXmi>8eR;XVj#QB%A(o>o+YLvak_MPpYY*p&w z>jZq5AeHZe<6CUHVb$&(TvQ=7O5w(PEJ4Mp(N2?|PUjAnQ?=sverAZ!9Bg&W&p*fs zvwn`7HGM|Z-3Wv#blJT;2B|O{!})!7rDKR~PNMMx1FH5N$^zj7cOm2RDIb#e_^znC zSib z)S4GleW>3o*K(hb{%6B~{R8svLliid3OdG7N~zWn$gWg`zrn29aEJcd zpS|Fx`e&Y}C}y^S@;si24*43TIXMMLzT>VoAm#<=7qz#D|a%oU3KV4T;aCWfJOX0it3N7i{}zZ zE~!o#rvKS_Zu@wT*f7n0+B$PnNN9j?0Gt{ujcXVx99HaMMIV9idUyiu&cK7@dj}GcaL4IgMq2J4_fW1r^<`bl7RHu?9tEzPeX{#lQggZuWD_# z#{GS$&n;9LES+|9Mq22y`Xcygi9+69`~Jtk0qd6bY046)pWr3jacZPc3U_5&5mno~ z7~c#))Pwm)7d1(>claHUDP1^hu538VW$Z4~;`^fVg+urjShBT0N;Y|B*^i-RIKd_!uh?GZpVGdih3TAPHM6S^(oQWwe;L}o=v)u{rrRI(c1}iv7G1F8%f<< znok>4hY*^KETfQA_i$n#-!>`V{$V`i*U1-^={|!AAcoU;sP}qDG{W`WDwu_NueJq( zhVH(LOqeraB}hT-Mx*CVx@gi&sn2-gR+c$x`3x>A=P{N#AtZ`i&HFZ{q0;C_t+lQX zD(>TIuA2%YgQ~*)LmiGn1qwGeWhm&+vfcX^%Q8|QyToJ8r)EwJo3$e zZ?3#W@A1)7_W&}ZFUM*wtDtA<&e}xI`@|gE&(fkFL#%V8(3gkMiZetpJTfr*eYnq* zEeb(+ERTiyTgpE-<36Nt?n<}!2FyGHTlDw4X1J|kx=YJhlFSV2@T~E@OEIIx#iTEC z06}CW6`Se>h1*o``U4C1&`pk=l)}fN-3te{vo&YM-19g|u+LT+sU#*rf+SSD2)>xJ zFRN+V+P!Tzu{0f}di!uNR=7>vPH3d?SM(*n2MftzMEeV2l0o9pAGBSPgAP1F90+{h zN&4vc*-5<>b472Tr`Mmq3l6o!rM8~hMBlpMwtaTy`#gKWhE+ub_pLDWBDX67?y9l^ zyw%?5%_m|_83U57ay)lO;_s~4rjXE3XD8UtH4e9=AARc_20DfIH!FoEmw*zVxccg= zIv0WSLzjG=LBesnQ;!RG)X>&oDO)OO5S9%+H_;olG2dB@?g-fVXp>LP{J&^L9?fNz zAalX|HnLjLD}H;Q^>rB9O2ZNZ+n_Isd-6|cJ-7}|sIq%@Swpu~{4F5mY7N733)A-u zQoiIGM{hSubiB==re1uFthM^G?vxF+aDs!bNM%?Mo~dUBD8I(L54dFmXHJf%T1Cat z6@N?BiLK6X3%`mzm76U@@n$2R?%d)1y-)vbbkYR4oMi3c>bhVb9&1PcdHqoyvT#oG zKP@*5+8DUyNS6zJ^_(iw;k6Q#Cq#LYYAcubkQ#0@yqcA4_BoK@@g=)D?|2D}R-01S zFYR&JrmBd_eoDeI8Gsjvn=e06N~*cp1;+wpy*L2~qy9%%&$N3b8dSaaa8G(es+1 z4na>byrxbQ#>s+&TYOgs6KQILuw9LA+Oej)FlSr56@KBX_`aKZ0BaR_RD%t{ufUVMHx>>GYGS;LkVE@bWn||9=pbh7rS`Jd^{Li{l zSZ5{J9KoKtTcW*^wr-Xio}VTRT8te_A&eBVS4b`+#SDi*eR4>l6k#*%Rc*!(C>2|F zbUR&JIV4Ry7bLf12!&f%auQ~zT(#I9#kx~rI{@JgaIo1v?Ec|_?lx|MZ9*S2U-^eg zZzREa$KRU*kpaa0uT8x+f^0H-DUHt;+oMbNzxk@=0!~Z)KPl*q2>XIo_fq=(GvpJz zA-gid$VzE=*6`OdqLIhPmL0ZDn=8xF57v_M*BOJJb~&iybDoY5X#SgNqHx=S2lW2^ zX*}Lku+Pk+Oh>ZH@m*lQBF&gwW#|qd0!}nv;WE4!&byW^;3tW9*Y?^2H%wkoB?kp~ zx&jm+aaXYt&HhAT=x?|$y*&tx_SurD?QKqygbC0%yLx^D)abGYN=ILSu{Y~tgMX3?QSt!~9@9H6>iK(>d6 zlT?EVCkY;{eBjHYsc|zFeZn})!ijs>HgRZj{!?9k(dd9}-rvW34%o50=;q=i>EYSB z`s$-W%gb0Ge=@Ks&T1BO@xR1 z5S{)^5vTIfY|tHDqC|3f6bQ}sy9-cDZKxN|9R87~zHM@KGDq@-W?B87#Ki`P75Jz+ zLNJ?7cJCBG!ua3oQ@rTv4HZ!xu5r$&l-!Xo6t1Nf0{JkYZw;~3T2HU8O?xhMH|WSc zy$NPX%_}AnD2ZlS91aQKQ+=@8`bp}nPEEWnk{*A|&cT*%zWd@(@#A^=MaQa1Ur4FO zsHo2jr3WQI7FJePj0@0J#?O^+0VgZM>$;@Gl8EEDvP6KgP)=`WQxo4AyZTIRIjP;g zpRZ1smk=rBHED*6V*mV~y6LnUKaHgwK<09xKZ%#>29mS<8XJe`=>~$KT?-snDo!X~JQr)k6BU*;>dkx0yKZE}>X1p0DVPSL_Qv*d;nez@+qw;jbf z$|5vKxNEk_H#g~;WRWO*!YgZQ&Zc6meWRc9IF1<^WbVY0w}i8BdZD(N_4WeQE{%7q z{9c%RLjB|fI$>eyFH4j7sK(!-*YYe@gaO2I^^XneNz2las%KSesjUlxAlA`y#VCB2qb9Ld6pJ9|0>`JE2*2N8C*N|5lTyByDQO^7| zzj3Maz)i|k>&DI_r1Q_PZq90bP^_NNN0lX?{~Ope5Se{-t4LIjf6r}DU%)Nl36lxg zqg^`p9$!dhxMtr78x`{$nELR1Gcf{AlTAGm6d+x-iq7DPQnQrrwC*^*?5zMvQk`Jj z#W+$=8tlDsuLR1%{TuJajW(~=z5;oT<=Q?x#2*Lnd@LED2t(8~RG)@YLD5r=;U{2H z$eL#?tx_wEl{Wvaw69dH*)6?52e|<%rf$WlS9y(;iTYcn@^W@&UDDUwEO3`IX4X;)X)mn(Id(^lN10$dln0wRGL~ zZaVD1SFlU@XCiZ!m>gdC-B5+IK=rewF&l2MN}hyo zoMgchOzW)*UScKqj>d&UlEj{wN%IHn6B}rI>Ny~JnRE@}>!0QPT=tcCKEbq)j@EX(cQ>(0Ld*IQWv6ph!BWWhvw~uwX6W-PC z-cF)`JbWHxg}l)qUHLb^P!2ZTz$?GUW&zn1%Lod8dAH~BADq{t%APoR(HC1+%HD0t z*+af&PiSt~;j>a_Wmi_OwoClC!z)D^=-j6Xoz`pw`z-mH>}<5rI{}A-;DDogqv*Nx zMiDa@vVSZJx@e0oxF5{DL%h8unEFIH6*$<+%2CAz;gyK6PfHNoDOX%z%{1~j?cFN{ z7A3qh%qZDbfJ z&no-Yl_otlim`A^AhtO$OfSYEto>AGoqxTYPPc!;4ocq!9ukgt{`4Q)`-bmiB6T$& z!Dq>&KW4S#409NGn=!2~T_aO^t-YIiUNh|&&tF7v+r{g>|73-%dRjqBv6wX3Lz<2WF0WorP_N~QxVS>Ip4ctPsbwLYj z6)_*Bq1zcfwUa7TTD9KxCrD26_zVQd?&$o$?eE^2As2X|x1@Ke$q*PdnuHt#Yq zaAbR$hDOjX{%wABXV)p7Xv*oVU|jEB-^^@tjx~Wfg+pN}(tNX1lAJ@}@p*I2yX1Ua zk80(2Qc%CW4L5{3_;LOp-$m;f5%ol+3qd`yDB**I9(9Xh(=#To;&SUjOGTGdWtF$Y zBP$GRXi7+0gEc)PH`;KqBnQ}$IfgYoPvBXPwg7+sNMuFQBmK7Kf1w3& zmPw2J8P`2fsb^7O!llKPipinoR%#>fxwtP?B`YM(b zl9l$;$C@oQL^eA8_SD_bAr)MqxSSZiQXg2RMWLMY72wjRA)c#yot{A}4qpDVXxu6H zxqI2en)nRdtA8X%`eI~``_X=dl9wTfa7i#>tomvL4Cy}+mh#~_F$ZhP(nHHdI{jH} z)=%{-E5R>kL-k1_U%}}vJ4!J2E^&kg7I9n`$|lmYNjDmANNqSrJ7?z`aAnM^=H8N@ zxn?PWr_b8NM%h0djEH?w<%?%S-Osq ztQhXzgiKP z51#^^OsC=IduSsII=)JKZ_~JfZSxmnwT(tQ_6u^;d1YiVCxZc?Xk2Q1%yx+f{dt1m zDNMy%u&&M|pqz3;U%xGpEU7|--I=3xx$lc=DU_m1N}}zU0xE0o=NGD$4`QL5<+d|l zM$QMVDF^iYDWTdJLws$!R0xX+1+xBhoZ!nLpb|j+<213p+S4$2Z?Jr03WR>T zp4+Byee=yA&*Zgtwcd^H_(Dy?O0BH~!E+#`!$xHLn&JgeZ$cO3!deQbhp|^5!sr&b z99279Mb0J;TmHv#V${ll{26&_`{O44M79ZYFMoH5Q1z;~?8x2udTQ~9*6B#Zcvy3vZ&hV9ZGKh*`9 z8_g>@eX-${-+02znqog)&U!QHlKN7&=(uCO4hkC17E_QkKp!*u;nGT_kAjzjYm)d# zsYn`f(%F&ha!9@wPhWcog6eCEwj8B&>N7D|(Ya+?U#MOvV9L9_sQEEF9qpm7&qf~- zTvESwW{kO)JcCVI26U&7%aSQ9@ZH@767sX*qn#$03i)u4>}e}*p_84XV+{qvu^G2@)RB6wS_fuD!nU4wv`sIvYAC`_XljBARu=r0uxM<7kAeB}Yup^Nc!==f;cIi;& zUB1!(V*lN({?Omev^v=!^ot|zX78&Al4>N%+p+Gn1tOc^99OP)4>6soRQ>#;=xODO zbd9u@b?BZU#Hy6N3!dk$NwSSU1YIe+un@`okHRB02Wk>2H7&p8dB;D!`)?q5XMI^q zQ`ZUXp$qKZPi--%-ECH7d)y*vXT>Lm>j4^7X1kl@TbQSNGpIQX0C;Oxb!vNiZ$dy> z_#4##`Jcr0UM(N+gh_p$hs39`&K?1FXB#f2MEPm*i35RvFe?u$9!fYucE)k}eXC?U za4^f!wC(lEr%A+*GaQ*%z$33Ny_=>fXlO_YN-88{gM+cq2R%hBS1u~AnM63>B2<{H zbn3RRa4~qBXXh-f+w7-6PHF_DY>OOPIVvDU2GKo;2f*z#(Jz&mcd>R}N|O)E9_>u4 za0Mc8FPLiE*RdSDF>4v#FllgC#SaMFHd60?F!Y@{2((CBH^i)7lsKQk`e#=ernCNL z)mqdk$C=n^jgEaIcw+S)+oPO1uY5FrcYvLqM|}J;PZt!>@KFtEPODj@iS+3YUtZMH zF($w|^!3#MwJQvm_JqXPMw>G7DF5<$*FR!eF79PFQ}ED<2y7Q)?ON zLb&Y&TtF-tRT}=V^_calfNz^ss@K6>ex^l9U3073=Viv<5#L0!XR5u4s=2;6b+<#!nQ|c(sM|JP$cFG;We+4`8m@GhK**Ds1cx28U zr}sh^>1<`4@6}P;q~kZZG`FT{z?+){k9;5~@K62ySYktK{Vz`%N{4BOpcjrlK21(@ zYRe{lta9*mOTo`bnd3hxey)R<0p*L4#CR2JIty!$-c=YqB!Evd^|~)yWb0xbV4d`0 zVXMiFXPLFPz)mW9LKx-&L!Of0?EFHy{>zV)(mftFb)F;H0#q%$CtHGbbRGwbD7?RP=slNGE){7iGd<(7H~>=eLQJ_ z|GY<{IVdgE3LSz~x%}aHOGpV#vXD_A28*lHOb)2e)-FuesQ8I+kK2|9o_;gH6p|-v z{!&LO<_O)5)MVX}>>7Sr9($thuI$342a6>#4Q2xnZ(C#XsKVodQfSlQ9&YKeIKyQ0 zBIweU3l!sDlcpomnWK$UypmkgKkDaPgI<(`28o5S5vkmDg$Jdy5qRo3gD9)ZcUAuY zhG7fdj0F7=H%35r!puss@WlH6Lzqn$ksP{tDZ*AiR@?!Q2RF{vuWhDDy&J0>JPl8B z7K<}t9?s3)$2u0>`DT#6_V}Z}=NZIl)6cKSfkBuPur5ri;xP1ON&JhCrMLgtGE6ck zGsU%$9|Dhvh3cfM$@h*{dC?`P0rrdC;hctU(YZ@-se)4=?0>deJuQynbTS*3g31sy zek$~Th44d=>=|AUXQ<(cy%8c#rk@qLL0-I!DqQxc&HAqHwiXYKlxCAl85sR{B+9wb z7GrWO$?U&H-R2XeMaY0HMCV`_E@O&z!ly3%o1Wi(CztQ7S2uge?2UkqIo_t_bz>*$6zAm}H{_E|49j~`5 zcu&uh>wCQS_T4CZM*g`%Q4V#K6*s1=&?6xjz>$ACKrhRR`aM=-oW#1vtbhHKNFA5Z z?Ua4@s}DyKxEqtK39~-R8*p`Ap!lq!9u%Gt=X3T@Mu_rSRj^Fo*r)=P>>acC!R5ZR z%OyCmf+Ow$|31l5n7HfGQ&QvInIiy9X+8kMao;F%cCx770esde^+8w7RzGz2trk~+Cd-URSB z7PVG=5{&T1eUljP=+S2Uk?bp0t-gf=d8gWKdLp%CEU~4GgS9APh)IOjjiheA*+Fpn zg*(lDGri1zM@Ia96+cXJA)ILWZGsLl+p2|qBh#9HqB zhv4lKj@O(?9}`hzQrZC;cyV$|Qt?RTkj2GVed`h_?Ba}Z4TXWRX`{8$!=+%9>0o*SDLc-M(P7Odhxeq8D z#GK|T+?t%$qHr|-RMa8Ez~vXjmHx|v>LXqX9S4sK;r*yq{>o{>mAOk3u;T1$Uz09z zj???J!W6;aLhowv!r~YHi0@{wATYkGfQNXMJIRQ(G_5H^dR~5+x=HMp!~r31f62;o z{Aei;FHnZ^y;CmNtO-PaRPO(=E_R0m3ky!!DR%W9v;UBVv^!L{Qx#DmLD}#n9gBp= zWZ0mx-qIR=&M@0=cy!Io6+iBmWRqrB?9eFrGf5Nw($V!hS3ix|&5J^rgv-yc4#JPJ z_iz**qW8j~g#=PrGvzg^t=;K|IT?=LlQxR(Ch)!)_o8~%l3d|xU1 zz~!0G9$LNg-wAwOtnGKku$>v^-i#Co(acc}x!suM$t?yh(P;73s&@pgdT{6M)bzt= z)$U;Urrz>@C2%g8Z5c##6~Kd6FZ`~Zx^Ay1Qofg;GUlo($%*0Rj~`jgzYJ7u-W=Gs z*K)ANofmjBbRg*Z2TZ8x5N9yO&h<;U&E=j=#>Hm2Fkt0W7G`C(n5BMH*(W#L6g}s= zN8g|t*+_#xK9z|?-Vu;b`2pKR^CKdv)=pi#md`5WK4Y+)T;sKLYNEgmjtQ%WDIs^Hot>~#stTNG9Dw<32G3R~vf#U-P$bA2+y(D=vZ=|lO-x;{{L42S z!tAn@+V13T94Px6`;<%9Gow!U#;}ug&RG;o0GpOjSz_8+mOSs6tT|i5TpeY z;D+NK=KU!K*(r{xLiIV*$=XV&U{nBwVADyRiRTNtMoL-sX>_hA)t!xqs3Z?bP`NP! zH=1tVD_h|dTQ;7AQbuF(G#jhy#@?};xx>5)!fV;c!Xd`E2k_1$&j_pjpW>u#&KVj& zE!~h^Uck#5XFXshrh>_rLb!CNGGqm}i**Gl zg?it~I6@GR7Bl|{90<2*{*F`Mw1sL73)M*d9}i6#MU;GHu8jcZ{r^!ztN$ILwd_!v zwBCL_ly3Uh%gnTVkaL_OuPs=Bd!GCt(VbMXkg7dWbCRED+RD@iGGnL{$Us*xNwO;n z_@Haww$e3)a!}K-=|GTt5zh-+KxI1A4V@4zVC$QuGo8sff zy~9p6U~_F@zk_{O57$wH-DARmp?k z`VfWrDn+~fJBVzX9tHlh9yDUqiz3%6p)*S^d(Gh|YmtwJ4%qlJs(NG`+MB=iKqh&;!Ll!ZkG$V(Wl{jwFddXSH_aVOGouM zLVx_v;y#OZuLnsDL;6gK@df#xl)JOHvu{>G{%EVxugfi5Mr4(Z52-iy# zp5FTnHOeDzHHBnYqys|FA-LrM790iKBL_V=GdXs~!349&+X~$7&?weN=|37~*x546 z4aKkkegz75{P|#s`Jv(4sR&o5BAFh+DEYL|%G8Z?ZoxgwiSu=Gv|@PrH~bh6#To^2 z?Z5lA4<_MFNtjfEM?;uQFlKc-?m+ec6T9Tb^5OU#N*ECJ;f5P)o)UCPf$xcajOC4(Ecy zA{FhVm`d}>AP=SGBzGxMot#Q(6-(dzjbn`OM#Eq4JZJ@$VQWJicWB3)ASW;AaXl6l z_Nt_+Jsdn4jUx9q3Ly}1`2q>?pwabMFQSz$jFDIATP*}tbj3~@L#pI`5KRSo_I`JT zX>EIGH{9%D=zsAB($l3>yDH;A7n44+nMOt1iw+OAWI z?F#GgE3S1=jtFAfuC|&x@BaE~9cDbvY&1aUW2tp(TLcon@H7GuXh0om)Ln~vQXHl% ziJCNMZKEJvm)={I6zX!oJ9X$VDsRiJW%KVf7AhAXmYM{~XCZpi^o_OFUR-=ZWF4%o z1)}}O{NMb2%+9QEyH@_|)0vYO>$$q4wL2w*-~DSAh0VoVKCYvnIv0T9RhW5jKZ`Km zRc}Emtu&+hR1Wu;;GBIwlcXm-sR{}sx+$n#*oewtNXw_0Nz*kVTt5Pj8* zK%_bPgw$MYKftsF0y+t!P>a}6yDOv^GW~Bl5RrnGzuYR#cOs7Jg=|z|Grb6J5U%T~ zeEl(PAYXyG%vSf^DMQ6`P{{>V(>V%dU%BxE_>t*`axm*?87Y)Oc`^w>!T*zzQpSXz z62t8U`Y?_L$u)Wb?*+(bqt`_T30%Vt@>`lIPjUdBNJV{0vM+j7JP((>a$~xDMfH~6 z+tw{YwC;RdguQN*_LU0KGMnWM6y%T zRW(PI!%5!XHN&#QW?gscJz90VGq%YFSq*N>jMpd`qw>)caCC10}^2EFDlNd z!9N8a>4f~GG!RlF14J@Z$1p0oaMYV8%iCzpOjJtggnEG5zGzZ0gMk*U%Nkcs(LX*+E4fl3%_l*B35 zEc%PX(Uhg)G{fE}pu_8wYY91^HOJ96UxOH}_zz3$geQc6ydFz$)|k?rtK5V(f6gEf z0O$?$H{#wF!$}`%VRQ?9xqM}}AfeiXZGY+g=qShQJDmVCrVI7kXmd$%RDdbv8Y1@^ zo&TmzDO2}<9?e6N0mg^{o$iYTHIUc;9( z-w1~M7}s^v=VFKa3iM^!&)r z%noXF#VT}IDc3{RB)(cO@{oxQ8;jH1eds(*<;Mcv0T;P zAn)Dl%^uLzoIMcNqrOAvP!bhMap_=fZ1q zSEsB3=FVwgZWL9rImT4zPt#ia3{EW}C;<(<)nku}S}P%|tj%agv;ZimP`womP{FYV;qQJMv4(INsgvrj}_xYwaG>ZwKX`feNEj{)#!Vb7-s4UiY;U*(To z&6U=kpkY_d3m2;)5B9iNcSzfP(oZZu;V45mE5j zNBLU<+Jt_tx900b^B9m>ag5!Fn;}ko8Iq>-$7%^AywVB8$-~L$^WB+0l139`GwT7C zo(YQ$@?IS2X=rxJv3p$U15pU%=~vO$OGPU7(Q&X>KFUAhzMxYnT)3D4Xrm;Is& z?zbA-!xYE+R&(CYC%VSIu{&BkWXqKmo>|W~&K@&<+q?qRs{7!sy|s4TPyexZAOGzR z#Y_?&qV1iSY=aVKiCMPA+qI~`91~S0hbcdIrG-`O!vvmIsd80&{%YY>S!VV$YOR#_ zvUq2VJ{;gQtJeZ!Xm5p${eg}{q9>HVWpM0h;G)WHpiyv)VSR8Um1Dd{prpi)tvR83 zzM?Bykni=>VCsvOBP1i%v&NSsWtW6Z+d;)tH&upCZ4xzaA8PUE(B(A61OB>(R2++%%=LdgEMD1=I2D_#jK&pU9+Ry|#9x;w5km%OR-{dcn=N621aF~g;Dr4PewGo+tq^r1>WR?2j zkr5~J%y9m?;62RSk!J34#Y>TA%f}AN&`hD)8gREFd?z5M{r3X9g1jB7Iiewj<2C~N z^Xg8);Pr3j-||Ht31 zi~o$Q=HI9$(%9*4{&3EX2+Q4}%wAjmO_abWy)X?VRfps5aRsd%d&v?wS;3JcO1KaI z_k%L)$2e%w2k2Z%xag?X@jkdA_ib(1amY1(ZUDGpg6;vk&nn7C)I>K24!Au5G%JXV zrlf>&%NE1WG`nrPZ~W3x--j@mwB7F@VVI2)2%P4OM&Hq=Qp$Wr+~(B*1X zy67H@Nk(G=iU`TB3l8Ca*Tetn3+_-%-*AuEGlaRAxzLN)hhx3+!h>4amGpCITBDPh zh5Wl(M3rBGk$LrC_uCJHZKxKf2EU*tAe#ga#fjVQc-g?C83jpT2yS!dOIC3IP{7m*~oYD}+cSf&n3`bS>W=fB0TdWRFPna3!QmlhXVjDX4w znnMqAZ?q_3mdJSj8AZ#eUU#Gid>C=HxOX%%j3o>mIE2L6k!OsrwDcHZeyn90k^{9^ zKjJ!`X@*Z@-9s9a4rGdIBNsn3<)=8a^l!7TZAM+6^gE@U z5eITDLZ;zdpi5A`wvj|uN{g(by~3a60i7tDI`TpJQnK-P{$<%O8oP<(8_j7sh*k-R zZ8;$4jQzRkwRMp;8kfMjwt7z(B(vvG+{Lf{)$9254?Q*S;^W3BY1(P_)p;Bn0vo>g z!J}eYE2XkA^W2P@vTzG(q(+_mJQ-M_?ij|OBrwkD4$f~=Gl1|8mHew9l{@JjYyP3`c!> zJ=rj<)tA4j3}Qu6tc_`J(QC$tdaNF4uzRPWnKY)t&bq!CX$9s3G_7YlN($QCs-34@ zOcxu#g7F^(BfVyUmYjr4i`viJ7kggu3uN}#N9I(pnPX#-f^E~MZ<4&=%J-#CdCyKi z&w%W!TX`ILFYncz2d0C!kw>3|-x8lP#i%c1%4+%#UrP!^ z_4F>|rmBrVOzdwsg{4xf#A8}GslJiKog3`>uM|Sk0{1`Az!m_H%K^MxkmR%4*qsunT4PIIXmGgjuIuRYzv44-c`mQphk zTs;zqntJrh>MC^+P%>4!REr+Vs9%d8^W$d5*iAFnSx<-(o}OF!k>xEC6I~D<2Zn<05Vcu?~W5zc;6?5 zD*AUMMs#|bXQQrVSwoV2Dw4AKBCA(|>yCfF_x>AQWcxJTg;l)^Dq)}dG|G;y^1zm76|Q675jblvXfSufd+ef7f88I^#I@YMVN2;-*14Jgq(|;>)x6M|C9P5PIuF6E_gx|7mS9}lOvkrI= z+$heu{s%c{{pA%ht)^5++G{%QB9kAp6P1+6Qnwg4SCUdTugkQbqtl{Y38KW zm6`!iq$dTq!p}xP5_VsnQhsy_S|+{T{CEF$bJCD~K<_R~?~LVSZO<2USyH>j8x1wA zvnS_NhVa8|oH$#EyGv2OJ?8Zu3N${4dNTEFD=;--$4r;AY<3}5slu&!O^fl7EcwGe zj+sCfqmB)ShtX4z>Zeha+f9D2W(*p2xsU?Vi=Jy>#0?OdVz=`Xk2Z%&&{2Rs@`3i3CIHVRs1%R zh1fY?Z+@Zvj}NN1Kx&h^8+3?`W&||cM@cY4`{7j`2Fm_Ux;v|nZe+5XfePTmR)xJl zXeL@oTUPTMG_*L2U%D z3;p17z3vlgBJ>GGqOeKMSvd7|$@G}A)&B-AgMOhcg*NOb0bhXyHuK=#I)q_uZ{op# z+H}V{Q*mOuE$a&l*`oFjSB{3_EKnvQVMgES0P5CYG+Tjt-PQnM`~A9Axk~Szuru6i zW877OHB~)$of$_R4MH`Vt4}PHt!Riwg*{(KohbJ9l@Hoe9cnBgUdHaMB~Go0SxZum z3^W`CT+iH`e3n2`UxDZonOUfB~FF|e}M!HTx-{@D~$BW!~8Q8>a9U!d*KeWAGC)(}v^Z zK@Zljl}Nd&6-bjqTziuhfmAzC-t#+aGr5Ty>`N+DQrra6-*j|*)hxN!v#t5I%le-e zVp(`3=={qf2l(SJ%0Q+9BP;u^Dj#~RhgBb&mD}2ZkbrfyQFB4STKX2sT&^zs?6qO+ zFxB%}oAAV*56x3`ec%k-ootO^*o9!j-fFsNLw1)I3Fg<#YGPag{5zuCv>+X6>TN+vsy?18mw07qf24lwFTs0z*K_9#T4{2?60Vo4I7{1{ zMe$OyWiA^<0~1c9uOGQpOc?5!wGnPAr~UjbGD+B!ZI^F*&`zz%Epd_E*qg&u7O0O@ zj;o!K)3}!xd!<$_GuezP$s!{O{u1}~45(r!AD%zy@MRFL&6g<&2c&GnSdk<0DJL#$7ii#yy|wuX2Tw6sJfh~ z@-t#!u50=q!fiI(%>DQ$Q|xIFsZpW6y?!&4W@ToLKbn5zYg)i&@KNL2KyWyIf)N@E zH2a74kpnA}8Yq;J57k?%Pd6{~WI2$0Dy~mNvBULK$QaE;HTv84buyWS@sW;}vln`2 zvB#p1xEu;tWuzaR2O`0Zs5Q=Vto!ISf0hWga|KdF@-GygVH zxbR?jpw~iV0$#74cNHaVat@Oy|C+ccLwOBWeJJA^=3lI*g;Ng->S>=xt`t!?qFKwn zfv?>8U1MJ4Y(Y!Z%KsSS6AvREuoMPme3{!fHfvkW?VX1#X}xk(L=A`nn;1E6@K1)L zYuypQncD9(^h*-gi0D5WTGp&h?=xNtT=O1*1zT$;@v==CCJbAlQ<4Uvk*4CF70@tk z;_AYEgj^Nntdbw;0ou`1voGmD5)Y^-AHt^*T1c_%NS8Vp#}(cxu|X}l;z`+Vb+6ne zS@7p^N!p3FZK1t`p@>(B~rF;qC;HO%vFV%KRAYD#pm@{l`_dy-3_wfM~E#2 zSuThyGK#d*8&o6kLAA;;k?ZEOf3eJ*-B$W-LGq)-A7ViB#}w<#!!`Y9R2m#; zHbgPGjN-XPQZ~z3xJ@dpwU7bEm01`Naqg72-Va1>HRd+mQ7@k>aL#Txn>77t{Yg{7∈MDW4tb!ke&>s(oy_)30ZK1H=_RW`NLvc zhn<3+L`+Z&>qiVed9}mjaec+9REi#ncbhrBzz|DM!+vm5UN|sZD~dgBNmS{rR}O+5SNUIHHAoE=wj`yg zru2wHADHfVf$nWdzJH$MRP&XU89{V1m0b=WvX&jVc*K}cc{?ssX+8HyZ=q$!>_u?n zdxZvsYU$7Rs>xCpf)wpO#Zu=ziGBqfMATQs4_MMAH>tq=cv7I1>4Mn20dp!#twFB* z%!d?Qm)tBMFvBvv9#MJ6d3fT_Zfq9DC$>?w!hcU5AqF*>3E^pcRR@wKZcFc>aNQ7N zcLye*ovgpDZ27uY*^RAZ9M6BPeM(|!Dknfzr|P8h5}Z^RGP=X@0JJ(`WLL=94KF~D z#$+s!1I!I_kFzM&u)syuxhE6**XzgM5>O=pU@v^fZXm$aDE2Ajcifrb@(bML_TfAt z@;+ddiF3NrBT(nUk5JWQ*;m<1ZNEE}r65UnRV1zwVx6&T7(VFCcw~iKW$tw9oHXB% zC+YcyWOJG-)~3*kzLl`yp)%<8(Xn^x9iyxQVL}dZI3f5*ttl59rB4az?QtY%rAOb+ zIAU15(G8Xb!Mp3dN|AL*WwR2C#l76#t0cB)hH%o|?>K8V`DY81GNQRcynRJ4me+uP zB{sdo1dzN`8pXLp*Ilo>9PHUZDb z=jkET&3)qxob+AndzREH;Y~HdsbA4xnTSgQ?(m>4&cpaLg1JU3-xs{mN*ejK_J@)x zpRq22hU6C(2z|iwro|NK#5G%0&Fve#r$5D-Udv(D$N5cj%~T$vf8)!Gai-ex!nkl5 zMOd!-Ti@gfF%q8>3Gu(jJVdlz(8oI?HMfnmXo0mv3=p0;1?CJe?=VyEht{3mp?;J= zCnzETM}4O=_&)z(lr~=&c$hGye3=@9V+WxlA>owq%VQ1$W*&2gf($9Mr&MM_AV`#qz#75dd)Jek%3q8eu z0&Rk?18(D;ptz_=33f%u+}73#c*M&qcJ{KpP-pAo$F{0)iYH-Z&J96>GL(j@61c^t zcVwZOi$%{k5nx*pB6%W8e8GxyG^|%fV{t|~(_@soBt#Z0^`(scGxlg0z#70#1d49phq!<}*NGT^ODtoG$aPO|lHGU)N8@fD4 z?-L`TTFHI}TKAUXJ~?x`9Ih09qE^Pc%ZgZuUen><>*kozP$?^@1S%LK|99VaPt*$z z44@i@pS`L9Fm6`lYSJf z2{9y6rZy}aZ5;=h^*DE4wZTk$n%(o)4Wcn)0sXsf>eS+~Nhh7X|W znk$>;0nUTxC;9iwR$IYI57YBHX6XBD zAL1-_FQijSIDlZtf4`rDPn+jTX#-#n*y1N5a(w@%n|ssK=yG9h8orG+~ZdU%|>KT0=N3thv6adr~l`mHl6h5=|Wtc*Y!feoDV2{&X zXRm42x{d8K)-uWma%v2GM~!6%OX;|2Ur1%A-D9;&xKb05JsNEa9`d?49Dsk3zLi$mWvu{Ed37 zd*fAmzax*ysy)Q_*bjg)!Z%6Rgrib)FU3b*sM~E=Y>+=IxBQQVz~8H*O=KpQ0iG@5 zC1%3!$B-s>V2;MS{Z>pn$|JT6Lo~=!2lgfJ{_($Xi!g35qv5ls=ef-7e~MR(WjU4+ zN1qG4EU>-Hqw;v`mqxE;wgO&e2Q_$v=MOv1v%jDFF5u z%=bcgB)<6Mom$J(ObZ3bYawl;#qQ257Q1J{_fsuzIB1ul8TXqi)nIoiJMbVSBAO+W`0gTosZ_0i4g7+mvP>0HCaV4CGtguK}tEps<`K=mPwOzAT?+~kp zZ+%(u0{LjODSxN2p(gp12J_#jf_41*HWj>gN{1E7h;;52qa#&Y24h~<>B;8ckAjtM z$;~kz0ThP5JgJ)teI)yz6i4TE+(6yMiFXLH4m(^XNT1D(99Poqkta%!S?*Xw6 zXd$s2{(iA=Z~WqTbWQ2My%&$#PLrn!_wK%>CI8!^uK-xljB=8;QAEbH-%Q~@3F~}= z^$x1ftdyZN1sqK~D=akd~64~Bbs-mzjbb>SXez8|pXeK%j zmQpf9EON>p^2-H^-OYgt%0^1RaZmK|7IS|s$?vA&`7buQo0aWn>SU#!za@fCcyRHg zI7kZQPbv=wxi`K#%pb*gD+UDXQbV5iG<9>E7R?+i?bV}_$KABV1WORzcA#IWk6#^r1WR+n-Sevlu#k}2)yRPu;%$xzS1M2N&v1cy5d!V zknuJ*NOikBeDECD%K-ek-qJ=xlbJ+P)n3!k=N%lJsGb2bZhWN!(MNQw;SX39J}s|T z`YV3gv6LH=vcNWa%n(A$o&y7>ab=2M8mV+pxtzWOIQwegNgG(pgPn7U7- zViH1D!%UYhR%oM?8BjV3Ygg6sGfJ3e+ZV8#X74$ddK;a)Fok~|j_+4&=mY2#TnRS@ zm9|mj`@|Qra`jX>?z%&feo}>Wibl0?6aA0I*9~+ZcqgIT?x#ga^Orux^?4A*q|kQ1 z&cd4jk*fKE543P%pKm`9|2f+~LT_uvFIZkH{lYp3{X?vE>h!y=_m>E5DO_-BQn|*? z>c@a%MHHD4lo#jH5_eDd`wF_BLq4*(sXN?*PaF@aq%JvL(T*KFmWTR_QL8)O$aKAB zPP9$Pi){~=L&Q)q{1DcE()7$p3IzPt8lo5_g?^yw1SFkHmo*a_dkzQs*n6f=~>lvd6D-@+;HAUV$qEh85N!~8e&39RRF zL{lflWYG#o!rCIvv&oV90K5j`C-qV~LyO4Iut45A_ofRpd|Q~(kXYAGb3CUU(`LMP zyCO$(uP4u9s?`@_c>=kB%O<#^ev^2eJTxZ*Qj>UxeNY|q^;fvf$qr2TVO|cEk!<3T zfNF9aR_RkA!1p|sJ05R$p)gNyMjEFiXVmsvuxSshV;i>HUc)+Ok$|kzwF>SOI`K+f zfyKdOi@+|aypYz3wX!Rsi&x4`U$+{HV;fC?kU7GFnPa^(+U5YjZ==U~SaqL!-$D~3NBEfXk`pJnIHGC;#2lpC@@9hVvKR{=b zw(@Se<~~0#bLdZ-c7I3GY0L+w6#av$ziDC2nAFGlsmB)Xf-Qp`8MC~8f?k)&!cFz4 z#<&dk2Eq8ezDc;b-wfc37j#&+lUh;S{U$qr(3X`Ge%juJDcz%H^>DnsLT_)0Bb{pN zjEI35*4`r?hKp4YzCE3W&^(WZy+P30PxuF*376M-Z7L_5DhQ!`XQ6e=NMO4TFORDP zbcLOnQ9o>?orIDyWf_Nk4?R949UZS^g3jNa+HT+|TF)2M7&Vj6byirF- zkPkTHaH+y2ytxqaBN+csM`M5~koLbqtal^=eiyzC% z4_!CXHjDVOT2OJH&&6M9Av#^A2UYYJUXp#78hf+Pk}$yhtJ&-!KUgx7xuF_XWKNs_W0W}hM3ZB_FDmd%u+KZ8KiB7x4CmsoR^k-?`{wkl)sUt!P0_&a zzW+{%`#^gaxO(cB8fEWp*#A6TG~VX7?G{P07`9$?QF3h3tR~$LZ!UwBc0oNTKqT z-0T3zC?bWBfPOq1B-<#F&4ha?!6XxAy7YuoeoPqY^qB!j3p_6{4zs7!c0XjcFx~VD zg*Vj){$xQ31j6i5_Hoh)#ug5E-WC2;&e>Mjdrftp?0#f!<6ZMM_WHq6=j% zY(zEmGx#~s>VMg9PB>X>@;a9+fAy^h5*+Em`fH|5&@v_ zLdY2Zoq|D){pv)@v8m8a0@2$BkaFD#;AF1G{B`raFlOP2$Z}qODqsi6OSuspc$uP7 z)9^*vkD{F?hWczATqA&_7|W?h`R(pho_c>^RBxBHEm+B^L3X2W&Om%%X3jn47klI7 z3q8TfggM&sy!fznijwXqW%{|++E&T^D-Tz6uO(?maR8d5&v2(*>u|}xI@{$L!ggD` z@r&%xdys|v9}9UbC-_FTmNi7B%dzpWxy$ZoglbmnEI~U_y1@~^Ki93sF?I}E=AMwo z=V>Vg22nVPxc`9+&{-gzJw$sgmA03KsH1Kt!uhVfW-$H$*ds{x><^7QD;^pe_>(f~ zb=Yt}2+u%M9UDy#k^^!Nq}s_J&A+fQH=(*XHFRlLG@xX-$EAtiD-J)_+*|!nr4ZFNYIMrKKeA_ne`$&EXX}f zY>ZIMeiOxl*)nlqP)JAXy74~V0Hg8X;fbx{TL8lGxHW$<*vXnCRONS zVAB!k)ZF64(mG?L*>7q#{Y6UWUz2ovCGQRw+L|_*cYTVCS@_>L3XL6}E-k<4iOn`w zP#h}*Nzj4-cq{Mb&_2mfiU?+=&GQTQFAQ!OYnnoEoBqGy*y!-Plr=wJ6~&V^!{H&k z&!9s<$mO0%dx*{2&|FLaHHQT`yCF5sE;VDFcGAd>Yl+CN_#Pfl`ljY_!hShKpXc?* zL*n_kZ>?wv=x;I=0)>4vo;Vo;wL^7!K%@2H#3O9Sn^^TB)nR?;P4qjKj#(3eoDY$# zW+U*)#_pg$N|7nQCbRq5qfZ%})HoGV3?~`drOxgQa?n2kE|%AQYf~5@K035zD(FvN z!`WUbep43-!LB?)<&Z{JC(Vv4+-A?ZKgd^q#?AHdD!ld2--IXHr%ya~a~{^qM7BgV z6TI@j4fAUW9r^jhMAsf$I}A+!$-kS)$OueLi8ESRy`2Kr$l;@D5A%_7g}Gyueaha%T6ep# zYTTnP1Eq>ML{ZZZ{&wu?uJ>kB>R&zL96(hA482;A2WV}(BNz2dyq7IcMXM1fEErR; z@19Jp+b(i2^_BL&-rn9r1R_-fGqUXMAW^CQMQry#lYQ4nj?4sQsj+3@-k&?YT~}0! zFP;0V<%wBUHSQNHF;%JjEK<6uvPNuLOy4+Q>H^dRa|%5|*841$iQJ5$s+r4{9ivu5 z&DvvZOZ3EO--)qWEz%fn#Xf$F%i7R{jx0@k>`qDA%PbAutj1(!Po8Oa_e zu3I0M>wi;jGihI4`yke^E?d5 z)$(d)*MU*drD}#p;JyQhIzL?{x@1wU#e5+Zw=UT8iGS>3r`I~9?7(em$n;LoB7cRS z%S(zD+i?^Fs)D4FKG7L&&31Ha>lggd7?Kk7-F{5UNPfJ}tB(EKC39nk0-xGk!5(_g z^AAekafx898JyHES32_DUl62D!S;#F8)_5XCl+*sepZQa%7ib|9 zs@7pX%KUdXP-rCc@q0*A*DRhsT4hbWP1<{`ARTrCmj8WV!0IT~TcjZ;)L9-r1HU}z zRnthiCW)k}^!6E_K2O@#4crFb?!B!FxA2D#lv+|86iaq;4Jo(|?8!Y86k>6D-B}N{ zoCPx1)|eQZ#s4-pRh|C4%V6C#Porm$qBsdADhcc1_QmiSu2kA_X@S+j^{k+_e+LS(m}$Zx<;-Gl8AU~%_PKsXZN~sh4r15y&z-8><0B@aJGoIf z`d=s|MGHW_Za*M4-Ll~lyE4|tb)`ELnSiarf0~HbETVH@ z%hS2kn43}0WkWROmTq*Kf^#qVEcrjLX>!<+j;H#NC5JqlMCL z#l|NXxfO`rV3aNlXsqbimNP58J0)Pf=l3@00JXDqc47`)AjzD&9IG+$i9*9!MT{`} zS?->)@Tn7Mk(zC~VSN__?i}D-K|tO{ocHPZ^~O-&p;xJP&7T!wt%EG~`PO7m%yu}o zOP}K^G@njtM%c(Yxne!(pO`nNO0ox?_UqU?-_O zlw!&(J-y6Wab+OHXHTTtlb*S2NgO#2~ujj-%HK3Sr%@9_T9}34yTr$(z zs#K5mx*`H?a#f0#Sp!P+fE{A>C91M=;$!QDHh-Retz5nlUW;cY0?RJbqzU2J0n2j- z+`>cme4>;+Fz#^C;$K^R%7OXOc$IW1jE3BGc_&6b)v}jhii$?&dVck`Juro>`DX2RGi3*yAQ>k7y8<7jay!l0xanH_XPz4Iv&AvJdg`vfqA-{c9nH5; zt1Ty0T9TpvNr8O!gV6f6K;t9%He!p-<|XJ~x4i9ax=1Yy!*J;!1F+`iu;?nj(iEr!SH##jyd8{o0f^bnO5zdz8LANO->H@;SpK3 z%roZI#77F)Z*rfLR2bcs(Gh}?vc`_lX%`Lc`0_;CkXbi?Nt;k^&5YBgH-tHkYUhZ- z@WYS`N0-bp1Zv(N*;M1X1$GIi*?Mq10mIURRpIvF55}6+8rk+rc#|4 z-{5x6BNxD0sOs@$U*;%PG$yxLdU`S5&#|tiIb066zYo^tpcx-=qxAx+Npb0s#p@De zcmUsk+Zoh{r*m$*(>fU$w$CaeDWh-F^sb_pcyv?R3^KC??DFsf(((3$! zu7R0#ITqPEr*?@ylze!p?G`fj0n4#{!mBg*^oLg|MVlSw%{%EGZP{_NPAMhqMU6Jz3;T+)15ogxvx;F4c z`UQja_6G;nWj4NwquQE7iPL}o-?!1Joc5!~Wp(}+{=HU9D#_N)+MeGwcJc3gqs)6U z_vxtmIu!X|LU2y|CFN_Pus>wsx45x$zc91}QHCkl}6=ocav>1hP~&GKb+@F0FkT-{npSBlWvXf7qY* zQ2rTB*<$iwfHnGo{`b*_$e zNAO`+cUOLZ$Bun{$An}w+qI1goWA%*Qtx-YxcyMjeA}0@1N!&I>yG3aF#oKJOL;Ik z0_`gXkG{3q{nqNIN26pP8M-Or!y~Ct|A)E74%Bp{_4_7-&tI5vX&gL%oZr?a4Uq1= z_x?eHc&EQp;oc>k%?zW76vWN9V^#tCcK!dptgLof?Q;zX_mBTLEVuZ`g}2YG>~`(h zwF~D82YEdJX8f)6c)6J= z9?XeRR47fcs&)fySlDV7TF|x|?M4&YnE~`+|NbW&=%xH?Z44^mA3Nc1_Sa!D0=x91 z3GT7$3okigoR8MDe~fyjm(o0ng>BI>-})+QLv?i^Kjp~K8uUZo`xSCauPt~;b!4IAbCcj1YOcRN3=~*c zc-=73IxP~*$~M>{YBHE=lbzeJS6T8%8T4*<`nv16k7;uy4eHBDo5KDAE`#tw;1H=} zR8kiYx1&G{J@pZ3QG=_bfEEWzpH9b{wqTSyl))JjsU)Cvn6=u?hjBo8zwm0h-<+)QNtR+EIL@>mP6*LN0XP?vos zx!(LU9KI&dC3NM~H=_@~9np5idLh2BG&T8V>56ZGx!ssqF%_h>_b~RBT{Tz_ zxXEY6<4Ln!k^Rqf$J@uTF1ZiBRa!ICgrFVO2g*B^$oyY)~7zSEDMqLLJ;QQs7=NA-(Lr^_uPoe-KoZLF!tG zl&$!#$EkK(eEt9(x|v|zW+u^i3F*aqf^TJtHeq-rJ%{bhT0U`Fnej6G$@fZ z8)Q-6*46BnQq0v!7F%r~LR-}pZ5~vLFBs+1f0^dhpUSD~(XP*EeGF6Or0kq!k~0zJ z+X>JWmchOrh(VrB^`!;u7vxiIs5b)aG1mLc$+IT-G@zP?l)ljlkWl{hxv|UE!kIO< zES&($?8rdb(uU=!OT@Bo+R(x=^jTLy-y1h^Vs*nGz+t4hsZ8qj46#X zC*6Cfc{8;q1pYH4ZUE7{mzR%idpJ{{8A+(XO#v6RP!(77n+1GF`5Np17 zyxiPWsK{58k4~IU#0t{K2}G7-h%*1axH(C5IcbN3T~{02BMaE^d)cXZNTpi-+da?i zJpsX;W+GR`h%$ppfg%qtAiZ{j#?;eLE(on)MD_8w_2^;Vnsxz-3F&BZRL53iyG^o- znQ1l7WzMH_?fuEufOwJ{xn}@ts_z~MHh8-c;IJwp8-L|@f5%Q4Of=p1r!PIpAtbap z`Br1kfZ`mgqrF%B>_kM)VaGTKojwW~<+#wZ-$~9r5=$kf@hsbWe#*ydezyhMK8r(} zhzyE71yh3-$T!4#U$qBMI)1T6T`2kjSzR+c617w{YrEQxwW*%1S+|VbXFD7&ESbqU zkMV+IOB`eezcbG_-ZFOue)cTL{Trn~whBM}@5es!riq}mrKsEd2yO;sjE;_3>!%=zU#MF*a-_B^@^04P8mX z9%o7xJ)T@JVg+JN6qBCi_BH!t%AvNze0Sd(@2?E++@Fg$F|+S~pB!lg2!ty?;|w!F zD)+#0B+!0gFitLM8PweL@5?n{2NYeDdgY5IBK!V0;pxxsd*=PS7}@SeT;P z_M%9J2V0^j+Sxz&_4%;m#W(dn(*Y3Jt*ZiZJ@XW5A5^`!=2n-x-9>}l9J$TQ!^4T4 zmQ)5bwi)*9i_{er2CaE6$Ohz(`ztdadE~lg1*i*t=>X91mM`kR;VVvULvcqp=3cVS z7SMh-aV2kxUk?S1`DNMbLOsiEOK9|Qr3NGoW_&)egHt&S5$3+syt8pGnh?xak`ht? z0mCbXwxf1RDORU3qQC7eqq-b%PxOkc*%j1L<55?*Qcst-o0Ez)pFLrp znQ!IBsz|#FAorUx9ad60$6WuJ_DaI;TM(F4)J-`|W7>B{-dCT(K0)RtEt|2}T@gJs~5 z{;*&GrB^%!MUK}@j^G2~G#!G_+JRYF2%0?9&mYCNhTfy_*ZWYpvZr$=Q-^EfbTM)C z2x+4U_5VVgdZup5UJiw7T?wQ10ebq($D?s$1X%kGZR1=p6zQ37@Ah$Qz0${nCYn?$ z#X?^$i`wXkyK(x}mbZNmS?Iwag8nTMB$+pr7+QyC)E6RaqT2{Owt~V&y@JSm$V4>I zq-3P;B-t)$u1`#Z1b84C&vsVr`8ukp$(8oMi;S+`nrNlII`= z9k~-@J>>rmN=%}y0m)oB;Bi#h&R@s8C?RZGU+h2i6@HHo`LR&_m5?jh_5tROmHPXH zul`&aFG0@*O{XpLrVwP_HT+I~-_ISlvA6w-I)`G01wLbzzOIp1a1wGUT)&8{jER=u z@SAPt!{){|D07h0UoA9587N;zkT-kUK9QOoi%o7rK=L6h^_~+3?dJJ-nF31Jz(|Qv zS#;DUqtI<{{{c(uRI&QeB+?<_@3f4JEVt*QU)J?ne((ykIo>UjTBDA^r z0K%4CY*qm^E91S<0MB5M+!Oxe2cuJzo~bJj=?OGf*B-@J!E$Y_uO2u0vBOUjB{Ome z2`c7v6cvSihSL;5?bQmUWcn!VfPh#5A@aaq*PEA_3%*>~cAOzBJufGAdlNPd|LBfL zSl5z=@XE~7&((j9I8p>MYKO?@^DDirt~b~B%(D*-&xoGBqT8E-YYY93SaiV=<@Y~F zkm=00x4r-p7G0QQQddRh{!Lr@pmnA7+*r=t<_` zHm(<+gz?0`9VwBzXjfY1WZGNiU5_c?{cpdCLXdz=^z6deP!?fL(~?^5mv*XM&glus z$HBdOsouy;`S{y$Eg_(TTiNIh@W=Y?EW+lYR0jI9>$^g`2?r5Nr%(nLz&gV9(eyO= zU9!pP#!2nnS58lmoHU+8(TIx)uB;gRqc55`D{(7nFC^|PY@$>Cp5V!vha3)q__!I9 zkfRv;emnT+u}3j|E#UDdhYutr&Y%Bbchmu~LGfpXo=lHB=6}5L%C6pPUWZhLV`r@% z)_cZMv<(~+xziwHcolrqk1FWojC7!~+{qYe2)T}x650QfQ{B-apC6C`YM&=}I?p#o z*0NbS`Y({W%U|nbLiN?{W7W!Y=V|`zPG0eC3FTn0!=~4!vU72{7 zd%sV3ybSH49`8d@Jg!whjLlP&Tep5CypsDiUTy#Tt&7C1l{B%8LMtkf4TDPaMUnJ_ z8`Ls{f9dK@lE^%W^PW!87_sr7D}arJA`ph+ip=|pFb+eigjvV|ctU82a|Bi{Tioao z2lT{P_UVh&bpvRdR7cj&wT(F5jlb7_rsHLPk?#^Z;E1c2;JjdYf1d)Ggmm+A?~i~S z!VRbH>)=tzz%zSO$7xbld~>ex7`K%<_qYlc2og67Y3hg_87PfUjGMWse8JdhVr~ zXqXBQ+%OfuqkK=oq%a7D3(aa(FH=v{NN|p4XH%&*Pk;IMbpDflEWf12%s15^4bZN8 zWx_K4zTuX;^_wn|dG!hjIJ9wY3_+tPNF3*w>m9!9?ZrB7X?NR}+#@NIs>lh$=!+mEPYd6q2yc-3 zdBS^@k98v^jKxl`q=E#K3R5J6^B(uh?441PvA{!Y%Q!dExe(7(PVrF(>F=F$O1po7H2^qW7Fug%8 z`1u~y1DHbOLgv<^(%4~c7J^o6=F&@Z!L0b&(NsX#P~+8*+97WHg-ODw_BPK}RCVfN z7pBC1_sk-i+C9pyA4WJugxcy>o{_=urUxq&M{JW zXj8|{ynj#_HHb!5@$Xc(RPJIQ?YxnPw@z||(!Mq*s@cAVNg|OavQq>_-9la^_U~}^zhE1q3`Q4lD_s1e~9~VCQ8|P&MgIo9!lhHA(%*>=T znc%=5TTh$|gPD{Yaf`ua*5lLiMIqM6oS!87rAV$hQc~)&b%BQBEI=M~jBj9EHtp~Z zD1)I8SYiis3j2-H`se$aIl3;hU85=H%QjjAp0os~djP_bo~}oBX54NX{lsEi=0IT4 z)RcAPIiFAn#@+^;^eXa@%uhK=kMGIqa@fWcwQV*rWSOL^O}4Xdts1tP{SP5y?_%Kc zRfmIFm3g`ejym|RHtut{MxtkLUPBrDo;R}dYssOr^?^*w?+`_8DmP4M$aqmJj*TCk z$<<5@C3^PZsrlo_R^BLsyS+c$aHKt_rTkZDjC*VKkk>vPk>Jh){;Fa(RpKezJ{eK{ zxRqabhaGk0Wm{Z0g-yaou_3ZWxYgxXF#r`Kvi2&(HjxK1(cB?aJt3!a`@P`l{N}1g z)9J7?Fs|j}{r=pp!b)5XVtljaHMwzLFt1h1j`y$HiHTx~rYOP~?~s=*E=p<4{fcV!HSi_E>W{tFsh#_oC)3! z3MJVqzgAYIesJo3y1C{$Jvg$9pkg1OlvjFL*h(xgF#Wr()FE-beL zI%8NDOoccXI}R-!2SP#zro{!GlYtt%zuDL65(~z0$neW8GVPlX$Z%1}F%0B1TvNWn zzAXWMhP;Ak%JQanXBcdHgzPXVpA{+z&A{KkYXgs_2Qm0X)#ODf43s$v>hF7ltnzO9 zSmg>STP&$??}O2zk+)WRRxfrX1avlCsan#@kOKMtvWk}(G(JRKgilh~;^&|c4bokD zHDovZHccSWOq#>uVKVJPnjIj#Zq2VloxeYqE2+#aI38IOV-9!87P-T^>h42Z9TQ$p zhBASBL@vYoz-V2S;u(K*OB8y~zsp}Q$zO+Cd0Xq_3I?g`trZG<(Rkx22-Ku#D&Ihq zhE|*MHG?FynNV1^T`iCyg*uCk@X7#vvv+X2U`*(%8c^*UyWokxy^AZ00u^aq1tLnz z@Rj4&B2857_GF(P6z$(?NbzT|BmDWz2Kqs|9<)qBfsCSv=a>9of% z79fJDC^iIJmjXstrABCGvZmez|h4= zMW=?yMGD-=Nms@&yVEYb*(UBZf)GtdD<+kkaCDsPs_K&m9JMkPRU>YM*%Q(J zfFcGJBzxf+y6myWu5$JnT+*SYvew4DF>jeQn_!dyvwxkz07UHtFpGQ07&bJmqVRPf zJ*^a2&=r=ypI@!Gfj zC)=sOX@Rk?V$|?Kbaeyq72H=AFKylYHkS11fy7DnQRm%pi5SRu;zw{MK=3!V<(%^P z(2UAySh#97xUx3@wb5@#S#Z?1kYIfRfocNGh{6ijvd|w?N@QthT^SsO z#k%%}{Vahb6zh})ZJ2ah6q_zv!?-=N`9~e)P9h~$%U)f!_Rp~$E!i{Qbah2xD>ubR zzl}&KE4@&lbP|5p73kR$poj8;+<2o?S~l6;=&=E?uEZq*r8M|p!H&7%3(QN7V8E2e zj3|5*=a-d5u#K1+K+p5HD!KNTz+c`NZ0xRH(oi+|`tT?WG^vR!3DZFcVVj-MeT2P*@$MRd}r`)E}nW54e4J-dQHfF{T8*VLI-u_h7osa|$f=GmqW(`Jwux z6n0#C7HMAnMrn{mUfZC}L`P>qqE_eS%KRPHHIg0af#F1f2OfcLC$i6MkBpy`&(7us z$qG?iRY9_d5bSM7qC>%D<=2DiqfjRR?Dha8S|4$&(2bM&I-R zU^)Q)cr5n2m)Y4P6Pj&%U`cH8LbUYVBgZeg!3VhvsJ(e#2`?^;(cIlr0J$((I?~|~ z+E5r|4Al?+WZe(YF{*O(?Zq{(DzdL(Vr~S5fdH^2bqxc?<>YOWhui;4_N^Ix4ELILrgswkNS;9r zlUx(6lUBpoZVjOP- zUeQ|xpHmbgL^j<;M5%>#7G#uxU=?Yte7L!b5dw)e*&l(rZI`CKHN_)0Pk4BPBwLGS z=gP9MjF(wtm3bCj-};BgUC1md5Wxt+7K zpa{L&IRfyYJGlJkU@fiNkj{BpOJpW;8cGm9m=Vm5!NY6-ne~Ay% zFF72znVWxg|BXzE-koy6`7laIx<0!sKWQWTHT#QgTe>oI{xXJOnTb0l&H!e&Pbc<< zf<>Jr3@?U1)0t5qut(O|0aF4=f*k8y;6@;&m;%~#yy5OPv`xaq5UM*hG#`*Y?%Sva z{<~74<>TNJ_RNt7?8LUyoK$9g%qaeJVvfw*9X(X+qIoAj^y*Of;7n#U@8(Vm$gnm( z4=-FVDI~W)1U)M(m>hN{Uv5{Q2`5Sj`Vl(pRZvU`Y22v<-&)-dwd`UrqTqRcql!0Z zZ|3@U&RM)9m$}jolg&pvY_C^Rd7E51I{@XvRlo!{)bL(ZFz(@5-9fLP$>k=XUb`hL zV(zr_9c!sC?|jz5`bp{0_%-JDl~%mjkFbj&p;f`8Zi9Xju} zT2~s~QwGePEy$52lXP$yh5NjzSSWveR_MEmi=L(LDs&87XJDPOYo z>xy|(BZc&TGWs_25bDC4*{gF8Y9IMlS{HR(@KnU||C=}?7!8O^GAI3fBY!IeG3b}yB17V@nwvBijfCzY|p7; z(BN@!%k7b@x`u(@yD3`OpeRB=x%8(B-j>ERf?1?P&4Qj^KjLd|oEhxv$0SQRfhreb zOnI;S8h^Vw5q}gRC>rh)xAWRa}d1qWCh8rX0Qio9zo*cs%wBT|S=39^qHAWd$r|-YYz@ zLq^Q)P?WO?g{9l$^L!|zJ5JiWp@YV~Nozg{nWp<^4RXV-G0`_ZOlFs|l`KGk-k-M2 zNF(8WownDSU2dCssCkxhzSpHKWaviEiV9*mBl=r zVtW$YF5nXKaTV)o6dyu6SAk!2w9dAUoW?*CYQvjvr>hbyeGmR5-0WuB=hUjI=86|Y zl;LhLV;GjyNkJ($)(m5555Ve7Fe6F&M?9_-Bm{G3jJ?&hIvvvBB2dw7X8Jf0h+s{1 zZL2b#%7&=+_4y3+jWtW?#oj{4Y zUESgIvIp)=)47q=OxS=X)S`g#xU1~s(mK$BxPMlInQ%O1`^j|Bu!0>dZ~rsXBnLC8 zi@4^3bA6aZihKc+d*?}#UE@3RC*EImE#yTx?9Bu|F z0~YDH({!0hbRG6nh|Si<6}57`^NH-V!+v4dlx@brO&B{D?$@W_?DtQ{r9*RYp~`LAAA7pY^!m=QSOhKO2|Kp~^nG^8*)yy?b~A=u z>viu}wig!2lV2wVIw>E51ཛCjK>Gowl+XY&m?Ls=n@7IYmU5IMevwOaD-&CA6 z0clB%hD(*XTHqpbjo;I-J5^cZfK`%8&i3YZSU!m>7}2Y^l34w&-C+QOyZ7r4mREm4 zp#2b!T-NjROTKK%=EkY4;dXND%5AEjr!MU~k3Dr^j`_Y=YHm3@T^e-dr~fH-g#^zx zD61lI-8^1R{u#rWeC@Ztt2}%nErk#e548TGe#RMvv)P1@DR$EIZ$qq*1G5hb{G{_- z!a{k0YjG!co0K)r(+9%rC7&0`=2l1y~xN6gc;no7LjQn5Kv~-~A!L`wKoA zzUceUqz3&H_i#901G@na)a}o@sBUt2;aG3qMH!NsvY5Ja8Y`Av9=#w6smfQo$A14)KAmVSVmGz?O4`nem6V#nmu2g>R;Z_97hTP=@ z3lSUHaOj{gQXk+UH%P+Rk&kv_Fcw7zY)ACoT|#U7A%lI5EEG;0WPZ`=DweWTP6ITt zGF*ncw4Wa;iEyv}iOrtzUDvGu ze#C(disnU6uejFTc9968gM+~k86pHCF3>+oxM0AkQeQTQwV!%;G@OU-GcZ(1o9z5M z=V;ZCe+K8R)mN=TMoAxEKtYdNuGpP$d^M=potSSZd5B3k-F3S7U*(dFT`$8nkuR<0aG!I!{pn$r5#Mj2NxAa;%) zJbS(8s>blo$p%T;4>E-xc|i8Y4Y%eZh?G=cj18)y{%6WEkuGVzR3d#jz?)y8Y*IiS zz$Sp1d;Xlz>*gDX@Ikn5DfptUC#a<#H3y9}{Ib|F5JxUk??SO^bhYLodr-v-#j%sX zqC1@$81lf$JR#WNhmuKIHbsGh+mq@TXI%4TULJN`uj-AB67HAg8z2u02R#_tvDL6g zmJ2Fj7dqA9y7Z6F#NL75KaR=V#!brF<1+z?0ext3~76XyieGOHUe{+kP%*MW7I&@P9KlFK(KBjjKd)j zTfm=g$@Z7b=njF%Pl@!A0X-h^k0Z)KD0~Z^h9o9L-sveuaP!a)y)LG3bp@5#kuvhD z)YQJuvrF(OI9-sT8@qHEo>o8=5Uxbgj~x2b#pF_%gkCZ3@4igkl8yzc+MinR!ibcN zESm=(BTeDUafG10AFqqb!r6&r>iDk*(_Hh`F%5R+h+ zoR`oFUYTt)U#b(aS5{ZvANTr);pj7-h?6s39T9nJQC~2F{(Io%qdF5J^t!`#q%m%s z^I&5nOnEddw7FMw!y&a22|foin?3M{WR$!0gj{CEfM*wV7(!hJ2BF*ror(!_FyC73 z0u`j|aY|bPv87%~?A-Z)a_JRWV{#9Vm7rh_as4*Qp#)j0N;~gy{R(A22j`Eo{k}Ou z*Ao|k`~DOzdaOql=1W<-5Y#whyGIuKr07HCJ^H zHZEjkf2_ANVN@zO>Aty&vrtZC$HQc@(@6-j((T3FyM4pt8iuv9Al=R!%=G~?iG8Tg zn*ibA)zwwA25x`BY_gftv2m+wpH(g4&Bz1~)!O^JADNJ1!UgVcJpsI%$%J-MN-d>? zT)krdMt&C#xO+(1MCpv_%I*AS9jF&Ne3DyFDDWs+4sn~Ic>LBX;P~2a8y)5~dXx6% zn!zEL8G5}g>@SkrzGyElH9|TYKO{LLe`c8KfB>^A-k2K24NZ_l>o71pV@WGE!y9sG zUtm}@ua~hZoE)$4S_zUG?g9}TEg*y=0bPOCuLlFRJz7<6A-NwYE)S!>c5Le*^%h8x z*{Xvq6-n1}Xp7TAsO}cl7Gc-bEyFR@OE4N+)KI{Un)7 zJe`I9^hR>?kZfYJq3dfDU#_CSzjrGJ;pP52XvOn9X8C>Pz%B;M6nBfUot_NpU-R~TTwaD!5cs#mDz{#CA5 z3E=(9N3+B}9o_TQ2IW!>%-Jx~Hn!0bI^s$x1>TOTZtpx*KQ&oqu`g{iV-%>rK zZIEU>p=ri$0v}*>bE0{EytfN$Mds?o;ISX6V#HnKE+TJrQE$RzqXx~?tW^PIRG6(j z2uL>J(G*PIf_aOdJilfl3&vO2D;tIQCDL9CWTeccIv3s5yp*l@RPMBn$_D-FOrzVe zs@6Wex3|F5gGqidIJJc4PK8ArgM<+Wbk$k!rmzX&yJO0CR|c2?&x4I>kVe&Df^^6l z`^65JKng;WjVLe#ryg!G3-Is^+d8`FO`TV7b-|kTDGEqH&nk!u@QoUbGRHUvw__l* zUtHpW$yrku@e%C4!ZBJtx*|_D(3MVoUtX9^y>Cd= zmN&cc4l;WmRWd7qTkHq_67KMWnh7zngNp`(Lj?Vd8utVIdXUd-n^HrtnWKRPda~XQ zH!GW{&#GgI#+5ude#fNY+(rWU{$OAD=!75=2+rDuJOawxFNbABn1eR&{T6D(+RILF zUtyp5W1_t~59pGM^-y6TWhQj=CH$I1hF)e{<-|QN{m>{Qmlcv1O1;s)XsFB?eAdlp z1kCO|t?c82qy3=2HJ5q;{J$h*#BxF_# z9MElIck;kc>Ps`)85cExg+whd)r$@Gn(`#96hw281}K(m&lLC3z8@_OfD;&USW466 zQh0^_enKvBQQ%r>7t@_5<`vwE$yf5m`Ri&d*OO?_NrUrzq|S$jlq+N0xOKJr{iVG- zmpEcw8r{ZgZme`(IH8|_wGR=KoVh9Aa?VPajGECD4H6JE^Q@182rBNHhXcdPh5OX^#j9o}8sG(hswhL8#TN6jvrCcdhgnB!;loy6Mji%NcFvchLf3neJu+8%GRE05 zW+x%aFBKI5jw>yyY!ryqHO{^UXF@&v4sC68Pq~k16UpWsUS1`ymg6hKo;9Ne=*4aE z<>sCk88BBQun+w?s#^|7&P6c^RYW~1Sna;n(F8Oes%>=1TR%NB6=y>F&|;*>;kNcz zSF z36tt-VXH$FuRiE{CeVW^1-kO~vj0*Av#g@9Gt&qQ4k^us1K!-&9d!9{`fgRQep5wza#u#=&JE zQ!O=jY&19z_$$$`@NB}kcP_lF1D`|Vy*Mnxx=I80)a%qN9=B!+ccrr)76Xl+aNp9W zuQIKhauv~R8wAe9kCc3Grft3c-TQ4bg%D|e^Md^#yy|b`IHF^R=x!~5`<_C%@lGo0 zcJj@J(e&IyY@M>1$lACiJ4Z7&ZXbG5+_P&)g76o188=$mCn7BLvX=JuY|@FDb6FU| zq=xt?ifb9cMaytb-2BDJRvQ=vmImo2KkEX*aUs}iFs+?+$6s$oZ?n?J##o#E|R;Nt@>&=;y) z>xFDtWyd(Zcqnlk^P*)A@<_+1!ir&-y=KCY(U??Mm@rwf5j}R?Akz+|zAi-r!YMMj zJK(P~6e21waV}FMBvawfRz@ZS`2mpzI*j24l;@s4_I!1-_U5#*DfAY&f!zoxOqQ5z z%B_3w1wDz(r9A2{ndDBF5rwsG^mToGRw?%Kd$1e$Re^ZhYh>*+Xz7F1S843h%AJm@ z;1tfW;Q9&1iLX03L}lAJ-QKFgy^(zqw#d2m@%kAVtTFR2(g}EqOv|PQOjDBn`xOd zY>|s_dh2}dZ=?5zh5C^5zUy$r^1y?E#;l@SLo)5`fNu3lzuoE`_R7$66*xlV?5y!M z5xgIJV*FgUsqd_L-#5@gDmQ(j3^2{+5slv22rotk$a4c-v9&yu%Jy6aP44c)Qu_0{ zPmuAWmQ3+V;%PZTgt}6|PKtvj%ncZ&X8O}rW>%@cMdB5J63zwQKtiY2doOI;?|(Uv z@Mt-t7hZI~wJL4ZCy(!AQi6tw=aiWz^ZD#8f59iU7-&@TZ7O9)?NKLOuIk{LPU|?A z3%SH@3k3JNB=u0DY33P(!^x2BpJVD3U8ZnRt7o6A@Qa`wjgj;-COO>P>Q^oNxt7E( zYBI&_@4OQgs=&Sne2r<2HTcSaq_a1Bz8;9 z|LVY#0R~vs=p?*A4v{&Wcb}dY{6Z7dF5T$!xTLL{kl&??6IVD^*FZ}+G(Jxa=IY>P6xudi9 z<1THo+t~~9UQu%?b_Fw&L%`BKmu*HW4I*S%yJN+Jp^4&p)!JLDrulp0>A#DrIvVUX zI34_-ao;spe(f+?9Y2llak}>u^n+34`(&C19!}jTpf+7lW*RB}mLaT4Gxs=pt<0$R z5POc*nJ>XO`(|w;a=F!RX#!jNr{Qn8CoRcqtL)Me8ccRLVSCa1tqHr0x_QGJDfU~N zpT&2>w6q78``Wn_@a57=O*kwu1j{PYW@IDpeme-$K?$K4h!%hI4^St}ahPCF`K}LO zzUC)J`blHhBH-3Whte~+Enk-!a~`(i_K4rx#v~4n$>3Q#zbm}G%_HNv;Aso*+BUp* z#FnnMW#QU?q12xxUyfOP$|b8|O1cB4;1%@9L>XSuxycxMZ&IeWV$lLwPQ10M9LlV8 zfeDU85NGX&Z~L0R3NPhWul$g8n=oV83epM$U{a0UrM$0{?09PyN&UA+;$3-rf@b3b zED8pHsOqlf$sy=rWK`RO^V?AqC?NQd)a#RQZRJX--2xNLJZDV*Pc2ND61w;)gduyJ zlZ|}`HmQ-UWbd%YsGC?-;BWa!d}!n@D%D9kqm@QK52DFkpdi6)*cx zcRMQChx&T24$o~`5^%wc_TFLTp;~+^mv}GP^JXk;W_CDVPNgzn<|G6Q&KAl4IMTyL zHOL~}^P-uZWfSv~N8$d%{=;nuN%)?y%6}(7!SLgOm`HcZS|l+;^}Jg!Y5Mvu(#ml1 z`CF^e6ACU3N^PrbwZJ5dAvTLel zUgKX%8_D_ZAa8Pbpx)$RInw5c3`cgIgj~EoT`Brx23FCKaP1@J-HDlBN*q4fyx3fc zFd@`=|7>z$HAj5fF#aVa;KG-kpu+8V!IUkVxH)+jjI!Rj9A5>bw8^RiKWuCOq0?En za9;4>_XZ6|ymVMn&TV+6AR94W*;kv!OFSo(2;G)pa<%*Qb+16`>0^e;r4^UmRtto~^GT1A-3TH*7F_Lj{YyPYVC19G z4&K1Q^X{C8CWnhV@VLfr+sNKMR*WtqdShgP-mp{(Z*owxw^97 z@#+R;GD47{k;AJBx0%KHldiO#Bw9u_-;xT$2!PtlmK8%fyuuL84`SIFF{IhMC0pKs zUSx-epgJTn;?VO%$pGh~WZOtHx0rABkB_=e_6AeNMCb~wu2j+mh< z2z?UD0z@{0FY7lQXEov0Ay?HKjYtoi!avzt`|-Q)W%S#`{az}Q&+|p|161w$V77|5 z1C}5#fv|xiGR+%((ss;I^G??J$~Z@_RXr>~Md%C=?f8X#H<7upO^j8dwYGz{sB@C7c3QsH8%w<|)R0!7hdd^J@!i!W0jcgVF7L&q7_cOJ``>>P zMnphCIj5BazDx&uJp`Q#9(|)WAls`r2kQp>L$1V4X1x0SB&VQSU>S+&-q%_070d~L z1D|BFuj2c6eRae`%&hgO{#@JDwgY_%8I2t;;$vtVk(Q`}4Mw@;qwnum@%%4!v#W^= zC4=zE^!>zqSGlYxPsd+_aE{dr8JRIKo2J%%sd+batMLFKWe8tQjIcf^O_f37)jLHt z+0kE=&|>Lw`}${%`+x@GZ**~=ytfffcJUZRVGcdMFn;aWK<&CV>G(1!QW@T<3Ekc` z{<+inuvw3``eS$QcFuTH0vS2T9E>F4(A{y%1|U^e^=-0jp6A`)FZ%#LpS^l(^@oI) z7yT1k=U055PyVB4o~KjAdNbbZ!r4WP{{B&2+=QFRv09OqY>PMTCYR84W}*88jx{oW z&&Ncr^c~BcAbx=ydVcdK^#51UnTI8nFMM26TLyRUHO+mcCKwdv8WlpRX{KpY_j+Y0 z6b0!Pq~=t(VlJSCqEH~?cAJ@&nKpvL=FKz}MM5QW#(`*X&orF?3nh@=bDxL*d3esl zIp_CXK3`J1FH}#GYCNCAAW4C|D9U8w^`@A-SYUJ}%iL(N<<+*T(#)_;Lf4!2mZv`? zaPHss<|GFfcvI56OZeZLz8nY$G|=#4?zbi42T*wO*`XYeLyk8rohjW;dfB zk~5)*_;#`~Cvm87`-i9An>=4Cq5s@4HIRhaFB9~1+*Enle&y+p>r`pjknSzHr0n1L?;{+su0gdT;^FSuxeoPod7? zoL{ma?JXNhiZ{PsW0Ri*H?h+l7<3cuhV}O){NSz~mT5?CTkmS+uJH zAA6#ykRb9QH*AsY%r%UwS)F?+UbJ((loV}W?iR0^-#WvipnTC7B>KYGX{4TQ9E41T zoi)PhDc87bI3oI^xU>aFZ6N2?iiG4poFv|aIbOA3t|7@b8o4)N9^+%))@Psh<0=`# z_8Fk0NgXbh?AK}9w_dEDm1#-sl{&z#jKcCq~1tn(d(Dbpn%XG9c0wloO_v;QFGQPXN*f#z`=sDK5|2dduV)N_Ve{ z;PXW{-P3Rfrm3@<1LVCebUVbnQAr6Ui$YchQJc@dxKcl%{%fDME3xHM7mebdvcT}k zG`c{qK20Q5v9Q6{9|0~)#{?*=jPmsZ7%na<H`Sfm zqp?qrMDp3Snf=E7CerfH(2F8i)%I5ux+!fhf|B?Px~7P#yfHL%UE1k?xH<-`@! z*n7C>u`Coc>bK*RA;BzD6@nl1eYQyh3!)Lr;>;$F;&&eLJIN5K{EAg3u(Tf!Pe{kO zz@4W0MYfHg~(3S@G#)G?nhO9p} zOMTRu|8f{8V2D7+!Hz->D3s&AtpoI4_|jTcN$uJl?fy20a z-V-}`_=&%*lG7MG=VUu_i+vsIvdl+1IP$Kt+xXe$)iIn?jnBzI{I9`(H-kRO3*zk7 zyDtiu-(%P|tQEJ2%)=doGd3-1!?vVhwqEeH$ZP`xWrY38cDkhdP*Zqv;t$t$Zf^l# znff>r>j239CIh{%s;=h0m_{}y_)G?&Y~qEmHc!q1dkkXa<8f4uGQ1?XB!2O^HDfKt zFDQP0z#L|(WcSJQUz9ws###@ZKr&51==gx3=FmRZBPhj&fjW`G>tio@)P}ZyfYy~| z7R*##j8NBp?-@gu`q-bLdim_)H)%tydapkp+LkBZEp1l}MaVC%SLmf({&CmDXCsR% zHvET2l=A96^6cff^rC&t*O_4p{&8a#F(m8UCHbzF0^jNlw$_6c1HI2UQc>UtGC0#U zH{q;TtpAT5n)7OdZ$p!xH>)$OG518~UV^a6l@fR`CTdqDvC7jIOo?*c@RfkP5s#x@ zb6=9jlF#L7saM`P8>3Pdd;2kHWs_)C2{$p?N`$2mpYvl6w_7d@Al-(V$ zAd~)#HCFM8bK}N`08Z~A?QBuLpUKwjG9-%tU`2F!yt@tGe?zI9QI@*(SJFLeP-e%a z!wZ&{Q!>_-QJ))$`g zDnb;#c}r&8Yr#AF2G`o4%}6;faE4Cs*c^K|q%TmVDVV!m+6cAqt@w$+!0PgS{+g29 zMcKdReYVd@a&LeuM(*TxmI!#N^M{4%a#j#Sj?g7r7!WftJ~IRH!e`FXP|`daWc=q( z^Ns>v=+#H6B!VNqc{l2_%{Q=Ic}WYRXZ8L(_->VQ5o^MHVuaqU=v}E{49}q2HjQ9{ zfJ~of;^>Uo_jssRATqP~3mj$TpidyDEAO58S?UI)LPuVTjCO9G28HcB{Q~jqGEJI2 zZX32fvd0fTNt%jew|-(iHo++}3%u?NkC%+AR-~me^IZ~VDC=6WdWaugTN6(6`G@lkERizEQabg?)?C!+7Vlm-p zsYv5kB7k&ZY#pCEBYNLpA-Ct)hA&bvfR}#fvK!pPRb0B?4d&2^t>NJ}s*_-CC^?LR zX|x{gmRm{Pw_V#ucHJ`jmL-i9F&j*P^li(;_H#Uk%2inVG9MXhuSl*BAo;56VPEC6 zuPJ*p{QdArQ zLe(pOu6dWunoybh1bZ5T4}Z3)zU*TsK+&_Avwbe!H5Zi6YMbhtr@h4FYb?;~tc}i{ zkh92}Hx2@gn?x8}K0@4GDqIi%U1+@o{CF4jSO9VlsXX^9*lu=fO6X8J9wOFr2hQC~ zff0=8em$7v+zLA?DLeGd%i#r%XR!nC0-&k)u`0p_)@r+cK=y3%o^pxO6jrw{SGV&S z$c)emq`Grss$+heA10Hz!ohBOD@uw-V?!JL9P^t@t{JYMBuMGGddaHEv{pXKym5Gi z((xNgeBTpDLaFr*+^D>~=*xT=I_R&7$lgcW7yb~~w`Mk(#ww7ydX#-~&v^E{Er}ao zP@4u;*dxB-ud~^5AloV$iF!uj57No=RQ||DZR9|@xwkCYD*+v{bZ*LA2-N!D`aP^| zC`PIkK>@k-%M!(yQQv)ZCQ?`*APj8VBpfNpUj*$b1GdXtm4Lu)@JAQ}XTXwtgNnYz z-d#fhPz!6jZkZ_E6*;eK5M#|Rb5u=|6YBvyS}=~FMYsX4(CsZ{325XV+490JP2j>y zy-a2J5bGRa^w00mw_w2DvG)#$G=-vRrFP5AScM7b_$e?jJOZG}f;xkDaVJ$Z z^OEk+so33ikg{IiZ2+@k2ds*(CF-ofseO^kpDUH})hGWOY~*(XmCXsx1g)V9Y?Y2d z8OQNB6AVF;P;d5=_=e&}KeY9{meh))6_8kmuti~Qj>#SdJWf{KNlOA^$WYM=?8|g<@PNt= zI<0u`lRH%-)^|p82NN)xn3a``B-c3QrWC+LWKT&{0=C@XAL)iD6@>#yRK~ffH9RVe z-C<0p!<;D+HIeH#(KjY2k*J%fP(a_e7X!4C6vXyNP<2xY`;{Wsr3WHK3D@M$Zh3kj zFuKUKvi!?*6;LvD>Ld%>AZB|HguRD>hM7z*!(vrl;O@aT2QDg@IByKkHq!g3>rs6s z`QApE!NRl@G@r4;a~qaYLCRUB?Lb-Hxl*wL6Q_g^Le`1;LoQk4*ahM+FemRu?Y<%? zVGa^_*1o0Tmd)X53igP7nTukLru(3a_2^+_fUA}cv*l-&%exj zH-RrB8aibnRUv`&K$U}QqHNl&0uzQI+SuJJTMm?g})wt45fqWAkm zw7ePfcEQv@dVMFRn93dK7aOvWvWdQ)+NPqR2&)gqCGoumPSgFRaeRtorr~ne16qN& z7er*x&hg6dk-7dLPo;}oxh&C7+QQ96Q(xlth9Fg*Rc~4TWdS3)nQ?5HoddsJZZ39% zbS0`xV{X3mT>nmb4tQ2tDi9m6aYN^t2<*PKBF*ouI9W5MLV--8irz<|mGQccZtXgd z$|kc)yIZJj01b?zqgj|iTHmbW7ND6A2mYniAKw*|-9MPNnPAD@m^)6_B|}!YBD~1L z=Ne9&fio!37o-LStdrjw9c=+_D7i_qo%HPY-b@-<@O$fIiGa$8=41tR+;7lOA9$?c zw2lf#Or6o2!2g0=m9(8Ic|F&br;5XNE4FKcUY0Z1Y!gUO1kizJp!7)9&duomd#(wlP#WVjsA2LKxa> zskcj07RndHDe6$V5V=O6q}ulWMe}t^D$TR6&3kC!Zts!<82SMRF09Lb|c^ z&b)`cmG!A^owzS0>CLVn%mJ}=kltYiuZJ=QuOnD?bFF1sdAmd_>I(-{Ow!}ykFBa0 zl5f9NbGfbpe0U-98?mNu>rwGi$I{uiCTMHnmr=*;6nV=Ut9X8C=Y$b~IAZ#<+vs;A z!<@T*b%h!eB2^wcu#=n!_Nf+iH#GM@`D}BqG~NBK(<8IX)fk`)7@#@!zb*Ks1dj_= zK={q<*80*AqqNHd2(kN%{cAC~_7CI~@@=O4gADexWPld~ih08IL z{+-7Iv&@fV&Fa71kGrPH!B8P6Vsx zMF*<53Eo*S;*L?ia{1n&UdACRVxjBt=|G&0;*~^a-Mw#{e8Lp4&N}<|YHNyum6bNG gA(9U|R!KVUa%N7Ka-ola!H%%M%pOipb3d#9A4jJ0UjP6A From 4b14ed7e0340df69a14a6c2dcabd547487c1d5f7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 14 Jul 2021 22:12:01 +0200 Subject: [PATCH 215/313] Fix broken links --- src/04-meet-your-hardware/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index ad2089b9e..a8def32e4 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -5,7 +5,7 @@ Let's get familiar with the hardware we'll be working with. ## micro:bit

    - +

    Here are some of the many components on the board: From 86b505ec05939e66f7f6132785919c0ad645f8e6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 14 Jul 2021 22:30:48 +0200 Subject: [PATCH 216/313] Chapter 3 rewrite for micro:bit v2 --- src/03-setup/.cargo/config | 4 ---- src/03-setup/Embed.toml | 5 ++++- src/03-setup/README.md | 30 ++++++++++++++---------------- src/03-setup/verify.md | 23 +++++++++++++++++++++-- 4 files changed, 39 insertions(+), 23 deletions(-) diff --git a/src/03-setup/.cargo/config b/src/03-setup/.cargo/config index 1a25b1696..6260c5718 100644 --- a/src/03-setup/.cargo/config +++ b/src/03-setup/.cargo/config @@ -2,7 +2,3 @@ rustflags = [ "-C", "link-arg=-Tlink.x", ] - -[build] -target = "thumbv6m-none-eabi" - diff --git a/src/03-setup/Embed.toml b/src/03-setup/Embed.toml index d96946623..62b041ec9 100644 --- a/src/03-setup/Embed.toml +++ b/src/03-setup/Embed.toml @@ -2,7 +2,10 @@ protocol = "Swd" [default.general] -chip = "nrf51822" +# v2 +# chip = "nrf52833" +# v1 +# chip = "nrf51822" [default.rtt] enabled = true diff --git a/src/03-setup/README.md b/src/03-setup/README.md index 4771e9539..d8f4e55bb 100644 --- a/src/03-setup/README.md +++ b/src/03-setup/README.md @@ -19,17 +19,18 @@ We'll be referring to all these documents throughout this book: We'll use all the tools listed below. Where a minimum version is not specified, any recent version should work but we have listed the version we have tested. -- Rust 1.45.2 or a newer toolchain. +- Rust 1.53.0 or a newer toolchain. -- `gdb-multiarch`. Tested version: 9.1. Other versions will most likely work as well though +- `gdb-multiarch`. Tested version: 10.2. Other versions will most likely work as well though If your distribution/platform does not have `gdb-multiarch` available `arm-none-eabi-gdb` - will do the trick as well. + will do the trick as well. Furthermore some normal `gdb` binaries are built with multiarch + capabilities as well, you can find further information about this in the sub chapters. -- [`cargo-binutils`]. Version 0.1.4 or newer. +- [`cargo-binutils`]. Version 0.3.3 or newer. [`cargo-binutils`]: https://github.com/rust-embedded/cargo-binutils -- [`cargo-embed`]. Version 0.9.1 or newer. +- [`cargo-embed`]. Version 0.11.0 or newer. [`cargo-embed`]: https://github.com/probe-rs/cargo-embed @@ -49,7 +50,7 @@ newer than the one shown below: ``` console $ rustc -V -rustc 1.45.2 (d3fb005a3 2020-07-31) +rustc 1.53.0 (53cb7b09b 2021-06-17) ``` ### `cargo-binutils` @@ -57,23 +58,20 @@ rustc 1.45.2 (d3fb005a3 2020-07-31) ``` console $ rustup component add llvm-tools-preview -$ cargo install cargo-binutils --vers 0.3.1 +$ cargo install cargo-binutils --vers 0.3.3 -$ cargo size -- -version -LLVM (http://llvm.org/): - LLVM version 10.0.1-rust-1.45.2-stable - Optimized build. - Default target: x86_64-unknown-linux-gnu - Host CPU: skylake +$ cargo size --version +cargo-size 0.3.3 ``` ### `cargo-embed` ```console -$ cargo install cargo-embed --vers 0.9.0 +$ cargo install cargo-embed --vers 0.11.0 -$ cargo-embed --version -cargo-embed 0.9.0 +$ cargo embed --version +cargo-embed 0.11.0 +git commit: crates.io ``` ### This repository diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index 596390d0b..d362f3b15 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -44,11 +44,30 @@ Furthermore, if you have never flashed another program on to your micro:bit, the program the micro:bit ships with should start blinking the red LEDs on its back, you can ignore them. -Next run this command: +Next up you will have to modify `Embed.toml` in the `src/03-setup` directory of the +book's source code. In the `default.general` section you will find two commented out +chip variants: + +```toml +[default.general] +# v2 +# chip = "nrf52833" +# v1 +# chip = "nrf51822" +``` + +If you are working with the micro:bit v2 board uncomment the first , for the v1 +uncomment the second variant. + +Next run one of these commands: ``` $ # make sure you are in src/03-setup of the books source code -$ cargo-embed +$ # If you are working with micro:bit v2 +$ cargo-embed --target thumbv7em-none-eabihf + +$ # If you are working with micro:bit v1 +$ cargo-embed --target thumbv6m-none-eabi ``` If everything works correctly cargo-embed should first compile the small example program From 6987b01c1aa29e13abf5254d976388eee59d1921 Mon Sep 17 00:00:00 2001 From: Waffle Lapkin Date: Thu, 15 Jul 2021 15:19:16 +0300 Subject: [PATCH 217/313] Fix typo in 05-led-roulette/the-challenge The text said to modify `../.cargo/config.toml`, but the command was given to modify `../openocd.gdb`. --- src/05-led-roulette/the-challenge.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index 77f0d3ba5..d5c50369f 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -73,7 +73,7 @@ step Now we need to modify the `../.cargo/config.toml` file to execute `../openocd.gdb` ``` console -nano ../openocd.gdb +nano ../.cargo/config.toml ``` Edit your `runner` command ` -x ../openocd.gdb`. From 16ccce6b1215199769c605813154d810d4a865e6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Thu, 15 Jul 2021 19:40:31 +0200 Subject: [PATCH 218/313] Chapter 4 micro bit v2 rewrite --- src/04-meet-your-hardware/README.md | 70 +----------------------- src/04-meet-your-hardware/microbit-v1.md | 66 ++++++++++++++++++++++ src/04-meet-your-hardware/microbit-v2.md | 67 +++++++++++++++++++++++ src/SUMMARY.md | 2 + 4 files changed, 137 insertions(+), 68 deletions(-) create mode 100644 src/04-meet-your-hardware/microbit-v1.md create mode 100644 src/04-meet-your-hardware/microbit-v2.md diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index a8def32e4..0b06ee427 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -19,7 +19,6 @@ Here are some of the many components on the board: [microcontroller]: https://en.wikipedia.org/wiki/Microcontroller [accelerometer]: https://en.wikipedia.org/wiki/Accelerometer [magnetometer]: https://en.wikipedia.org/wiki/Magnetometer -[gyroscope]: https://en.wikipedia.org/wiki/Gyroscope Of these components, the most important is the microcontroller (sometimes shortened to "MCU" for "microcontroller unit"), which is the bigger of the two @@ -30,71 +29,6 @@ in reality what we are doing is programming the MCU that is installed on the boa If you happen to be interested in a more in detail description of the board you can checkout the [micro:bit website](https://tech.microbit.org/hardware/). -## Nordic nRF51822 (the "nRF51") - Since the MCU is so important, let's take a closer look at the one sitting on our board. - -Our MCU has 48 tiny metal **pins** sitting right underneath it (it's a so called [QFN48] chip). -These pins are connected to **traces**, the little "roads" that act as the wires connecting components -together on the board. The MCU can dynamically alter the electrical properties -of the pins. This works similar to a light switch altering how electrical -current flows through a circuit. By enabling or disabling electrical current to -flow through a specific pin, an LED attached to that pin (via the traces) can -be turned on and off. - -Each manufacturer uses a different part numbering scheme, but many will allow -you to determine information about a component simply by looking at the part -number. Looking at our MCU's part number (`nRF51822-QFAA-R`, you probably cannot -see it with your bare eye, but it is on the chip), the `n` at the -front hints to us that this is a part manufactured by [Nordic Semiconductor]. -Looking up the part number on their website we quickly find the [product page]. -There we learn that our chip's main marketing point is that it is a -"Bluetooth Low Energy and 2.4 GHz SoC" (SoC being short for "System on a Chip"), -which explains the RF in the product name since RF is short for radio frequency. -If we search through the documentation of the chip linked on the [product page] -for a bit we find the [product specification] which contains chapter 10 "Ordering Information" -dedicated to explaining the weird chip naming. Here we learn that: - -[QFN48]: https://en.wikipedia.org/wiki/Flat_no-leads_package -[Nordic Semiconductor]: https://www.nordicsemi.com/ -[product page]: https://www.nordicsemi.com/Products/Low-power-short-range-wireless/nRF51822 -[product specification]: https://infocenter.nordicsemi.com/pdf/nRF51822_PS_v3.3.pdf - -- The `nRF51` is the MCU's series, indicating that there are other `nRF51` MCUs -- The `822` is the part code -- The `QF` is short for `QFN48` -- The `AA` is the variant code, indicating how much RAM and flash memory the MCU has, - in our case 256 kilobyte flash and 16 kilobyte RAM -- The `R` is the packaging code which is relevant for factories manufacturing boards - with this chip on them in larger scales - -The product specification does of course contain a lot more useful information about -the chip, for example that it is based on an ARM® Cortex™-M0 32 bit processor. - -### Arm? Cortex-M0? - -If our chip is manufactured by Nordic, then who is Arm? And if our chip is the -nRF51822, what is the Cortex-M0? - -You might be surprised to hear that while "Arm-based" chips are quite -popular, the company behind the "Arm" trademark ([Arm Holdings][]) doesn't -actually manufacture chips for purchase. Instead, their primary business -model is to just *design* parts of chips. They will then license those designs to -manufacturers, who will in turn implement the designs (perhaps with some of -their own tweaks) in the form of physical hardware that can then be sold. -Arm's strategy here is different from companies like Intel, which both -designs *and* manufactures their chips. - -Arm licenses a bunch of different designs. Their "Cortex-M" family of designs -are mainly used as the core in microcontrollers. For example, the Cortex-M0 -(the core our chip is based on) is designed for low cost and low power usage. -The Cortex-M7 is higher cost, but with more features and performance. - -Luckily, you don't need to know too much about different types of processors -or Cortex designs for the sake of this book. However, you are hopefully now a -bit more knowledgeable about the terminology of your device. While you are -working specifically with an nRF51822, you might find yourself reading -documentation and using tools for Cortex-M-based chips, as the nRF51822 is -based on a Cortex-M design. - -[Arm Holdings]: https://www.arm.com/ +Note that only one of the following two sections applies to your board, depending on whether +you are working with a micro:bit v2 or v1. diff --git a/src/04-meet-your-hardware/microbit-v1.md b/src/04-meet-your-hardware/microbit-v1.md new file mode 100644 index 000000000..7e75a77ba --- /dev/null +++ b/src/04-meet-your-hardware/microbit-v1.md @@ -0,0 +1,66 @@ +# Nordic nRF51822 (the "nRF51", micro:bit v1) + +Our MCU has 48 tiny metal **pins** sitting right underneath it (it's a so called [QFN48] chip). +These pins are connected to **traces**, the little "roads" that act as the wires connecting components +together on the board. The MCU can dynamically alter the electrical properties +of the pins. This works similar to a light switch altering how electrical +current flows through a circuit. By enabling or disabling electrical current to +flow through a specific pin, an LED attached to that pin (via the traces) can +be turned on and off. + +Each manufacturer uses a different part numbering scheme, but many will allow +you to determine information about a component simply by looking at the part +number. Looking at our MCU's part number (`N51822 QFAAH3 1951LN`, you probably cannot +see it with your bare eye, but it is on the chip), the `n` at the +front hints to us that this is a part manufactured by [Nordic Semiconductor]. +Looking up the part number on their website we quickly find the [product page]. +There we learn that our chip's main marketing point is that it is a +"Bluetooth Low Energy and 2.4 GHz SoC" (SoC being short for "System on a Chip"), +which explains the RF in the product name since RF is short for radio frequency. +If we search through the documentation of the chip linked on the [product page] +for a bit we find the [product specification] which contains chapter 10 "Ordering Information" +dedicated to explaining the weird chip naming. Here we learn that: + +[QFN48]: https://en.wikipedia.org/wiki/Flat_no-leads_package +[Nordic Semiconductor]: https://www.nordicsemi.com/ +[product page]: https://www.nordicsemi.com/products/nrf51822 +[product specification]: https://infocenter.nordicsemi.com/pdf/nRF51822_PS_v3.3.pdf + +- The `N51` is the MCU's series, indicating that there are other `nRF51` MCUs +- The `822` is the part code +- The `QF` is the package code, in this case short for `QFN48` +- The `AA` is the variant code, indicating how much RAM and flash memory the MCU has, + in our case 256 kilobyte flash and 16 kilobyte RAM +- The `H3` is the build code, indicating the hardware version (`H`) as well as the product configuration (`3`) +- The `1951LN` is a tracking code, hence it might differ on your chip + +The product specification does of course contain a lot more useful information about +the chip, for example that it is based on an ARM® Cortex™-M0 32 bit processor. + +### Arm? Cortex-M0? + +If our chip is manufactured by Nordic, then who is Arm? And if our chip is the +nRF51822, what is the Cortex-M0? + +You might be surprised to hear that while "Arm-based" chips are quite +popular, the company behind the "Arm" trademark ([Arm Holdings][]) doesn't +actually manufacture chips for purchase. Instead, their primary business +model is to just *design* parts of chips. They will then license those designs to +manufacturers, who will in turn implement the designs (perhaps with some of +their own tweaks) in the form of physical hardware that can then be sold. +Arm's strategy here is different from companies like Intel, which both +designs *and* manufactures their chips. + +Arm licenses a bunch of different designs. Their "Cortex-M" family of designs +are mainly used as the core in microcontrollers. For example, the Cortex-M0 +(the core our chip is based on) is designed for low cost and low power usage. +The Cortex-M7 is higher cost, but with more features and performance. + +Luckily, you don't need to know too much about different types of processors +or Cortex designs for the sake of this book. However, you are hopefully now a +bit more knowledgeable about the terminology of your device. While you are +working specifically with an nRF51822, you might find yourself reading +documentation and using tools for Cortex-M-based chips, as the nRF51822 is +based on a Cortex-M design. + +[Arm Holdings]: https://www.arm.com/ diff --git a/src/04-meet-your-hardware/microbit-v2.md b/src/04-meet-your-hardware/microbit-v2.md new file mode 100644 index 000000000..a80a40f68 --- /dev/null +++ b/src/04-meet-your-hardware/microbit-v2.md @@ -0,0 +1,67 @@ +# Nordic nRF52833 (the "nRF52", micro:bit v2) + +Our MCU has 73 tiny metal **pins** sitting right underneath it (it's a so called [aQFN73] chip). +These pins are connected to **traces**, the little "roads" that act as the wires connecting components +together on the board. The MCU can dynamically alter the electrical properties +of the pins. This works similar to a light switch altering how electrical +current flows through a circuit. By enabling or disabling electrical current to +flow through a specific pin, an LED attached to that pin (via the traces) can +be turned on and off. + +Each manufacturer uses a different part numbering scheme, but many will allow +you to determine information about a component simply by looking at the part +number. Looking at our MCU's part number (`N52833 QIAAA0 2024AL`, you probably cannot +see it with your bare eye, but it is on the chip), the `n` at the +front hints to us that this is a part manufactured by [Nordic Semiconductor]. +Looking up the part number on their website we quickly find the [product page]. +There we learn that our chip's main marketing point is that it is a +"Bluetooth Low Energy and 2.4 GHz SoC" (SoC being short for "System on a Chip"), +which explains the RF in the product name since RF is short for radio frequency. +If we search through the documentation of the chip linked on the [product page] +for a bit we find the [product specification] which contains chapter 10 "Ordering Information" +dedicated to explaining the weird chip naming. Here we learn that: + +[aQFN73]: https://en.wikipedia.org/wiki/Flat_no-leads_package +[Nordic Semiconductor]: https://www.nordicsemi.com/ +[product page]: https://www.nordicsemi.com/products/nrf52833 +[product specification]: https://infocenter.nordicsemi.com/pdf/nRF52833_PS_v1.3.pdf + +- The `N52` is the MCU's series, indicating that there are other `nRF52` MCUs +- The `833` is the part code +- The `QI` is the package code, short for `aQFN73` +- The `AA` is the variant code, indicating how much RAM and flash memory the MCU has, + in our case 512 kilobyte flash and 128 kilobyte RAM +- The `A0` is the build code, indicating the hardware version (`A`) as well as the product configuration (`0`) +- The `2024AL` is a tracking code, hence it might differ on your chip + +The product specification does of course contain a lot more useful information about +the chip, for example that it is based on an ARM® Cortex™-M4 32 bit processor. + + +## Arm? Cortex-M4? + +If our chip is manufactured by Nordic, then who is Arm? And if our chip is the +nRF52833, what is the Cortex-M4? + +You might be surprised to hear that while "Arm-based" chips are quite +popular, the company behind the "Arm" trademark ([Arm Holdings][]) doesn't +actually manufacture chips for purchase. Instead, their primary business +model is to just *design* parts of chips. They will then license those designs to +manufacturers, who will in turn implement the designs (perhaps with some of +their own tweaks) in the form of physical hardware that can then be sold. +Arm's strategy here is different from companies like Intel, which both +designs *and* manufactures their chips. + +Arm licenses a bunch of different designs. Their "Cortex-M" family of designs +are mainly used as the core in microcontrollers. For example, the Cortex-M4 +(the core our chip is based on) is designed for low cost and low power usage. +The Cortex-M7 is higher cost, but with more features and performance. + +Luckily, you don't need to know too much about different types of processors +or Cortex designs for the sake of this book. However, you are hopefully now a +bit more knowledgeable about the terminology of your device. While you are +working specifically with an nRF52833, you might find yourself reading +documentation and using tools for Cortex-M-based chips, as the nRF52833 is +based on a Cortex-M design. + +[Arm Holdings]: https://www.arm.com/ diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 990046216..f4b92ea3b 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -7,6 +7,8 @@ - [macOS](03-setup/macos.md) - [Verify the installation](03-setup/verify.md) - [Meet your hardware](04-meet-your-hardware/README.md) + - [micro:bit v2](04-meet-your-hardware/microbit-v2.md) + - [micro:bit v1](04-meet-your-hardware/microbit-v1.md) - [LED roulette](05-led-roulette/README.md) - [Build it](05-led-roulette/build-it.md) - [Flash it](05-led-roulette/flash-it.md) From 3fe6219a6a64e463f66d11eb92f5567fd15a9a93 Mon Sep 17 00:00:00 2001 From: "Anthony J. Martinez" Date: Thu, 15 Jul 2021 18:29:06 +0000 Subject: [PATCH 219/313] Update Fedora and Linux verification steps --- src/03-setup/linux.md | 5 ++--- src/03-setup/verify.md | 11 +++++++++++ 2 files changed, 13 insertions(+), 3 deletions(-) diff --git a/src/03-setup/linux.md b/src/03-setup/linux.md index d88f1f0d2..1b90c3b2d 100644 --- a/src/03-setup/linux.md +++ b/src/03-setup/linux.md @@ -42,12 +42,11 @@ sudo apt-get install \ ### Fedora 23 or newer -Install GDB as described in [Other distros](#other-distros) and the following packages: - ``` console sudo dnf install \ minicom \ - openocd + openocd \ + gdb ``` ### Arch Linux diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index b69d48999..7e7805a46 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -68,6 +68,10 @@ Two *red* LEDs should turn on right after connecting the USB cable to the board. > no `-1` at the end). Alternatively, older revisions can use `-f board/stm32f3discovery.cfg` > instead of `-f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg`. +> **NOTE** OpenOCD v0.11.0 has deprecated `interface/stlink-v2.cfg` in favor of +> `interface/stlink.cfg` which supports ST-LINK/V1, ST-LINK/V2, ST-LINK/V2-1, and +> ST-LINK/V3. + ### *Nix > **FYI:** The `interface` directory is typically located in `/usr/share/openocd/scripts/`, @@ -78,6 +82,13 @@ Two *red* LEDs should turn on right after connecting the USB cable to the board. openocd -f interface/stlink-v2-1.cfg -f target/stm32f3x.cfg ``` +or + +``` console +openocd -f interface/stlink.cfg -f target/stm32f3x.cfg +``` + + ### Windows Below the references to `C:\OpenOCD` is the directory where OpenOCD is installed. From 6d92ee4e8f311905eb528aa24faa626c7006e69f Mon Sep 17 00:00:00 2001 From: Waffle Lapkin Date: Fri, 16 Jul 2021 17:59:21 +0300 Subject: [PATCH 220/313] 8.3: Use `write` instead of `modify` Since the read value is ignored, using `modify` seems redundant. Also, `modify` isn't mentioned in the text prior this chapter, while `write` is explained. --- src/08-leds-again/the-solution.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/08-leds-again/the-solution.md b/src/08-leds-again/the-solution.md index 9e1eb0bb6..eb0a0fbad 100644 --- a/src/08-leds-again/the-solution.md +++ b/src/08-leds-again/the-solution.md @@ -12,10 +12,10 @@ fn main() -> ! { let (gpioe, rcc) = aux8::init(); // enable the GPIOE peripheral - rcc.ahbenr.modify(|_, w| w.iopeen().set_bit()); + rcc.ahbenr.write(|w| w.iopeen().set_bit()); // configure the pins as outputs - gpioe.moder.modify(|_, w| { + gpioe.moder.write(|w| { w.moder8().output(); w.moder9().output(); w.moder10().output(); From 6863a990ad2d03591ef0c554aa88c0216dfda09f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 17 Jul 2021 13:46:07 +0200 Subject: [PATCH 221/313] Precise version specification of the v1 microbit --- src/02-requirements/README.md | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index b053668ed..290653498 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -10,10 +10,11 @@ the fact that `extern crate` is not necessary in the 2018 edition. Also, to follow this material you'll need the following hardware: -- A [micro:bit v2] board, alternatively a [micro:bit v1] board. +- A [micro:bit v2] board, alternatively a [micro:bit v1.5] board, the book + will refer to the v1.5 as just v1. [micro:bit v2]: https://tech.microbit.org/hardware/ -[micro:bit v1]: https://tech.microbit.org/hardware/1-5-revision/ +[micro:bit v1.5]: https://tech.microbit.org/hardware/1-5-revision/ (You can purchase this board from several [electronics][0] [suppliers][1]) From 7a18842cdfd60a48d7b307bb3ecf9799cd794c3a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 17 Jul 2021 15:29:50 +0200 Subject: [PATCH 222/313] Add a terminology explanation section to ch4 --- src/04-meet-your-hardware/terminology.md | 67 ++++++++++++++++++++++++ src/SUMMARY.md | 1 + 2 files changed, 68 insertions(+) create mode 100644 src/04-meet-your-hardware/terminology.md diff --git a/src/04-meet-your-hardware/terminology.md b/src/04-meet-your-hardware/terminology.md new file mode 100644 index 000000000..9682b4355 --- /dev/null +++ b/src/04-meet-your-hardware/terminology.md @@ -0,0 +1,67 @@ +# Rust Embedded terminology +Before we dive into programming the micro:bit let's have a quick look +at the libraries and terminology that will be important for all of the +future chapters. + +## Abstraction layers +For any fully supported microcontroller/board with a microcontroller +you will usually hear the following terms being used for their levels +of abstraction: +1. The Peripheral Access Crate (PAC), its job is to provide a safe (ish) + direct interface to the peripherals of the chip, allowing you to configure + every last bit however you want (of course also in wrong ways). Usually + you only ever have to deal with the PAC if either the layers that are + higher up don't fulfill your needs or when you are developing them. + The PAC we are (implicitly) going to use is either the one for the [nRF52] + or for the [nRF51]. +2. The Hardware Abstraction Layer (HAL), its job is to build up on top of + the chip's PAC and provide an abstraction that is actually usable for + someone who does not know about all the special behaviour of this chip. + Usually they abstract a whole peripheral, e.g. a USB interface, + away into just a few structs or traits with some functions on them you + can use to send data around etc. We are going to use the [nRF52-hal] + or the [nRF51-hal] respectively. +3. The Board Support Crate (BSP), its job is to abstract a whole board + (such as the micro:bit) away at once. That means it has to provide + abstractions to use both the microcontroller as well als the sensors, + LEDs etc. that might be present on the board. Quite often (especially + with custom made boards) you will just be working with a HAL for the + chip and build the drivers for the sensors either yourself or + search for them on crates.io, luckily for us though the micro:bit + does actually have a [BSP] so we are going to use that on top of our + HAL as well. + +[nrF52]: https://crates.io/crates/nrf52833-pac +[nrF51]: https://crates.io/crates/nrf51 +[nrF52-hal]: https://crates.io/crates/nrf52833-hal +[nrF51-hal]: https://crates.io/crates/nrf51-hal +[BSP]: https://crates.io/crates/microbit + +## Unifying the layers + +Next we are going to have a look at a very central piece of software +in the Rust Embedded world, [embedded-hal]. As it name suggests it +relates to the 2nd level of abstraction we got to know, the HALs. +The idea behind [embedded-hal] is to provide a set of traits that +describe behaviour which is usually shared across all implementations +of a specific peripheral in all the HALs. For example one would always +expect to have functions that are capable of turning the power on a pin +either on or off, for example to switch an LED on and off on the board. +This allows us to write a driver for, say a temperature sensor, that +can be used on any chip which implements the [embedded-hal] traits, +simply by writing the driver in such a way that it only relies on the +[embedded-hal] traits. Drivers that are written in such a way are called +platform agnostic and luckily for us most of the drivers on crates.io +are actually platform agnostic. + +[embedded-hal]: https://crates.io/crates/embedded-hal + + +## Further reading + +If you want to learn more about these levels of abstraction Franz Skarman, +aka. [TheZoq2], held a talk about this topic during Oxidize 2020, called +[An Overview of the Embedded Rust Ecosystem]. + +[TheZoq2]: https://github.com/TheZoq2/ +[An Overview of the Embedded Rust Ecosystem]: https://www.youtube.com/watch?v=vLYit_HHPaY diff --git a/src/SUMMARY.md b/src/SUMMARY.md index f4b92ea3b..50af7f546 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -9,6 +9,7 @@ - [Meet your hardware](04-meet-your-hardware/README.md) - [micro:bit v2](04-meet-your-hardware/microbit-v2.md) - [micro:bit v1](04-meet-your-hardware/microbit-v1.md) + - [Rust Embedded terminology](04-meet-your-hardware/terminology.md) - [LED roulette](05-led-roulette/README.md) - [Build it](05-led-roulette/build-it.md) - [Flash it](05-led-roulette/flash-it.md) From e2f6aa497724740f05aa16a299964fa4f16f68f3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 18 Jul 2021 13:16:26 +0200 Subject: [PATCH 223/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/04-meet-your-hardware/terminology.md | 20 ++++++++++---------- 1 file changed, 10 insertions(+), 10 deletions(-) diff --git a/src/04-meet-your-hardware/terminology.md b/src/04-meet-your-hardware/terminology.md index 9682b4355..253c1b3d2 100644 --- a/src/04-meet-your-hardware/terminology.md +++ b/src/04-meet-your-hardware/terminology.md @@ -21,13 +21,13 @@ of abstraction: away into just a few structs or traits with some functions on them you can use to send data around etc. We are going to use the [nRF52-hal] or the [nRF51-hal] respectively. -3. The Board Support Crate (BSP), its job is to abstract a whole board +3. The Board Support Crate (historically called Board Support Package, or BSP), its job is to abstract a whole board (such as the micro:bit) away at once. That means it has to provide abstractions to use both the microcontroller as well als the sensors, LEDs etc. that might be present on the board. Quite often (especially with custom made boards) you will just be working with a HAL for the chip and build the drivers for the sensors either yourself or - search for them on crates.io, luckily for us though the micro:bit + search for them on crates.io. Luckily for us though, the micro:bit does actually have a [BSP] so we are going to use that on top of our HAL as well. @@ -40,17 +40,17 @@ of abstraction: ## Unifying the layers Next we are going to have a look at a very central piece of software -in the Rust Embedded world, [embedded-hal]. As it name suggests it -relates to the 2nd level of abstraction we got to know, the HALs. -The idea behind [embedded-hal] is to provide a set of traits that +in the Rust Embedded world: `[embedded-hal]`. As its name suggests it +relates to the 2nd level of abstraction we got to know: the HALs. +The idea behind `[embedded-hal]` is to provide a set of traits that describe behaviour which is usually shared across all implementations of a specific peripheral in all the HALs. For example one would always expect to have functions that are capable of turning the power on a pin -either on or off, for example to switch an LED on and off on the board. +either on or off. For example to switch an LED on and off on the board. This allows us to write a driver for, say a temperature sensor, that -can be used on any chip which implements the [embedded-hal] traits, +can be used on any chip for which an implementation of the `[embedded-hal]` traits exists, simply by writing the driver in such a way that it only relies on the -[embedded-hal] traits. Drivers that are written in such a way are called +`[embedded-hal]` traits. Drivers that are written in such a way are called platform agnostic and luckily for us most of the drivers on crates.io are actually platform agnostic. @@ -59,8 +59,8 @@ are actually platform agnostic. ## Further reading -If you want to learn more about these levels of abstraction Franz Skarman, -aka. [TheZoq2], held a talk about this topic during Oxidize 2020, called +If you want to learn more about these levels of abstraction, Franz Skarman, +a.k.a. [TheZoq2], held a talk about this topic during Oxidize 2020, called [An Overview of the Embedded Rust Ecosystem]. [TheZoq2]: https://github.com/TheZoq2/ From 1c80b390eea19d77faa7eddb62a036251d32e42b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 18 Jul 2021 14:12:12 +0200 Subject: [PATCH 224/313] Attempt to split the sentence up a bit --- src/04-meet-your-hardware/terminology.md | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/src/04-meet-your-hardware/terminology.md b/src/04-meet-your-hardware/terminology.md index 253c1b3d2..0d657aeca 100644 --- a/src/04-meet-your-hardware/terminology.md +++ b/src/04-meet-your-hardware/terminology.md @@ -17,10 +17,9 @@ of abstraction: 2. The Hardware Abstraction Layer (HAL), its job is to build up on top of the chip's PAC and provide an abstraction that is actually usable for someone who does not know about all the special behaviour of this chip. - Usually they abstract a whole peripheral, e.g. a USB interface, - away into just a few structs or traits with some functions on them you - can use to send data around etc. We are going to use the [nRF52-hal] - or the [nRF51-hal] respectively. + Usually they abstract whole peripherals away into single structs that can + for example be used to send data around via the peripheral. We are + going to use the [nRF52-hal] or the [nRF51-hal] respectively. 3. The Board Support Crate (historically called Board Support Package, or BSP), its job is to abstract a whole board (such as the micro:bit) away at once. That means it has to provide abstractions to use both the microcontroller as well als the sensors, From 43e0f2927fa78135da8d3a9612a1563c152aa131 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 18 Jul 2021 13:18:32 +0200 Subject: [PATCH 225/313] ch05 ch06 rewrite for micro:bit v2 - Ported all the code to the microbit BSP - Tested all the code commands etc. on v1.5 and v2 - ch06 doesn't need to be touched I think - currently relying on the git version of microbit since it introduces quite a few nice new APIs we want to use, microbit is (hopefully) going to make a release before this rewrite actually gets published - the solution to the ch05 challenge is arguably the most stupid way you could come up with to generate the matrix, at the same time this makes it simple enough to be understood by everyone though, which is certainly more important in this case --- src/05-led-roulette/.cargo/config | 3 - src/05-led-roulette/Cargo.toml | 16 +- src/05-led-roulette/Embed.toml | 5 +- src/05-led-roulette/README.md | 5 +- src/05-led-roulette/build-it.md | 75 +++++++--- src/05-led-roulette/debug-it.md | 92 ++++++------ src/05-led-roulette/flash-it.md | 28 ++-- src/05-led-roulette/it-blinks.md | 54 +++---- src/05-led-roulette/light-it-up.md | 81 ++++------ src/05-led-roulette/my-solution.md | 212 ++++++++++++++++----------- src/05-led-roulette/src/main.rs | 2 +- src/05-led-roulette/the-challenge.md | 52 +++++-- src/assets/gdb-layout-asm.png | Bin 216403 -> 280312 bytes src/assets/gdb-layout-src.png | Bin 34560 -> 24977 bytes 14 files changed, 370 insertions(+), 255 deletions(-) diff --git a/src/05-led-roulette/.cargo/config b/src/05-led-roulette/.cargo/config index a0ec1777f..6260c5718 100644 --- a/src/05-led-roulette/.cargo/config +++ b/src/05-led-roulette/.cargo/config @@ -2,6 +2,3 @@ rustflags = [ "-C", "link-arg=-Tlink.x", ] - -[build] -target = "thumbv6m-none-eabi" diff --git a/src/05-led-roulette/Cargo.toml b/src/05-led-roulette/Cargo.toml index 776e8a465..0dedefeae 100644 --- a/src/05-led-roulette/Cargo.toml +++ b/src/05-led-roulette/Cargo.toml @@ -4,10 +4,24 @@ version = "0.1.0" authors = ["Henrik Böving "] edition = "2018" +[dependencies.microbit-v2] +version = "0.10.1" +git = "https://github.com/nrf-rs/microbit/" +optional = true + + +[dependencies.microbit] +version = "0.10.1" +git = "https://github.com/nrf-rs/microbit/" +optional = true + [dependencies] cortex-m = "0.6.0" cortex-m-rt = "0.6.10" panic-halt = "0.2.0" -nrf51-hal = "0.11.0" rtt-target = { version = "0.2.2", features = ["cortex-m"] } panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } + +[features] +v2 = ["microbit-v2"] +v1 = ["microbit"] diff --git a/src/05-led-roulette/Embed.toml b/src/05-led-roulette/Embed.toml index 91584ec92..f89445be1 100644 --- a/src/05-led-roulette/Embed.toml +++ b/src/05-led-roulette/Embed.toml @@ -1,5 +1,8 @@ [default.general] -chip = "nrf51822_xxAA" +# v2 +# chip = "nrf52833" +# v1 +# chip = "nrf51822" [default.reset] halt_afterwards = true diff --git a/src/05-led-roulette/README.md b/src/05-led-roulette/README.md index b3e928d6a..f645accd6 100644 --- a/src/05-led-roulette/README.md +++ b/src/05-led-roulette/README.md @@ -10,7 +10,7 @@ I'm going to give you a high level API to implement this app but don't worry we' stuff later on. The main goal of this chapter is to get familiar with the *flashing* and debugging process. -The starter code is in the `src` directory of that repository. Inside that directory there are more +The starter code is in the `src` directory of the book repository. Inside that directory there are more directories named after each chapter of this book. Most of those directories are starter Cargo projects. @@ -49,7 +49,8 @@ Furthermore there is also an `Embed.toml` file This file tells `cargo-embed` that: -* we are working with a nrf51822, +* we are working with either an nrf52833 or nrf51822, you will again have to remove the comments from the + chip you are using, just like you did in chapter 3. * we want to halt the chip after we flashed it so our program does not instantly jump to the loop * we want to disable RTT, RTT being a protocol that allows the chip to send text to a debugger. You have in fact already seen RTT in action, it was the protocol that sent "Hello World" in chapter 3. diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index 3766f0a96..bd703955f 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -5,8 +5,8 @@ architecture than your computer we'll have to cross compile. Cross compiling in as passing an extra `--target` flag to `rustc`or Cargo. The complicated part is figuring out the argument of that flag: the *name* of the target. -The microcontroller in the micro:bit has a Cortex-M0 processor in it. `rustc` knows how to cross compile -to the Cortex-M architecture and provides several different targets that cover the different processor +As we already know the microcontroller on the micro:bit v2 has a Cortex-M4F processor in it, the one on v1 a Cortex-M0. +`rustc` knows how to cross compile to the Cortex-M architecture and provides several different targets that cover the different processor families within that architecture: - `thumbv6m-none-eabi`, for the Cortex-M0 and Cortex-M1 processors @@ -16,11 +16,14 @@ families within that architecture: - `thumbv8m.main-none-eabi`, for the Cortex-M33 and Cortex-M35P processors - `thumbv8m.main-none-eabihf`, for the Cortex-M33**F** and Cortex-M35P**F** processors -For the micro:bit, we'll use the `thumbv6m-none-eabi` target. Before cross compiling you have to -download pre-compiled version of the standard library (a reduced version of it actually) for your -target. That's done using `rustup`: +For the micro:bit v2, we'll use the `thumbv7em-none-eabihf` target, for v1 the `thumbv6m-none-eabi` one. +Before cross compiling you have to download pre-compiled version of the standard library +(a reduced version of it actually) for your target. That's done using `rustup`: ``` console +# For micro:bit v2 +$ rustup target add thumbv7em-none-eabihf +# For micro:bit v1 $ rustup target add thumbv6m-none-eabi ``` @@ -30,36 +33,64 @@ You only need to do the above step once; `rustup` will re-install a new standard With the `rust-std` component in place you can now cross compile the program using Cargo: ``` console -$ # make sure you are in the `src/05-led-roulette` directory +# make sure you are in the `src/05-led-roulette` directory -$ cargo build --target thumbv6m-none-eabi +# For micro:bit v2 +$ cargo build --features v2 --target thumbv7em-none-eabihf Compiling semver-parser v0.7.0 Compiling typenum v1.12.0 - Compiling proc-macro2 v1.0.19 - Compiling unicode-xid v0.2.1 Compiling cortex-m v0.6.3 (...) - Compiling as-slice v0.1.3 - Compiling aligned v0.3.4 - Compiling cortex-m-rt-macros v0.1.8 - Compiling nrf-hal-common v0.11.1 - Finished dev [unoptimized + debuginfo] target(s) in 18.69s + Compiling microbit-v2 v0.10.1 + Finished dev [unoptimized + debuginfo] target(s) in 33.67s + +# For micro:bit v1 +$ cargo build --features v1 --target thumbv6m-none-eabi + Compiling fixed v1.2.0 + Compiling syn v1.0.39 + Compiling cortex-m v0.6.3 + (...) + Compiling microbit v0.10.1 + Finished dev [unoptimized + debuginfo] target(s) in 22.73s ``` > **NOTE** Be sure to compile this crate *without* optimizations. The provided Cargo.toml > file and build command above will ensure optimizations are off. -> **NOTE** If you have looked into `.cargo/config` you will have noticed that the target - is actually always set to "thumbv6m-none-eabi" so the --target flag to `cargo` can in - fact be omitted here. - OK, now we have produced an executable. This executable won't blink any leds, it's just a simplified version that we will build upon later in the chapter. As a sanity check, let's verify that the produced executable is actually an ARM binary: ``` console -$ # equivalent to `readelf -h target/thumbv6m-none-eabi/debug/led-roulette` - cargo readobj --target thumbv6m-none-eabi --bin led-roulette -- -file-headers +# For micro:bit v2 +# equivalent to `readelf -h target/thumbv7em-none-eabihf/debug/led-roulette` +$ cargo readobj --features v2 --target thumbv7em-none-eabihf --bin led-roulette -- -file-headers + Finished dev [unoptimized + debuginfo] target(s) in 0.01s +ELF Header: + Magic: 7f 45 4c 46 01 01 01 00 00 00 00 00 00 00 00 00 + Class: ELF32 + Data: 2's complement, little endian + Version: 1 (current) + OS/ABI: UNIX - System V + ABI Version: 0 + Type: EXEC (Executable file) + Machine: ARM + Version: 0x1 + Entry point address: 0x117 + Start of program headers: 52 (bytes into file) + Start of section headers: 793112 (bytes into file) + Flags: 0x5000400 + Size of this header: 52 (bytes) + Size of program headers: 32 (bytes) + Number of program headers: 4 + Size of section headers: 40 (bytes) + Number of section headers: 21 + Section header string table index: 19 + +# For micro:bit v1 +# equivalent to `readelf -h target/thumbv6m-none-eabi/debug/led-roulette` +$ cargo readobj --features v1 --target thumbv6m-none-eabi --bin led-roulette -- -file-headers + Finished dev [unoptimized + debuginfo] target(s) in 0.01s ELF Header: Magic: 7f 45 4c 46 01 01 01 00 00 00 00 00 00 00 00 00 Class: ELF32 @@ -72,11 +103,11 @@ ELF Header: Version: 0x1 Entry point address: 0xC1 Start of program headers: 52 (bytes into file) - Start of section headers: 599484 (bytes into file) + Start of section headers: 693196 (bytes into file) Flags: 0x5000200 Size of this header: 52 (bytes) Size of program headers: 32 (bytes) - Number of program headers: 2 + Number of program headers: 4 Size of section headers: 40 (bytes) Number of section headers: 22 Section header string table index: 20 diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 6f6bd4726..76cc6ad47 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -4,8 +4,8 @@ Before we debug our little program let's take a moment to quickly understand wha happening here. In the previous chapter we already discussed the purpose of the second chip on the board as well as how it talks to our computer, but how can we actually use it? -As you can see from the output of `cargo-embed` it opened a "GDB stub", this is a server that our GDB -can connect to and send commands like "set a breakpoint at address X" to, the server can then decide +The little option `default.gb.enabled = true` in `Embed.toml` made `cargo-embed` open a so called "GDB stub" after flashing, +this is a server that our GDB can connect to and send commands like "set a breakpoint at address X" to, the server can then decide on its own how to handle this command. In the case of the `cargo-embed` GDB stub it will forward the command to the debugging probe on the board via USB which then does the job of actually talking to the MCU for us. @@ -13,18 +13,14 @@ MCU for us. ## Let's debug! Since `cargo-embed` is blocking our current shell we can simply open a new one and cd back into our project -directory. Once we are there we can connect to the GDB server like this: +directory. Once we are there we first have to open the binary in gdb like this: ```shell +# For micro:bit v2 +$ gdb target/thumbv7em-none-eabihf/debug/led-roulette + +# For micro:bit v1 $ gdb target/thumbv6m-none-eabi/debug/led-roulette -(gdb) target remote :1337 -Remote debugging using :1337 -::fmt ( - self=, - f=) - at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.12/src/lib.rs:489 -489 pub unsafe extern "C" fn Reset() -> ! { -(gdb) ``` > **NOTE** Depending on which GDB you installed you will have to use a different command to launch it, @@ -34,22 +30,29 @@ Remote debugging using :1337 > implement the GDB protocol and thus might not recognize all of the commands your GDB is sending to it, > as long as it does not crash, you are fine. -Right now we are inside the `Reset()` function. This is (surprisingly) the function that is run after a reset -of the chip. Since we did tell cargo-embed to halt the chip after we flashed it, this is where we start. +Next we will have to connect to the GDB stub, it runs on `localhost:1337` per default so in order to +connect to it run the following: -This `Reset()` function is part of a small piece of setup code that initializes some things for our Rust program -before moving on to the `main()` function. Let's set a breakpoint there and jump to it: +```shell +(gdb) target remote :1337 +Remote debugging using :1337 +0x00000116 in nrf52833_pac::{{impl}}::fmt (self=0xd472e165, f=0x3c195ff7) at /home/nix/.cargo/registry/src/github.com-1ecc6299db9ec823/nrf52833-pac-0.9.0/src/lib.rs:157 +157 #[derive(Copy, Clone, Debug)] +``` + +Next what we want to do is get to the main function of our program, +we will do this by first setting a breakpoint there and the continuing +program execution until we hit the breakpoint: ``` (gdb) break main -Breakpoint 1 at 0xac: file src/05-led-roulette/src/main.rs, line 9. +Breakpoint 1 at 0x104: file src/05-led-roulette/src/main.rs, line 9. +Note: automatically using hardware breakpoints for read-only addresses. (gdb) continue Continuing. -Note: automatically using hardware breakpoints for read-only addresses. -Breakpoint 1, main () at src/05-led-roulette/src/main.rs:9 +Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:9 9 #[entry] -(gdb) ``` Breakpoints can be used to stop the normal flow of a program. The `continue` command will let the @@ -77,7 +80,7 @@ If we wanted to break in line 13 we can simply do: ``` (gdb) break 13 -Breakpoint 2 at 0xb8: file src/05-led-roulette/src/main.rs, line 13. +Breakpoint 2 at 0x110: file src/05-led-roulette/src/main.rs, line 13. (gdb) continue Continuing. @@ -98,29 +101,11 @@ is initialized but `_y` is not. Let's inspect those stack/local variables using $1 = 42 (gdb) print &x $2 = (*mut i32) 0x20003fe8 -(gdb) print _y -$3 = 536870912 -(gdb) print &_y -$4 = (*mut i32) 0x20003fec (gdb) ``` -As expected, `x` contains the value `42`. `_y`, however, contains the value `536870912` (?). Because -`_y` has not been initialized yet, it contains some garbage value. - -The command `print &x` prints the address of the variable `x`. The interesting bit here is that GDB -output shows the type of the reference: `i32*`, a pointer to an `i32` value. Another interesting -thing is that the addresses of `x` and `_y` are very close to each other: their addresses are just -`4` bytes apart. - -Instead of printing the local variables one by one, you can also use the `info locals` command: - -``` -(gdb) info locals -x = 42 -_y = 536870912 -(gdb) -``` +As expected, `x` contains the value `42`. The command `print &x` prints the address of the variable `x`. +The interesting bit here is that GDB output shows the type of the reference: `i32*`, a pointer to an `i32` value. If we want to continue the program execution line by line we can do that using the `next` command so let's proceed to the `loop {}` statement: @@ -137,6 +122,15 @@ And `_y` should now be initialized. $5 = 42 ``` +Instead of printing the local variables one by one, you can also use the `info locals` command: + +``` +(gdb) info locals +x = 42 +_y = 42 +(gdb) +``` + If we use `next` again on top of the `loop {}` statement, we'll get stuck because the program will never pass that statement. Instead, we'll switch to the disassemble view with the `layout asm` command and advance one instruction at a time using `stepi`. You can always switch back into Rust @@ -155,23 +149,23 @@ program around the line you are currently at. ``` (gdb) disassemble /m -Dump of assembler code for function led_roulette::__cortex_m_rt_main: +Dump of assembler code for function _ZN12led_roulette18__cortex_m_rt_main17h3e25e3afbec4e196E: 10 fn main() -> ! { - 0x000000b2 <+0>: sub sp, #8 - 0x000000b4 <+2>: movs r0, #42 ; 0x2a + 0x0000010a <+0>: sub sp, #8 + 0x0000010c <+2>: movs r0, #42 ; 0x2a 11 let _y; 12 let x = 42; - 0x000000b6 <+4>: str r0, [sp, #0] + 0x0000010e <+4>: str r0, [sp, #0] 13 _y = x; - 0x000000b8 <+6>: str r0, [sp, #4] + 0x00000110 <+6>: str r0, [sp, #4] 14 15 // infinite loop; just so we don't leave this stack frame 16 loop {} -=> 0x000000ba <+8>: b.n 0xbc - 0x000000bc <+10>: b.n 0xbc +=> 0x00000112 <+8>: b.n 0x114 <_ZN12led_roulette18__cortex_m_rt_main17h3e25e3afbec4e196E+10> + 0x00000114 <+10>: b.n 0x114 <_ZN12led_roulette18__cortex_m_rt_main17h3e25e3afbec4e196E+10> End of assembler dump. ``` @@ -195,7 +189,7 @@ One last trick before we move to something more interesting. Enter the following (gdb) c Continuing. -Breakpoint 1, main () at src/05-led-roulette/src/main.rs:9 +Breakpoint 1, led_roulette::__cortex_m_rt_main_trampoline () at src/05-led-roulette/src/main.rs:9 9 #[entry] (gdb) ``` @@ -224,7 +218,7 @@ A debugging session is active. Inferior 1 [Remote target] will be detached. Quit anyway? (y or n) y -Detaching from program: $PWD/target/thumbv6m-none-eabi/debug/led-roulette, Remote target +Detaching from program: $PWD/target/thumbv7em-none-eabihf/debug/led-roulette, Remote target Ending remote debugging. [Inferior 1 (Remote target) detached] ``` diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index 2ea7da03b..2a38c66c6 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -7,10 +7,11 @@ In this case, our `led-roulette` program will be the *only* program in the micro By this I mean that there's nothing else running on the microcontroller: no OS, no "daemon", nothing. `led-roulette` has full control over the device. -Flashing the binary itself is quite simple thanks to `cargo-embed`, you only have to type `cargo embed`. +Flashing the binary itself is quite simple thanks to `cargo-embed`. Before executing that command though, lets look into what it actually does. If you look at the side of your micro:bit -with the USB connector facing upwards you will notice, that there are actually 2 black squares on there, one is our MCU +with the USB connector facing upwards you will notice, that there are actually 2 black squares on there +(on the micro:bit v2 is a third and biggest one, its a speaker), one is our MCU we already talked about but what purpose does the other one serve? The other chip has 3 main purposes: 1. Provide power from the USB connector to our MCU @@ -24,15 +25,24 @@ the MCU, inspect its state via a debugger and other things. So lets flash it! ```console -$ cargo embed +# For micro:bit v2 +$ cargo embed --features v2 --target thumbv7em-none-eabihf (...) - Erasing sectors ✔ [00:00:00] [##################################################################################################################################################################] 2.00KB/ 2.00KB @ 4.57KB/s (eta 0s ) - Programming pages ✔ [00:00:00] [##################################################################################################################################################################] 2.00KB/ 2.00KB @ 1.93KB/s (eta 0s ) - Finished flashing in 0.764s -Firing up GDB stub at localhost:1337. -GDB stub listening on localhost:1337 + Erasing sectors ✔ [00:00:00] [####################################################################################################################################################] 2.00KiB/ 2.00KiB @ 4.21KiB/s (eta 0s ) + Programming pages ✔ [00:00:00] [####################################################################################################################################################] 2.00KiB/ 2.00KiB @ 2.71KiB/s (eta 0s ) + Finished flashing in 0.608s + +# For micro:bit v1 +$ cargo embed --features v1 --target thumbv6m-none-eabi + (...) + Erasing sectors ✔ [00:00:00] [####################################################################################################################################################] 2.00KiB/ 2.00KiB @ 4.14KiB/s (eta 0s ) + Programming pages ✔ [00:00:00] [####################################################################################################################################################] 2.00KiB/ 2.00KiB @ 2.69KiB/s (eta 0s ) + Finished flashing in 0.614s ``` You will notice that `cargo-embed` blocks after outputting the last line, this is inteded and you should not close it -since we need it in this state for the next step, debugging it! +since we need it in this state for the next step, debugging it! Furthermore you will have noticed that the `cargo build` +and `cargo embed` are actually passed the same flags, this is because `cargo embed` actually executes the build and then +flashes the resulting binary on to the chip, hence you can leave out the `cargo build` step in the future if you +want to flash your code right away. diff --git a/src/05-led-roulette/it-blinks.md b/src/05-led-roulette/it-blinks.md index 17b572abd..fcdbdb252 100644 --- a/src/05-led-roulette/it-blinks.md +++ b/src/05-led-roulette/it-blinks.md @@ -24,17 +24,19 @@ simple delay-based program that prints something every second might for example use cortex_m_rt::entry; use rtt_target::{rtt_init_print, rprintln}; use panic_rtt_target as _; -use nrf51_hal as hal; -use hal::prelude::*; +use microbit::board::Board; +use microbit::hal::timer::Timer; +use microbit::hal::prelude::*; #[entry] fn main() -> ! { rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); + let mut board = Board::take().unwrap(); + + let mut timer = Timer::new(board.TIMER0); - let mut delay = hal::Timer::new(p.TIMER0); loop { - delay.delay_ms(1000u32); + timer.delay_ms(1000u32); rprintln!("1000 ms passed"); } } @@ -43,7 +45,10 @@ fn main() -> ! { In order to actually see the prints we have to change `Embed.toml` like this: ``` [default.general] -chip = "nrf51822_xxAA" +# v2 +# chip = "nrf52833" +# v1 +# chip = "nrf51822" [default.reset] halt_afterwards = false @@ -55,14 +60,14 @@ enabled = true enabled = false ``` -And now after putting the code into `src/main.rs` and another quick `cargo embed` you should see -"`1000 ms passed`" being sent to your console every second from your MCU. +And now after putting the code into `src/main.rs` and another quick `cargo embed` (again with the same flags you used before) +you should see "`1000 ms passed`" being sent to your console every second from your MCU. ## Blinking Now we've arrived at the point where we can combine our new knowledge about GPIO and delay abstractions in order to actually make an LED on the back of the micro:bit blink. The resulting program is really just -a mash-up of the one above and the one that turned an LED on in the last chapter and looks like this: +a mash-up of the one above and the one that turned an LED on in the last section and looks like this: ```rs #![deny(unsafe_code)] @@ -72,31 +77,30 @@ a mash-up of the one above and the one that turned an LED on in the last chapter use cortex_m_rt::entry; use rtt_target::{rtt_init_print, rprintln}; use panic_rtt_target as _; -use nrf51_hal as hal; -use hal::prelude::*; +use microbit::board::Board; +use microbit::hal::timer::Timer; +use microbit::hal::prelude::*; #[entry] fn main() -> ! { rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); + let mut board = Board::take().unwrap(); - let mut delay = hal::Timer::new(p.TIMER0); + let mut timer = Timer::new(board.TIMER0); - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let mut row1 = p0.p0_13.into_push_pull_output(hal::gpio::Level::Low); - let _col1 = p0.p0_04.into_push_pull_output(hal::gpio::Level::Low); + board.display_pins.col1.set_low().unwrap(); + let mut row1 = board.display_pins.row1; loop { - row1.set_high().unwrap(); - rprintln!("Light!"); - delay.delay_ms(500u32); - - row1.set_low().unwrap(); - rprintln!("Dark!"); - delay.delay_ms(500u32); + row1.set_low().unwrap(); + rprintln!("Dark!"); + timer.delay_ms(1_000_u16); + row1.set_high().unwrap(); + rprintln!("Light!"); + timer.delay_ms(1_000_u16); } } ``` -And after putting the code into `src/main.rs` and a final `cargo embed` you should see the LED we light up before -blinking as well as a print, every time the LED changes from off to on and vice versa. +And after putting the code into `src/main.rs` and a final `cargo embed` (with the proper flags) +you should see the LED we light up before blinking as well as a print, every time the LED changes from off to on and vice versa. diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md index c17f4181f..00aed0adc 100644 --- a/src/05-led-roulette/light-it-up.md +++ b/src/05-led-roulette/light-it-up.md @@ -2,42 +2,29 @@ ## embedded-hal In this chapter we are going to make one of the many LEDs on the back of the micro:bit light up since this is -basically the "Hello World" of embedded programming. In order to get this task done we will use a set of -abstractions provided by the crate `embedded-hal`. `embedded-hal` is a crate which provides a set of traits -that describe behaviour of hardware, for example the [OutputPin trait] which allows us to turn a pin on or off. - -In order to use these traits we have to implement them for the chip we are using. Luckily this has already been done -in our case in the [nrf51-hal]. Crates like this are commonly referred to as HALs (Hardware Abstraction Layer) -and allow us to use the same API to blink an LED and of course many more complex things accross all chips that implement -the `embedded-hal` traits. - -For example, a person working on an embedded project might need to read temperature data from a sensor. In -order to achieve this they can write a driver library that doesn't do anything MCU specific but instead just relies on -`embedded-hal`. This will allow anyone with an MCU that implements the `embedded-hal` traits to easily plug and play -their driver crate, despite having an MCU made by a completely different manufacturer or even with a different architecture, etc. +basically the "Hello World" of embedded programming. In order to get this task done we will use one of the traits +provided by `embedded-hal`, specifically the [OutputPin trait] which allows us to turn a pin on or off. [OutputPin trait]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/digital/v2/trait.OutputPin.html -[nrf51-hal]: https://crates.io/crates/nrf51-hal ## The micro:bit LEDs On the back of the micro:bit you can see a 5x5 square of LEDs, usually called an LED matrix. This matrix alignment is used so that instead of having to use 25 seperate pins to drive every single one of the LEDs, we can just use 10 (5+5) pins in -order to control which column and which row of our matrix lights up. However, the micro:bit team implemented this a -little differently. Their [schematic page] says that it is actually implemented as a 3x9 matrix but a few columns simply -remain unused. +order to control which column and which row of our matrix lights up. -In order to determine which pins we need to control to light up an LED we can check out -micro:bit's open source [schematic], linked on the same page. The very first sheet contains the LED matrix circuit which -is apparently connected to the pins named ROW1-3 and COL1-9. Further down on sheet 5 you can see that these pins -directly map to our MCU. For example, ROW1 is connected to P0.13. +> **NOTE** that the micro:bit v1 team implemented this a little differently. Their [schematic page] says +> that it is actually implemented as a 3x9 matrix but a few columns simply remain unused. -> **NOTE**: The naming scheme of the NRF51 for its pins (P0.13) simply refers to port 0 (P0) pin 13. This is done -> because on MCUs with dozens or hundreds of pins you usually end up with multiple pins grouped up as ports for the sake of -> clarity. The NRF51, however, is so small that it only has one GPIO port (P0). +Usually in order to determine which specific pins we have to control in +order to light a specific LED up we would now have to read the +[micro:bit v2 schematic] or the [micro:bit v1 schematic] respectively. +Luckily for us though we can use the aforementioned micro:bit BSP +which abstracts all of this nicely away from us. [schematic page]: https://tech.microbit.org/hardware/schematic/ -[schematic]: https://github.com/bbcmicrobit/hardware/blob/master/V1.5/SCH_BBC-Microbit_V1.5.PDF +[micro:bit v2 schematic]: https://github.com/microbit-foundation/microbit-v2-hardware/blob/main/V2/MicroBit_V2.0.0_S_schematic.PDF +[micro:bit v1 schematic]: https://github.com/bbcmicrobit/hardware/blob/master/V1.5/SCH_BBC-Microbit_V1.5.PDF ## Actually lighting it up! @@ -51,18 +38,15 @@ a look at it and then we can go through it step by step: use cortex_m_rt::entry; use panic_halt as _; -use nrf51_hal as hal; -use hal::prelude::*; +use microbit::board::Board; +use microbit::hal::prelude::*; #[entry] fn main() -> ! { - let p = hal::pac::Peripherals::take().unwrap(); - - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let mut row1 = p0.p0_13.into_push_pull_output(hal::gpio::Level::Low); - let _col1 = p0.p0_04.into_push_pull_output(hal::gpio::Level::Low); + let mut board = Board::take().unwrap(); - row1.set_high().unwrap(); + board.display_pins.col1.set_low().unwrap(); + board.display_pins.row1.set_high().unwrap(); loop {} } @@ -71,39 +55,34 @@ fn main() -> ! { The first few lines until the main function just do some basic imports and setup we already looked at before. However, the main function looks pretty different to what we have seen up to now. -The first line is related to how most HALs written in Rust work internally. Usually these crates rely on so-called -PACs (Peripheral Access Crates). A PAC is usually an autogenerated crate that provides some minimal abstractions -for all the peripherals our MCU has to offer. `let p = hal::pac::Peripherals::take().unwrap();` basically takes all -these peripherals from the PAC and binds them to a variable. +The first line is related to how most HALs written in Rust work internally. +As discussed before they are built on top of PAC crates which own (in the Rust sense) +all the peripherals of a chip. `let mut board = Board::take().unwrap();` basically takes all +these peripherals from the PAC and binds them to a variable. In this specific case we are +not only working with a HAL but with an entire BSP, so this also takes ownership +of the Rust representation of the other chips on the board. > **NOTE**: If you are wondering why we have to call `unwrap()` here, in theory it is possible for `take()` to be called > more than once. This would lead to the peripherals being represented by two separate variables and thus lots of > possible confusing behaviour because two variables modify the same resource. In order to avoid this, PACs are > implemented in a way that it would panic if you tried to take the peripherals twice. -Once we got the peripherals, we assemble the GPIO port 0 from them with `let p0 = hal::gpio::p0::Parts::new(p.GPIO);` and -proceed to construct the `ROW1` and `COL1` pin using the two lines below, initialized as a switched-off -(`hal::gpio::Level::Low`) push-pull output pin (`into_push_pull_output`). - -> **NOTE** If you don't know what push-pull means, don't worry about it, it's mostly irrelevant for us here, if you do -> want to figure it out, have a look [here](https://en.wikipedia.org/wiki/Push%E2%80%93pull_output). - -Now we can finally light the LED connected to `ROW1`, `COL1` up by setting the `ROW1` pin to high (i.e. switching it on). -The reason we can leave `COL1` set to low is because of how the LED matrix circuit works. Furthermore, `embedded-hal` is +Now we can light the LED connected to `row1`, `col1` up by setting the `row1` pin to high (i.e. switching it on). +The reason we can leave `col1` set to low is because of how the LED matrix circuit works. Furthermore, `embedded-hal` is designed in a way that every operation on hardware can possibly return an error, even just toggling a pin on or off. Since that is highly unlikely in our case, we can just `unwrap()` the result. - ## Testing it -Testing our little program is quite simple. First put it into `src/mains.rs`. Afterwards we simply have to run `cargo-embed` -again, let it flash and just like before, open our GDB and connect to the GDB stub: +Testing our little program is quite simple. First put it into `src/mains.rs`. Afterwards we simply have to run the +`cargo-embed` command from the last section again, let it flash and just like before, open our GDB and connect +to the GDB stub: ``` -$ gdb target/thumbv6m-none-eabi/debug/led-roulette +$ # Your GDB debug command from the last section (gdb) target remote :1337 Remote debugging using :1337 -cortex_m_rt::Reset () at ~/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.12/src/lib.rs:489 +cortex_m_rt::Reset () at /home/nix/.cargo/registry/src/github.com-1ecc6299db9ec823/cortex-m-rt-0.6.12/src/lib.rs:489 489 pub unsafe extern "C" fn Reset() -> ! { (gdb) ``` diff --git a/src/05-led-roulette/my-solution.md b/src/05-led-roulette/my-solution.md index a9c2614ed..29721584b 100644 --- a/src/05-led-roulette/my-solution.md +++ b/src/05-led-roulette/my-solution.md @@ -2,7 +2,8 @@ What solution did you come up with? -Here's mine: +Here's mine, it's probably one of the simplest (but of course not most +beautiful) way to generate the required matrix: ``` rust #![deny(unsafe_code)] @@ -12,58 +13,40 @@ Here's mine: use cortex_m_rt::entry; use rtt_target::rtt_init_print; use panic_rtt_target as _; -use nrf51_hal as hal; -use hal::prelude::*; - -// All border LEDs in order with the exception of the very first LED which is set -// at the last spot -const COMBINATIONS: [(usize, usize); 16] = [ - (2, 4), (1, 2), (2, 5), (1, 3), (3, 8), (2, 1), (1, 4), (3, 2), (2,6), - (3, 1), (2, 7), (3, 3), (1, 8), (2, 2), (3, 4), (1, 1) +use microbit::{ + board::Board, + display::blocking::Display, + hal::Timer, +}; + +const PIXELS: [(usize, usize); 16] = [ + (0,0), (0,1), (0,2), (0,3), (0,4), (1,4), (2,4), (3,4), (4,4), + (4,3), (4,2), (4,1), (4,0), (3,0), (2,0), (1,0) ]; #[entry] fn main() -> ! { rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); - let mut delay = hal::Timer::new(p.TIMER0); + let board = Board::take().unwrap(); + let mut timer = Timer::new(board.TIMER0); + let mut display = Display::new(board.display_pins); + let mut leds = [ + [0, 0, 0, 0, 0], + [0, 0, 0, 0, 0], + [0, 0, 0, 0, 0], + [0, 0, 0, 0, 0], + [0, 0, 0, 0, 0], + ]; - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - - // Initialize all rows and cols to off - let mut row1 = p0.p0_13.into_push_pull_output(hal::gpio::Level::Low).degrade(); - let row2 = p0.p0_14.into_push_pull_output(hal::gpio::Level::Low).degrade(); - let row3 = p0.p0_15.into_push_pull_output(hal::gpio::Level::Low).degrade(); - let mut col1 = p0.p0_04.into_push_pull_output(hal::gpio::Level::High).degrade(); - let col2 = p0.p0_05.into_push_pull_output(hal::gpio::Level::High).degrade(); - let col3 = p0.p0_06.into_push_pull_output(hal::gpio::Level::High).degrade(); - let col4 = p0.p0_07.into_push_pull_output(hal::gpio::Level::High).degrade(); - let col5 = p0.p0_08.into_push_pull_output(hal::gpio::Level::High).degrade(); - let col6 = p0.p0_09.into_push_pull_output(hal::gpio::Level::High).degrade(); - let col7 = p0.p0_10.into_push_pull_output(hal::gpio::Level::High).degrade(); - let col8 = p0.p0_11.into_push_pull_output(hal::gpio::Level::High).degrade(); - let col9 = p0.p0_12.into_push_pull_output(hal::gpio::Level::High).degrade(); - - // bring up the very first LED - row1.set_high().unwrap(); - col1.set_low().unwrap(); - - let mut cols = [col1, col2, col3, col4, col5, col6, col7, col8, col9]; - let mut rows = [row1, row2, row3]; + let mut last_led = (0,0); loop { - let mut previous_pair = (1, 1); - for current_pair in COMBINATIONS.iter() { - delay.delay_ms(30u32); - - rows[current_pair.0 - 1].set_high().unwrap(); - cols[current_pair.1 - 1].set_low().unwrap(); - - rows[previous_pair.0 - 1].set_low().unwrap(); - cols[previous_pair.1 - 1].set_high().unwrap(); - - previous_pair = *current_pair; + for current_led in PIXELS.iter() { + leds[last_led.0][last_led.1] = 0; + leds[current_led.0][current_led.1] = 1; + display.show(&mut timer, leds, 30); + last_led = *current_led; } } } @@ -72,12 +55,22 @@ fn main() -> ! { One more thing! Check that your solution also works when compiled in "release" mode: ``` console -$ cargo embed --release +# For micro:bit v2 +$ cargo embed --features v2 --target thumbv7em-none-eabihf --release + (...) + +# For micro:bit v1 +$ cargo embed --features v1 --target thumbv6m-none-eabi --release + (...) ``` If you want to debug your "release" mode binary you'll have to use a different GDB command: ``` console +# For micro:bit v2 +$ gdb target/thumbv7em-none-eabihf/release/led-roulette + +# For micro:bit v1 $ gdb target/thumbv6m-none-eabi/release/led-roulette ``` @@ -85,58 +78,113 @@ Binary size is something we should always keep an eye on! How big is your soluti that using the `size` command on the release binary: ``` console -$ cargo size --bin led-roulette -- -A - Finished dev [unoptimized + debuginfo] target(s) in 0.03s +# For micro:bit v2 +$ cargo size --features v2 --target thumbv7em-none-eabihf -- -A + Finished dev [unoptimized + debuginfo] target(s) in 0.02s +led-roulette : +section size addr +.vector_table 256 0x0 +.text 26984 0x100 +.rodata 2732 0x6a68 +.data 0 0x20000000 +.bss 1092 0x20000000 +.uninit 0 0x20000444 +.debug_abbrev 33941 0x0 +.debug_info 494113 0x0 +.debug_aranges 23528 0x0 +.debug_ranges 130824 0x0 +.debug_str 498781 0x0 +.debug_pubnames 143351 0x0 +.debug_pubtypes 124464 0x0 +.ARM.attributes 58 0x0 +.debug_frame 69128 0x0 +.debug_line 290580 0x0 +.debug_loc 1449 0x0 +.comment 109 0x0 +Total 1841390 + + +$ cargo size --features v2 --target thumbv7em-none-eabihf --release -- -A + Finished release [optimized + debuginfo] target(s) in 0.02s +led-roulette : +section size addr +.vector_table 256 0x0 +.text 6332 0x100 +.rodata 648 0x19bc +.data 0 0x20000000 +.bss 1076 0x20000000 +.uninit 0 0x20000434 +.debug_loc 9036 0x0 +.debug_abbrev 2754 0x0 +.debug_info 96460 0x0 +.debug_aranges 1120 0x0 +.debug_ranges 11520 0x0 +.debug_str 71325 0x0 +.debug_pubnames 32316 0x0 +.debug_pubtypes 29294 0x0 +.ARM.attributes 58 0x0 +.debug_frame 2108 0x0 +.debug_line 19303 0x0 +.comment 109 0x0 +Total 283715 + +# micro:bit v1 +$ cargo size --features v1 --target thumbv6m-none-eabi -- -A + Finished dev [unoptimized + debuginfo] target(s) in 0.02s led-roulette : section size addr .vector_table 168 0x0 -.text 20996 0xa8 -.rodata 2956 0x52ac +.text 28584 0xa8 +.rodata 2948 0x7050 .data 0 0x20000000 -.bss 1088 0x20000000 -.uninit 0 0x20000440 -.debug_abbrev 21988 0x0 -.debug_info 283389 0x0 -.debug_aranges 15832 0x0 -.debug_str 307609 0x0 -.debug_pubnames 68859 0x0 -.debug_pubtypes 55406 0x0 +.bss 1092 0x20000000 +.uninit 0 0x20000444 +.debug_abbrev 30020 0x0 +.debug_info 373392 0x0 +.debug_aranges 18344 0x0 +.debug_ranges 89656 0x0 +.debug_str 375887 0x0 +.debug_pubnames 115633 0x0 +.debug_pubtypes 86658 0x0 .ARM.attributes 50 0x0 -.debug_frame 47732 0x0 -.debug_line 199401 0x0 -.debug_ranges 68936 0x0 -.debug_loc 976 0x0 -.comment 147 0x0 -Total 1095533 +.debug_frame 54144 0x0 +.debug_line 237714 0x0 +.debug_loc 1499 0x0 +.comment 109 0x0 +Total 1415898 - -$ cargo size --bin led-roulette --release -- -A +$ cargo size --features v1 --target thumbv6m-none-eabi --release -- -A Finished release [optimized + debuginfo] target(s) in 0.02s led-roulette : section size addr .vector_table 168 0x0 -.text 4044 0xa8 -.rodata 692 0x1074 +.text 4848 0xa8 +.rodata 648 0x1398 .data 0 0x20000000 .bss 1076 0x20000000 .uninit 0 0x20000434 -.debug_loc 7520 0x0 -.debug_abbrev 3444 0x0 -.debug_info 55229 0x0 -.debug_aranges 1144 0x0 -.debug_ranges 3608 0x0 -.debug_str 48267 0x0 -.debug_pubnames 15435 0x0 -.debug_pubtypes 15970 0x0 +.debug_loc 9705 0x0 +.debug_abbrev 3235 0x0 +.debug_info 61908 0x0 +.debug_aranges 1208 0x0 +.debug_ranges 5784 0x0 +.debug_str 57358 0x0 +.debug_pubnames 22959 0x0 +.debug_pubtypes 18891 0x0 .ARM.attributes 50 0x0 -.debug_frame 2152 0x0 -.debug_line 17050 0x0 -.comment 147 0x0 -Total 175996 +.debug_frame 2316 0x0 +.debug_line 18444 0x0 +.comment 19 0x0 +Total 208617 + ``` > **NOTE** The Cargo project is already configured to build the release binary using LTO. -Know how to read this output? The `text` section contains the program instructions. It's around 4KB -in my case. On the other hand, the `data` and `bss` sections contain variables statically allocated -in RAM (`static` variables). +Know how to read this output? The `text` section contains the program instructions. On the other hand, +the `data` and `bss` sections contain variables statically allocated in RAM (`static` variables). +If you remember back to the specification of the microcontroller on your micro:bit, you should +notice that its flash memory is actually far too small to contain this binary, so how is this possible? +As we can see from the size statistics most of the binary is actually made up of debugging related +sections , those are however not flashed to the microcontroller at any time, after all they aren't +relevant for the execution. diff --git a/src/05-led-roulette/src/main.rs b/src/05-led-roulette/src/main.rs index 9380a52ba..bb8c08ca6 100644 --- a/src/05-led-roulette/src/main.rs +++ b/src/05-led-roulette/src/main.rs @@ -4,7 +4,7 @@ use cortex_m_rt::entry; use panic_halt as _; -use nrf51_hal as _; +use microbit as _; #[entry] fn main() -> ! { diff --git a/src/05-led-roulette/the-challenge.md b/src/05-led-roulette/the-challenge.md index 2067ff76c..613c56a31 100644 --- a/src/05-led-roulette/the-challenge.md +++ b/src/05-led-roulette/the-challenge.md @@ -13,14 +13,48 @@ If you can't exactly see what's happening here it is in a much slower version:
    D9Hvzj^&f8>e0MbZ9+jFQ z`2;uz+3jziQcDs|N6#nkf{6mhEbz)HAz(&n`nY0c1ku{nyjFETU>vDN9LP+4>nCahr5fEiKs&8S=9zgOVMx^o+VbcXoYJ@+E_Y6N z>b|KSWHu{A7vBI}1Ih0a-WSMd(sbl=-}+ zO)IUw(0+V}3ig)gYX)$9!^|c542bDdU+uG)W>!wx@<_9`bI@Nj`v!tjws(R#bFc3W zv-Ht7E7*m4hWrXHK6}zqZ5#%kv+?LspAvJEhBCxV^s)L0 z1$VgYcIAwall8pfKt)vd%xpPc{ALH1jktKD@4~5CX>tPOnH8-hm6h@5<}&??(SErce?$fw;3l zLI|j_n)yxJEt{)M1ko%O+~x;+iA5Z*9F5?fZ4>0f%m3}B-%FFM5H!GR6PZe9;aoS?Yyf;d3jSI9~9n2(Xe)v3sh2Bq_IHw@4jtV5DR#2>%(%WNJs^eCwH;)R%KZWv_hZP7NZ?Yf+nUGU%u5KKKyai|3a4Y_}U}Y8}rPrl{ z_HaS`Eys@w7~yi|=XV>8Tb=;srIl|y(zp(^zHQahF2>RD%F4J~D_iJ&W=`a7EdwzFF~ge`S9GK~Yt>7#{Ic|rK1W&gEv{mYJMK79Gj>+l zO&$8~?99J^_8OEd?Y7>(e7pXmgy2Srnb~}VXDdbs?onaXHbj+|CS|@y&6>Z|u|&+d z>x!jh`{ktBF7X#d{s%c;_6;i9l$7h=A2`DIt3%@DPFR--f2J~)$D zfS>gdJSt&w-I1^eo--KTGZ)WU-m$XFz?9akZBP+|I8uGB&`A^VmxPB+n3`AEs_wK* zUrY^07PjonI^m^{-mG`GF~hjyy^_zueq}8v53MOJOn;P(tk1xrPGHB+rPl@)EY|Gh zb+`5{a??qhAL!LB%rF#;>(vx3vfDNBskVOMeUw{37~D~F@jHfAejp8qIkUE?+WtEr z$u*mqi^AXO7L2YQ^DdHs0rjU;xG)^q+meQD7t#F%B{+IQc&&OqjC1S3<+t<_{`yrEY8(R z@Q=s=F*|`UNqTq5+cQdHj^=(C!STk|Pz)71n{ZSK*pas3JJHkTYWT9UtA9c%6z`MAjdgu*QYabNDa<+ly?4zw! z(=eR&Uf?Sm+N3JdrEeJMr8wJIo8z@LE@S328G`MRCDhI>;XKTt^;Ey%mfH~G1ISStNy zt;8Kk<51pPW!=S5>{w|(XS&~N{q~9jmK~FXJBlAsuSxcK zKTN&nE34sLP{#xkWJ0CJ*`2pltkE*TJiWS~96gmC3!gEX9$glN)O6Gwgsb|F0P7be zTX`gWixa~#^QPO&VVJd46lzSH_9af)kh+S2Sdj6)=aiqXl&scmW!@KskF5#Te&B;l z7cuX~ojxB2FoObw9n#@554-i*Kj8p{F?{>s@r63M)ef&)T9%XxrB(k`cFP|cTn^+$ zS(`lf8E_bY+jMnivy6Ed=R{$QQ^E!TLjv zv;n;{h>@LTB?oh&&CvJ0Udrzz9VN9LQ6696fpCFq2?T@(5(|#(HTmzt{XjbGy-Ogx z@>E}z>+UMulBU9S+nUo1@$YUkj`{(@#j>JdV1WP%_VAgdRn=gM>y3tl@Cv7-a#o@j zM!R26l77eSOaBbE^79>`j#IvTl_fT5-1Nt|{j-zK_3lN@(yg%X4j<^Gcz?dn?=mT=JC*>fT@sa}~7Y}9q8_nG6W zavzM4@E!yB?VI7}d({b7Y>H8kJLr$kFFaUX?XSj1&^*@ zzt@`#%5*XiBLFGaXFccKnf=o2^(CF7*DH{IAgc{@*AVhL6%2d%2*bXMW8J2j<~B_t zN!i@|l#j=iJ|6vTN*NbMBsuO}c3f7QVeDdbQwiVtvcuneu;~O!j$=4Ht8qkYZkjhr z6C-=NEy+Mb(w0uL8*NENHq?Tk1?i_7pEp9Ml7v;ZX?+ke*MA5r@H*zx20+=6xWA^9 zH5w-pp+zVwSf2%d4ug1S{J?f;H|aO)mD0s0NA~0GymPm? z68Owe@SI9~SsN{RF=f4QQ$WbzLvM;I>p6$Ef1}fS)=Be~1Ol8bahW}Da>)lnQYS8L z{yJs<7?(5oGcgAtrj*FX{sdq8fqlgr_B#`ZR$WvAskfalMquJ?mY5DuW~*(7-7ds5 z2+{a0SMA^ZY(>pS`;(RNu?;m*YlAlpkw)9aXN`g6b`jtLQjp8Lq9|>27B7)KaOAW> z$dY{V&}wCLCwSf+(pBT1BK%A8lF`5}AyzqCk?}7Nb`g4tr)0rTn#pkiQ8}=e?}JsC z+r>Ni4ayzZaC(-195_AB%N#vV?y6bp-akBw)U#Bmzhg=^N(X)+8V)&oL;W@D)uC%z z{kpw-^x5=&<@^b~Z2yOliMPtUpb@vMUP7sQhQkwknL_pIJ3iSim9o?BS!DJVKNgQ3 z6bnA^Ha!H2v|8Jz_Sm30`A7c0;quo~_CO^;i64BD#OI-V`U^W@@2j$+pE703C>axP zr`U86I71BjaS2@0Z$hc_D-r@8&X}E#jLwy31_{+Ea+&Uv z7tqJ-21vv{A2tU8dWYKnyt;TyLp@pocHB-HS-FQgo$kcP(Q_49=4Yb?dCK3oyoNC{I?)P4Nn zcAuYT?iR@PAL+{S%CJ8Hu28q1d|1}>!^@^Ot0?G>Xmjn+4q)G|W>=Oe53?d7i|EZ_}IUg#7mPUr+gG zdAoAt7^GuM_s1GzuPWfG|Hyy;?PZ=P*9WDvPjIfQtEJ4G7yfHW{BKWEG5oD!s)e6o z%kS34^}yzV74>f23!0ZPh>7SqRrL%5sl$m>A7cxztqL+1MY8fsv1KGLwFFFr2J19e zg?_g6En#%bJ!iEDel6CFcE@~8%t-3TU>Z)kYO?&%=x!1Rg3aHbent(@U>ifkn2fmH z77N~QU2U#{)tu0?Vmt}ncc!zj<*qw5yaYJyJd#(}qpx{8&%?)u=~C`jYNww=OH%!? zZ}m|}+mSh3-O;@;CJw#DS+!hMCiB4z^<2E4~;C0ctH3MNkxF! zpx(PcfU;bNBzh!~1m=B6X|R0)Lv9o@^7%OyPX45rzbl+{^E6TQ+eTHEXx7x)QDCD4 zt(0?H^I%wxOFZU;-5HJdpX!gQ;gt&;_Ou0#jT2vHx7c8{91vlt>)-HYDQoFL^nbvO z{c6x>q1n`m-@zadnWuao|6w14B>X%4``uZQUh`%=5DZEGYWdn0L2RU9sla#EZerGt z5Bf}Uvq(-JY|I9zq`lil(*VhM5bAxN&GJ@eNnm~4$MHl3ta68YmvQ^3r03FA?GxPJ zbCgafW>j=I*80y@!FwwjvJ24!W^Sjq!0%yrS|ygMfWMgH)=o{u;~zC!S1yG6G{3w) z)NOTT`HrxUdkB13&VFv;{zzSEJ&W@~V6CKL`6SS0#F!fn($vam2q5*ItLGz4VM3m( z#CkmtVhk>`eF~H-mMY6>g2j1kdtt7qIOZ}bT)Izyu0Kh25-D%C!!VApRPst>G4Y1Z zvE+cOF|zA-`7rYsa}}sqYWx$8i%;~>GkB}2;f0sq=g705`7M>5goHaF#!5)vR%ye& z_#T4L$5p*`e^XJcZM+LI>yf>;27^fHj3<*hw)-8dv*7tCAxLlK>Oq`akKFziKeG^K z>MTf`a0zi82%mH}fb{%2w<0ovCJ;WQ}Wq!xka1I}*6c&$#oB6-M)I4!SZJm%~!0s`gb=c*ql^Yi-OY!sI|5E{~S= zYUcUvaX@5j)Ww;fh(Ir`r|~ld&eHF7?GUs|u1yw3@t?KlZ1}+MiN&CHOP3rTy3!Ox zIx!f|H=ecuZ%$F0d$l5x4!aND)z_+UVp@JTf1K%tD$Q3}^xbaB&Kr|lmsZMg(X+Q* zxRU)HG|j%wP!ElGeugRKaj~7pfS*L3c8S>^)tZVwKFpW;)=k=f_~R11b=lgrC;4Ug zn&j2Y+M7U^h|ckCA2mJwYrbf1`JIz@7R8#ElTV1F}}wY@y5Yn z;kd%>>@=hIJH-_TH+oRO1hVKa-xuz#8T%ZgLuP;-HC}97GY&46hsT(CQzwLKu6?^K z?Wh?FZD%orD$P*tTn6J>sJo1M{MvLr9q~~#m^39P$TMYA->btO!G{)ZQTuvpIdN5FjjZ~)-AB! zNwN$$t5#5hY|}BJdiTL%(gHmtE&KCrQcqI}^a`QK>!0=B8qU!)?Q?!7G5mA`Bs-Sp z`<+@^=5MU(l*ob>ePW)PrkMKYUL4FpO3=qUOX33tsT*=(88tLKbGBw6ugo_|% zf&2$9R&m?rU*e1ZM8579lF?vEV?Pt5YORPo91!LHqPh{-L099b!G7;n08nq0ADP3q zw*Yf>$C2~?On}>-a=sBDc;IMm^_d>t2PYF^jT4@KCH1xl`EYz`LqcOc1!TId)egPw zOWIe2YZZxfd0!NkR#-jI-%)o4(PA^Ma|J0iUXR)qZ&ue zztjJSmIg+12gcF4e56WZJCgMn|6GY%PeFzsEH*IXaj#$m@2j4GuBZBaAZaaB7q(nx z24@tq2E5r;2(B>3DxbNm4I|KQgxPLh!H>N@s9+6oWXMFVj$xVF^PjCOLa5A#RZ`mN z`gv;~-(z>2!6g2kkjrwr3p$Ey@ZQHe&dW;JiPHf5RmS2=*=_kNAZs1C)5nRXz}WI2 zf9cgkSo!iB$MJa-NTrz)_55;8j zw^w%g6VsdX8m*Qt$``A2FQoL(&=W(B%PMV_$7I&blxzeq+M04!>MoyT6;9j_GR60} zx@8>Gw@R%H{FKAXgWkK;i6-AR`>L)8ClamCU51)NKXeO@cSy`F3QoB12do|O`)$6_ zS~7?}N(UTsz$jMA5m_H3gPCdE>>^=}{U=n3CZD^Bf_&iRiSqpU8(;ahlAi`J4`gq^Hd+cylHdz*@5~lRTd{geLzD3U-H-D;6 zzcroJi0L}#r~o^m!{F*2H;;IcNP)5i>k)TZ^lWrRTKgHlQTcclZ7DUB+nRs&2e;js zWe=ROksm$VI>y5Fy42*#%>PfmiIbQv1IX9qlsWZ|Kv8rYuf$vJH*jw^gTn_2zB*3( zzzmM3NINF6;8uF_4>M6|BuSNX+(f_K#(5wYeNE2#q2hq>PZ+5C&|8gEaYC_k?>ey> zkT4yHiSg>S+{UUx0;j(WZSXq;vUn8!j_<3Hp3>&MMf<;yiIZ>nI<=3dbP|g`wvAOB z2`j@9yfBW(nr0i9(2C^3=SlEA%&ugIZlOlAGF zZXfg9Y0T%DkL(DjLx8bcQzr`=dnyvvS>!p`bCyBL|K(H=B>R;;uYYdY$2JMjs&4$L z_3=4TgCrtQGvoI^-M%CHlFbH%>T!vAh!kf%`)~$K>|pGwRMSxXbzTs07-r-jSUKr7q>r;~d>@3du&b$N3O3mte)i@Tf6lhAD4&dnN<+mr~0 ztoj0*wge8dE6$Lct7?btLys%U__1y2_I9TpiK(Bwl<`l?OhKRQY z#ZnE-iz{Pq-Z|O+39}e&h@9q#JDdBuxvN7?`KK_q!HDQM3qYIuCziKx%jrd5C2y?go_A-A9f)k-Jr%Yc>j`1YEb%ozC`*qo%sZI+Q|-af&f)?U5Gt(H=SeXV%_CYmNKL%|&It*2Q0>Ny+4k1gD{(~KMmFnos4 z7W6Z_ANu+yCS8R8*;&&REi=*Y{5ZvJ`II0yY-$-u7fJp}75^QohQH3Y{^$cc^f*8^ zom)xd#xje49f08msL4K?GjKw0wSZ(Qvori}fxO=ej=PjIZR(+Pjke4iXYsywL5K|{ zlzLB<9)8@;dQha(%el(yN8u?uS{Bg+#uBzvUTKb~>_}>1z{q55E zL#et&!K3K zCN0!*-dGw?a#&IV3`OYzvDRZuUD?8Y&*Q)Vi(Wr`E&!hTV&&&>m#py}uv-E(JD0^B z(DwfTQP>0FTP1$@D;u3`F%uZw+nM_u5l^cHlF1NEXXZMd3+A&b^xF2zH18$oy^%t4K%o)gx^EDB}W}tQ?nULnDuQzT> zB0C0zy(}5(ZYXGttegKeCpYn^LSDy_f|+C6A5! z#@#x#Q%V}nep5oYuv@zkpncx>WmW>WbO0J*{H|uVYHe|+KyYjqI0Xa0b{R&lWU+}J z8oOo}C@i5M{LUZ6b87o}Yu?0Mk`m(gP1sGaATsC8V+UkotYn#KV>TtoAW_-%&d#Nn z0QO~R7RN})hbgfUA2O2C-y0!H$9NP>K2-{ zDu38X@$TxeOE(q(4?^6TBTm!mL%5X&fd@<7jRp9%IhWGM!)(Zr-`OEz-bJb%7e2?VWL&}v!i zFUz8TCQ02#+CTgxKNKR567resV|_&o^K_6{=CBUt^Qh;vkv=Q$xJA(oRqA)kq%?f! z-fGT(HnKKu_F7qtEE?AS*#xj1PI{8$;;xz}RU@fWR!9o`;^$vC9&R~0)0+%8ss(nO zmYFW(b`Xd{%z1oF_$>JMT8ti-W*v2JzT%Zb@H1B0eqM4U2^soJu8Y&m(qVbn z${?`gkir4k)Rpd_oco79qKaQw&tktw5hm`$C!YC(Em_2al-pf|2S@f)=M8fIBS7(iZJHx=-3{P*S&`5qk>7h3O+*@d~gE}j+0D&hrn!iKlAMDrkzK%(d}PJ9q}K;-HH zt>T0zUSD?&xavej0XKj-v!$fyaxbT5OzPR+w&?@?dTwmR^)jQF;5F}frS-L-HvWt8 zb{N&>Q`M6VE6iho{E=pS020j+D$iGOK~9kd=D*=H8d2nO1$7V_Bg<@nf54-~H-rad zIYK{cA1_I+XKu(9oF-+(5vV9BGX^R_lI0j?6pOAvpidC+ESFi7IUvjZm5K{CC+e0N$b(ZflZ?a!v(;|J_$ z%U7Vv-@)tUv@0GKju%ITB)tE#t%z74Bn5h~uk+*@5H!z3=TFh*Z z`Hk`IEhxrbetJ=|B2F{x*5o&2qWJudRcWeU%FkyymqqjHXVKHrpDYkD^}2%B;x1t( zufN@oYF#+EtH_pU5}se!1@?rUXFWrP3N4+jpJqv2p{xqzOWEdH`lkdAb^%gF<8JK^ za3lisdvzkK(MJ`MIm3er3jFAE;W2I!*(WyTLe{*L8@?ld8cR014>&UbD|(-Yt2fGK z_4e-9uZfJn!ZAkzwxoaNC`c(9a`RmRKuui)tf{wM61_$i9b)(ZWMVC-!$l?*7p7a; z28^wwvL(PL#*G0?<3HZJa+^;6gav{pvNZ7G>B1PAN^ng@A|jjuKpJ-SJse7f0^u`` zMNr&N7|X&sCqs=Bmmp?~_^~cgb;1utyq|#-VZ|VtYZ!rzPoD*IvQr#?8Dp9P#IT!m zALx+%Oo?w|w&T#7Ne)If0RmX|T32v2B{dkoJevxDM#dj)2ByQ*N;|>2wBjoWp6E`O z1)jB%d*W)G<~0R~h*RNkCj86|)KGl?9R&z^{0rEX!LTx*Y#ihD^Tv-U*sY@6u5ki% z4L>yJ*VkRAWS_b6U|*6$(6ScvC*@r%Y$pKd!};#XMr+jh6|c_Lvme8T9gTKr5s=zL zG*tnUo002psM$Ua$n^XmV4q0#2~pgI2o)Zz-<2R1J?k)JMwXCpbzH?;zo0;rip924^vmy z-nTAw#1%jnPY}~eN~MfGN8KJK8DQjzKNa%VazS_bc6(_?}uQggeQIbmo>*zrO|zT+=SWjzDDV zp5RUadR~^Sg(n9|a&;EMq&*~bF~U4=P1a?=KAb_L*=;tPm%JGCOakjQRZ_Z)ka@b! zaNGtmbDW?X=H>7Vf`xno9Jd>6LarJBfdKBlp(Nl`L?YauO(6xSlR(zvuf<}@ZVM(> zWi|t_Ie-YAB)d+y1M(0=?%VaHcjh@B5n%fpV|>z{-1%)|-YT4V?5+!IdJ!uKLjq#h zA5nEcR4&9bC5tz**(U@I5kd>s4g4zMK;AB3l6ibvQaQHvk?^$JnaJ?+)1$JM_moVo zPoB-1Z#G79m`SY$mMHhTLt+D#Jsv^eZ+Hm<| z7@Jfz+g(7#`-CF@Z1?+MRjX-9tHlAc7kckm5ns>c_cR#f4R^*iAP6I ziCSAwhM)2}{8IJX(oa!N8$O!yQ-LU(hDc2#~Le zOy1!Q$5F?RF}Ish2G=f2FotF@+ta(hZ}A-&^4|3o@_FddTKaYWSv!T^dnl@2YgFi< z+6`a!Yf`EvM(b_$B1ipESqy3O3uj{Jwm?zylZM6tk>?e*ri=bl^UO}84`@R(`oWyP zFKRjn@P(PH0G-|Q^*g*(DR~g^#nkx7H<|#Y^&8sxQGJ8k!V^HXC&yZ=rc1k&0IeUy zJ{oI!`IuKxF_EVqPCr+^^?0@>zQKu+{qD^^n;I%nA^*rR`%Hcg{G%18T&`osN&jRv zFd`B4{<-z$aOU>;ElEab&zhBfbYZb9x~AQQ+nV9<(&gI^=oZ8!gJdyp;JjLh7KWhzP;R)q>xE^k6zrr>PNcSjKz~v#klP>7wc z^pPp?q~k;mu1xp%EVAvo2fd0ninFpmWC0oh_Z?M+>2qGyrhDoI_}QQ}!JS22KQdF3 zZ8Y4^+-#g_{U$G2DDL{!`4D6}%pqPGvJ~(?j)X{^B`|ZU?s5WF?s4hw1%bk4df?%~ zh={XXiNz)E@$U#>KYAwDc@prZKJ@q4yrgG)mnuW8_!R${G~lxpehBU${4$hK67(6F zIUNo?k#!vEhJ-wX3l#187|#<+Y5tnP)^Fw>($%-I9F;wK%=(UHxOtA{UYII>@`ZD%8uVw+1p1&f-t5@nSLFJ@_m$d(c08qnvPR%!>88VOi z@AM`9C3)n%>P!T5xn!2$9@lJV02W{R(C{}*Guq8ClT{&QF!rI{4gsk7fbuH4r+&>i z^KS2%Vxk$T>w!@G+yQ0a5Op0LBWuDfxkGpxM?-MMT=0HY)rCTrx+mHi8X5(O_Ych0 z!0>Ml+e%+%rAEaNOFkJl82YjWbhmI#n~+K1fT+REjM|skMbeO*4uTE7#UZ(ag!ZjR z2L6ZmW@}ZHqDs1=N_rZ%+qliDa;8mRvN%IM)zXCL{CqQ@f1j5N17`h`rQE=9L-UI} z*X`p9_wMerDb!hXF&WDnr4(G>%16P^Q{C6P4L@lVzv5 zZ`B6v-wP>akPK~-Ic+@%WUyqBvpo_)(D^SmVnyWt12zJb?Wl$zIK`e!deGf}ra#Nd zdR$QCsLlDIxbN6w+1*Y4ciwmvy~la}-vPaiL#a*}p|I+&Dk?D!{M)QzvWV0!HP`7r6Qk5P0C_^Q{yz^sPJS z)9GN)r~O>@m)}f^QSYWqw|p^7$MEng57(cn$yNU%9Zq9?cqpW3z<1}DwUWKJYbu&X zLX7fVD*^^lvd6b}=7$A-|RRu{mn z0rn!$*p>695QwD*TYW%JS>woSv*!;6n*!l5Wx#&TBmveIqi|sV{?hbddn~Pomzbw_ z<6dC)kwu~!^P*$L8oXr;xSVlZYVZV&-tn4TcLZ1EXWNIFHY&5Zg`DGMGme1nTy(2&N560la_Zj}g<`ecEkK#Yy zfFI-)SUG+O1(wAdmf^o~82>zdJn{K>(!*d>9~WiI64n3tzh7*WlXsNEnYC35D?|ub z@2-`-keWgVZXajPX}0VXYnN`_^s|&cJzSuE&qJI`4c;=u{ujwWR}TgFNq&Plo;N60 zKd^km@-WkA^{LjZ>3`nE<%VoGy^ZT@?0JI7i!up;Qx|0bqNGWYC>)|AbdC90eff8k zR!%!IH)t)ml0@BstLEwf&tiV2K)#`FS^YRtVz^Joqy9Tkm;u(?w7q9gz7AkC(F6m% z9$`BYdbCL-pwHc%K;KPGrnmuvT`yo5Geidhu+wJwTz`#!Q?WeYrMFWfAPTL!8TC;a@_G`=y0zmnr9%Km2&AF7(%T>Bpl<-D z{IM6C>AQ1kNX7!+tGa(GQj{G2ZarY$o0Mt<A^$*y9_os_9~v4B?yvIWB;l|4^SULr$Ur$uZmTG_9bLl zDKU4d*8_q%-oVpdTUZ$QDqFem(6YB()~tW;WoCRYSaZ*+TgMo>K`@@(@@5JrH(%Tl zhv}@=!JLsFd#FVc;v& z7BARG0wj5k+5*lStWkL_uL=Ga;WLO8H5T`4s{Y}A$~P~U$3OUR*2AaNbjkB0-L~P!nq*8(=?>1noGF>&8f82R-EQOKBXS>2>;aQ2h@SO&C!*o znG2Q=J!uNUof0c*_fgFL6Ey+C6ZJlTd>RR8!SHQczo}ZF8>inj?8msC!`uV6RWi^~ zdM{#ful|l9aO)_(D&e5V1(DAQecrkwRfOl{jl&D`th33x)8=S>wbU#(%nC)8c%Nq}Eq!@+tL9IPcVfKVLXXIdd#e=#(A}gh!i416{vk-(6r;5b z$Py1d{9~X0btCo)-9LPErwv;&kUm$Bh2370bh<|;xt|o>Ct;(WfBfY{f*S)9ljh}< zfMuUzUXTNH&C0^+ksweM=uG)X=JjhfOU}4Tx_5!uP7G^huKu{$mjeYQK-U6Wsg#Z( zoky!31KOA4B>yEQObL7%Ic?gotXZ9FOvM%np$?jYxax@ot%#ItK8CNweNS>L*=>lA*<9v!s0SG^UtqzNpuq0YT|4q)rwcEnX?vgWtJ+3s$@ja96wg+-m^*tvxVoO3|AV6aLdA?107w5Q z(OKS87*rj>=|ByPZThz$KD9mREW7IIUnSO0v~w;8X{?=rTdOboj#I>R7af)l_RU70 z0>0=(ky+W_)vOuL-q^yXGtKfz}a z%jIk(`a8gyOJVr8XKEBC!f-jZtnsu82k7WjXsQP|fZXBl(4}Yo&q*;YKpn|+2Bd(i zSB8d-GBxd6b*ueKau?^B{on^4bqmSEqUyj^TD?~G`>(kGlzQ#fS{0w@O}f446yVAGf-GkS0w~6a}Mfv zx4Uo#Gs+mL*8KLV4zOzF_p9c+zIC-@6G+5NEv}VgH;tu0FEpO|K^AeWjh9Ll8EMC{ zxkedFabXa~lekEuS6ll~37|B)IES01WgBe^geT@M?ldC*{QS9)xYfE@_a|p1} zxmfkNDpL5rypnp<#XtpakWwR#b5C$&ghAWD+EYeOg}2T`eVkCO(hPn z4Tb87X*IjTQad-TBsb0ExIHr@Ntd<=P44diRKYJS|Jzg&Za3E;IO3VC^)SlM@gt|_ zGYnwU))D-r`DNTLJ8fRw_r`v|u9!fVnClzH*HQA1Dyk)c7s&o8$R<1co%iCGvX+pk$1h6&oAe(I=FJiaq?BWqb~UeMq}Al!S+SLw zOcytkX5?b2PNk=@eW8vD7cNW`15D@j~x&QdO^xQIanD0FzrWk~)i*sP8U5AJ~4< zBeD*KQQsgP`^Ogf9K$Vs2DVD5O%&P@ax^ZIB~`51@b;v;1uQ7Gz!(GB`++m9LQ6h2 z_{n-wcO%bUf_{|O^z{7s`kQmN=U+VP6;siF#z%D9{;9m3 zsv=y{|F8{UDF`c6Ai|Zd3gM$Adj83#e8qZ3(K>{nGXGCwm+Jp11|oAE z1d`?Y(EPGnGc2{8&4#)>%q?M~*ig^Aq$P@IUh5&36x#-mAxEZx54SK7{8~2q>al|5 z%IkPM*GuZug(~L5QMfQoZc5oPMQs{_hsq8uV3qVUlK~SlK|s_Db$TPycytT-E2H|hXaJouR z3+SR1tfc_ylW0Z)Fw$NhbmV@)r<{1d*WTU$EZG7?ESwU1M*L+4ng;VKG1}}*fTwkc&e6s6w-y> z1oBCJbz`87O@?gmIw=q&6Uzy8F`?V?;2xBc!+vBu^C14hzRF>bk=^K2J9AlGCneId z1)WS%IWN6dMb5-_X(u=iW>AlBJ)Zt4qCf$^FSme!k|BMN+;<_dc5Ykk3V zb~%* z`KqQW`PXA2U{t%C&nOADnSIJ0g$#{~k#1W*eH9Z)pNjshQtvjO129o|0bsJHGy1;R zR`|u9l$P=MjP7ai?rRXyGXqIT{^K5r^vvmHG)sfWoN$b$KvoSBQrzxsDJdgs>f_U0|g zzb7@IY;6rdr!~S}@qk92SQlmC1TfwoIx~U;17M)k(^n5ZUUaY>oBkd)u4nH!i6G(b zK6{=fypK*9Bo7DItG~O=l0>V^vmG~+YqMhfIiwS)ItllBfYBS;#2OsX zQ+YbchX3C~CH`NSH$f~@d&V*9jL0f-uHk{(8aS{h7)+b<69q#5l4YX<;{Yj=(?^^k z)B(+NqrGxMoNzc~pC~rnC(>GU2m-SE_w**5H;7WjLhe9g_>=*$QU$E}^~$V_A9*%9 z_{1kaJ6bz19_RqHQKc`Byy@g*=pjfCxVRR3Q9RfR)%S*e=zgQK`cA8G)WYQ1k?*|F zN2}G!8^*aXo-e*XUzLd_F7%v)#uZm)zJJ2=zz|BZc##U zi@f&5cj0hSZ_z9UP|82Cc4JoV`k2a(mDHz^*u``?krzYAFUXXXd4WU#=1Gw0#Hi1H zbO+}7X!_qnTGYR~1MT;MG=3hoLv5?3=X2Kuiw_@>y<0ME^+hFP%@14G@_y74`WM<) z&c@k$zfgE_yNy+0`!+XHBlUKDQ7-c!_&S_wRQj zC?Fu962bryN{4ih(v5V3fQWQ=Dk+VWv~+jp&?6vS(%s!XbGDz)_xJpsbJlv!de(FP zIcvGrjLg97y=UL|eO>SO>$)#GE@pi$psi=%_K+M(^SX~NI`|-& z^Xth-P(&JGsJQ4OlY>7_VT(L22Eq}memACIOJmj_%)n9Skie%QWU$F}B^FmMMrytj zthE%aM*9f>Hnte`FSgABKY{3*RPsC~U>@hW9pYq6+YEp&&Y3&-7dFev?0xU%Ff#5) zXgys6pIMVcz3~~$?#7}pW4*^fd+_D$OFWFNUpxJwp)OzEQ7R1gqWFH|Lq2%>tWlht zp~~B>{H{e{R0mSCW_R;LTxaN)SQjdqjoZ1 zqN*6@txO_s=BJ_Co!74tA#gfln-xah@d6j#p~Dl^R6GNwt(PD9qhCJ)c;lb6Zlr1^ z<3-mDTM1h->MBk%G9bOXhY#s9%m-?>DNVv_a6K!q;a9*_hloB%4i7IZ=oxO*=Go*YcR=UOZX^UD_hl{zz0SLF~8~sS7 zzcsTFNkWG~xNhypEjk)pjDmV_dv)y2S0vu`QODytiuWSZ{a=i=W;!XNjhn;Z49Y+5 z_Gi`CNmANC`VM|Y964eCL0rXfRVw7Yu1FXW#+77n?YiMxpF zNIkiGnI!e-?km`ZCE_Nz{f$1@g#}tBi)fb?Da1(&3d>8Y*IZ*!hu^HDtbWBe0=FT3 z447XuAJ(Ki0@OBjIQ0%5XY0I=g!*VNBCE^NTbxYkg0#qa>Iwi8o$V`rohsJvf^K9q0fxOMF=UUZY3>6N2$kcFt%-y zeOO>+D87ZK^{*`qi~!rxuDiRUbW}i|LzseV`wI{7VZ2;FQjVgZ$DUuPj7gh1Mmo)P z?TP-$u_?^0xs?lboTj6Qz}peI zS;E|pTJa`Y2-uSN=5Lk57bC4V`2QIVWIUb)(cgg&=flrD#N_wz9|GLC16U|+qE+YC zGXBP&l6llgE#HRZ4T`taHn2%hCwn<$2Dkkk5vfn95?W5z8W-)!z07-mdT%3%q1oH! zHxF#jB{iha=tKUrC-~5gc10ITX0$TPAi>n8neyuuWAJdN?~F`${Qmula{zwQit z@OqL-z!57Q5UnbVL}-WY9(gyHeYIP-?op>PVvJ0t|Qmpv)*v215+YvWxq^A2z5#}APW zK7PEEzT_VplmKt(zJuxdEV=&ex?=WcS6?K}=ewgocEsH*SsU+JkV zHxE`t(Om@GSN3jIJ^^nnt1Aj~TbnZ_81PMS4!pO1CGI5M)c=VfZe7D1V@UQMH-8R% zsNv63fCxLSfX#qrQnbU_j|MwDFIO*8glKn3Ar9%c#K2&J2K2JebiTXmN1k>P;>waC66Fd$wMBYWv$?45SJ#=#4HBrW_Bf9*ve+ zGRQ@~7ZPInM$u%c;ZgW$ZtB+Dm zzCPl(63J{i!)UK?oL^R?w^${0;tR~wtI3wb1(?>0r%zkO(lZb5HOEW^vHfyapF{eA zKnEth3bXh;{-MRkY!F^`De^gn8LfSu$YV{+g81`kGt(M&I~oI|)GXyt&6oMsvuVHG3omJ?3|) z$PH?b9vw2^467Rp;Eh=3RX36v%T^5grM*=I-KY9X!~~f zIpOL;yPInOJZFzUc-O7qjnI|bo6?^3Bi{H=f;j$ixL=Puz|JjpJO~5-%D7Wf5j(J7 ztTO&V0skW)d+w#OI1iaXD}++WYS(85U-2B%%vBOPfdc5dY1Jk+7BIJt_BI(9&Wt~HD&rCVD=t)20jCS@Z)0izi(@S-G3~9*Z2kU@4-K1y*uw<->+pUa^ z1u$NwO-c0@v>59O_iP)d2nT*@czNTOH9>cnENDOALpUdxZ{gkUmhmaJqbha`N|O!V_S_WqaqH}9k1Q4J*X11$y*nYBLqOerk&4iY?PNf^w@h$4Cys=&UNXD6)LOq5LX(p zB`MC6;}iydU`Lh$0e~~*DiDuxLhrzco=3Jpv#+~?Gce|KcY8zu9Vn;TPr=Vyp` z@*C_`S+al?upNuQ;NeFzp53b3^>-_vUA;*+zfHFwGtCH|@@EbCs7K}!`Rwb)yz zLs&vq3k2+(7m>p|(?8?}_N2ZT9ZZ^R_7#?bLXNy?-sC1qFhvmGJO;b5bWHTFR=Rqww9Sxo| z)}DvN!OS^BKrFCHS3hrT)zSTP5~XyeOJpp?qjw(H2|rwjS9{Ko+f#Z3afEg7%XODzC&R)8Arg&WFQO-^j^w5n`QQ>bhXbP&C4Dv0MxfIfiY}$Ja#5s|1xakJl)nQ+41X;lq)0*BZH92-Su4RAr&L#i`(~5`% zmIJsJt*yh;GL1N(etG%znGShdlUtJiE+KFI#o+Sf=)M)(_}sYn?Tg~pA=@Mc`fP%j zsGn_B(%0e#At^s&qktn@Wc@TOWlmX>gJI(8PbB<`=mco{P9_cvQ){2D5dRN^nBu+46S710t^<@&+{y{n2J?&g0z zhwPqm#e##iK;>`^X5z(iTDy4{4t{~-dIy$%Y0mGaRx<*dDZuC%UQtcM)XRHh_P6&+ zBf}E_ogn$stxlj*lOjG;b!zq9Zb5pXOUvMhurh(k7Efr@?zK%xo_8(e?njOgl}UE% zP)zpdb1`x>@x8Z_$Ie5Db$_|MLk_=7AI%L_i}s<5D$<-~y>qYh;$E*j^GyqUQ3EBX z)_@$n8V}@vGE4O9NwyCPQZ{!-#skO^t@wVM(~)ltUM8~6Pfk?Hie?({{`OvJ9vOZJ zsHRF(3FnzCD#u@hjE@8&s%9@p98L0+S_8lLm0;NxNW^frtF^)nxCn=#DEr___T?N4 zF7yF`wGC$zq0^uFO^-v{%59_5R#7cwHhP)(B{Z&H(@lO44am4taw6})NtxgaUBYSEMbk$x zp>l(pHt%gjnP&XGz$QpRr63v&cuz-;jp1kMJPsX&W!ysNd-jnDA(1!+R~=`;M{EGz zcj(2iRf%+@v+1ZmQ}R5QOZ(%p$b;{Y(-Viw+ah7=j?U4huFX^iH#0;F;-X@t=kDuh z?BE+8Lz`?dh3UaqANUGrc=m*jD{r6ByC~kSSRht!XvrHsO;RSk zo$j*?AU*R+Z&ok$8rz0Ze8*`oLeGk{BhpQP{3BnWJs4^Kxh&g-Wa>dV zEv>aKSx=3X?W^Net&Zt!SnDxf9Jm+ zlAeCJQl`X*@j5%glfVAw(){;>JSLl`^as~wg{kA*mtRGv`~3A89p%sJS@w$Vc5|?N ze%F`V<+jk>_7PB7W6Uu6l-43hy9bK~&V5oR_wL)A-93Lj0MWPIv*^xOMKevT%~q!`yHyQ$#sIu}$um*j;&QLac2w zRB)E|v8<^a`z*fq5}W#sGBz-IS96gmYt!E@C4E-Xj{@*cYL^eHwMOR58#xufz9+ZS zqy;{h)o~r7yR0ik9Dn1qORC0vs>cr4hD{|Qv%sZ7)1+rS;Lqsn3dd#2)IijsXv-N=04_@a|HsO(sP4JsXpR%mKVW#5f+D4>&9g5dYKT!?v+mj})wibCEA`gu=A5sy|rdLNhhthHY4wM@<6 z0YJp6x=&1t+bv~6fAYvHM8OM}M5>u9q({7of#-f`oxT?_pzppPs*wgWprJ}-8R}z2 z{Bc-{g|F_;(eGs3+Vo1v9vv!ct8?upu7jxo*k{pE{{#xTjKbhz5kcUOJ}dlp&~=@^ z_1)<&f(JJDk(n}|2EJx~k)9D9vM}QuxcarmD2RQVLfzyoo;^&yi5&Wp-DdQ*Yk>{7@v7Vl5=2}PcY`>i>?_X&db zYqJOiF3(?B^_r9;POVq3oD)gf!QLv~U7Zz1mZl zy?gb4f;9+B>^wYyqwT`Q$jwxb^J_E4c){7-++sF@dT1#56ofmP}IL+Zg9!aY{qV&L%mP2Q8yV{1;TjT_leBA1$Pw zd~0~8e;|M>#zVqHq7Yd6mi#o=$7M~mMo7BM^^+(f|9K}_;%k6yR#4IAmyg{?`#|aQ zVHZh$(&rA=3Z5Rf)4A?D^W(AlBWCzAKt0Un_eRGKdy&BO&n1|iPe;m&g2AHdno)*` z)hFb9_x*t>E2nXhLXJ97@!3?(c0@g<)5r|=ZNhKD0SQuI(L(oZ5&Yqy2Kx-=0zkBHv(@pFU<(19Y7WLt}v;6)VgQ>WhB|J8wl`nw9AZxmd7jD z{1MCdRVqGU$>`w+|HX}$?&kK3aT1PM#W}4vMiIs}+m}a?Itw!o`j-{uwaO+akfSOw zLnHcQM?7{Fm7qVo%iHhc6Sg>f=>~>L$>(765X~@4eY0*?Qc$ZuiHl1*!yFui(Mtws zCWFZ#fgR6r9BW8D<)q3ku?BPLH)?|AkgE(Ms|P^-clh+sC-elYhRoNOdf*v?I{_A( z5K~Tml3S5A(n`+)Q(Jvm6x$R~)Y<0_kIF~6GEX`$J<$a_nT%J=oOyI51Y(K+3Hi3) z3KNljR}Id{ptQnO+lpold;z**xF67F7siY<-3(}H8>Ny@;Wn<6BBOpzq>Rr(Q#O73 zMQlnd)gB$};9F!gwijHiAzt{I5(!TInh1<7q7aX^J0dfqfHU-O8lW^;cMXnl@C{ZQ zAMG?pxvEv@e0U?kWOt{vJUwTQO1_xeAw-IRj3z?F4i^)FcD-A1g0Vcy^!u)M6#zq#OtDX`B=pqcS|?oL}&s*!ytk7hhp=V~#99ziExXoJ3_6yI)`JGPcWjnK&R9w~^>aN)+l`Pm_ zpFI2y_H!A&4~FZC zpm%ZH2ntT_f~`Zs?x69uSbg1gA0z494<Gc+)XFMkgaUXMOYnSm%htz0ZNuazn zQPUH1C1zz}c@s%K5>)oH*+g}5V)3Jf`qZme67p_a17K)BG?;jGGi$)3_EdRJB}TNB zOIIhpR5PJve<>ZxYF=T|R!>9Q{o8$`Q%Bvk{$O4NdZD zeUmlC-A?FOccwaDHl+=-gbY`~>ittUM`U9b7#=ax^IQdhqP<`vcr!M&1{y`PE64C@ zWy*dsY`RrIiV~-S?d&g%h^E*Sv_~ji>y`k4>8eFFBed)PCX(W*?P3Rc%th2YOl) z=AuEZF4vvwWl}^UNAWBt>_u4BBepP#%GgBrdKO5O_(e}Q!{#+a`GrDZCh&IRTD|qn zuEU?fJ4$6qaI#6~Sf;z~=lzf-^=y-2(U|YbZY|%%HX7XCmUhyV2gd^ysDj*dsh6`9 z-=YE^So@rlabMgBiPMD*eutS~GIQY=_{(b3Wj{tif$rW48xA19+37g8ATR-6u1T@k zA|C1UeR;Kw;nT5K`5)_H2)~Y{aUrN8a~{2 z8wr>deNKi3^U-R#hBmsQ0s$v*?;D=F>+%dFL?joCEla=|axA#cR{8aF){J^|Xy~UU zDz~=XaZn(vO5uK@{Z^g47NT!PauHbbA+?A}qxc(9Unk?2SkkT;g|r!!(O~O$gJ4^R zqJfmmef|kMYuWh>MJmY_qK~Z&Tu8|oErRG8Jl@iSKjupSn=ai!SbvAB>PADb zX)7*zGsUs{XN~Y(Plc=D1Pgn@+c@-UTF_@P_k6gw%p1{u)ME+nmPv`aDK@#I_s^8f zU&I^#tA8C>WSoqLHQmjEx)pZk89xEs4tpR(Jlwf(;&Gy!vZ_%;I415N{W298Z0lGh zAP0(U+zm>134=`T4$fMe!==k;-lhCv^*$VcJ0A{sc=X~jLR0E)lJ`mkp13H4Ae{Fv z<~>gJkyEG=Ef>i(vm3DHlTd=8Cp!tPx->H_n`>M6UI55ruOAF;GJ_4`Zq>aS3L100 z5p%tOFZxZm3s-PtIOwSyvgjoz#vg6@)s0{qk6Y-n*of!6devY@SgT4E5gE>_qKdy` ztJc0K$%x{r_4XncH9lG?HKkDM(@c@? zS({q5?nTqquP&0;xD5$BDU-_A-dyW%eq+$Mr|< zSWw_B$tyY2iuSUBPLsO?;TGXuwU>7-Eyimr@um^UjJvt_4#!}SMBZ%kJFkBuf^5Dl z&N$(q(6|)K!wFniZd@Zn<4*F0!NHMK`*H3(;yVn~%EBP`t(?t8CP?;4)6GzK+lorW zAClIaM?{P-(uIEEgTHt_nNm3DHKpS+>AqvyV`3JbO1T~FZ+bLAr>vqFZ_knD4!rD= zX#l7Ip=&U&C5q%LiAER8R3tE40p5}e z0iBqw&JxLQv=(g$S=5(}a(<6@nli7G3WU@Ze76DLWUHJj)2KGq@XNa7D_c{qKfI;_}$uwrE3Q_hC69#6b4*dK^ccG- z6fh+P-J|9-FfAzOX3q&s zY51hiTF+8x!Dn`3zG2r6ubK6)Fn~D$yLuV=^oy<8Ra#gx;&OgyAh{z_TVL226R--s z#p&{jk@Rl<=nOh>U9WN+e)}Jb#(SQP1wt>u?dL%)pJ%qNz{mg0pTS0h;$KDUvjCZf z*S3LR&rdwstu^3n6~x(YA{}#{3O7y*Ca8&6Alqh+&_3by`kh%~`jKiS8s)h8qm7wR zr=8z39W}e93)gva18s9%du7hRnhPW{46g0tSi|kWUJ(5GB97foY#{A&LSBZQFG8tj zp-aOyU**s?ZE?MTpR!eR_}wo@DtT+6GCI{5WNb)5MFzT%*u)qe3`wn zBJhe0JK^)yvPh4LjS$#^mlZw2#0=L*EaI4*yhzIMq|Qbs&cgP=Egv3a)mTuy{|kY9 zKsYtMh#lq|{g+D=lr!-`1FPN@)b)bk3X2{_1kf2hs_(NONKTI@;V{WP82zPx_iK== zLZvty_XiZRZ_?r%&0eV_7c6B)ykGbT15)kPDL}lno&7Pr8}?V=b$}KRYk!ZD`X;qFwpT^k6;{K$}F2+O7tS-k88C)DYoyOF@< z{txc{K55Gt&snb9G4Scf#)yI_i4{fn7hYvEC{LXldasbfM%iTh=ie(xP^AdaC=ZSnK;P8J-0`jKd78~FG^(O|4XT|Q>hu} z=W#_!)8jHleD=JYc;XKqAV-|Ap1F05?pIx|504aWL1MXob-@T>Pztqe1~3vAIQN{& za)wpBGQpr<>!!}GP0&Z)5q-Ac@D#0@#fKO0mJ82wYt|0AjT>vnH4bZ1Fp{%bZcb8A zg_r+S8-f6E4S@&1(g5R+r0xI%_3y`;;yZuJ561X6!j!ZS3Q67Mc)empv2*Lk_2iGZ6Iq$_R0spvYdMh ze~$<4tgaoJa7pUT%B6G5&cc0{b!m#ymXT$OSa1q6*jIXOrAyhaZ0fOHqgL@|dFXfA z7R4&Z7T00xasKQoH6{dpyoi|FEvv=)Lk^^{41t6W&s~KiQEn2y-Br|=_JuZbh7x(x zh#09ZASyckLkPxf!|l~@`+P(i?=2$s#r|ix%*VP#7d&vS`%}v?u@((rE|L<@Pnr}0MM_lLa+~GB@ zr_0)x!wB7S_eltyPHhoyaN1RUaD$?PX3feA75{_oDfO1CnZgbZlXo+-p|$TLLcs6Q zp9J&*z=&uyvxio$g1cSAC1P=dH_&az z`a&mdL2*<62BXcDBtJAfnTiG`!lo-G8Dyl28>`XhEDy;Ure5lWU;#cjc|{iWFIPQ z5>*@j^2uN)qace(*^$k^WV-JfC!-!u znYjStQk4u9P`1V^$V`qPGl+;vt38MA2j|`Ra|&j4e|mTG7fUP4iL#qe-)sga|2!&> zC+qo^zmOH6cnwC7f1yjBpF+S=DeqQIN{9~Rjg?EP2UmIc&_ZQE!{Fxc7tg^j_7nWmVP&wJ*4}DEWcN$>jWgQQz zjGKP!t&|tBNr$^y$%(kXq>%(IqImn8Aph8Jo%0)_Al%zC_F>=_zf&Ac$AO=6#O4C` z$#u29&gv5sx5~xxctMz@SS+JmZssSD&D!#6blRZ~?W)-l zhOk2^Mv9rbseD+k7 zNR9?Bg$c4YfXl=igL}RR`xBM0SWN0YQy%47_K0Ip*V#AaJ{g0$Sq8w=_g&9VM0HtA zy&`%vZLuj52wQ?=$74&voK;5$8EmXjSXEy?sUkADEzW7H7_3a`BV(;v_+XL!m{K{Z zIerWxiwXyYZJzrbkf@t}Mgs=O=wSjFYp^K9%i}_UyB9D707z=dqI60(ENf3=c3fvk z5u3C2<)%)6J{dtVZ8ix4=THPK(qs3u90%=pCpRSFobR(~+^lCl=yH5}BM*9L-umRS zloTYABcHH4uaqS-T&1zMBTfahW(IEdyxpSe^JCzAGA`g@C|^7VPkBb|S@vfo5Fr{z zV&D%CK~~p4vw~!(uQ7eZ#fifLif>j+byY4G>rdR#ziXIum{~4i*_S3Yx{Ve0LX=U0C8<9Ga=PC>)BKsChI86QCR|~~p2GtMq zZ0IEB$wh(*RAGK~y-+JFY_=Fn<@{izUSu%1I4!`m4@7;q&0P<3n?7@fWEwfRiOUB} z+uyA%DcE87D-cy%=a%WiTQAd&uPo0FKQbl5VyNasr zNW)n7!@2(jjszUJdRa#f?H{A~4oNl%2~&uR2HU|NBkCJH@9!sx2)zd@nUSlKbSzDm z8)SUW&OOvF*jveyO{RS?jpEf=tZPXr#eDmJD-EVl$!=KZ{ts9p#Q!Txl!bgK47^?X zi5J5lZK3%7|DRM5OUV@ZXm%~%Apf=yUW+Re26k#YP* zaBMUPG<}y*!E?iVUV%#1Ju7sZ@QgjRbqIR_X_%-|@(?;ZWE~smGI8lu`T8=oFttn^ z+e>`nnlRpZ;@}=c1wkjL)0dC3<+w8v6a`JSSYQK^v>O+3%+q|oRGf;-CAHET9SCq{-V2o!*n;!Lv@3Vf6*M;c^pSkzaH*10O40sbeF6K+hq4(gjsE5zx% z#WAgizn2`mXBiKyUVb32MX_jiw^();$&44j7;(HtXMHZn8n5*FBj2Fa#dr$Uy1Un6 z;@xwzjOK}4#bSXQxApjI|GA&iFu017psOxe!l2o+OV?MsffVZt@4L$AQ^@jX!p+RX zxsSv{xwl+s^gZWl&B{F(mI0yyO^I)YP<(q_3Z%8qjdt7a%a87VAv<0GZEO&tUb_nR zU?GvqviGZuA0rt|Ap5ndn@kU|VOwc21rLf+`YHg`pD{) z-cvA!dYgm0mvDd#F_{MCKh(Ca?Xued4hk};vmCPb61%9hApLd@&KqcgvyB)+?|Ec{ z{3BXd^IB*o70iJwou}p=GByi0%G&?#ADTZby9vXw%uYy+ykhVrBSWpa@g(%)0Novhb=N z1EAwKJ(%E_X8m6QPCpQT1TI3wgTX|S9B{vs^1e5~4J5gAwi5}8)_BkFXnQ#+2Y*7G z5cmlGSNL(+K#Nm;1aOeI-d9Y^a$8SBKZ#LLA~CvoRQdiXBMRO{DfSrhZt^xj16)e4 z!iWlTM%Psi(k{BE?%?N&AX{53%K7E*^2!62G`OX1H6RN9%e@mVwOvH19)M~H_*lmZ zB9RVcdPBp5g?;<1;`5c`20vZ0)^HzusRwJ;+b@~=TaSK^$0t*1f0@`wIax~XUre5$ zujmfg_zytyz&4BJr^9(Uq-vZ4KA3@;zzg(`~(%D+x7f^k+21mI;0@ ztvy#%+bN>t1I?@Q`CMvAK;9#Z!zmK0_lC} z6(2GEw^k=U0Dx;_O*ZshR#fX0M@(cdQa{;61DN(}H}0281^eCzN558x;eMAX`v{0Q z);xnw9_lgP`OzyY&yn$)2es{Yk~X&kgU}e z*8T+R{0!$0RJw3+j1==P%U+^Y2W7Q@@R;?fVZ6Nzwi);$ZT1Y9?^6Z;okWLij;Bk++ktG=Li2r8|N6kzQzYn3$>HY<|C@H8WimT%9j*TloN1dN^Dt7i zxn}?O_v1w3*MAn4ji031Tpj!h_;f-MskTNqC6pSMtr6_ar{C~-H&OB6fQ4W=eP6&} z|Hzw7SmhO^cYm3?L;p5XZm$&YSqbMMh|5$KTE9nPXFSSXwz;XJ*USIV}zB=4 zoc-6A9-G{;S@nDbi^In0>!$YH46;L>x_hd<2G_6ihXClF)?NPuy+@$UmI_3kKvNJ| zV8@gtO@{j?=Yf8_J^iyl^U(vdJI`Z(%RCo zFjo;GR*ImekSn<;L`e%?Na+3E>)UB4XeM_Vrly!QS%B89Nz3H_L^|c|{HXchRmBtx zx#qSda&c50KhRNquCtV}T|OoOZI-8kEtJUa=-wBvCqx&?wk_ZP zZs0rK-#1O(DR5CyaTh<0i@UrGpVrmX`{YDR`uvqsDSq#xR9!S6OF3*2!c8iM8UCN|-I1a6#kozxk9vlvO*GTp+Y<<+QCvmW`p zB0di>pek(){&Q`pa?ArSbQegtg9T+pztVO~1XeXBu;ZOM4j#Gfy`9_y0B}xB=6gZp z&D|+(kN8GH#0XH|aifo@#N3v0W|EpPpHC1uK#JsHlAwbIfQ2dXo#{!H`b8jWxjj>M@kj(QEaULB9<<7K9lmi^+@0#E5Zn0ipDVOhD#r-7Yu)gy=i^yC zqt|JmI(XfBB970~Ggr&w?I^h}lx9p3lX9$^{t6S1qWe^@GGezk0HFc9G53D?^SV!(PmlJ7fXMY>}Bu7|a?7t$y&=If(uB%a7 z{6#7U01$7lW|>45eO@Rl;{p9+tXjd=FdQ@a#X(=hqh~>v8s_A z7ZeA~B;#6)bB=c#3vG|T=g>cSJ8IjNgZ8rY=*Ayc7d#50cM#jje?5W%i zmE%9~Y4n-)OQDT_3y`ABYvvEidc9~@KtiJ7-Ak5~EZ3sK(-O~a5awcEHT#)5@l-V7 z{cW%BJ?<}@{dLpR4L2&QuccGe1FuVXO7v>dwDT0mgF;!K1Xaj^rEl{ao&I7ay+3|M zW?=m@!pT9_ylc2yM`tSmWBNY@Iv4c=L7nb`FDJWDeM{kf1j(DDPdEy~u+{$BoY|nw ziE&N}yp>Xw_dw)=ah>&y`-f$$vHS0h?zhziSsbHJfu;W~=m~ivX+61gU@UQl;tQ6q z$q_@*0A1@tumqs=#(a#-7#)nQ3^MTU0*1LS$OO-K4;!9-qk|pYH77pv)`snZ*|`O) z!ePpDd5+&xi#A|=LVf49f=pP_@zMUV9ln5l1hW=mtgc93^%p6#o6Yu&dr{~188*et zX+#C;eG+|0tHll@MJo^En}zXKZl2XY-!i)?Jdx=p4hde(2S*iE870L{eD^5(f^Zun)_d2Qu@mk|A`C{6r-Rx#}ZOd?e;x9ysF`U7h1ZaI1hV= ziP)D(ChBBMl|uvWSE~8ColsXg$CXDW$GD=+%`AT~1xpKuEYz-oTN~|f@E(-TqQh=h z94qqGo|yg835`~k-*JVBk-!nN}1>O+u)5yue?_}q2SZtE} z2VUvIOwF&a{)RBUH! z$$r`4HRP4g3-sU5%vN;QJ($p;5s>pIEXtaS_gy!8AUgznh!;)&^LR4A$p--T#HX!K zDM9-dBVa`9L<8h{bC*Mt2nTiPA9zoK{CN`c*=p^U`~5TE?xF4l2Wd-u$5A^go^r|R zT>Oj|k{ibdi&_k2^#LGq0(LALPUBuPY^z7_1@|iuIku1AznDnJfnA%36x-|l(Qx?~ zXZDA5YFHuTt|A=)){a|lL4%^U!RVl<*K3M%g*hb_vs;$#pnWLwMZFaH5&!x4+BH*N zxF2CuTo7}R2FPd|GHY%!^n?X(UOY0Lf$9EwN)LM1Y`j*k@3P>mBA}rB8goU=``E)qxYomFVOG{#^Ln`%WDITQ z?dlzr&+07d4i}OyZ(!tXJ2i{N^W4bwID=wW=l8I)b*hoS#paP)s9;k~Ru>J3tC>@ZKbv)Gjyw0gl1HY3`Uu}^Y!RLS@H(iful5ijWH3zIu{*8)<_-PXwq{%WQ;S;o% z`NgDGnMN?m2ct;3oFAT!`xU(g)6zCJm2#(9e&NX#GCm%YGZ&Ue4q#L~ zWozq}Q9IET$)zP&z8hl-4878dCuUhel9)cRXEKN~+7iI(6z6IWm7Uy$29YazF|sn$)c%BS)uEjuXAK&DLoLZuqX3*oc_UPhAp zE~6O;WDWc%KIFk7DzdFm{W|t6?cuby{}Yn5 zniX5+7{sv7t!&+9s|*s5OT3B$(s&V}QG(#|H9fW=Xi0MU5)|VM==8&;0;836i_x$X z;MklvJ~2a`7AAS`5xg=kh2MLkWS&U`Ptd#AGVPZPqAt5)b_=Qcm#Tm=VCPe5aj<@v z8mvYqA9S^P3HiT>d+(sA-lki0gPVA$f&*FXQx+_#{=Xts{)AJ*T8FNfQk)tv_Jn>E>!D=Pe6SI2zUAzns$(7k( z-@IR@1M&y^e#2E(8Aq7=8GX!hp2zUTor%+UJP3UKS62Ib*IMi z!urt367zdfXjAoyW0jT9r zv&+SzBw+B_dAnj_m4zDzNY}CUsN=c$$oS8JA>MA9NgPb|c(1^$La2!ibe6xr7szt< zQ9{oYv+-oTkz9aB%%&I4vL%j&p zzRa_WHsqUW$=p)|kqG^a`bBl!{9QGIRnuu>jY^zq>2yG)4D zh|tCRRIZLu96*#CPdmw{T0P)Wm;n2*!&~O{3@UEeS&v3EB$h9yyWx-tCvm$n&xLF* zoI$^jL3oE-tH>$wuwV}P-fNoky<1F|G;!Je;6! z0uMxuULtAtaa&`D6kpSXo;b@IuM9u%}va_!6W6&`t| z7c)?FuX)48a{NB)As%}aits!n-l4*Y#RmGn0BW^JXzSTyz)HjZk6WW~lD!G^{iU_f z&GxkSxo8@r@qKetHTQ?QhC-SZmSX3t5MJUtcF}Q8vP}uHZGZZRclEdAtunK%sb zyO5+K;x6mUh<>A?y~3w#O&AICn!$w`?y*ZUyhFD;yB5Bpu@}}=2G!}o}E%zW5Rt_eLAVo82)XF~i*C=iE_YgTjU9qv;5)<_ znf)j$s2%_mMpn8K|6@4&*@#eHH)ncaPuj-0Y^%393#$#D?K)`oNjk#%^eY*~Pcf8} z_jfGzsN2L>J6&0N>2D6s6vzm1fv*;#=|6m&lv5O#aRZNOVgjhf4|r_p=Y%h1)f*qb z@Vh)`DxO-GI-UOd=$3$es;6=P!l~D5y67KYXvVahrZO<; tqv!vo1Z@Cz#hwsdf zn&EU(+)inT)p5}4%F!Za-bfy2BgSF-)GZXF9ZTsi zWRvSCfM1X6+FuG2%l?rzL}xJ9v2gsjK+m^B6TTanOSaz`+`K?vP(v1?=TTY@KJcH%zv zN$Al(=X3XQuyES%K!5G?dr15Gi3f&!u-(hNyC2K8aS|Ho&;&kV=(xNos8Iw!UW?R~eTT#j|~ z710lDej2yKrkgKQ^2^i_Sz8UQ7fF#4z#pqPF!1CI&MN3FsGLc)K-5E9&Qi}y(6$~) zPX+x>KJMNofWC)n&C(8WamFfOJrsnF&|6Rc+@1bo>q9T@f8A_d2|VOsY04}8SyA+g zCzi0WX7g7^zRfP}>Z*j<<=2jHy0E*|GB*{%mKWhKQbF!sCiW9A^L;^HzmZCxMD|)R z+G$*Xf_K`El?MVB;FG&N;rITt$}!#&4##!Rg5-87SZ!0UXNVZIO%ZvaqG#=`^O_lu z!VDk3L{*RY*`}Owj>JrFYgzRdIUC{d-uY=}p^K93``LFM58@54Du0Yl!}R1(_Gbvm zhe9uQA~sEo)m@98eQT=pB;PI)I8FPd3l5!Z*RG(97g{h?JPUu`L304@AC1e0=q8aE zMHLS|m*r=*#ADt5@pY&1X#3QFs3v8Uae~#E6Kpb+eR}juK&#{Xu!7GRxto4Uj?`IQ zJ03u|T{?%(7)Y|%Lpt{RNa4IsSf${Sy%khJs9g%#Ecg$Vb_eD zOxrW8dDZj3EG!R>$*-92)@w2TB4&W9XlQvGNC7#yzbPn$uM)GiQyRK@@PfNnVJ#~bC-$V1{ zKS$uknr2uJIr{K`nN;G_!?_aGvT<2?)roQ8uI_4+e&-4P{V38{788%*bh8AmjOy1n zagS~Zf@f~v4=C^IzpE--ejD4mN95rpXE!x2W@`7J)z0{Iy9urY)O|O+RFyA!rI)5n zv{Yf7+si_E@i-G|{#m}5o|rK5kfH4GK`}_fi{m`Zr)KEAe0dwdOF51qR1gHpeO2pU zMX4Ur?LM*+ecFhh@(5%5Y{Ewet2`r}$ej}-OA-D!;=tT_;nJ@q+!l4^n~}$Q zG^1lCP%46;6~c8}sdMh_f}DA`&)qFA_zLIe$$@v>t=%~`cD05yqy1kXp{M19AHLOb zN%ec}ILum_(e!CIe12r)ta&?V<>X_=IVv-}>&>j0TbhwPl6DQA?CWe=jGBzSxyAKMoK(Y=+*kD5Qq38zTIE$s`f2-8)ft92y>Pbc zYzt)nCc}!axNKdD@2}A`7>}M$fwGbW2b-?&dg<{LmGd*VQAbNB5mVk<2~N6eS`Gm* z#{~N^ePPe-&J9&72ywYqIc8>0iV?eE!;trv@G*w*!!~lz0C7@agANJ;(Dzf zgT|O6kG6g-H*OHeG=vAgEJ$XR5ZjNX9glCz`ZpTx1hDf*9VpM;< zL|oBp2Zx3Gq|3DNgqY#!ZgMV~Yu%Dv1}G=&)$q3;lmykRna)$y>sY7QUSd{0j|o&o zqmAgrPni!s6f;&8uAU`p;_Sg~Tc4fr!jLZ|IPAJxKv$H5_yNUOYPX+Rxj^h2A@7@h z<;#p;!~5y}%;TilCgNVynAD(%(_aa@TvJDPAG#yw?HS}o05uH*G~CjbV`wryeu@io zT}CHQtX513I3ntPi8rdgTXgT4UA|n|JtL#1`%`O}KGDTDAb%)*jT-ZCCgwjB>WY4F zxyv9~TvTGha6A}I*Fl)l(wySnLnHpfW27)5z&R=kGLjg(Ol=WGh-BRaDdon4F{Y5R zC5?qY;-9*&j;`>_qK~fefQNW}yG(c$z0vu@TAx#^j&>PDYUEU%!ao@P(lpYDA7y5F z$Pm!+?J!{0dGB{}rS_RpV#zC&DEJF!x##~J&vFs>qi?mzi)mLH$UfIP<-> z3c*$xia)47Uw;2I?$|U+J>CD+mp{3FrNA=uO;3zN_}L)xa#rUh^X0TU8uzYeF>xi~ zgy-6B!ZYRX(X?l;mYITH7lnL}%Br51PySi_eUngr#Nhfjv4(Mw^&ctwm1qg;$Fg;_ zM1DhR(#h-#(+)LlS#OMJ>}eag|BU{J3vg7>>?o{X7ix~zu9Nq1Cwt$U;DTG$lglsz zpJFmEYRbJa_kp)WNV&1G@7HM2T@1!`qdD_!Y8ls12EH8mtWSdXQm97B zWw56a0iY#1ABrFTUTi`=b0<2Upf8y@z8j?YxFN(*QxqI?OVdGOzq^=AxSnWbrhIF& z=8%7DhbJ4~(E4lxx4BfHDsyGFyigD{*rx%`wy+?Yi{|# zX?;d$mGiWppN+XSekMQ3ppq>n533(~cRE42teE{1LvJ501e@OxWD%vms_-5gOB&7T zuj|ltQJ&`Qt9wMa??GufhY0A5hwp@Z2cP(gi|Wr<6skl1k9W;GlQt5S;n&t;b@ad* zX>#6s1n_NJ-=;zYIxXP|*>H%)CQicwzy_z`Wbs9ye)3-?oC!^-I*yw%NUg*pc}^p! zmdIbA_}Ct{447&g73T~gnS{rj4(|CjSH)7Ex8Og z#08QfX1~`~=s{RkVw;8;$Y%>8Y%JXjz7X2~I>S`i-!xO}*Jy*NShv;un!V(CES;?U zm4xc*$WOGISxO>}JvLN4E#rzH;R?UV&}Q$`F!<4*(a@OoY$bfrRr_n}xBI#~LpG@r za&v!UKPfxt4X~dE`>gtgWe%BcbT~++t6-2V)o&?*)BX7CS%M4fC;p~1q2E`#iQaw6 zIEhFJxqJz*NtQO`nUZ{Vz_KZJB8`c%Dcix_;x>gJ0{3vz{~53U41ZD9ZvOyJOAfqjOjsQ zzB4KP&ixS&lRS&(i9&VX_*)qNAcMPn!~$RX6I|)voMF|lrLVu>_34Y&yC&q z#N0TCm(K0OmbL%BQlywK`$-uym=`6^g>cgT^nYHeNTQXI=*uX@e{l{$sicfIoj ziR7gJ9NSz$Qcv6A2(7`(&@aDlcJtutKhPJ{JQMEB(JdU zjhjL3reAk&H8?-cxl?s>%B6d9E;l=SugPFY_rD)uP2*3Y53GXAH=w|Ix6qqd!Q+$K zFzr|B!WiQtT}qL|SX)FUb%NAGlVJs`Q1s28nFpOZyb7!z*ey?^RS0xpZ|1N7jw$H0 zV%96#(%}^Q85BhXCu3N1A3k?#rod?udvp&r5a9Qo`;fKIIGD4;jmAR=+L&g(|DhO4 z*+|{TdQXY}w2n?Ulz=|5JbwDbpYcIFmx`VUG*^*6PvrqIkP%^Icr!d=CS#>P?X<%y z4^Ppdb<7=+a^}y>aBm|i?aBuGH+B`Qgg(TJTGjx{H!*u$(ic_qIEkI}m;3xW!yKnb~j)e7z~f^o2{sL|Xd z7QtMCo-!fYXy5hH9y|8%X0Q4qRWQUV{mKn`2%cQyMVH#1;GFz2Ove)i)vjV>$*cH1Hg%!qYw3>tc86U-6RJI=8x^;Xh*vKp;va93rHYpIr0b(h= z3<2xX7vt%Pb6;HIw8y2c*Sd$L`R$GZBi%VosW<9%TfGtMNUY^6@ML?Yk(q3M|Ck%I zQ?`I4@RerFRXX+aSo)Hj!RBaG7Oi(>d|nD=HL`~d9~eWB!zEpO+`u29$`jh4>|Z8zMKxB~k!Xu3s?DsCmKK^R`1(V_ z=xifFQ;Egm5uSq=)l&wxTD0foJI*U!`C?}!+6?N1cUlVmGCIvxh{9p}L-s_RWCdC> zOGWMp;d`>TElw!;qCJ_8z;IZI{@RFiA{zO!eiwCyTk>Lz&ExY~TYf`i@5zy?>I69T z0QTauQRK4oX7cBZz6Dc1NIS)CFxc2_iDn9GAw*G{Ld%uY`HV8fC%kre?Pdqv8kb_N zvaal56X6~4BWSEP{s+fO0C)3 zE(m<_S2x=w5+vYSP_}o>SX660>?nwFcvLswj=D2z`wYF1Uc zp4@bQB$}b~Ev@c$4OkA6|LiaSWYGdCiEn(ppE7>Ty^9I@5_R%PY+1auN@ekt&$G`A z(yfS2j9mAow#BAb)e_A2@8Yei6O#%9bD$6Ptkz}aROnYi8TbsSoG1RfY?r7Nspel^ z*A9Lg6oubEHNMeBFXnETmenw+-d)QiDzpqey|js%C77y;2r5u_z?wtJ>S}|(Qc)ha z!5ciz0fTJVM%Ov$hgPItV%goWy;d#Qr(*CupFYtctsz?t6lW_fUq`=%Uh4lA9>DmAwAJ;g=nLoLg4?TULhKH7R*zBxMV~2DGe{$sOJtEoNbWw&>P(3&}nxFg>UF z+yL{(Y=XtuGSr^+2NX6Y&ZzmQQwHYMa*e+&LH5OCqyE#!e;r37;4-KCw3BxpH54%l zwC&lFS>AZoeVa^vrva? zPE4C*Wr1qk13WIG7xc6YO)v6Nw1hftJTLdo$8P zaPjV!>pxL7K9D@=f#fttT5*}`zsPh7V{BjmE_Vq^!lXrseX5VAMZrQy!bz%p(|g4U zZMqalxBk^;$2^@`D~1is%|pOoc=ce(;2F|xwzSq!kkgGOcHSKGrIe#kI^0#OXr&Bp z(NeHdR!Q|E4|-O>1JRW$APWTxT);Pl2ZvJW++3T*5e@SmZ@Yv>)t^ptk|-Aqr?snu zo8#%O{&YZ3K6|a7%&)R!cAI4k+p*Gm(v!o5W|V29Yg_Q(O9mYa9+p<#Q}Yy^GhVgf zGocC$FZ z>zAq%q4hBxCJ9z=E_YZ9pwEswvNMMdpRU5dHyh(!<~3X#HtF{dJyjIq2R}g#*%R}s zSYCg<1a}HF9NHNDsF}<-P1-i)IXTm^ymV_Ajz`cuGGU56Xl3XtHyg{DXd2P3TUn)8 zs`CW(9ch*c=A%wi$+ow&;UcfHKF89I+0;Nci=jNxdygDVxKu>w4Gv1AgV@_o^)=Vw z$=Ek<*c?`4;=!VVa_Q7XT_exMe$OuKcN`0(wY>D}U`iZaJ&gTS*Q?7wgH ze!IF8mlsuJ+27U8eEqvznz+iKYSHf!$j9rTz^n;_OpqOMA6IBFasH1 zuUi0La-R8ygMz>5Oz0pIrY|P=FkFDnfnM+-H}icUZ&4amE90&+C6ZS_Nhfm9>a$Y- z(KiNOAM6?C5BNgMz6o(Mu@^}7y+gTlWKb^IC-K=I)$!lb=2(P0Lr(jATaK1-F&9wq zSHD_yVL>-oY|a#2>t?1Vf2EflIrS(O!Nj@@te>;6$_+PQHbO3Y_QmBBeNnr_oEe(z zsa#$j+ryvTr|5VWFGjPTVr8U{r`9erY!cv)`V{pC+b3ixc zFt2N(J|`@^mIptnaGlxNg1Ubo(=6d~I4j6SW0i*CEyM0idP-!zJT#D}K|$ zeOP&=IP*&>6V_Gk56XXsxiK}PULA>$uchvn8mYQo{f`k~dT4qVA3(+EHaAus*Q*!C7|etQ z%}$ACpw`Y0Bw6P9wsSgg|qCN`*tL$ZYFImW)ql@-q61{k)MnCmj(BHBH&I?}UdVrlv)+~Y# zr_-#97coVpPwY$P*Ab>-2@-$XzSxhNsHawbJQsHE5S@qSfA5YAq^W8oA_<|Z&`R?0 z8amK@ekPYo>)}OI8r3E|0(znP7|sC_p6Ff-StScFJ5XKmwKb#A1+8m zpbDi!4%cjA6n#p-m4*}8hQqU2c;;`b{=1rhzkbopLKD46JixU0oKHcm6#z1&#;@^h zR3%sV3YKtJGF4?VTjwboKX#3gPPKki5YDLdp(85eBMv|d%=S{r48`t(SS6Ts^T{Qx zt~G$}bAV~-xf5m`cE_kPI}=030!K^aeUc?Kav1yCma7Fsngg>v(O+o;NwY9+Q& zg;Cuq6;e1e_C3P|y+8KmdSTCmrOKE`=7B_IA$-|VJ$s2#&%u5e^TB!QIgt;pUJZOp z@ii7n-0)#lw<3~Wz-+2*__H5|>2>)N6waWG?^XGOGkU+(Zaj{0z>7P~O0}88`uUTU z(w-4Z6+ze5elKso)seFG7$^zOYJbPmIi^>{>xGE8O^|Z^lgHBOiIPdA!sTIX{Nk^$Ft$O5>Zef@R{k{+4M2$ ztH+Y3=Tt^hZ33zamE8>*FxXP`d)!(Qga2ZKt?HsJ?>xEvcmM{GkPkDunW)GQCG5G> zW1Oi*{!WTP18tkE&KK)4V5kmwn*K88Y?)CroVq+k6#{{`cUO(?lC3cA6J1Cu1q>;w z`fe?zvZWA-?f}ad3?{#hKc!a~cvh^ma^CzXu^qS3o-rg6GloQDWqNIY>MWDuvwG4c zBQ9S?6IVD^R3Um89VNi>TkhmRqPc#`o1CwCm*Ah={qUo1oQ#U@*91gfyPEPTiJ4xv z+pAK(%M}?AjOeY8rD{Q~gI#6RH_DkaQ4%+y?-vAPfrnP;5bp>0MzC~`lYeqtlxy2P z8#>5t<_qh1D=k@3HxD(&9-}+3_}c}7EkE9 zL8gQ})bb+a%TG|aeX#T4h*cqL&re7n`I=97?{@1`g8{{A9#q< zSywLz?!jGH(ODYzz*ib*#`B@k1uKhkvEJDrSiN#r<>d4A!I*x6Jxm)hOa3E+6i1eX z0btf+sdc;<$P*18isq2A#iEd{luJ*s&v-Y5`Ic*(W)u%5>M3?5`!TwXbHo&nuKX~lz-wV!pfk*_tth$i7Xs@kjVkQWR^?k>4Sd?N!( zG`e!j&bL6AtPgMY5by_^;wH8CT)u1HWFBE<%R+u9i)T4wNia1Sa*||#*=0zWQnQG@ zj^J|}?Fp1BfLXO;0V-o|bD@r?w9EjBq6}WoY6;acUDnT5+f@2`MT|wfLH%0cvNY`~ zK6HR5!tu}f$1yVyZWlr8Q0P`k8U43uGm5&o+_x0W2{V7$-Rs6B3qm`tP+zZLT@YR) z|EAq|8>ng0wwxMPG&>s4`; zq>-=lD+TYVkj>1N-42hRFMsvLPcPSa5}Vqum&hzsnB z9yI!`xPdsDK=N8CJCj0?gy&k07Dv7P%gOU}`TC`egF$)qxVdDIUO^#8f)k_YScr32 zHF022LI$`ROvEX4Ft(qKSTNPrAy>M2u)Y}@%zy2yTzSKk(1Pv(&q`_Hu1=fSKf$~J z;2=%vS+>rQ=T=F$Cd>%2S!xN%?0UezqA(wO#); z{+R?>OUpkcB_7FiQDlV|f`_j#C)`vK_(m;K{(T?^qJD>SZ;8IZ*W+0it~|H+JPw$V zvwy(xd98*GT(akl$o}3+(cyT6N2tVq7d5|&@bP=@J#`5-!mK&8l|}A-me<*-%v&ky z(Sa4lzL?ziSDkyK$kIQ$Q)gR8LqA(eKEE#MC)}MoNG<_xOV6nY04`blLoe0|))z!R zownj@YzDFt#YGseUZG|FmYJ0Ov0%;=me0avtvYyDnuSJH)LPPnZ4l4)1WK zW}fI1aju3sl7*h?UHFA83;0dCz5;0+OiEYTFPc9n3Ll(2aPyJjBlck~=NX;eG#xcw zW9$tYO*B%O0cp_*@-o(gz>8_VYo0L?1od zQj30RJ5Jf=>vEx|$h}E)zro$q7aEr+vaHs?eE&-;7=6vTj|-!i%+1y5oii$+;LRN` z4rgw<%r9Y<>;uqsb-%ip^!_*bTA3t5{(61Gm+53~V>%vqEWg%o_h}N4%)_4fA3h`r zC#!oZ6pmeYkAbG>)>&;(E*g)8Qo79LEO;f!h?hRBs*Kb&V~uAb!^cxjt@U9N1)vv- z6A03>u1Z+3p2Ru_m(G>^az*Um|5lwtsCG|Qw!$g4E7(@c?AU)#s0@;b>c{u9`u>H{ zaw6$gs_C&qbzTq$*0i}8S9P@do^LfS%{W?lX`lO2#1@f-nJSvS{w?zOukEP@Aa^!V z?)Ly8_Ep0@zi^-}S);#_HvnvcBu9=TzMe6K_4@Qkns|V|o6`F{+*A!10pp6&~=vW;=b@ zuvh$saC+MCe~8xvmHj`$Ya*~C`gT5YZqFA4V8eqmmd}$U!CF?xR@uWdCgqn-o-Iqf z)R@g(;sahl*;I%)V9A zul|v5&luPG9ST{JaqWhCn$)x3!$cj9wjAWqX_=zf)#EmY{a}wF)kPl)0PJ;rHawI) zZy$ZvYm5k=tN+CdYDOl)X79&KqR0h13_S<|ivrX82Oxt;Ia3N7*dwYn$)DWSdb*wl zsqL=yA8V-&*e4RS%2S0nExi8DS{|lo*pX~*F2D|e{drTE?ty&(>AdZtnYvY5MeTI% z(2m&?UK1RKEfV>o%x#Ab$Ulorp)93w15f&9ElsZfVo4saAobvNMNOJ`=X34^hOdVG zKudZ|9gwef5v^&O3+yaA1 zKEX4oF=$ltVB(FyGnI}BpW82mA?}T&Zid5Hhjy^n>--ZX9 z7lg`Y#-8V~?ovI0}9G0-kW;iHFVI&8`=E8^@fc$fhH^Wwz-F z`xCX-G}86u+Hs8{uOa)DX3kJqF?Np<32O>bOpLP#bPDpzJjqC@z9_ZCG(8_9eL)&{ zKGU<&&JB-+J;vkswPu>LYV@SW=Po2jQLkY;C94^ey@tAmYci6^_+AHJr}KiF2jYqV z&`Yq;e_^3GWv(1We|~qwGqgMr#v^&PatFM=vQk4zMpgeP&c0n+{4a)GEW0Bg)^4~Q zB+*re^xWCYGxW%7B*XjQUcXw^a436Z+b%CothKen7=|$?`>RjKCY5q&w>D`@N;VM4 zsY0fr-2ZbG0UsM{0kMrso9KQf0bV`jhks21HOV-u2}?!1&^~V}+VjdOo=w0gf!Q_q zjK);^M-FP>ur))sHV0_{?aUjs-LNuag1b?sEZIYE$E}4G z!znfwi?y7;=GeFH#s`O~3TyKQy=GGsjC}U!(`OONw09@j3viyYg8dZQZq^G=RKMMUqIg-he3$DQC^Y$f4Bg4s)UVe52QXA6-^a#a-`0Zwr2jQoXs9kA%MO3 zpIK1c3<8M5)FF}o1=)!d=P5~u{m;*&3ZrZsYl-Rg-KuMeY-<|fI5NJcLJI2J*2loI zt5>Gq_NO0_IhL78?q^ZPlZN|VxVc36@^#d(G#3ULw6mRsce|zwD=+%gBekTEoUY3M zS#wlKH0QtbC+C^}4Wuc6IuTO8;pt`_+^x!Q`$|uTp|T){YH{LandXwP+xQg5*b)8Z z;OfJ=Z|7f#_{amS&vR{OLnrg5Fg(s$&(F+Xdc1!55~LK`iffBb;z~KAl`dOdxnCB^7At=ONUZ66{`gAd|4ni7l*cia>@wP_HhK2)A8}0Y`wMKnCD=-}u5UnsVYhNpnllTZqxNS;v_oj}WJGofNu%B}4wXv#NVXtUl^oxTiz#YOP%djB(IsxLmT} z?VqVBTkM3sjKkw$+BXOJc;bpe8Kw%NqPDu}VxwN((CvcP)~#UO#mfd-i;C?~Kb0Rm zPaKx{edQeLD0~%^E8c2Uey*0B;HsroYSU-DTE@E;vXk?-#MEwbn`^bSr*QFxag}P8 zDV{idcCR+$EzMoMF71lyb=}P=;!G&^aR4`Jle2*F&^1E5W1RjF84pw(D!T@ zh~x1!k+vn>q}4rsf%CMkFv|DlYqEgRx+Ha9Kgm#_Q5)~<_%WEof%V*+%05GvxRY^w zWrH=4fwj&c9W*JZgw*dF*6;_F0bg@b^C=!+V{w`FQrrGpTev4+vi0(QhCQTS%y~;` zoqH^W6CyCWM?^X~seYD^UUR*x?> z#e+Dsv8~*t)_o2WbDM|C~$CO z3VgcmrbE}k$Iy)2La2gz2;cfKY8m(CvVgrGMK#`jzd;m-P|)i7+-CNX)8T^Y*85kNsE{dnPd^-h1ZVw>6lZ3wd(5(^OU2;w zjF@gN3j~SUOP1Mr3Kh3Uo8C)Co$be;kL~PF-o7I@5>UFd>gwfvp-d`fuV8%^mRV9L zW~i%UQi11Ibi|=A265j zPZ(pL6CwsEboV`e@OA#he){>ZiV36t;6wj_Lq_~^2eG01X9n}GQV?UNm$Tg_mwD-ipZ63|7cR4t6GI5M5jz!^ zy?UGHYSub~{VBr9yw18U`@DVPEu1#t5uRZ(V^MU?F86#bA^hh%FBUbuR0)&0{RH=| zZIX8H1++SXxfOAbRD8l7JaKyOLem$ z)ocP8S(wJeG|bo9x;N2+96*)6dxYzSO9(7k@5c>dW8b_UL64aNp%^L;Ii8CQ#H+o* ze%`m6B(TAelm}%G3!Y~w;faFymr5c(A-v|mxKGVLT%=3L(H;p2E0rPum}5?+(@Q%DY#1}(;w&8B`S9~#X|BX-Mk;xOPtL z(V-WAm4s8$?!XI}F;=M%Epiy1@DkBkB5mf}5yM+73jNHk(hw=>E8HD|&WfaK|KqRg zR0GB68qt)#qAmiTc-R>Wy5A$?i&J9Rzky3QavGTu8Vt6BhQwIYiV*`T8M!RBW5FfC zo2EDCY)5Ti`)Cr1csBJygEmOZIj0upWF}jIPSvZV8k`^ZG>-okh|Hx%5~ve9WNeNw z7@&_f?=7#iI;uP={kUVcH&x~84KfOT-4Zak-W>^ZxY}vl6J5MoTs`jY3~jAjKB!A_ z1VCu!|4L~3lv+itmGS?IVO4|b-GcjF9Ps+C9TPK?DcCqGM{_Msu%@>Sge|#?sNVLq z?d1Tr<~Yx)sSa=hQSAbRvfT*YQ+oxl_uDhy#{fm<&(rO6+AlKFmZK34qEhGM-O@72 zBvi+J?a3f4^M3VJvze!z@hTWH%aOjF2Ir_ZS<-{k^$@Dy;JPF!M0MbXII#+aUWmW> zS6w}k)7cC={ip{*Lv|ul@|kGqfT72q4X}X9gVrl}y%LyQin_m*`3E5WF9-1dnY*RC zPIQs}1WdU#x`!voHZcLE*_BdO_df$@t7JX##RufQ>SmF_;+GpExK}KZp+ip%^CE1rNmj1q*Qb> zStZ>%^5e&stl#)9SZF`lx-8vJiKG77?!6RH&keFiu4>hF9W;yA^l6%qUq6N1l^X#C zMqc$LB>%o-eFSM3uiQ;_2(dTHIn_~)X)?M;8$W1AfF*N|OdWjPoNRp2?L_WmACO&U zXR%cc<*CL0r-ZF5`ZVJq{OAgDZLj^8r1gJ4M!!DnNaRR9t#>k$So-HB{(awnzQ!cr z(6sy}5qiY+1EE4n8tSTb1l8H9*g8&IFl^qxstg#EX!MV&6hp31Mi^1LYN3p5(7K<} z6H_=b5+!qpmesY{s8RR&m3+i*z1i;m=!m+z3HK(_!5rQXat_845bNga!k`3k%>+lm zt;LiPQ&wl>wY(G)z+)`w#(yS@+>hUdC%|I?nXRKqlBTC%Lt9UGeYc2+dH4;5w;3uw2Fq(mkHn+d7)p@vKJWSteHgXm+g5 ze?ujEm1D{dI``E+$M>sbHDrd1s$hzdi{GD@uNB?_GPAMTKrvrGM%;Vv4mW}1EA%>> z(U>9#DW%BsY>bT&QMXTcy5=_YQ)|zY;QS&rx(k48`Si}sjD+CjiX=Lj+h8p77mQjr z2|ZD)b^_fX*r^|AQ#0{dR9)O*;!*+G3ZSE|DAxj~7$@d@{20S1O zOBhrgF`)~3lf;{CC@20J)OCxpjYnSu1Uo_3A77*^a9)jN}d z+dqmHjt%sd!YDQt({ea`AhLCa383$PaCz?QiQt3zP?Q(7fX%dg{=FC8ohG+IGCxuC z`CE1{+OMX6^Rjjz&VI{Dlx3<`mY4ziTDF)Wqv=;?KtBVm_mEmAtlKhrSk?EZGy1^K z%Y>Jdfr=k7#NX_b1M=o7I9rDo>(0J)uMY1e98Lc)9E`yrT2Dq+JBLARCoPO6icV6k z&Yy?<*oo9ur`f=FV~?Bw<3lr8_wd04<}_x&;|}LVoNxnvkx$&Yj4WAu9LA-Yp;pXf zQSJ0!eD66gV;ttS8&+6j*PUUv!6{XwhvV_p{bvJozE|}f*R`lCaZgD~n>3?0&jvBy zHw^#UN=$M3s|PJcsk+VRsr}ef!?nqCVD<_2I`xFrg(ccKzti8cWlmrLYXdMvkB1rQ1#3CjQF8n~z zfcdiMxcBR13DY`hmm*N<2Xg*)-CJ2lo+I4y$NwJ?!0fSkWxdD4W!=C6qo2L>qwZcA zu$a_-EgjN(Z)l8e=faO#_=FoLaV84$#HR#ggulq;m`Wa;Y-UK22dmL>HRQ#3KFL2Z z*-6T)91A+FhpPo6hj=}6?*drAE43QOO0<}xVI-sK{-k%LHWO=l=d4u=jypCzS}t3+ zE}Q!Hq@vG}pQ8V+R4S^lO_0u0z<2R@pYl9wDZEP%4816N93J3i!qW0Z#Z)mR!)c7d zu1>mpMSn5py@`KQ7$2Pte1U*MC?AlasZIi_eP5>rY!!jOqIExE6lMO!VEtc2j|=X8 zwHk7nZ-WfDd-&DpmEd8B;UY)74%m3_PA6qeQ|1qFWKZ2jvilaC6IAd1OIrba+m}$M z5qzjd7H^GxxKC7D{cD7opX1@xVPZfAhct4uQt(vbtl_K?W{lde&6LU3;q*6bbm>3u z7?zeEd^`S^l+EejU-_=rD5pMyJ;LO?)8IpvHBg#Y!{1uI*I?VQNo>`I6i^paUD z3bsA`ey`wWGs15@e`kI;N>N?m2y}5v3p!0!sQM^|&T`luyY9X}(3Y-Uozw&4hc+#OoX1 z{Urh>7t#UL-a`d_8HWyHlxh=qLr+FcQU&b!Wb1$@AbHkOMegcwP%ZrH?(sJb%7=Pw z7FbZX`a@74_2pb3>t~2LjbGP;h%NuW{=N!wJr6FIF0-Y}ofG{&2gvbl4sBgKD{p$s z^-N+Hhe-5I_Q#!m5=c1D0m`3vzt*~Ou^nwz)}OS-gA8-kRyb40$=09@xOXvJ!~xFg z{ADw%8NIXOq#eRxTA-DQ2Y^J!p|KfI%vDs-?_N zfg2|wo@VS6YVlft8@S*-rByZO0i*m`G4Mf0T^1%uo|w~WjXZ*~Hukvy<>Rp{woL5TRC zc{odG*)}MkAnO8x*5Re5z#E{p_@CreTZ? z!bG=}^J1)4!Ph9{FR_?&X_;O97q_Bw^liuYb#Pk)x1CI3ZAb?DGH>XK2t)wY7!q zA-poI41IS31cN|1Ghy>V%nljw@W)iMHr=Ks4?$_vU2Tx*xje>TgFafn2eiFSNl0#G z1_}LiB8LIy@PWX`eear_1_G<%^nfI9|+=N{4g- z#933&=#R+92fD34W<~@#uRIrN4w$0MKq*D#%G^Eju6qzsi*JgZbFy{RcwfP2U)AS) zGmOrScqzbT$wYUIt0`(H;DFuMcP-`j+w8l*hEb~PVG&cj2<%5oVfz$)?OQo(M9~Ag zs-4j@nX8r9vITf!>D9IMnUBoT#74E$n}N>^Om$r2{sb^2o0j#jlP7;}0t1_}PwWc3 zf_Y^S=MGobxl$*~==*kDu-0_ZX#7*lXT1ntZ|c#G>eT|HVihRW)%T46yaWfQw7rmj zoQ3`tsEs66(3SKpgxefs6UwiiMyzXMKJfPi1tVB zLra{*-G*0$FTsqBF6zf$Deou+$#scbU($Qfs!3|d!X*?Fg|+V|^r@Njf!g>r00`=R zoMJU<(k1E}zH--y@_=hdM+7rg8u?)UK9lU9;5Vf!pXW=e8(n=sj>Fo930}l%idEO) zJ26x)7ngRX_y=<)JDSlU%&U^6|0IpR~USi#5mc%h{ z+)x6R&k!HwxLJpcxTMbB?a9n#U^w@yK>`Q~fE^GisakSj_=&O9_+#%`sbK2Ph5u{F zF-wp_&^0b_x%PchRn2FXH0&vwhvZ)pfH2zS6bn6sNZgW<>%%Va`yJ}3$EwcquuZ8 z;IscF{c||yHsG{~HBmd}Dij^A6!U(OKPM661!-0wCA0@(WPzPEx6Yz>MI9ldqX4cw2U z1^A^$SC4hI4aYQA>&n&yte+XJ6|QjDUsg2anXV?>VraeCiY1U){U5Boby(Ez*Y7)s zg0z5?l!Bx*NJ%J2x1`k2-Q9yqN{Muiv~)K^H^K-=cjwSOFzk=t-}8IUdG>RzeVu*I z{!6&78JxK9RqxkY3!A*F=8*kH<(=A$BV_+}ryh|La@n|%Z>!7B-{!?{FUq&~Da}$` zaOKin$gvgK-0dpR(=Pdnd|RzoeI$aqv&$`S#EngZTV`}Nc4oDyusQ1bqW@|STO zR)f!o0wkc7w{ML6#Nc+o!I(X}!q}oTFjfI*IL_{EVT##ySh##4DcC3{`cq@U>&({S z0)UCegVdM9fG3_zk^I?gU2_z)=e$z#g6v)VgFM}P3=YF6#uSjx&_HoTXlNQmley7A zle|7j$N9yR|B5`(Hs+&Z=zivMTpEO&)bR!8g#%$g(Q1g13p&VZ+yMw@f%FcJdOf6B zH88!kk_a34Um{QU?Ld2O6gmw+Q)(1xCHoRy@RU^)n?*35ONVpN^pK>kQ=TsrRI@F# z7H!rt>4?%l4tdTD8qYp^75Njdr z4L`qH@7*Bda z_wl@xY?7P;*lDkGfSpFut+fRi4e9;uA3Fd>GH@H$yZq9tu-g?a2O1Dzs7{z*v{1SO z=(kNg&;m>&VEqELT_fMz)kA_hi*Uc37t+eJ6U}3W*>(cO_w$uzSF6;NyQ|PPRAml( zsKcAW0q!Zhoiepo`3qv{7Rjc4aJLeaf{e_$IAI`-+H5UT(ZWNev0reRqQ|MuL`no~ zHzq3A8UCgTV>p&0%G(eOD-!6tIqFY)srAs1=Yc;0e*hC8##^N&;rUoSSr>X&vodI( zx6m?CAn0G;+V3q{0rd+&cFvVQX1oXHIlU44YU8_XIeU@1pu}&k{v7LN_istUysuv$ z`LkxLJn|Rw&t~3LXM=yHZWfV(uzn?ZMfWo>@W=CvphS$ICqz}&X?Bj|0T9KieVjLv z{6V0@g+nHY-N8tvkn2c_D}27uRi-m0+r3#fJq#hRD8k9e^jo!LB=xySaW3Lbqhv(G zsd(7d+;|4T--+qY(%Z0^Bn-himGU!uH)F?^fDI3Ma{h%H_L@$?M;OkU1={T zecI+XHAUGwg_;Scobb3r2;CG}*n$ zUcQs<5Pm9BT$#Z+A3ky3Jm%?UTHy>WS?guXQv7jd4Qh9dJbU(*N77=f!5huDve|_K zQRsMe$KpB)GpT;v%oM6ow^T#skbPoD{x+F3=vC>LCgvp<)O`O|P)GgTl-Sdnq@Q*< z!KBd_Z|d$T={G_?QwEc6p%_Gny zv@BQ3VlhwB5Pw*x^biYKae;B^_hZcIZ;1cS6kZmJ#=`bppLs&*re6F1g25z1~?R~*k z_%tT08Y}X?VN8(TXAqZydo9#bLcd?qdX_2D^q~}MWMmuG^SZX&+eJyEcV^tOlxe{dxWzQCmFL_g>(8>V<=BauD4*ais`VwfKh_Y0Uke_R6Ao zx@~N_Eh&N9SNSn3Ep2tA5Y@Jufb?&LynTeM>ShmLa0r=rODYCj?z@__VSr}$hq5P4 zx?ccblQHPxdf$y*?u*ZltrE@U`%aI|B5}UbDM=eB*lN?p zimja)-CbYC?l|5$du@Iv68Z%Go|ODEHjW$vq-Q&;lSvylkw;+&Q-xr6hryHGKYKx# z3<@5lUHB4|D^Z>5ynNK7SucAYv)cU&-Q+w_rX^5+lzkR!sd>&ze z%AWJus}9z@V*xGW^GMKx9#WLCzf~8s@C>!XC`4mHqj|1IukxoKN8PQubqpB`5N@6V zl7c^dw4%LE64qv24iaUfuCq=5W&s|7IQB<346}w{4?qnSN!y*ogSoR3MRAHBP8loX+7>Th2*tX;uVaedtGi_X?EU`9K=JsV=?|t}*DH118 zHML|7iYLVSMG2XnxAg6Go1V1Tla#ozA)nNc;m>6~1O(3`3$ffTrUq^UcHQo58fA>o zOI;LDa?!7D-nrw(0Rx@Tk2=|iK%kb>U@NA!$3yZ}@5u71DKJNtXH@47dfHu?H1%Ev ztoDnG+HSqQQw|ntJGI&wpgD>e>EcGxXJR$7whEBB@8Fp}cQ))kTuQzHfwH)CrNw{o zzWBSM4kj~6EN?2X9=3@`c)d^o5BH`w$y2ti%$p-x^0wy(?a%rjT}JuRB>S_2m`M;S zVkaWdcq>JDK77`F-?o%X(z zwLfZk@bz5MeG5a!Pnh#;5RSAQ>=TUgNw+i7RIs;7^wzC&CkJy+B~JHZKJV@eA21s# zx`T5=iLsVV))jhKf}C0}(=rE+|7S11POQ7=X>WFeg316H+kw#DPrP!&$H#>S6YPBi zC*sJy>F&Y5cfc*c``w8H18{d3aixzS;4A0~U<@uv zj75Dh0{368bh`@P{O92(hQe(0d#69IoU{SQ+Clz8av+>vWu*4NwvvMNpY2ex9mS1Pt2I@jQSXIH$mnlvQ| z(~Ii0As3UBn2U6EpBQaF*WU=F+mw5mh5T}|MUHEVz3&EMC!%aJPi=119!=GOTd7J1q-UdRUi|gVo=Q@^8~U#o*XC_ESnNk?Xvb z=^aNIszt^VV^go$Z~qRtq`KL-Y6IE*mh-fuiqb){d|r8)CHrHc54~zm{fH}lb>_nL zE8cDBH(~@m<9oV*?)AR2W}VxJ0kTsv(2>Z{Y5IJ60UVmh=U~`?aGG{MQ`})86(?t5 zS{I(Rb#%1ovip&s(GyUL>DF-wqY5o(0MDw^dQfZXoaDtsoYz05^@=wBqAX$kKn-FX zq~C`zTYhQfnQ`>)7iUoC+alsGQNZjUjT9F9qO;n{;IT+Itl5zV{C%=)w6L$!K(PmOdw*X?+Z; zaTIp6*ShRF9{2U}tV{_w0!!|RMcks@*j^2*yfij+!sd|@@6;lnqxL|D2e6j@(;8bJ zOTtObQ`hTH9^y^&tvR(ycP@5F`C`hn*-eqEBfw`Eh@Upjnhw(jRGmybTDrN*A|Soz zIi=;))|ecD-QNgo!Ji3O7@b*}#{B+0?YuY|*|k!a++01mMUhpos{)$VU(lW0R#O#} zGV0|AEI{-STA`(u6goVZ7SWGtr1Bsvjd%#C|VBHde(O+4b9^Mkw8{>4=p=i3?- zVJxj>CRxH4!Un}5H#-&IU3!Vt;d}0#48Jg7VM-XUM0uk7;7EPrR6@&PnX$(X+vCSna&6(vIhjYMx@(+q-Bv@tu2aYe}v}MuG#}9d$4$ zU|`!HHzM0($X}@IU_JC|&(}{G*({#hpRa%Ey{fR_ zmGC65GK31#E4qVgUhi&BZl69o=KXCLa94eQU0L4FCZBN2Gi;Vmt`a!QoA*OQVtHmY z(aDvIel;liOP@UiRbHuCMxSexCZ^pGy1<%GWF)f47LPbWYL=R5LKmJ}P59M4j-W4=trKrhZo&w=1YH+647I?Gz?+ulkYzBRafBp}T-% zt7=jd`YrWkqY(61fETrSAyD5dp{RU$qN4AyIiqU!9UDF>Li~Wr5n4PFL=JYEdzDZ@*2!|*^?ZL47QO>xkHBL^H3iDUCXOs6`DgkQ6Bv{gjS-hTM{H<^Agg{O+ z4D@YRJ{jy2Ci1`8Z`{n4m;M9@S@U%T+#X2ALd7r6vgOu74P8c$EJEYf8X#@LbE#I5 zjX5;Nho~;V2q3p+6FHWG)^t_^*MNqRv8eNNb`};3sy^9lORW*aJD(zy$QbE#Q**#U zkX_=M_>7vbTHE`mNM^;!R!_un-!;_G-^ed|CtF{EO$zm8TpJ?aZK?Qv#Fot>0|PYT z4>k!>oVqVs^K|CI1x3_5gx5nJ#)>?truk-d80&}QyopzN%Qo5;HbJtAaMlM0YTVJ& ziU0L{GAVq&^2E>#Y6e=s z6()x>ev_d)VQgRWPtEf(s?#%}Ej!YW9U_hIQwKLAZayB|dc;&L3xirJv#>!JdArc$ zbl2ut^5;*6@Z|N~;OkcRqQ-m7R2+1U0{Dy+>F5F|@^G|N*=kGx@1=i0VV|{UOO$=CQ z+0e{1UGHs8R3li;CGr{px1oFe1iORH6iio?b|Wi+hq)&}rfQBqW@5$7K6M?nGfsx< zc*Pap`u2@@3NgxBe^=@{Af-_vvQ2txPq|TwVsE&!mq;;s=8MnK z=f!Jl_C6hof{4WNU9bSD3)PzA%nhRqk_9#_;-akYcpJ9Nik#GL{&>aawUzrt-ezvw zXf3l>eA8G^YKNC?69uSlyeT+Hs=3A0pcGsvV`oCOds`GPh>x+bNUz1CRT@R@`eQ{mdx^Q>(j?UuK8n0+}O2J679tq0? zJtq~P-DlCCo~qe-l!-SK=?}!zu>mfn1-FZMCcVZ2PMU14njG}&!saJj{`YN4Dj93ti*~|HoRU}v0Z@uG#>5>8Z3dgaZER8&vLTPSw-V{7i%>S zw$f{oD)s6pK_j~PlD>^c-O@`dzL}dE+2}+ZGFvU2qk))-Fq36|p#@%e77jG?&9HmW zkRHZl%e>{n?PDR~7?<6qpM>=6fw}!_8k4xuWXAK!KwTPz^k>)Ovk4LE(N>vcv9wVC z?o*7%$KXn~u`)#(6X2^j^43s8{mj0#f(*Bw7R88z?`~g#ukK#xrEUx~3e0_A2RUuT zt8vsH_Dm%`e6aFq>)hMqK#4qLfO*VrOeR3#NrQChZ);8A(%q3cjzf7bH_8xm&Nj1k zf>-kj>Q>gs>WK0qZxQ`JUNb#A#doN*BNp`_5d=GYfs8Zr$xaKV5GZZ_lRw!@UW*FF^CH3t@ZwMqg5SU zA=e`6)gnrbji9>(^X05B>r!u;cF#$*&OM!>cDF;!-i-vE^7~6lz+cDZz?<{kFJx~6V$GWBmOki4ZCMfK;L($ z4~lcok>-x17{@1kgeY^@<`BSZL$bxXUW~V#yL(I2aa<1amiB*?2U6swwJrVd)BB9m zZM%@;*Zj8^8quOcwmpsNc}1x9^*)8{#3Id5;}F3&-3_UHkqm}X6Rf| zDt{X;n-WDe5ym}2(KZNpiK3t)bhL>speO{q;O=)_Nn!W8Z1l8(xqTy}3{+j>u3b}`LspMTrHjVqoEOEYIlK-&AG7rB{&_wCQcP&^whL_)a3E zY}>m@2niqGEG=&)U^`^7wqyluuWy}$0l51h;XnEpiFI_7ClwXS<#Wj7que_;Tx+PYbM z*9sT|PY9#m>lw7NIA)s0(507@Mfr0 zuJLMkJ8A(F;-Ss9_wtiQN(nKTC~h3Dp`zX%ZlVMou$i;MrRN4VHkMU6ifHyNC4PxL z`J=Fe0Thk2dpNjrwi?2_Ds6fjz--`F= z-b!Ni1u-jCi5+sfxMsdb&PdN4#Q4{y<>-x-UA?_w0wNj5b78=KDi;_!KT(G^tjTmA znj9~wAA6T=&){^6s4#5D3IcCE3{Q|d{dqjr;Cfcm{1Er*x;N?%;U&(Y*irT2wlt8_ zxmn-b?yX}9E%e6`T^C>?6n%HoP+t7T+tl)VMp=0Q=Tsc~5sSTiep>5u#Dv3LCUZQ3{`vZ;llEH7ogyI5(}J^XZnrXQ@iw^g;#~>-u;`=B4A~ zW7<2(wRXKfJ7i%y#JIuY`YkR)7h`Xqr#mR5A5RT@!Kp?I+`8@om!Qt;4FVumZ|@_0 z51VFF<3S)X$kCS=$YNEF2sy6hrCcLoQvqY$Tz(D{4fX+YP}?lNXsCCHty_CS(Pb|= zb|(5$yhxn>$Bp^}4M^RWUBK-XiKBrgpa2B1sb47m2pHL8d4GzKp=~kNPzz{A(Yae6 zju&?&4Jl9pNq`7fUAdf+zvhSg)uu0witO!NqX?L>$CldG_wS||vt;&fbT^Jfg}G(Q ze`sm(!D&9D|Zd8rqUgR=r+FFJ;&A$RFkkZ!b-D9DQt}U$Qf*>IB$DZ~~1soR$muWSD z7>5QFLG=t?c@v+4l&I`?2N_gXN|<=~!mzla+Ts?=Y*NWZ67*OuWo`icl)Fxi&$ zd&{7BxRGrZ#T4v8D;i8qbEz;j+gzy9a!kMJS$QSCaV64sCi3Y3sSugGRrs)gG_-H@ zJguk(Ki8nPY>>9oK9oHN#S**ZgU#LMg;r`9SE3V_~DITo?jGFLHhOi7D1 z&x^?vH>+5<SGfB>o>k_sQn25?0l70K%mSbJNcl!dku(X5DB_Cg?o;yS)~Adu{N5 z_(8RRx5P7bUy4dQDD3%1?b+pnY%LM^A)C-q*NM`E4CO%!!X=zt&fNs5GF@ScY z``eULcyK`y>?F~vm!)f&)^kYfipEGwiAgN@8jsRl5%OV*yZ8c&9~T}nl*gNy>_Xiq z%?b6sDoZ4^9c zZ_`{;wtm~}cyO!pIR;2M4CXD=8f&vl_v50Mk>$U1GIpF>giAzYFMBt#OsRU|yqH3J z?8%#Wy~pob109>ey`CC~F4z%l-_X@BLzqFZ-qhROdsaAex+#{c&Ph{ZE?|R1;7voV zm(+9ERFXU6cUjEuY3}0ZqPM(pJv#d!;AkP4jYKxYre8S7YGYS*uX}rgciDNU&8A=v| z_WJi;71mI?Le>h>&F822P@VTlH)n zP5b(T!H9W7Ne(7oTgi=0xn`M#C_+-;x|XiHn!f(8oGr_8v{VJZIkvDTxnrO)EM z?)TDs1tzi$ivqOvGuuAM{aSBGew|&E%S8DbhfJ};iXQ}^d4av%JxdX7-GJQZ;>9;% z{wLkW`46c<`aqfuxGD!Lo7dZ~#Y<;zMlFlW(s^tK*9mQEt%99cgRFf}lwijNH4E;Y z!`nF$Nz4sdyW1n;Lo&QQ$j_qI6C?J%<9c|*+2_#@)kMkyfHAmjEU{LE289b*fRc5M zGIWg)U$uUs-k&oSiL2d(@2Y4(9{l~ud-4xdVE)3-!DCj^HDC12{izie_uiOUaUt0* zT={JAC=Y5uIp0AQA$_H-yvqNjs;CDF&4nFymK11fi0w52>QOvC#MTEzD;Tg4jS0(QJKG+zOa`Y2rXBFmBsuh@>AR?ze045nc%0| zVD8PGKFj`}92DIjZ}6m9&+hW2b%7j6*OSxYmOXcn${JUQRkM&jRiKT?LrXo?%)i6U zTLQoDAvrkii5V!6&_zB?qS>ZNPdax<&FhIV|UB)+m&aRAAOw8Q=o zp!~&J@`Wq?Wi5+-|2R-C&HQFc?j#DY4B|oS8u&9r8luZe{bot7(d->k&FCe_sV2r_ zg5Tcf6J8zGLV?o=C5~hG&yJf^w637GVlrd!PeWUxqe8|`aP{b^HbV5#fo^m%5pNul$y zBIrn?6d$PVL>w^uAzg|+XP;ZFQucar)7w)>XJR>i^s%h!a5|9QxPJ-lxeNNRtCM-Z zT8iK%w}kN#jp29|LTN9EjQjJ~9Wu}p+n6aa?(-dKs@}8a_y5$blK}tcG?&$l1AS?x zdtYf^%0T{=Ta3W&l8%0s&t$NVd%=nbd^~=y*N~%U7{*_n3`iaI0!aADZZ_w9O%=ec{ zz9Y0kZ_Cxo4V&p1X5x(%=;T&w$xv#BA5ZUMDBDm0UAf1SWlguYG?1T`!;U?k3jsYP zZQ$#!uS%S)Hyc|KG@AWVP<47Nlbx8fOm9DFkEK6QZAag|1zKs6jkxuc6slRAb4%-- ztbIkqpWYCLxnF4M7e6>8>Q|gbjFa}DiQ<{(EKw0W!ed=lWmQWq%awpA7 zJ~qdA4e*^c0JER@?561}`+{D02Bi+A@wXA#h_18VXP&=l%C^*ZSr11KYDUA}Gm6Hq z=->xEH+$9&O0upIQh%HmuEB&o^RSD>IPp!0dM6n9%RZCX;c;>(yw|D7`?Q#fI7}jk)tns`rVq?#~#VDY72NIWImWsHfqHNghwQNbXm< zWA+yY{rn(fBKTsynF}}Bfi*R1sHQcr~k14V~fz*EfTB-5dOW5CO2+x@N@1s z2=7f!dTx6{=E)1&&7ZY9Y0fh51#JZjim3a1?TG<&T>GU6xhN8PGax4Sj>dYO@VTL_ z`<8~&WQ!$4oyU~4Q28Z^w1n|<&2YPZyww14Dd0oF5e8%>9y4b3kd=7dG*=0_C9IdnXB!RZvpU!P}3e^7bW&+6iA4ATI4-!0z+tV9y3gCWaCE1&eOb!fk zorq(!K;>c|+m$hvDG>~iPsZTJ#e;Tmfzodqjrw_R$nF_a(%sn>J;D5wLY~tb^V_|Z zG}0Cyf+3e`8l9ts7>JwslmSzLiL{7$6?8!D9w?$HE++lVd;Z0*DFMn|u=k!`#U~QvYTF1U}(4&3bV1KUHL!kjrQt zGgGo#JW1u)O%#MzKbyPmeB4yFl+c<(`6UWzMvcB3#Peya01X7^9Y+r%{fP@s!vOPj%CgNk@vz-eZ3H`RCMkIo@+=lg_7fr(;Tz2f#kER(eVx zS4{NkFpX8$E)iwSZ8B8?<92QMWa*oJu`fHJwNjxzqUw7XEP7%WhS$Vg`?|{i(t<*k zcy6R}d4`M9O~`{S(!uUyOj1&WIb+W#SZAL-3Ag^eQK%njr3{1Uw zo8}+hdOu-ulDAf{cU@qKpt2E_^+gn&agRpj=EEq77;zq7zh@)p+mF$x8wH|BrxMn@ z$4#I6|B9_&cT5Ej%S(G`|CG1VGMVlEat<1NT9~}{{hxTz6E4PdVGH^(+{$Kyc!3MT zr>zvfIimv^k@HjKmqV|qK>BuMphKCpNnLklafwb`-H`8)npi6?>aSU(b>)a_8e>3|3hNAiWHV{+xPe1YNlg_6L zC5IQNfNAd5^6S$K_xsfOiR>a3i<8euhlWii#8Ss^v+F?}5MoBwMn z>n@&?fR#^=lqTN;$z~v|VJ**8B#VcTHLmmfRK)=|WI;=5(`&#(O)46)pIp6Ab!VT# z{mOb@B=Sd#48K?L6k1Jz6za-+WG8EH566_;$cWhR?ZYB|Jdf z`WDJ8Ue^YCoHrnhn)#N)GhG7*BHoXu&F1Uqu|h1ck^<{#wr$aznzyShVM}e?fKVY} zToW0PY$*{)OdjGJQ^FMA>(Ea)Oi6drYz!qnmF||$n52=fXJ|d0wF6DpB9{*-FGaYJ zx*%27S*Yrow;0Q4a=aCl#`I;~$MUj!|I2RYFKF!>Ckul1ol)bApuiyn@AhlH;S&Ao zyK5%L>SSP>-FemM5M<_dEAdX9g9Z(#%sFkOB8DJ~-;Mq(0#AFC?>Ht8CQkd0mDYS7QQ1VG_kn*Z}Udr+ZW0b6zuS-k)pP1?yoQ zu8OwD$eQB2C<_q<-oI4M0}wE4#L-*HVemB`jQ57Bi!1Da>HorGjMS~oUi~llm^;h= z4L+v+E%*N+KE_asqG|ckFuF^9o)b|>&>YRmc0JbDbE6kTAktUyjwy@p)6X_8i851k z#8h5Qv+~vRO)?|xD`pjqxPsCa`;_HW z;Vmy1|CJeVzrgCW8%tC2$P9F5lnESS`}@LC?_i&$$|qP;OX=H$IUc9Aki%p=PouBZ}cQw-@C{)@+W zQPf-1!-7-}#*a1IM|aDFW~Zy0_ueUc-eG}eEZogqoBa~k(qLKdqcn>CBP3z*d;2#w z$@}M5;BdnAz0zQTMA(JEb?{WMTdI`i_3kn10&cnU8{{pS2Rf&z$x6iTotm!O zQcroIZt;s~jx$e%fIrEHpnN6yIS79B3^vgwwP3s)^J>l0siv*jMVSPbNr-W}?h+tq z`mR#vE&>3GHrV|+Nbu7CT|ZwFO54>fFz4=XXo}G#*O=GtdPK&*y)M-2YbHu|0T@DZ zWmY20romRA_E#AYifqUJpJ0|P;Gtf4A;d1OkjM|lx#=DgptnDqRT>anD###~(bQkz znUb%pJ=x(QBAUej$$~w8_-H;soZrFgrrRKWdL$TzXkGr;!O2uh!!b2_pxZmwe)UX} zokSvs(YB?)#(i27U1Y0x;ZMbi9LLLA=>+ol-e)dU%R(4lBYJmwXWC4&GK#d}SA=o2 z*$sYq|$5y>XJ*iR@}i_JgT6hwNs6dNYkHaQ!GmVD0$ zqOqKeRdNkFIh)dlT3$l{hc=dZ^LCA3LjPYVvylNVe4LkCse%Q`6;?}fO)+jiC%Oqc2Or;Pmq2nC+(%$g z?nR7Q*I$|!QNn8yE%XGQ2GQ>qpTq%KL-z@Wn;Tjm()_sg5zD`^6_#?5+37zQJpy~F z)FUsxxPX?c6r=-=&zoRK0@`9nZyJVZK(QTYqJ13w? zUf6eXp}IWOw!Gh4X~XXy+V^4Z?OD7Fy-d&==c{(RW~A!F#-yUXxVbH^^<220w4Qa0 zanjvW0VRJ=YS@sSyOCrsMIEhD*S?G}ITM5G7}7Nx1&>9DrW-J>1w7 z8L#+AMBx67H3E?9i%nPzDkHZ#jV+^iyu-?tG&}3{ryaqUvSy}0c@?;F?vlze-?+B< z0X-CemNtZ>9rkT0&Yvr@e%iV{e-jvbqj@P+$49!DJ3^kuwXFq|zmzDU8!23cO59|_ zj2et9dfL~QfPm$q_-*WETF8QuO$iZ#=%;-S;3pr|w<$3bD|Q_a?K`qHjA|akwtmY? zt$OFLcnUZMG#Ry#e6Ai{ert-tutBnBx%KA!l46c6`ztsHhm*74|*;n(jLGvK}0!5nq!+WwUwX+66 ztvI0(->WGjSD`{4Ac&CEG8Un^WzQ~ z1`PDmYT2>Q_%e^0c%6)?O$;Xu>_DC{Iu0XZLzpJ+1?-pp*tNK`fS*0A7{QE%HbHio)s2NTqWGOzZ z2To!#+jA)w8ULD|=LQ!mcoQ&^Ab7td6fv#-vrd&3B!0FJ!{>MH0^uCJvTu?#!93zC6OxvuRHK7zr6G0 z7Y|C93UiGO^iOhKN=h6hARK&Xxuadv%;EgY)KZrPfH4F$f%lFN1ib1%Oc3Tur~#pS zVu=pBIl2<+plDq9Wc;vccnmKWu_@G&FOcx=`4d>+n6Tiy)|0i-9Ey1~`Y%xJrXutN4u3#h^0G5+EoVbxd~V7V{* zUj&(esN{h&=@ZjOU{5ZuzAf;1E9?IaG5HrfL#PzFqEM@UaTPxRpdx<>mH)z;ye9@$ z8n+)s1_do@KYD?OC?nCEyo>BVrk$ItK!N)LTKA?0eF3klV(@%5{YSy-mfMiH_L;~+ z`rVfG>EzS`X5wXh4KIl}%yBETc5Wx9;7mk2n(ROSmvnp|cZ5P_DCgI`fH%{t1v9Ad z)MjZ*M(YixJIzwwBlw0nLG+$pyu%Y+3EX-w8N@}7Jz8ot#Zw6Ncdg`X;?`MPPe==_ zZjq$MW`j3mE+luy_x}SY()Hgtk&iDgDE|+FBHFL|WW9Iu9MM5X>BT06Z4Q)u56y(r z#O{StDhWVNitU;=?mvtLfH9l?O@M_1v=q>hUBBjqJwPp*O2jjMgkF+03aMn@+2z<@ z_O;FqkFr~9`#ryl`?eNX?~`FYZBU?IE*Ms*GJj0J3dr(@g;yflXZw##G7E^#WT|GS z#JVxk$(5fBJ~Tg3H8|KHcRmq;?1mwOJ!!9IJl*KYE>xTN0Bq$XDBWRQF8p@BMNG7z>V^&p@V24OhX&eualh|255~V-tP36- zTZ#o7@3f({w`E>m70Ni~GZS6o{OgQm7iZdCB6_1`P@`)ek*Gs#Cf4ZAfMDI zdHZ6KtNHXNfVEdDBO>akOI@yLGLmz&RbRbIHPrRozT3mJF$-Ft_S>j0N|CDTG+WrD zFU8@f3Amhi zAP5H-=oivt=|XGwbW?9{9Tzdd2N?+xmZ$3+fppe?dqq!NreRMXW3^Brrp%%zwhX3F zkUq3Vg4?G*mr{T)QNs9)3g8v|-<@<{le2gpAiv`Y0&Y5L=+9!8E%h9!4%0BuUf_DK zZLA$-ePt(6c24tgsp<}~9$^@3by$Uh1&JN%+K-rzUWl{QAh%iej~c*DlZ#6Jt5LMv zZ4o{9EPX)l#}<~`64>M~o+MZ+C=y+^q><vMm}P-}!>edo9{@^$F{p&$I`&i) z>Clkw#RNHeM>`+f6CGY@OF+GloZQ`K&WQme9$IN%4?5)XssYKj?2-r$$)$AZt|R-8 zcowvMqokQfDpl9LG23sMG`D5temS5RU30sX4aL`@_T3%T!}S^dnxL2Iy;BWeguKsD z^MQS`fAdPrpejGkx((dwyb$tThPI)@ZVYlUG3(74&~y}qOij%&CwWbl4xy2At6jdP z1r#pauD9KpI`t=-_6DxHUq4<^UE7+U0>!>Z1G=DO_khhv z28Io#%vQ#8@uVb!c-z*hc5IM`=TXOod5p>+h0(-fUG7UjD{?iSyj{YV8min~k3)W} z8nyv7)Nx(+`Cl-q$eMn*)1TzaSLy7g;sq(PS17Yrcu2j<-11DMx-!1b-_61ET=Zz{ zPRtdqykoMPuDn}HZB6P|YLtioVv>3vgMt+Wpi`mf51soBIq3HVOlR)WBx^zYG!*zE z8ym$z#U+atHF)z{v*R0@P0kwTGZke09KOyupmMTZCKNuPHur?`OmQP zf!hH8k!f<=bz0JV($`*zprZb9#xL1{7tgQR8DkOFzE5DoRUk6OZQ30 zztOG0Z?-wS5I*2~6;B={UrtBLDpXCl?W||d@AH9@0}xk-kooD~e-ca87MNDBl1yT~p1p_rF?O8=eb_$^w8V7osq70< z-?D3mnO@U#cDRTbeX}ELR}~aedjW{eu-3OrCGpKD4=JFm7^396=!IB{zO z1uPUkhdWqA3JLgr(TY=@X=orMvS2j9vN+?m{v8#LUZM-SI@!#6NwY?C4j!?EHZEgv zFCi)mC^dJa89?#;J{;R|N9%)Mzp%Gzz)l?L?Y!k?i6JyE6nXdfpWaujs$_1Jx0?JK zkAZ(vGvEmW+H4{4UHXp9_~l<+|Yxa17`CHZ^IwX;n~7tx1HjURiSo|8+W(&zNS8q8 z>w?Oo#IR>2vXeJ==YITR3ETeJh|2iyL>cCiVQE%&qD@oqJ$f^KR?O)<1*Ak@#2H_)OKiv@hPo;=CU>oM!m- zam;^8)+^qbJx3Q-edf>7Rf7y_J709u%dk0y4gMA9?{r%fkqXG18;6+t;=@VHTqg5; zCbV_}@Cg0Dh&sz1O4a`hy+9x1ZSl7u9y>YA;$57RYD3=@+Kq(QOZN@Odxrvw&&1b@ zhTw-Cq49E`r?s{2c27M~jkRt7w*@&m#3Y4O`XEA9_gf3W0jG0NJ%Ic)$?q|{T1|5& z5Wnu|!=Zf!w372n-8GuuuZMSm0HN4%(a-}3Jr7xhR2?0|6a7kBAA#uNNL6Sl>Ru+z zT@LCkJzY0nbl%&*9nw|w!Sd~8Xjy%Wxc5I(S9eVVKyH{r!T;dwt>dC>-*(@@0;NF& zNdf7WmKdcarJE4}De2BZ=}tjfq+7Z}Iz*bGYv}HrShqgE=Ur>>cdz}~YyTxCjLdyq z=Xo68^E`TY&B-oe^ToX#4~E3(X#Ud(Vemi}oKlYNJq0$vgaTr4!v>rR^esOA;2AEU zJfBb8*%e8RLa0rVeVd^O&Upk56?T1Ue4w(<+s0mKaU#kitUW!pf?dvP*Jv!a^L^VN zXoE5#UL#bdUB0$<7A@iS4>MOAptt^$}xYRjEFn`bkOk&ff;~s*lePgLB-%DUU#&xKR0V*$199 zqKwKed-*GCsAJ4#z(bael`5Vzt3?EB@4fFN%QN->ZaGmq8qk3S0)Pwq7WiRnn#Dt7{L4%JX$>m(M1S24`ei8J?XTc~j2qGiH0SW^3(_}fyWjuy$3*<@*jTDO@`)l z$T8#Ou*Tvxyq-VI$I9Y!c%6Udpc;f@Ho%>xX6?keDa`2^H5Ym>Ri6JT94j#PEu%#x zqs*+yO(1R)#y6ivTUbmK-~_6u%v|S~7Xu=k(o}#&TOYAwLcb)BTG?;P!9bsn-$-8Z z{qf1~3I9eaID2Trb6$A5@tnsBaRF>)i1^oz`6cz5lx(D^Y!iOTvT#ba&+#BIw)p)7 zII04ak>nsDg|?TqC)6wDzLPCuwj^J9-B!+$hra;H(3f4M9R=&5CP~0H!Yk0Le9e{` z`|Sj4>bsy8<#k7|K)e4PCL+a{eX~BTR>D~I#!h}DS4YW4nF~~caJj8f=aN&X=v$vq zf~n+m{wiC*G<~u2dGtOIFl{gUulh7`A+!BY8-^~wk7p_lcQ8L^z2Z)Taf#*IS;1hm@BPaFiWc|& z_!}3s{Bpo^Os#9q3r~sbKP~BTZRPftgdNH+jYj_L@u`e@NcFz}C5ymV@&5x*GBmaK z2Pm;+Fs{TI4#Ehqd|f~GvLO6~V{hvX@@v*-;QJH6haa8XiAa!00p>ocmEk>>T9E^O*>gO_17y#X3-&FXhL z?Hi29I8FceKuLrA{|zXic|&-rkcPTLcpEa>BDA-686{=^9zY+Xx4A%X^CQn-wm=d2 ztwZfwHJ-+Ed_oylU`p_Xq|C3Y6=1dY$=j5Rhj6mjvA_}~yE`HKTr6#u1Z25)j0qyu zKL1#zXYx$loLHG5km<{b-mUksk9$j&1CPP2C8PqmBl)@<<~+cr1eGZ zp5g1Y=B*%T_Zd&xB@CEY29BO+;ukI(LK0?S5z{>ke=e;4!wetgJ=h;+MR#I0i3~(3 z{vLX^Ee&et{wSmf0iOzk>Mam4bLNVK0-k3-DR%awGPP`c&I<9DzJl3Kez};Rx=kTYbT_ZuVl}RZiF{JRNMZI+{OHB_gIq<5 zggc;vXY_n`Ud61;-r);0adqqa5JMhAw2VQ-e`Gn%yNa14<`AlW2 zcwj(=&PmTf-Ul&ig2R*`Be2J~rUwF;MZ*IU;8p{xDlh<|YWKj9MH{{=3o``^MP z?jL@b-Ojx*(HfifAbaf*{qabv{H{eC`(`wLRVH<#@62aozfWds{vG5_On+Awk#vfW zb&&)!-5_(0q2CeHs5{RR7qzv<8+u**eiL+Y|JBhR?%oAq($T`Uu; zJLyQ57j2m@TfC2dJof`5G=T>Dx6fg~irE5!mv^#~K$frM7*cEdF9i0H+{XRHu8AM9FfuMt(CZ&w z7YE6_n`sShn;Y{YL!?C}IwM8qcz*C=Dga$cB(dUH?XY9(cQ2uHNh`ZGjXD;fHhe_s zrCN3Wged@(?}OYcd&$giTAjwxGJOZVfwXTw4#{qr>R7@>>YWGhU^yBFP%rrbp)a(P zf%qxiqeg!=zfk4QVI`O0dfvOm#X#B|4F@8FmJ~QHS|g&vuU6rfAp5PYLMjm_bLA#X zkm*<+6_HR>c*Kab%$BFKg0Jb0?3^oQ%l;GwMs&d>GpOClMVVai<5;~h=v|F)O-i1a zM;hnwjnXX))xxBhpTcK5jeFN$?30*=Ug~d3rq~dSXS`ygWQDhGmlZ!@ZX%wDvtHtS z&?^H)cO^p;GtG1jI&w#!OG#Pf$tnJxUYD*jhG^yDk0^n9u>LSto6SParc`z}54@WT z<6CnjV0RgjV&a zo3!blHS@gK`%>5HwO)D{rmT6-(vE9UO1Q{*f#$K*H%0Od=9#eYlmqVWSt&^8QxtzM zPjzud!c%TFdDh6*p!Y_Ok0s#^KIyZmlQyRGKv-Qt)yQGm`n42pM)Jc`v*Cp#? zM-j`L-SsK8op7C$V;!ty>U;1&LLz>+?M)k&S@9YKt2;2p>Wy?jJ|hrO-|#vo%u?LV zn^wYeb_!ihpD_y`3;7i;fIWtfNg3U|LD!=RY1&;V+S}Hg8E28LVL;af(JwvPCT--L zCHV6;_iNhu2JgoC5ftQ-ONSLpYT&mIi%Z{BC)-e*c(wXP8!WU~^{dW~Z1v+m z3TOQ=OorZWE|e@gR3=D5VrD3^PFW?8d{Kgjz!WBvOI2mW(5_d@MokxK)5%VB0xz4L ztk^+P(%Tr%yiR|%wltmX#Ci%{Y5?zcZ-c=acB-Hlu;e7~@uIOw@BGn-5B=tF`U|g~ ztJg^0jp6i@5g)<2B;S80ik;Wa7(nx53zoM^ ze%oX1)noN!pL2cA%W_Jxf;#Lzh^Et%Iq|&?;ivP^aZZu9sv5fmF7Ch$ROEK!*<}HG zr{UR^G1g;~ze{1znv2OQM|nu)c3gT^L%xnAmSMm3yomH~+#pTF=Fk2}QriKZNbj{z z2zE$LMWMW6v)PLT6@-!M5(7ELw&S$g(s=L+om1aV`&hn83J^0f=A3fb=@jYM@06Z- znyy)?3T1m5w^+tS^`uRqs?c095aoV}L&8TJt(A^+!5*^@EZX|#2CQVtmDTyj)6of~ zajsMR)cQe$?+YtLKsr%eOkMIWNliKfLu#Cfjt=aRD>=_a2Yq*FdQ4VyW>p&_&xLb> zaB!G%2^UJQ*?c0qBL+W;G(;Wq$#wDuuKg@MNm23K#TH6*O`8c=3FsQRPu$O=CZRhB zYB!AEwHEDERrU={df`xyJo6mT`pIMD9@btZAiZ0z6PT99Jau2~?FBu+vj2*QC zcT_!GA>ubH{zf%fUDcxx>BIi=MsmMlT-!wD3?>S*sm#zX=<^iSd<`gBG9&cLbN`3G96h zo-EGkJ*T@p->x*B0pFKi=dXzz)V3|;)%QG?yAO(nZC+f>Q`3O1ysPKnr>cCQh*q=q z6G$DUh{MK5P2^|kV)b~Fm-#(Y$sFv1z89m_+U5&M$r;nLQXlbUmgb?8nIgqSL$=X} z!4QFLenr>x+CPf?NQ+M)tJU1XkhOB0@pmX<%Dk*qCMVBpRD= zBoiT58~*eRf#P%N%v_9<)W6?O|g& zOMI8u_oc@5O6_~n?k=kwE=eGxF*lUTv% zEsC5^O*dG*W0A5+{G>!LY$ihRk#;;0Z=d7Tl9aA5$)BaWEYMVwl$t3c*r%S8Jy6`l zh61_8x|!K4M}qA8v1DWCK6wvxH53Oraglkt*xKrW)(HpU8i~!8U}VhhwMyyzwp4uI{xRy<7xGJ+ENYfGboig?Y`4X2)4uN+>C@8%L3p8I4L4PJlSSgNDLY|D~w2+TDE_IsPLB*_s261Z3n zlkZi<2705UZv}u!LtA`~yZ0#93j0g1-SC3KrBVXyB}qYVUC8@y(l&gsb!OSrddY6U zbG5zS*hCiAsuHy9G9nfDQ_d>N2ERT=Rd(q^^_*`BR?`Yh#NGmJ@i6c3TX?9b+Ql&Y{A zUdKC>=Wpm7)%U?4R7Aj3(`<$y(gU4e9{PVtw(zVF^A1duvy#fieu6s z1t|sUW)E}qeFw(76Jna_eI;~snjrK(=aildSHIyNA; zVEmwdEXW|YdI+2(0xkO@ibgw884iv7PT46fmszT8hkSD@UBkcgseT~&w*OJ08N}Cj ztULA3(#$`FCnuOn&&NaQo%oUTs|LCi8A~ZI+khp?C=+r#UlK$;or^)!yh2@4M)9X* z-`#g6s7(8Y(@nkpQ*`d`)@+B(OH*N#)73XpEZwgyLi!~N9jP`a_W${G{aoy+{66#D zbF}~YTrcnO`OL(zISz&a=!&N8-Ji%0;HpCUNB~`-^7}PC4%0D6J;mTokV|?zbvnvs zLwM)Y6(qZC@wN-G z;`f3IUR}m4jkfqPCr8hE&voU2;}Wa~1vK(hN11EkPY>e1F%9PJpP0))foM1Kj$y#wlXFTHMSD31P7t(_Aw zmb1hG6b7*n8$N2}qHgTC0g>13>N4awMdhV@?bfYgLz-*gt5;qUziB?zW3NNK);DY3 zn`06jy~LGhTKn_bBj!G@+@r;gmp<3ZUkD;3J>2A?TB*0MjfaX1x>brd$x#*e*J~3c z&Ib9ukVB70`R46u)}ULdrfwaQE10+n2Qq%&qz%n&-723$J!wB9e~h@V)&0p$8t!eh zy<{VLfLXybam%+uCrOlaa?tE1L}#2-NGKkYsK*@7cG0^1=0u`-cI;o<0E-I>T&6 zd+`Q^6r{QDr@eH8icF#=2$jnpth%~wyQE}3a`$FQ|4!qvocQZZ3a!l_!D0Gf5MeKHkM6WB&t{CCU5nXhiKvhjX&>GiEq4XSWlui*Y9gv&^G$$+R7)Y zNl#MBRg&JcekKTSV(ac=NO8-X&;YWP3ZQv!@4|n$AZ+@L>qAD~ z3q-n3eZ}`J>?dQwRdLg#`Y3c_GjY^F#!{0xvsE-tJhkf}kyk}h%72I!bv#Oawug24 zQ+gmEYxdW}3w3DmxNZ5|X@wjKhx2WbA|-3|0XFFOiJ|TIYf1Dr$Ztie!1K;ejCUw? zxQ@)Y2hO`qY$Ohudbi|;c3wOySJV3)7+>+&s?kHF}&t06eG`?;$fJ0COU}#qkR0CfgW{+?1D$cuj%wk zEuC=G5r4)*nk(-ZRA~!ASUB?R!RhE+p4MpFb0xWNUzb)%+_-rlqeRnS1ev{J|C-|Z%l zttWXS_aXUV!>z|-{(6y)3pZkRL&)P^J0lHNGrVB0rJo%%zB7+UYWRlO^(wU(t#5i? zDcP9#8qeUuTo^(XkhBvRkCVQZRX=QZpbRnw9o^2R>(|nFSZ+x98=b<#hMX4B#=zlT zA#kou^2McM^Z}|S`nwbz5vbLm63At87-bqR&^X}->N?axB~5Dv&@KnA-VV7zNivGu zPjfNXA8iXRA(4x+U?^fS9&|Klr|-5w3Egf8^=#Rw1G_dKFIMJ4hT`6Eon*#YC%uK! ztPqFV~u8wCsBm&FrG#H4pYNupV%d0LObSso60EB7Htzi=kaw?>B@k( zXGDifhoELsR(Y!DeO$6?IAPm@j6-vtjSbYX!lJi{8jogdOh4btcrERM6=SpQuKolNhQ!!ZQ**C2lu?LA!#Quo#5 zcxNdHuLVlBN^wk32;cE+$;0}imZzL?r*0GVIv8Xtb;2PTjcNF~u?x)B$>kNmMo62U zmdY*hKNkrf4XQ_It+dAk?7nj%JZnvP!O5wm^-mlVMCFL<%qJs3`uu|rXDnk>8`K&P zUQ+;6=h|9UFM3`My1tEKtq=&U)MQ;PhqFN*9}DVWYw?zO8W5|n(mj6Y59m;TY`EIY z-*}v)j?#v|gNb~|&5Dn7*|;T2hA4-Vx+=wG;T1G38xqSxfX+0x|61bvgXLn&sd`o? zodE{5UQ6BslFUp-EDVG19SlWJQ248xH^@v6tEit1Cq~lq&kUo3G5p|U`l!R-RbHQR zrBmE(Htxl~)MDn$p_7m^s=Fk9qZ9UW9{}T6v?qhi>4TeD)Vi^*nZAkrJdu6X_ICd6 zMw=WlQw~_JncahJA@45x`jf)<)w;x8jcaTc`EOD7lqPI9<36rng$C&qA)6_wSXM?k z%D`1>BE-X!@>EDZnewbc?Q+2Moc+s^-p-5FkF%=Thv*2M_-6^hHhwV$QaeJiFO^GF zif1mu@-OQ7D)nPdSILT2j^4YSt4ch{8%lYGJB&lbmFOx+ZMaj)^(;rvrVew*Q9Pvi z*hRvYAOw4pd8S0Z;Y<0~@ej)HM4!y+vhg@StjWZgM*Gc=82Jj=q_K=K2AzABbbmkJ zs41TMJh?M5T7Na~Og6|zc|B$GQc-RKSeuBTYKz=`{@wIKzUW+K^ykON3lRyT=pxz?sC+q+PSC#+pIyD}mQ)_(s zNtjFJLg9PFjlS%Kfvn zg$c#+h6rjieY+4%aX`jzKhcMJ6u8V4P+M{M?q?=+UGDjJ#P^eAm1x7751T;FbDdFg zS{$V$?=KZLfx~H+Un|Z`OwuYIXBPoiefv$0o6y#s%|j#AzEt*Wi=P8B#qb3fjR(BN z3=@w8qrISl>u!4pZOaGcrhK1LT)Bhe)rQQHE6QJ zhCYq_WW?S~_lJecMWR0%fm)Q-e-NQy<+xY932p-ZUAHBNyC99^Un=0snKW%iw;@HM zljyhJl3yUo<^TAS793Q9KHJ~FTBS1a8}zq*iE&TU(lR(42+%W|&>o8mHHD5p4|28FQ&wG0+|zMDzCt>d=WjEY7Dzgmvu(Nu z@iTpP8oQn`UNd_FDKgNKxgm#n!#W6hgDt-MyOwZQTpba8Zpv>H1d)d#Iw)JgQd^8g z@G8*ADONNO3OwWD3ZZgObNf@CJybBW6x=Uaw{rx6=%3SR@|kfEr?hsxd0L27M`}Je ztm!#mf?3wWfxMfW|-!V1iw_#D=h^I3{0Z?=aDlOLisb%J67jfI}_ zddAkZ_IV$LZ|Oe~NWU+02c#g(VlBO225eKH2m5p`IqfuxZ3+52Oko5at0%rN!H3P< ztt?oq*I8#@l4hls)8qq|NZ77ZREY=gPb|^7DB3q12@^++*{t0C5XN^5^x_--5SfKD z3{ExREUOT2a@Z09eZ3yhuI-p?Jiy2BYZ00QI;E|QS>9*=vH+JjSy*m~w#7?z?LvDk z8-ct@VSbtCKKXj5+B~*SI^}4PUz^{cuT=}o;IRX8FhFBhsH)I+DZj_~&?JEQodM{T z9CZ=&&g^)QC=S`>vumy#2(uAz`M36r6AHE}hiH~n-I7Onn^WHWCaG3uhVC)J8kB-@ zk@>`x+;jadF2XeA!EMp;FS6Vpc8guT?kn_hjJF+DdvUFq)0I@H0| zZen%pO1YOM+WZ)hx=Z%g3b|rrT(KREfUW>I+iYNOqIgru@q9>J#${hmrRtwTv(x!w zB{x95{A--|@j#t{tH0}iMf5U`x?MmGmu~x?J;3bG^g~9!g-$;J%P!pr2Vqk9&F6JS z6dT3z{o-=a+5;qv373{7Ur!&CgBO;jf;jX6H^4$-!t!9($%X+pX&m1cTbM!HiQmP& z22P2{az8Q3ULLZ=g#CJ*P-3;rn$KV$E(+_M;`5E`6A`b4`~iY5TxE%Mhz~Pi_LWqFJ^;s!&b+^5*R78 zZ7c9K@`X5QsOzCHkE1&c=*Zni`1Uk2*^v~~EO3iD^qqdI4->NPxd&>$i|lEleBLDu z;L-S`CBNiVyb&vN7C{eT)&`t*nl-{4HI^i`8g$Ab;i8%bBsWvZoG zrgp*>ZuJ%^9>zKH^onN)l!*=^$0d+;dbky7rhLK5WiLK=tzVn>wjeg@?%sTmdfK@Gt*|=dKsJ3~#CY`z zjkUdfusRa2eAUJ#(!4oVf?K;T9c*Q^qi1$>tNDRW>CPQ|I4i#$D3%$CHr5*}B3tP+!c?XW> z6MfNu3O961S}869neY$)64@|#wL@W0V(NYIOcrPNyuPmNo4}@|U8kk>&%=npd2$iS zG5XNHwPMwro(%T&52BHvS0P^j+(-*x5(!_36^1Mye&8|aDhF^QLNu(}F~;Qj^JB>+ zOEOMC=nKhR7{Xm9g8=miiGx2@As(>gq7ME(&~m(_3aSFw17nw-->(-OfwQKl()@~C znc_ypE>iRvk&k&SBQvv zc;Cl}+5GO@aKMj4OWXvmcDvh3tgp4E{@JX_EA9qT>HzX>s_=W}L~THMH6#Ei8e-XSK5qOQL_#Li6VMavMw-wB2L7~z)I#RCFR&F(Vcp&nc_lRj)!j)NzY@_FNHm*#d0?m{A z;aaDY-^gp`t(*dinicfs5e@3A@9Cd-A58!sl~Ke9Ti;wYI8opAyY0bMXIaM=7q|Nr z0D~cFZo89-{kupze^4*PK_N}!+h{=*W zKVXM>3!`TMPm(C9I$^rP#P%z?yQl`e=g{C2&lLIbcwqxYI7VF5Z0O_nSC;y|?`&|s z-Z0eE{*8bss2{`cgD^Vig-Me%RnZTW{g0Dukr$tb^=>?D<(T4!nzDXeMB+-H+A1W4 zKdg$^^R2He`PuEboJUr}r_&NQ2Y2!E-cE-D=7(NeIWD{cpaF`8QeLsr>3ioWk{bbj zu}f8)Vw6dO1MHZDa_6_3(4d>ARaE#ei8DbZcP!%*kWeCC>t?!6DjDG8&N)wORR>jS zYaY6HjvPMCvKp%0rZrQN&i1ar>AeD7RX#KLus14?T!9T;wBhYue+7gf>TyDk`DaEQ zYMiI2VQ#hWLn&Pf>`cBZ7IqUM9)Iq6be%15x6(QxcInPrGQOqp{~p_S@e+@!Uok_M zX2*y=%UPZ0W#Gn1vbfro=oz=*xbm;mzSCP-9So-Hb$=SInZtcES64c~FZ2mXi4}Fs zLtT8`{(Yk(FZpp+eE=C3!BI4U&0uGu0~khV@D+F>@AhDW9#`BoAQ8@s9DdVTW!iHv zR$zqq^_!$Zy+jG?E0}WMti?69vhW=xy;P2dY?BqnonAJb`MHOR&oO<8l%C)9?UYTl zl|^zalX1y}_-DdA(96$hCm#$;_KeG=u{n|!4}+WO-WhO9;D9IbNsq?3=<@c8^|*b;nG^aCC>5{T6TXPO|d3G1!M-v zUiwcxvp1}!ZI!sNXj+8XyE|xJ;G_|-5d{-Cwm^FXp8r$y*IsXSIN*XbSh7?1eL^wX zlhwu*?0m67d_z~>6Ia2D7=Eci7oHzam|I5`hLuWQB%^14T;>WU{>l_Q?!<rkGKO0`!HKO zN;_D|K6tXJkEIA@R4tMDEF1Y1O*itmr}TLs2s0Q*r*77>tM z@L8=CUF&`#Dwgo&XHj&fWB&MQkJq5-i~fn;0}KCHZAzc7DG*tjv}FgtH0VP~N-rVn zeOH22>b~B$UHPjdaIGi&qa;+*Q(aoC(KBGQ?_~9!hfu@l$w42fQ%LXl1%IGeNWkh< z6tGJZm=1W1k4cb~AjQnE@O@HUn`+LX$J;t7EPW2py-??yzR>@mNl9e1fwWUjz!BEIvKFYT9%#2&C13Y~6 z_E8ezT|h{}_O{bFK}4@wo11uH*rVNR<~I9i6HD2dHlRE{!v65(O($R;1NGe|wdWwA zqZlnrp>w>ru6eI$zF3ixT!Yi1?>cF73TNsNZBU{RMk$I(FBLVm9~H*|QmR{@zqY&> zl-z|r0JiTCh%XEKMmJy+hAD&`KU&UQ4oC^mqd z%2$4r1q=dI$`)R&`jR!$u&HuS5oNjZ{JL_f8{Zh{j zkWlL^AqZrr`*VVh6Hyx%(aWmVyWOa=L1qUZ&26tB<=1}(mDqyL!iEou{M96724}{? zIgacW|6E%_v(_Alg^_Yi6*B*4FzKyhTnl^&FoLIT-c|76tR8bQ45D( z?#Bus=JFCBpsHMGa&5GmKRQpnXuG|kUwOa*ig+q!u@4q*x=h15`QZ+Tb1NdzO=9A4 zfNlr595#Y}$!+*~v7^7#-7O=E-m7O5A@y!}NKG0YY-Ld$|J?FL^7WT1QPLY(IhBHw zC^4^pV3E(x^Q#WYAt6(J%2NWR9X~v_9)*kWH*Z5mmJT>nqBX?5O!B$hlJw>^2*e(D zF0>(zVh8dn@8E!Xxf9nvQa8?SG;q+XDK3NFE^gs{4`BNLK|x85|GuH@9Vzov`_L}O zQpk5h9_AsuTLo|j6}9m(%5~ds!{eS*2*g5uk`nFb%3?+30uk1dx~UljgFKJ-ixrvCTZ8RN#xIk$ilLD0?31LQx0qEKKgILzQ>-pnAtZhquz)f+tidLY1 z!8OY+2d1I$%612I@HyO8^lrJ5N`di3k zmW4VWZA>0F$ED2nY;$pvqen#GG@kjZ9~a`xcENgAse|i=&1adx8HjH`3f^Bt9B2Mc zeYtjXaL-`|y4;`hzEnn6b%rm>y+tE^hTLr8)GiqRpvHPQG+mhKeRgLm*mPru#XP?M zdBteZ=XfPp_~ZurUtxw6p-=ka|AmACszGD2&NO^xX&xbJc1{tesLVdD*f-sDgdWc? z`J~6UEssI(-0C5oCU3N4#(;~l*>#h1cWA2lvs&r`Nwe-zz4!J0?60!`(hRD)b&d4#?d%4vi7`w5fm@~sos0853QU(FE_PBYnHkoPfzSex=oMys z_zOWK@{&$gSHmvR@g_e2U^wRUBmudg$E0Ed8MHzNwDh32jCi%_2Aic^IqCe74d9TP z(D&)ft;=*u&H0?e4j+gWynwMsT{!d1Y)MC;h+V|sFSXC$M_hTEYCrAca>XKheRDp3 zsjezJo_-NQpb)u$(eCIiOsiGYG~caeo9I}P5N=|L!^E(nd!dfzrpE3a1N54bVOoCE zcS0n=oE-IF2K<@$Q zAjJRze;Va@q7ab~+PR+(Q?Gp#bvzqhwVywAItcdoC=H$Z2tDnPG+;LOiOSQl$Dv6I z_ry;sV9~$7Uyzs1zjz~IRG>(1#r69_chJuyousL#uI;y{|9&iMfSl!7ii#sUF6A!p zViDQcNP1HM>iGK%KZ^lMMgOkil_MfwGzKWDG7%#v-m&vbC2_#1Y^>0xM9-}-aU&u0`8e`K1sZ5W$Dey8xtqs1vY!kKcFB!)p0 z3o~nZp^ZVSqeA-3Sg|ZC$h}#-t{1?pK<%5^3)Gp>-@Tj_P^dxD)+={%G^x#mO1=3O z96!2@S6?R)xtDDTfEm%yE5~4-n+;SkYF<~<#?{LkjHu*M%->nawhT^Nk@S3$UNl|7 zcA00~k_wg1Rt3x_*5aKa#SIgt-_AKTq%Up~F$SRvj(c6nRhsA6PfC@QqK=2FGsM;T zt@Z{7eHW+6#kv_7eebI{ywQ};ccQ3|mpY`jY%5!|wf!;5cM7{{aSbjuzkEy(!Ual5 z(BeW^DRs{@R-UAE529Mn^L*uVaX?QvQ0m#LiWz)k>nAVMsq@Dr$M>5B-7t^F!-lwq zuMD7La8gYDWES+*Yl=NumQqSn0<$ zvVe51y}Ykwsyj2CaXg^#)En0Pz3pA%FGNHj>U|pY9u1QK$>hR>Fd}rx@fs5aYDesM zgGT6OPG|e7nI14AoC{7mZMr$bLMP=Qlo>?Xv3J^`54zCK1&-%D>g75^dn+vEDD|uP z*=CiV>K#0Fb~-!iQ9-%8Zs&x+ofyq&&H3DPwt$f4W(mm1k|X=brZ?F3WlLnY5o`f` zn0BRG@&;JS`_QIZ~O`iLy;_%@c&J_!WbKm(&2WPiDScE>DOk zR#^R~sJPM$WF{b&`ed>+qg{04O9I>GRLYZNoF^}Ria0m@Q=m&>l!zeK^(Tq0lZVKJ zTmw3#G~@QOn2f8H-V}6d6ZevN9jFZTcr~?-;<0dVi?>ePi z0!Cc99F@ry`r{n6f7zaM{IHr{i-!Q`q{UcoBT%3h_uRPwoTt|(Oh0^A>M?&oX^~_y zSltS#{G*Ntrt!oXN`>$Q8Q@C z6$=j0tvyicX~{@2`3a|srs#TMBi^@UPUXlp@%ru&q%QEAT+o$AAHB)37Tp#Z%c=^V1nE@^*X{Q)V4Q?4?T`< zGq38b^Tb`dOCbLXrgm<{UA}BJ+!W5;hCXMPPIpy0uwTOXKc&m`K22_Q{=;rzwbwL$`n}%iy&Uf62;2c|TP`te z01lh_*@FPDIBpKt#BHJ#V52pGbIyH^h|QzdT!1VD0M0r|0fKXDD|-Dv$dW=HJ=jkPqZzleC98N)?Ro@oq1!XwN?Sr%QqTe<#pJ zt$=UWzI^IGy4vb2V>|KlkJQ6(aOsx2`u}B1(jS-ZyD&x`7YWfZTeggAGs}P5vox9p z8c(_x#1s(d(##UF4Df(iy^jnD#Q?LXsIJBH;*iB02Dah)-Rc||V*UAIAak%3tygjC z=yVRr2XMiPbb~pg*)k23b93e#%q}VVqViNv81gTsf5$<1Yovus7%!2gY~HhIQJTk) zEf+^>5R55YHmvr(|Mp9zrenk~ko70MO5n0%0kYRz(>c+WSwdKz_SC9F z=N`eTM_vt__pCSto>gN^ZCd;?EZXB!BNW8yl#6(L*N-2X+j4~%cjQ(ha@ySlfhesx z4EBrWd&g`mUMd6Nst)WSNN3h&=yuZ3C&9!UC_Wi|N}jWmfP{LihtNZYJ^{HcbY=;R zNL!$K3PD(9A8%d$4olmJo1P=Z1d=2^ zets}Zf>{Q9;I+S-e}cmVFp}&=buae?BI@12&~2>Vz^Zh_oNjCU`Hb|A4sgQ8{g@Cq zi+_ES>3YU%R$hd>-2br{Js2V8@kaB)d1~|HqRf(85qcb(a4$HphrUhoJP?p5r(BvK zvD`v`v7Ogg7WlGW0BpCA*U7$~KsM&GK>aLdR$z;eE(A4#pdYb2x?Vzr1D+)7svSXP zFZ`TCx6yYPC0aK}N<+$X-5M*_lzRo{C@x68Kx}e}2|B!M!!cTQ_ILtCUuN9BPaVyb zt9}OTZjVdOAe#q=gga(s&VdFi%bU-Uw|3%>K;^CHND3~oXGF1-y&Q%aEe^Z1NrR~~ z3@gbjZcph|eL#P4F)S(lt)8js02l~i*)xxCUOKd%3c`6GUAJTDkJrBaiR z5+(CwG8n2LvfF%qpX0;0g?Z%la#Bi!!`P9t_T*1s?nVYTGdx!9HaQZoZH-%~0o@Bg zY5`T49<%LXM-(@WL5?C>(NNku@Hz0Ux@Z)m3D+2vs4E}n$~xI<1ue5LtqV}`on_*I z$dm($mu1WDSL$M>2<=>d+q@=brzcoh&gEj9V&{@8 zf?OP*o&m^B<+XKMTNZSv=y3Q@<*_6I2UKV|Tj7chbB^)LbQw7fpjkP;1xGh-cRoAO znp=8pF?Oh$8)bWd4wsl2;9Bw9gpa-SpxA%N4;=EGKvsQPChTiE>BxoqLqY(5?8seT z@c^b>`XUxstOIMd@FDQzqff(_+e@?9S@qK@c0V#vvZ=yGCA(`S(}rz!pB(!1ZMK;F z&%pbu=829TR*t2C)_SI|0dc%N{q}oyk?+!-H$bugo1b>zjd9j-2s**UaxgUW*vZa+ znt11mAs|L9v6%!g@mrV1g;=WAkXGVnnq4kjwXdV!deI`YZUCPK1ajHrIr-{~O01V! zhuYK#58>7-hpPJa!F?c*3qT|}>V)$lN4T^;Xe}W6)bOI{_hHbumujCY% zZEw3!O^}ni(|%~}_Qy}mO(w?m9ExTW81_I1OP^+Tb3&niVxobw_P9+pSQ%o=UX(h* z`;txmK1jV>Aq_DY`&rMc(&!jC{8Gno+)SThw40ua)(N1$5EdrOOwzYezTsicTl&gp zx4XUJ8DZ7m_j`rq!F|yDILFQ~JWsDlyD*8D{Sy9jfU5gL%6i<{iR^7J6L(Lm+`lZq zmMLjX7`)zJRvA^{s{O{}oH%;^Ne)9{h{eq#IPW1^G1)s@Dzir+hcFpXMLF`g06le` z2l@CIcGr*f`UBwKHP7o_lsBG|g=S%cwi>}YU&K1+><7w=?gQg9^G`hHUrhmrUo4|h zDnABkt6-lN9#*xfx)gsT({FG1`+L3V$uPrM*YTy@ka6=9mrarD0eK)d)qDnT zK$T&NQMFcH8sXx-9!`gPVExmoM>E=b6&RbNGyb8IiUlf~7`+&u`MEApRxo(|_PYu& zr6q2CUnT_T8?WPZfYV&`A{%CaMG45(mQ7-EauXnL{vmR0GdzU`fRF-swAIt`d$fKE z8ue0>9q88xgElm;$`Fr`_F{1eMb7RqH!uNcGKgOAaOL_@Ek|5Fl=e)m_fdDCh7?x+ z?u9SiLj~3R1q=}TdeQ9B$F&$i=^`>(^u1~(9Te6UX_!|#g4A*q7$^))VzA4dbN0)f zr_+oOu>P!*wcgNkOa3{d;|c1dzfc9GBrs65S0*ms z*8vb^IV;JpSG&g!da@N-&hH0k6z^ZWGW?Ah3lJWCxOW^@C>c^US?W$moB+TTPzOb< z0Z1$BA|B(GCWc~ma5yiLS9-SVhf#EmPW585>!jWfz4Vvlq?xIBCdt52*r*izFjOyI zI4V<;bmz~NLJbsET_fH4khLVNnSUC0S}#DkZ=}W#<$nkwn1ZhqMe5X>#^(b+Pf+%0^gsE*|>M+xHfH%9gp%X1)idt&a4; z#6}_5Wa$XkNxuwxd+rtSiwtW`ww({GC9IL982jo~c=VQ;;N^=5vv>lvFjJST?a~(! z^r%jn*^weZdFk)E{Rx=-WgMRD=pF}^kC(Y}!A#TNW1dek03xKQ~LqrFP~ zkQ{uS-u!v2BqB#a^vORLT^CyNrR~j_G|!}fidB6al#TXSsI;Vh5jZ+LFM4tWtHN)3 ztRt3tf6omeNPs|af@_dq!Gc?`pdq*u zLa<=LwFn^)AZU=_?(XgZ0tA5HxOWd8_Naof7gcMm zIp=qN=3LFTZ#M!Kl*$MAd-m2(gvo&tar=Sdx{+ovqi2NWgXMnSYyGlt7h=MI4Q}z! z#j5*bnmspXN_Xf1wEcSEePw~xE49w$l(vV~80_z%1o#Q~w;WLT>`m>+Z zDUL)A>kg%Wx881QsW$xMkOyCJvttWv~)ctFh1Lco0OYJ7gc(cCt-d3O@G5 z0C;I`2DBUWq4#t^1*v7TN-)lS8XeKf@Lz)pa?!2O7RODEC&$%oN1C<>wqCo(dlw*< zL+UuIRtirFqc4LbM%hqV3QQf{PQKjt{f__eJ2vo_r%;phhD8OVtWh%}zn)|F4C+SSb*yzYc{EGrjKxGyDR+}n%@o@aNz0~la zR&@4IQo(_6zte}e-I?WC_BSlQy_{_k4mH)xpMFy=Hw4Y+cA%IxsLzupB%aJL-V7tk z_q-*@e=XBq6ILLf?BdNWZ*3b$^c|Z%hyu$LoTk`gg07i{Lycf1Ax&j)P}p$zW5gW3 zA7mD&{#UMFy%;SM*10bG)>#fcNZQk(9!&$2S_LP>6~SvS(YuqYs7TqJq<5>ekMr?E zsWbg#)c+PrK7~qK%rB@YUD&xnL$&4zRv8G_7*LiY)3>7D`)drK+`n+!A856g%#$nq zz34+L{5l<}Q|Z3Z5F-rP-+^S6=NZK4 zZuU>^V6~uw1PFMZoeh+N`Qp}ln9PdT!D*bqht{^MTUjog-Gq}yg&OaXkm&APz`t*A za5f$JC${-9m5`oAkwe+_M=denDvX4S2CVPWP6iPZ_Uds$8pxGEl3CZqQ~XP31){W) ztDEL_o4uz~HnJdY=@uN8mq@y#dkWT&6%`Fx7drXy7QRZsC-p39c=Qhn^;xp!vs;6d z9g`a#F2IIWY305%bA$)@>r2B#iGUn3BJ-;6Ci`sn&)R!ccz$^G9GhUhbliF$pzBS;hq!wex@U9Vh9$A`aHn{C+r=0sLE+c+h0XKi{HNyDuXN53UrR=YNiUY) zS%5rU+YLG?J9)a;?Th_Ch`O zO8F=h~5@75rmj9V8HwKYDq6A1OxE= z10G6y1tSM>Y~Ob42Hme=aUhJDUi;l)pJg`db*olRNS|UN+qE{x98&hx3{3@GqMiQJ zd`SiIE6F}L#bQp&x<2~TgPp~DCCP? z`VS{T0Q0gNrH{cla^n}*?s>E0X*&r!=$-tJ!lCl8h6)!1?SH;zg&d!FnlrbwZI&-^ z4X127BrXcAOzFY7?zXLi%<_i~8+Jz^2F}~s^dwxQsiSy~qn#%5N!vPU1kfSoZdGb%8GlFK^X)0k$;0X1LbP5?W z29UIIM*)N%khm6f-E?;jL>~Ue6t~^kYNSh57B~v(f(Z%`4K;J5c2vqGI4s7$9h#dI zZjm-|_inL|Y1 z*N-i)Rn>-^P8tIqOOr5<{{oBJ#yjCHgtmkX!GD~RAJ2tOt6vuFQaO;_h%soE&I*X- zloVcm=89h-qXA4v0>OA+zfj_?X%+zm#VB4ozfN=EzpAT}?tsN2l3ea}jouHB5O7LR z9)v&T!TW10k<$mDv3ef?G0JI!62?~d>NT~3C2CIuTlU*siDEDUHB-Fv(1KP7a3zd> zdps?D2vNU$y{sF5c`Uz9#n*}iOPVDm;-{YD%j zgW0$!VNMbA*LW#F=I)$V8qk5F*s-C@wqL-qa^X&{VEaQL>4T5B&7BO`P(Ve-TTH-{ zXlWZP(9=%*^S2;joI+`HBzf1w*_Y0QQ*Z0!Cf-*}DOpGX!2CW?p1N#|-bjGvQ`<2-#^OAg54?C|f^ z_TYwJa;MttT{3_@J2*+AD|uEZ>M2qEIYc6?_(KD-P6w)8Pbxo?!s@wRf{2qq#?gk? zJk7s|Cgejdaq}dXBS)~7*obQd-A>~j5uncZMWF4~zXILQRuo_LLBbM>7j10Wo zLQ`*gObyKn`T|1h9$~Tsat4lyU}52F^yk@CwW{3S^O*Hxizo>M0!M;N zYKR#zZ}^`?=i&L;Mj`lOZUepH#EFpGDz(gy%dGnwYTj9_>eDNf%YX&WwSL>=#TP#{ z!xU@Z`M$nB6im$x-f+4{9T388M4ZA>I(M_vv1L}fZ~n-0e{OY5Z#5N^Trd}M_WgN( zIeOJcf`)>2E@o^7{n`AatO1RjO9FwVtnTSyKJSo(6x@Z=Z~@9${O8_F<^#?;X}p%@ zePM_(_|J{v5PLI`TBAJ4wbNh})4oaFr@eb0oZ4OW!ER@troNpQ3JR5jCx|{_G@aso z&`~iJ0RUFr1BK{LW(jdQ^CX{N2G^`$Wd;c_U=IiQgue2h-6AjmD%M?8e0WcnqF26h$q`gC=$G)tg6Af$-e% zl7jLkc{!cp7B@ZNX^Ag6A=OP!4A_ln^*k5yI3ire?H#8~xIZv0)dCLo7e&9RhqV3s|9tK`2jX;hF@G|AlP9)MEu@}Ys zipS3dxhVGFpo98(xQb=alaN3lDxSa+6MGhN{4xD@E>+y!v%jGqz^5uKFDT;RC?*I) z^F4BatWM?*6v2LO$CI_a$9gm95DYW%oBQFp?Nd4{es%%&V``{5y>M^0TdJG-3AuO( zg!TM=(nE=crmo1TJw23E+0;)^&8}#o^Wu16Wi^)j z`WyqSrLP#>r$^nUW7+JleWn&S9Y;(Hump_qF?6V>D(kARo!8>1d-W4g5DJ{-zA4sj zvB8{ZxmYZ!Uo?nwFJzSTHbAI$8Iz3rq3S&F^nrMHQfsQi16eiuJZ;M=%E^Q1Q>PkU z1uwrdv_GC+ul@wHW%y_z?aI!X%DEPT&cq?@N-6S%izP`3j}%8`I^`~?V)CG1P#tZj zq?bt_$iR}H%4DLqtExN8xf$`mM7`)^B}+m@v#_fAc+2N*QCCh(%fiixrFgx16pGCF#*|zAo2f{9AX>3*Ui)}i?8V) z!XJ3yB`wg1h9~t9N6TI1O&L<-Q#Pa_7VS3;=e^(Ba2(R`rg8NlfFr|$!!e!7#|QQl)PC)2kL1 z%Y{!KI4T$mM!?oIXQ-|NK(3z+7^=tfWIs1YH{%lAy3=;v72&)$gvt`G?m?*m z$2cnZPfq#CU(VkkGbocEnK!Fz3+q?Fw*`f;ko8f-bJbi-}&{lCzp z#4p$H2=jM8gwtXMWU|OQZxRQ9cj}WD=x9=zEW0jV+GU8_9a4$mA@)RG=RXkfq;WXn zk__IpH1ze=bIsy)rd(I}lgQwL7kXW|`j-d(;;Aq2QYE5X#o45ngjpW}UEQ@nROOxr zbl6VVRYBNuQ$tqEPT8fq@j0AwjrvH!=KWEAI$4X$*t!sJ-}!D@6L<%{Kp*`ri{6El zbJ{49q&T}Fr^;2s#nkRU(_7Tfx^YDbAcM)ZAG*wXW|#iiHFm1tmU?FHekx?B>7DoI z#s~hhjAu9EO%X8$_Ut8fj2mH1at2C=(wKb!GmE}Iol(}5ZA9F<7L5HM?IM4$h-&e1 zGze`}sBEWi4W6ky4*lR}Qj}K7Gq?Jfk6<7ROv6%&I1WLbskf&Dp4I;>CO6}#+_cxM zqwdGIAFwXEy**Nlh&dkSTRFzX>R-EU{{Ae5NiTNpV?P2{>fvgI8$cVmXWrV-^EOpU zn9XM7j#je|OXeliiL~I|yw>a`yNLBTZbwwaBk#^MFJjI=uI4GsNsa9;(h_&0jNw?U@gb z&{Dyu@z>29RP45%GB$qMcWHoA9PO$I;w3e3vMuDY&w48!mv>&qQum9-=&m%Cr zy5N=ZBpdIJq6SQ@0_eo{Q``Yl1-zXCn?LC1C^u&9aE55{9&Xb&y6Nt-5{4v2%1_Hw zDF{2L%WiclPBxk(2&Og8W@!|?h0L05`t`WNe1Xoz%6mNz@UyBkG@_iclWIHc=C(j@Jyz6IYAM1xpam}9Q51KzOw@&O|`}+~x zj%~18bj!6iZf;S9kNq$)eQ$ZZP8!Qp7kb_wjCWA!ws2UzRc^>pY+UzFMnjrWBxbPt zpNsH0hoC1V5R@CFx3Hd%TyMrKvTOLHibO-}*r#tBU`z6Xq!dB7!G;xQ zG07R`(u@vxsv02_%|_+w{&?gw{E`oJu33YaZPm&PxqdP39)C{1TBOHh4m&U(n)}m6 zY;6!+lWsaRr<{t^D+rys_}NK<_`QZPYV1AK?_f0!?(|m8%2?cmWC^>j3we5<1o0b_ z$zXf@q>5c4tOgQYey_b#dl$-YpR)f5VxJQoM-v+g$m_1&+;`OqCgJ5{eWW-F_j%aE z)G<0-W!@n!1e}6sQ5cdW3lqi_eZJ?;%2{J>iGOY+7=2rq;COQzcB`Xxure+!3tz)ZWNU@^ z5gS49cuw`rF~q8iRb6(^>kp<)A0AD7$`U1$-oCAX@Eg4Hmc-Q7d16bp%Mp3?&yvK& z&{`Bgwz#tfY9?IuommXkBB$*3JkRb6rvv|QTh8Z8{20W=U}Z!~Zm^G*x!?U7G!3|3 zGm&tgzu%Mqd*yXDtmdlHSc=1cIKe4{m>+)nQaAWslSTgPsmQx$fa69ay%X7itL6vJ z_blbyIdOG+lO4`}$?S(J9S+n2C`yOSN{h_OIkAE6Z)&ee+1Ew6l4ANUYE5QW%9{L@ zYGGw>XIaM$J&RSTjGS+pPgART3RUB78k$!>E;lG-dymETrKOwoUsEOQZdCfNm!doA zQA?^3-q3K~-OM)FK0YX&%g)>U^%}qr&f94k0sNaq^%j9-j!B^G(%_lHOap3`A#{X zO8fQhRkGj)_{zVo#`_wpiw&{QJ2Rwy8&xwHzcPm!@%? zAv)@9>DTaUNY_7)Nhr(q#!fg1yq+JqF)lYF2J{P1ZY~h5#S+n z^x)&voU@^YI?q%6&fNabzxkR@#aLnw$CkKoi3fF%=A3VH~gA7?{+j}xy?<$v( zT$^W7wODa#hs30L_zUL-Odp`d$*tQ>J<@Txgw73^9TD zl#9B%z2*(t2A0##5fspIjA`!F^9p1(lD4Q}yKpj7UBrvzlrvF~f8 z@!jAXAOA}j+5n5z?i#&{2H{OVaIeODlUNa_bqFz;xPBOt$-vG!_*2T8>SE5SS@p!% ziy`Hn8+!lSQp?pI43++EVX#cqD&Xb7Rc$bxSo}OL=bB=Y<2M&p@XfAYxDNN>W8JdA zedl#>_LAvYJAzaOrWVz6zFF0GaN^hOHJ6z^%b6g^EbOvM_(h~n2@MF%(kUOBX-3ve zY$$%igV=Y;f9CQ14+~Hs&_9N<4#(eeegx(^_e!B@4HH3z`2{)eV8!X}Cj$*>3mVBK zfq6Uii?@hw&k>Z4xg%fXA%z+iT0{4a@%6n)aDQK1L&tiDx!ny?nkk#uV~Hp53{$cX7qIVC-l{KC(`p1Nxzzk7@kt8G0tO`vy#nIS z{=K5+acj1ZH#YZAeG^owZy2pC0!-B6d>d}Z$D?;JyJi;;b0bKTAwsTGNBN9HKHd$w z^c_AiOT)1i#FH)Kf>P{+A4Lt?9HF`8)V5qCSB2acgK^U4U?05lLu*4^oO{wMW5Vf} zq#sKPUCoo^YXzs8CRW@@a>8t&{npHlAlZAHV$o-->Psqa`XnICPRH$~5}vhitG}Xj ziD$Bkyae7JFJ1$cnQ)sl^_*I&z_i;Am&IwfR&YEf(G$bS^3w*t@o#0oGRv?FdE_2w zx&XAEfT=Y+bl@cQsm$A=X$F+WL*pdJfwh|g4eD}l$U$HUX?@c`gspJ9e~8S-gnAts zBWm$w1~k&dk$dGvWi#K+OzFh?0nUl&jiAponW%-=cjd-P(RF)#$~hIJho#~-o>v)a zsJ|)fAlR9&J(Z*RTH25fkIXpQzCJLHR9ahCsd+YYw-O4@=A}=5i{@^Nk`rq^Uzhgy zfcp4g=$krfR-T$?&za&|!oi5)CaoNOdnpMFYr&YI-UwlkDj-KTKJeyK#m(;*GE4BJ zQ8n~!NK(%T--?S)O69t}P1M7c2NI~8Fwz5yDf##iz7VMR`I=Ul-_Je2Uw#9lIoEe1 z+qLy5`&gHVo`(ryoBJlMo2Yj6g)E#rmgT&uS8V5P(BzEZVzC5->3#Q<1K?kEp#auw zm?&xH9Jki#Qsq6hEpBj3U*9{bGahKaIiw(*WV?>H3tluhUyEF7;Y?8SyS5woT;lA| z@%Q(J-JP^d?|$lIi0-zIeXa1PPhnn5P?EkfBz==@KNt6+HKD&58JZN(Ved{Q zly=+ACF0N;#>QG28ai58-ik(tzYH92)Els|+3NV*R7uk<)NCxzKeY9`@`_Mq-V{C* zEUw!$W=n+kq7^FN4HdPMa3VbWyGX&aN@?t;wwu9YoM~oSk0vRqdv|JzXdnyx$Zi_# z=cy5n7$N_j>h3h{~YU^CHM7(BzO7{%DJY6Fa2X%dEL;K+J`tY#cyd6Ub&zE zYOZBF>w-aTM^kC{oknqBh$e%4SfFlP*J>{^JYM=^h7`uh^}0)B!Z=@&cu2f`D){tg zTq%tiJG)k4Hl-{Q|MEqqa9TzDz`H|pc9Zu!tsJBR>ccjg#9s!bEh>EjcV7bPjJWki z!($$6<~NC=sDM?MUY)O(?vf{}EMo%X4o1iVd3o;Kv*3Wh z)*LgudGKp6rFGFlRF07IGrdNtxHeggBgVn{08LP4i6^9~j5-%9L6W#smFAiHEA(#+ zI`dxw#H21=@ArtLoD4eci3q)HIBkVR|3=jZ#IQpyY^_Q|Fqr0!md^N2!jka|CsK9qR8s}wKP4;5=PE#%XZ*$;kPkGBoUdma%;P1g@^{XCz9{Zp*y@1a z09aR%-DTTt#-{~pS_vnN%o;`Ga@FSBhJS6`x1RWPy7Af*&8=%j!%N47_JDA%1Tj$B zj(V&HjvC0=IaZ#HNX%LIHrV}T3fu-9ckreq4DZnaQ+nC^w03c!M%g)cs$}Hmt8~Ws z3|~jb`t@Hd0NJf>y97d1-B>S~C1G+B#{8Y;kM^z@eDVv}fS-f2@M9{`hECZ8TfMzR z3+T8r1YJ-HG&C#sUSnrkQwsU5jFjrpN7Ftl_n4l1)9xVbSX*+_TF=bP{3?d%Vxqhm z(JyN2-T;CH%o1?NkIFM`e|VK7koQ|!4*fZ<@z0Ix+NR%`aKmT8{YJ=*9uEi#_aX$) zFAw-^Vdg`&r6$8EgC?NkY{He^csGdqY{by58EiCj@;XpTnIViaFnSKW$IGy~f}O2|bWI%Uf{z-|i;qah;M^Xl$Tf*cbw$QYIs zX7`F$XXMnp5N3-7x1O<0k`J??xa7@|$osyosPKnXj9Jo0 zKM1n&T5o1YrHqjLS%N?;xyoCU>yHQn!nwR2=j*=mwDZX;(~bHG_J3U8@Z60cu2eKF zd)H@1$wM4nV^+0{?XbkBc|Q@7cP-yr%6M$PXxzzuSQ!<_v<6AUyE{!w$_u2#$fIOS zcXs>Ccs%`UZC^9tbSop5;lVYx~6rESns}QI(DabS3MuD*) zGXV=drqUDWF;l3LW8xw>)mpW8Si^T`$r!$jetCC!N41|_o9$=XzVL|4UD&tG!M$OyIio)_Wnga33un5GGK*k`8OveN z@Adfrwv>~^cl)jJZhN`KOPOGioS2QWC$T}4Naq!JPByq?cn)d}xbB(M<C}o5?#Z$kaLcZ`B?JJP{(0D9zP)mN}3;6$3^|2UQz#m6g0~H&V4d0)+EExB` z_mW>(++`R9{gr91lt!(WcO?hHJuzn@8!xPd?YczM=1E6F4rfI*&(}B#ONyv*{ z2?d}xp)`Jf#%fvnyscerm8D-ZKN8#eHs|294#sQy%j^IjZEZLBelb#4ET?)vFNGXt zX|J_OpZ=-+60Ly~++>2qaJtno^%D*X)Fa-#E6)uS`)YdbctMeJ^W_2$>dT=khb*=) zYMa`;yMVWXF}D*Kb|0Uv%lq1avKwZA75^j7M(u3Fs^cDPPg%&Fr|AOCE&Ao&`EMCG z|5}a?W%uv~(Q{+&o#mDN$oMjY>)8@;wYnXMB>u#|KD!aaz_V;e4o`TMdL7&>RBx5t z`SsYgHs$(p;!}#c_#OLmg2zyKR7Y%9#JBTq^=nCdEH5ca6?1+LWKg!BB&E)5bAxez zf7Qhfs}q;#8NT8huhK_=078_e&!P4`Km1)kw75=Ndx|Zt>ia?;*y=@51MJdtkaax* z-;Tdg+f2Om$|;Pk`fk(*cDSe&>>{mM=8h)}}$K`aEn26b%;zIH+HiVRMR=uF?m@ zoOLJsd#7;68anoeDSWgi0Ep;6_H=f=S)zFW*@q|-ybHPxM@Is%2XfFrklMGCHz8xB^T(pJavsv(AzFA3jd=8_^f^IHY#3NVNV zY)4AWiXGH5Q%+&1ly4?>9;!`!9Vk%~5EJ zP-ekEQ_nPv=EM16<)p`Q%NLe`>K4xw@MxyJDe()=5J}EuDYQ}8n zKu)w6K9RYt<)pQF?LuCr^h35-B^;`jc>20ZFdU`dkph3Ihbc4=W6G|N7iWr3#~HRZ zGJ+XEhxy2V@|v)4&_;etT=rC^K{Gg`0o;2`$=s(rmm{zfM0G(?eqvp;ga!^=kL0Ga z8^`vAF2B94Qh7BzI&2RMtzvwV;^Hw*Am7oY_=%Y80vRcO@85X;SKE7 zpq|I$gvmSx%CT4LerwX6rGUCl+1yK1@PMy-HRtQ=Je}f$QvpvOlntB)F+0F zVS6&(RI$bA{?#;>-V*OFevTlsls$=hm@e?PK;aT93kvcT7*F(UHzkh7po*e z%vN1bTBytXzPtt1_>-~aHgo_CF3Rnb2M}>~5Ftlhy$^r%crJJFK>uIdV=ECoTb~;5 zWLAd)<8m)&q6l^Ht$rV<$n|f8i4WSz4}jQ5J5|IKGi*k28a97TzMGs}O=gygnt; zNCjMOoBmG?1@Z5G-mcy2ki2Y}>@rGVMBTrpu0)A#n5RydOCA?yW~Hk-*@LOuD;?}i z?VeXQJTK{#2WbW{OlH}Od;bE5DY7lH6s#ztUSSbmE_?Z`MSB!9KB!tm05>1G$B;;- zFlWh;Pr^wKzXKuY_9M59jOr5_i};iCFcKz-!>f8;;A}iJ9Sl$p$&_KZ*gHyI^Df=F zYH@ue-YK*I@VqGbjAL7vChqjl%huE;SB7tP!&u4x!OU zp^AKCjslvO8B_$a5$N2p7@dKiNA{W^>Xd}V54J$Rq^FFy5jzelSbj`FibjYE$Pj`j zI#`ADxjX@gLS(e;s4w!9-$rslo-QHz%G1U86EK_KJavZn(s7nI<5u<*eS~yP;oY{< zC}w+uX7+Pl&Xa`<9!En`m2aSXzFVg@nPR9H&qLS~2+DRpHTNhgabM%>6I`bsla|s1d)G+4a+1$YcOx4>}N7N{L1-#bcoGj!frVoObJm7P= zG%6=UjczaJk2nn(qQB*dN@O9N8rK2*BAxu22q9<0YuDNy2!3*)Au|eqBd<5djl+OB zzHzBv60u#-lz1wgDVhJ4d{718u5ieoJt`?FthJUV^1e*n^@iNaA%d?2K4-Cn(u*}M zmK`9hlJcyR#QN^odru;v_m&%j4)qOUi~J@d+N>6HZq(je_ebse0y4SlhLctU?eab~ zE(<^Nmq{PAzn#}7^x(LlO@%V9zfwg`&EC&_2E^J?z@qz8PA=^cjo9T7ZLBh9dK{o zc`o)ma*tGf*!uTH0(28co95n-jDTj^Qak`_|LZNNFQDvF4<_z)@7D+SB5~yKB_)^E zh~2^)DON(2qE1fN(mT-Nf(3i;^R6M;e#jHl4n}>McDPucsQZSH^QBkIHjQtjUR%;O zN!{Byi${E%5Hi3`IXu^_#hwIikpGpYmqjOBA>Hf8S&_sWDk)TLF21o#?XhBEHs@C2a*>sPMC#xKnFa2Es5T;@>!f66e1b?N#h36AAx*Qpp(~`*^Y&1tXw+wEbN3O z@Qh`eJWoUio~fGLY;};k)yju7*ndR}GV79-0e^&Rlsw!9R$GxNe%qa3e!8f#?S8Rn zyI@o&Ke9~XvX9CA<<1i3lq0n+L4datkA(v;x z;q@ZEho>=4Ka$6OG81OSFFmepzpHz8HhQgJ?Yp^Wf-31`y|H)XH`iuY{7epSrtWMz zpmAi^)dnCFYJqOHS3jl}miVaP&Z#9_y88pq*s-zoWl$DN)5cME8=F#D1M(aIEC(@d7P&WBx$vMK6abgQL=cW=(>ORu0HB zGcIgviO`;=W}rAS(Ze=|Su&11oPr*|(=IPDx@5zE;0)BDqUJmnD1`Oz zhx!_I9WTMJ-Dzt80%AfZs6bFASrEyNhGt=Q_suYT+W162^e8sf@cIi#O64%_yNVpV zh)wu3wXA`h^O9s({eNJY_-_L;!FABfsK5N3G$154w)hZ9A6Ogf+eu8ST+(Zic^C?w zjHBd=D*OJva*bkTe4+-CPwu3XMi`v8P^0JXH*<9V+k7B9WeRj^2VQ&t1wq>Vjm?xL z8&%)F-1NOBD`}s5u)h+xo~S2e(Mai74$B5ml8AyB(Tbl*g0@2f^i3C_cLC-LM|o4A&onnUr`6MQXZr3R_NHmcG5x0{(~ z7*)gavfFzOFV5O^h6N5!5MZg7-%Us-=zFE{IxCkS7J_%+3v`$Pw3yOwpdya~GSvCh z4IjTx6-j?f`vm(T>fL1GAev$xV;|ryK5w9z{2Y{1N(C&JKM;hlut~eGyfc#cP$?X$ z#AaY(5OX?sB#@u`t4+Z7yoyUOX~R|*r)CUfV(E#Z(*nE zOwJ&2s&&=WEI_sQaNW6~;8Hp9;`)%s$b6D2Ta*1UN!5WE;{mgkpwt8ZgscTL6?K

    @TDJ=Oe?9@T`Lp>F@11%ay2lzXQ`i!xu*zt z1V-9`BsH|p%PA~hIKf48GVNNbAc8Q+5sU-Xdm4(Xz(9XIhr*wZiuf`>?1Ihk=U(qi z6~4~v?Bfq!_QYsC*5gLrm-1cuuZ4?jM#`kPGiOGrfj?W*@1z^6hO+rqvap`1yd+P0 zrEZv`R&R8Z{3)3nG&qO3t!|Z^#8OU9R^Cd(UJiER8+>|P_L=ki?|h&BB?rM7rgrf# zh#7o5Jqz=AtrVID8tIdGda!su17M}bR94%`i(Jpq|B4fB)U1qzC~N($aWI|av@TUFAYJ`x6gK~N1eoCB8r=SzYK zmuUJ7aoC^dmwAldTM>}Kj4SdH@`5+PgCJhfox9{P|Cs^Vc#iQ+2Lw@OFE9Wy5XQm` zRdRJ7P+cCT(KDnm@I&7zc&`x2?@q|9T`AJy{N&|f_((VNvLIfvqan-|M6W=&5|n;k z-4I1{G97z1s(601+P@aTM>A8RYFuR+&xM7-Cvw6rVLqpT(;H^odeVb>(tY}m79jJL zQ_UthMsG5XVDo-aL0G-RAbCAO##Mv?1YIy+2cqzzhnG0m#js7)oA?K_?C}CYU zErW=aDs_u-M_1TS;2(7sdLLa8p9Df{utBUjE%3wF+kdcK>(_ANg?dh5_*XsAX-9$D zqd<=a=WPwJ(LCxo?b?ja;9px-LkD2Bd+s`ke^P}RQ9457AVr#0A!`^Ssno&U2aXMj zF{?4)n|vyeIuF=fb-Jmbi9OH%FV@NVzPv!Q>JHW1T2Cu+%;oYfF23ecZl1b)@W8dP z6eRh~8~5<5R`!af&@aU|?j>LDdJc9LDu#>4m+oVxCDjO z7-Z$K5^i-H?_0>LU6rPJqpX|D`q}9b9Dwf*@sowk2W=vZDPTkTI#2t|K}vA z_V#LnYrHi`=Hw?<$OpeJvE#LjL>U`C$=nmb{b4h!28uDlJwk1t6L z=((tYOfr0IgeOF9&f>)$GV1&!eqI91l7`64*)+Flf(eTr1RR{e#R`4?_W!gpckgKj+x@mF2VBuf$rwQ;RM?>7*3SNYF?N8eI`jyQ?XUuHGXH;Ii=nJryW+8`43VC34a ztU(Z^9ZblAvj}e9Mdh5bX;!t!S8(1a6?SzQ z+Bq6hcgf|$144T}=`E~D$8{<81{#8kE+4aa+yYh^+; z_4biTNrdbul9Wk#d*Tm(-AfHZej9UJ|A&68 zl8+WmaYcie^6f|^1nY!FL4zX8+&up=$sZBF*(6^uePZNkcpl6nhX|leWw&IC^osSpj-Hx zj5Ht5o+alkDhGS`2w8sZQ>s%8O}f2#+g3DEF&Z@~Pzf5@rL_B3_vJXd(Cgoo^9dg~ zNHNhAv7!&gg)Gx+0K#X!ADgvtuExeqP%@l@bKpy!=m4nsaN|%7i;Xpl|KC|E+uZ^! z346$O?Z^T(c=W6bBAKvTS_YNUeShtqt=Pu04Z##j>+4;Qgimw>U`iKNS-M@Mf0$#} z8FJgJ+(*q*lHap?bv$7LcFMo4D_u-HV+^4EP<>xrE-;)T{F*KS=vd#PPUG|4sY{g) z#@X)LplSDNILC9zotM*(aUeQB_CeQwP@m zN~+hJyU1;RHL{>KwW21Q^vdE?tg*`%dz243e|XoZb9#pyadi=t5oQ~Jw@~r8B$i7a z{fC~CO-H<*I8QE*X!m@ZCI`Cgy<*kNXFU`8JxeZ`p8s%T)DrW>*xU2s%6)Ce>m);IU?02#kEeatDP8B2Fa7po{*6TC_aApB z;5ITumS6^)L=LFXRxEwgajVPW0cB(k|6ikH2FWIg7d+~Lw2a0RCygR@Pe8N@`BZD_ zL!)v^+CU?xkXl6)BR}E<#U1wO9|F#s%swg=iwOI_ z++3XS`h?I~mB>wiXc$^hMZBXOyNmq!%W`VgrQ6G?c4m_WfvNa(r@cp>hgeDM5c_(B zchB(L&;le?n+h@M<>c&a-Td}?;?&RHxP3u9X>izk!^hLZOoQ)Do$_1Xr#X1+`2UqRUGYfUoC zV-*YlbgrB)g~#7~R=Oyf?AyEKv?NnHM7QjhN^-&G5BUov<=y~&7fIM);ttc6RmL-1 z#N&Y2*R)1JCdu72g>9qfo~Eq+k5Oe ze5d9_-NqZ1pu9&W&l5}#vyX(&P0c-~8ggrF0R;xabh7zMBYHrcsMX7^ zckwy$jcR zHbGI~;+9pBcZr%a9+Nx$mz67|>KSs!e(aztPVX!KAfDm%X{Fl*1={B8%*vLmJRLY+ z8F~-`+ov4MRuOc?8CQ&UzdLDq7IE>BsW4Cx=dGE5CvJpYunt$P@#nW;(^Oz~_SCV9 zfVebYs&NM+-E_-PUUqu$MIy$01UHolUF1Q&Dur$HdBTh9l_D7>v{1~lJ{?a(39#TD za3r#9`rhZdUcu+Fdq0{sfK5=YVAe)8*(k@V&#jLMY3(2G{K>&zrCD_i}xGy z&ai*J{N?89eKEGN@<3eLF&ac+V+V<*EveaJXUq3rQ0du|T|HroN*Y1#))HAat9HM7 zXv!L#H;^1#TVfx! zaQVcH4X;{NA2`~2dQe&HMH9$)cY)o`=D=)l*3q?%Kccj8IW+9x)NO8~eahNAkgk-H z38OgjIq$h|IoADqe?-3Coid@Sdk?wNA$T4#zW6bNX_@bsvG(60jUOHdG7`ocyMO2S zem;&0INa~X-V_~arbi}*j&h$&mnQMcsKRRVAunFuMDKJsqG@@jxN{|E12F^G(n zb33bjCHrW}Hf+kQsIo2;8?M-fu;0tQD?V!Dkt&UGn04E3m6bqT4efnuTu)fKr2H)m z?`2=B(VudlKzh2bBu$wN#ee$>s>~SaCHJ7+7OqngjdHa=IbG6*TqjL!{^{q~^))e| zwB5%`LvC^7e4)hz9IqR(gq2yb<_T`id%sWS!2<(lmkF*vOij-#F`X^` zq>T${*Smmr^+<5(UC{$q;pMOBZ%*eph~sM6#eMZMqwHay91jEdC49u>nb-cDd8hur z@?UUX+qSeJ!@Xx=N^Dzx$G$enGan{L{#|e3EI9f<5CvN=PZ_v1utsOx0ZiXFnZ0ky z|)DA53J9XcrQr`knvZ+3!+<5I(AM!F8Fy&Mb3d|H3@xm zgn#%y*n8`sHs3DpGf0cHK!H*yP$;DoDefMuP~3|{f#U8~q{WLCEgIalxQ60V+%-_# z-4YV^?(;mqci(sBmED=0o!!~J{{V)`BsV12b)EA)pYJ);(}K=-oe;emsaN7khNl2v z>%sQ>M zzU4hfj*W=xU4Fo}0WtsjlKRE{kwrvdPap3dmR`Jo3#jCgBdmk?q&pM$x zAi4pZ+2O!x-l^8j^Evzvk$yqLrp5b8O>eneZ@As_A7b7rzcZnMzP0X8k4i-lfpcSM z;!gU6;y$)O*g$L*2Y?%7shzLMF?(o3D*?$cCEA~m!_{k9=OsT-HVCXr04!8_OLYe) zc!=N6C+xqDJ|0wkfZd6&Blq}4x>gL}N6VE6&gCag4*l~D8=zK2`*{o6-1qaLn1lTt z&POi22f;pcfy0Y8gN4)bq0li7*eSJMzPQzBcL*1$lWTfFM-tiKJACL9rR}n z9H@yS!BXsBV2vQqUwY)Gt`Ns-hwljO3?dKKY6W6~wz_0V>K_p}BqOCV)=K4^7l>8- zg@HtHeT1HVZ+!C+7khZGx05`8+lHV~=QIYr{Y#O2eugLZKn*%u+`_bI(_D1SgT)56 z5^S0nJI45%N603rkPIb;HUNqT&UE?GG%27nqo*g=yq3=pRa$m$MGlUn5*q~*=u&=c zZ=&1gn@}a3@epNK7*3h)0KH_;T4F2=ch-BiuwP?Smtpkyqhr9`>EgZPWvJqbOLriI z)-sDCcE~f%`NPm31mbmcoPry?F}7ZLfz_c@q(+)@249@L;%_}8Y+6#VG`%M!+jr}O z8S5G2wT*JX{;Rng=eaKS$H7@{^U=7Q3~#P<<>u6}rT-Sdi~n83_-b|m-7DDBvl}t; zhfz=vKO-f62>R=5m@Oz<3VIp#0cfo3GOq={I%2mt8f=x%AO8-Q9TiE)Br2R{NM`i@<{jQUyUzenf8m{s%u^8({evAd~vZ_f`BS_SuQXD)$gLaIKr%V51v|? zqzSz5mZw*Q6fb}xg{V%ws0iIrum#mCM!8B&v<67vtN#g2=5XgF8qLQX{trDy@L$nm z@F+IW#ebJJQ@rXmh@RYKe9K2iKRx`1G$IH<(2~!FCe+uBGDP9SUa-rZRC4<Utnm>&|7P&3O=cJm(hWNQLykPjF#7a;Lh=M6zqHbP?19)u6IBQWXFH6 zR532$EMB6tG)z!1ww_a!a zqX0LoN8870Kw^=uMPMT+Vsw8>MKTDC|Dz1{*>A|xuLIoLgS;_81ctBh?Q8^_)2|lh za;P*IDn)8S%G+jHFYAaCNyE7 zkh7Ugr0TQfN5r9^0HDmT!N7Ht?t57|aP>7~K`DL@%%Ww^$~^RAx4fxrUo@A)85&kw z4nXUmSm`Sm{WHMIVWu2^Ugfuh z0XV|_Dm+!G-hPb$|HpI zJ>Q>--${K}J>4`vq-bNPSK|>;k2dSj#SEJ4*Rj*Vd{^M%&FwV9^7^60jZ@@)-@827 zxF8Q{$M4J)1cz=a7383ifA0mR02aZy3Cw1g0;dD2uRiIo-sCPSsWKY?w9f);`osVw zOO^`sw>a@`gySgzy&3vs0ukh(A2t4M&2~%(t$O5Uhp|}-hegj^A-I2l1-_bLK_2Pu zCERUcWJfty8Lw1|9>5fDwtv;797S<)?&08mIOV9Iy5qlT|)j1NGGYP{weFqJj{e6w>Ld+3M%?SF=-*;BS) zf-uDakm1Jk91SSz(Def)AD_0MZ?F%+b*eY4%Fa%TBm1rM;;>o#>pGq2p;g0( z)cr}xT9Qw;g}MnzeDHesLV2Q^B-vC*`k-0cEoW+pZT#N<(!f4u=upw>MSQ}O`I}37 zhKBsB3v0kHp+9qSzw&<>!{!yvl4Q)l2GV;L1VjSl#lZ1F39|uwt5Ge6E)>cDj#W*R z>X;hs7qa@w4et5DMD+fVq<1HlUl1-y^dF?=U)oy;#>b|%XhpPE

      Og0-(}uhZIP zWLTSw9Ovsq=$>Gb6wj?m3>w%pRei5YM3BZ3S?j_gF0v|9xkR63QAP6~A zvya%F`v&i9{{Hk|4T4rr2uKx&x>8_RRo~AdtX$BUG4%Jf|Dn` z`={;bIYy0pYM<>FRPUyFPPoi`Mvva41#!Rp^3uIkXuWj=Y`tF4Zm4jtUZpAP5N>0;wKH}Nd~Ozyh_05`XI$;B;9BGh=q}G zJv2Aac8o=p&(1BBkVwrw%hwI`9Z9!wt%M%W@P%3`o*@8s^8Fp^x678J!V}uO&RMq- zKgYP({owUj9B!iF_@(>>^-x=>i$~J$(E>~vK~;x8sA~Z-S#fm8t*tUDkD{2K5_~uc z&d?kE6|$btSE4{QulBwqEtSUaClf?j#f#s>2bdhW*06;(#6TP(;Ul(0`r?FbkjfX5 zMt=58YkQ;zr9VP4Tj_XI>7TFCZw~WyQ!k%5 zFf+wEWYfiCMvj%u<-{ z$@;~j(f5HoEkjXe))}DJSQZj-8khLx<4)h?c&Jy9mK!JdQFI+mb_~itouP#r;n|TAbzU67()9! zRywf0{TE5}Tp0YF)W5C~y=MCxHF|oqj^|m0m&!k8z(5ZkG+?5kSb#YNG6A!%tm0#w zp`{p;ST*dZ+}m9u=ofZWxyA-}|45ddI$&zrwP0W)++A zHtpfqjlCFM;??qd!i@{tPr-~i>wwqPj2Gm&W}Ms8f3d$#@KIGi(pLw zQ{8=!8zYPw`>JaTKi7EO===RD6SPY&bZvvB&VJrukzt4mnqc6wm?`sL(5h3<5R_Jl z=H8eZ+TsbkYyroSPyCT?3nC;^o`0jf>m5+g=X^B9j+oCzE}K5ac>KGIdh_~+&7(m! zRrp&8BsQ@oW&I_>Y8_gR>PMl!d@4QkL+k5Rj@YuX3`+UaEo^HoCTLsAqdKGaS53C> zX&2gwskLx9(oGNw5iq!m?Y*J+v{g2#uQe3BNA`w)KLum;W*+GI<+*<=Q-0U!@OA(* zoyaaO8)iodSa47191pC9rMs1%-WIy-&l|OA*$-Na=z2FlK(U-Vc3*|%299(ZDM~K7 zb&_E(k&YwyazubW>Udj(%8ss29MQWRmFJ};jCTU4KCOuD9E%}qhbh){t?RDMoYfBe zx53yT1U}`x&(JR!s#Yq{)3bsXMEuba5j@>JZHLJC08uBQ$N*nUpPN$_$Qv&IVHNCCv9VK-wT6ayfy;&&&b_aB%I2j#OEQfd& z(eVR$pxBHQ>u@gH6?e<2{&p;U$PfQ@X3%>%?%4(M(h@u8lusA^euyjT${L4 zeNLY@jf8G>AcRPH)eGQejC@c^HL?UC^*1rvr?XdfLeUDT{ACz3nfE6=tFGP_N)$pa z3)&#Z=2mZm_+xamlI(HCxV&j=hq|wR=k;9}@JkJ*`|4|K@$^9ovS^OSv}H#BUNRf4 z`TNge-oBRls^nBwQ4Bf69ZSUbZ*D41zM3ET_M88*E7|?tQ!k}U8E0pp(rwoJt1?Q} z5ix;mU|aT|cz$E8yxGZ;4;q`yWBSRnYoR@|h0|LS937(|8=dBJKQ&4!VjdphwY514 z@wz?FSx-dYT<)=9RIba0l^3D!)mCRt;5Fv$;;5G>3A`(EaC27Px{v%}$e zdhX1-QLr?v=IfE51a&hiWn@wFWrBWXV-l1nzy+o}or&hBE7I>dKYoYUlMN;WX%E^g zau}KaX2)-?+~lGP5g&5GjWz>y2_#h*B0mBq7kylIO8#ebr1jsfW`c)J(Ul}BEF|q0 z^Z+q`bksj@S#f*8G%qsN#!6YSh9@1-ZB&TD{D_WU(&Q+wa>j+(MHeI-;+%ookFWfz z%MquKds#j5o?32UhCnV{>@Mp<=0b=Gr3zO?p@X7L`J)q4INfw6|42ihS~KGayd6o* zD7yLe{yEL08kJ4_4;&8EL$&bF`rvX{3qStoi4UWPTfYtsp9PK)>AKAVJ}}1g%_^`g z#o&)U3gmFBx( zg8uiAdRj}$(GG7euhiTgDIUp?^s=UW0OmWmf1FS4X5`udB|Jm}`CqPNA<3i%+0!4- z;RfXAhft005%vQo)_A2m5AQ!Lzzji&K?Jt!lQ+2|pQfyMN%5>L8h~Q;w!&`py;Rc44a+6Vc#O&YIguBnB^$6d{(IbYsI7z6VWAG7$@`Sus^QtHV1pgUYRPCNRqOoxAzqI)O4`bsa_-?eA)GeZ)r2 zopq_4$Wu3b_~6~*A#05++kdsfdLy(VXu_Fg8?lwk(L9 zk;`31XT6vB60dzM*@sD%k~+Z^UVd>Ycsb0~>woEg&iZ1!Fslk(GtybJ8P|XEDz#G; zt=GZe?#J7{T(l+Jk-7+#&ItF42e^BZZD%z)@#QOL5k( zs6(bSXo?VcOo;4-WgjUdjk0T_u$DI7*oBMad?R0lkbi zL93M_WY)}U3~W#h*$lxEUlWqel8ql(E&Q{-pGly1@qL;XK8FRQi+=C)1Srn9Z@-#) zLeuN798;2uUbq;5AMB!E zWi3=%A|tG+8MPAE$M|XsL!2S5&+hW%nw~n0bREvp#`3AabIYkURsy!(tZKAGt}N=l zq6x)6YTGDn&I)gp7c&z=BV-)1TpL0wI~%f1D&%WY&(|^TUS7eTp-8kyomZE z{-5@ynQg!7HcB;#;>ZMx8itQP>RfesP=CG(PrH$|JlJ>}3z)#@byQyS> zA>jGvpx!7d71!3Y<8mxE3ZbxMVEAfy#I4VMc6Ok*&L5M{sI0nzY@25>xnk2?pmc`f zOzz9w%66kkDv_T24}Yg2TDgrLg&TXlBs;^BK#5_4Bxn|>v4a`NL2^UZ&-{M2p5>Ld z=D43~`5QXTtJLYDVc*BS<|y*-jTz#dr_kpfzN@w_TwJFZtF$Pb?VaCRq@EE$PFOJe zz^VP-7>VAsP|s2esyY8`Mg_hbn(%pjM!UM`zI6=tG?`h}BA|{FuoUY>L?i`+{}2R~ z@!;9TRDoUdHXQvJ-;ED_u>x#~JSJ7ubiI7O!9JVG5mtRiO;un;% zCQ^{3ew`qVGT0tBI`jdX?*>S{aG?AQF0RM!f1pBVu)(bL2VkAjH$*)W+!#z&>vq&MUo+ zU0bYAcMm8Di$t_rZs{H;zjtLcS9bu7xk5TZTCWVKt6Wub6uI$y8cqAMN?VMcIVVh) zrG*Z;HUBPdFL|-1V@kMBpCf{PN~{B3I3`@D&Zq9ePJmtr@CoAk+Zcd>!#sS!gi`oX za*0zhJbexaRCF_bk=`BB>ZWJ3{Udg2Y?*%pZH)(-v3ZUEgacnFpvJMO#!`T0lIaiD zzPC}|qwljloO@H_nQ=U5i>hi?-1uGrMz5m2>G{sohG0OZ%Y478q`KMA>`A6U47hB#$nbPz8BfsZ^&S)Yp`oRpZW@_A)g?Xo3AIf*N_W(^Shk5Y;gP@{C z@xX#xmD`4JqvswIIB-Ug&1S@^yWS*UvS*M}D9H zapa_t*HQFyzR2Plo)OUqWsE6TL#b^MLOt->5YtwEZ6VEWP*FU%^75zKx-u@`YEY6tXc*-~(3CD38{w@fHGiWuZgq^Z(q*E5eTvzNxQ^0?5`K5af4}a8arfHLOnSV` zhsU(tGMo6olOM&^8CXSzg}o=us(Osg^K916pMp%zMy zV*ZCB{M{oeQD1@Y?p)TNU@mrF!~S*k2P*~Y`EqZ3 z%_o8?`2HhX{5uo-&(}OU!Vor`$I9X1yWZ+jLD~9=MW(?c;Z(2z10D*9$nADnd?}S9 z>2_w5#P>&EN4`O7%I_tr&uv{$u)_L`7>vH5gRG%bZPemWlh1mm~sYBSJ#;A0r&@p7xm4C`UbAY zVN>C4zb*PU{8j4CGCvTxEs*(+DC{@)IRUc{;bw-G2G@<*$989PlpyRGYPXI1_dYhV z`8vsNl9ThBl-tbuTcu0A6KGZn%91d>_xZ6%8ku6+dznGa9uAuQg+8E%Pu*@jT8CEC zvg(O?XZN+k9wrDS~O~2XwX&+18i~*8IWZZ(`Hu#PT?{nm5%#;#)@-RSs zm>Cbi2%D=KU`vmlH8Z5=GQ;g3DYH%oyvDwyW}PF%_- zCz?74rg{p~IrYk(n|~nHs{CLlfxouGC;e22J^kfV4j`sphf!51r}_?_X~~JB@4s8f#J23MT(Z1d3muN83!|kW(Vh0-HFYh=6vjR z@hd;acn(9oDwJjEIQN2{4GdAuYLmK@zFWGoSan}>Z;mS?)X&|vw5Xj*Vk`erW;0TE|Zp{*(MDCQ5FkBr!g zJ)#BMVCypVVt{4;zE%Y==-hOw`&mI+1FxhgzzopbKlhRA=jb&xcrUI=zlB{FgDjqf z7LHw|=2TterYDZ%z$BvRwj!vA`yDbK46+>&moC$zCM=Yb$(w;NX8IW3!3p2Q-;n)s zH_3SUTdiH}5ctTPeNcS@MzO4>f_I85V3%K*3oOpl2(3vHLsbt6?}1n>nijS`?2kJ~ zY=;O&H;bXY4~@;8;=e#k*Wf?%uRTV*zWPHil!c{9l@DHki*MqA{@#!RB{^&O5ck@w zQu6G|>Ru)}b~&^cMI`?78hFmb$mzZSlcA!}D~@#RmKhs?CrENM#Y{+<_v* zR;U|W+v#P_1A^IkN??Ca)?W&JEm$t&dd0w6muW*B@UJky}c*0wgNV-HujIcGKHba(#QaEAQ#HFxore(Kds z2(euq3)prze0FQLv6ILn7WK*J*0?lc9f+%MN5h`BNjU44@<%VW+|N0@txx(ewdAp# zh)yS~X*((NBi8pibdM?-kc(TTsL~D@4Pe$c6Y9<4Df}9V!bBi$kvG=f3LBd%XTuAI z>YoZ$Kp%HJY}}5PK6af}m3*kGg`5f{$dXpm5P;sh4#3l&^TX#KCo|mATkDsgM`ABB zXZ6)1UwG*o4eC%?GjH;LfVc&|GG+&1?ms&8g6K*^mppg&1Ihz(1Cy#N*VNoD_X^he zOHqw6{>GGd)bH+I@@@bM_RV%f61#Y4{VdPjZ#jb*4ToD;LLrdt=jv;gY((6qu$64c zr^_UBw0r9(E0mZ3k$E0m12mSW><;NCNy;th1nwb)BhXnhBt4OgX4*n|yRiEwuuW$> ze7%xb)&D0r}HG0A+bX=lL*kxI)OZ5H>o{_zsmG38;hmF_fDOl0;L#jfIm zomzNZ5+_Xt2U-SD_BG=AU1l!fFtd!)?blemuU%I0qtUEpX!<93DFqBrpQlTyqbZO% zQt@FWkgzN49s&vQAP{*Q<;Y9ylAa{f-qS?pLT7%54Y%j7{{|^-hm{NTJky0qrtKm-n%b>Y|Fwu-`?BT7)d6v#hcuUGY)faBBM} z!~!GD9jfj8g^nh+aq@B2NJrG0l_t+|{d&_yeI61!j;#o$6noTqahjme@{4Qs=9{+& z!$o<_SC`A5l{cif>F-pvS06ik~bulJ3qdXY_2oi;|em=&!2 zg6C9Wnh}&Bzs*1ye1Hc@-Y@1iKLv&D`paCVHr4jYm8|DZ|Em@?K10Cd00PlW*8aX@ zVPs%70><^oE5n{8b?ZfHDUhX(*HD0dH~RgqggO)#+Z+^s$l55KJ!mrPy=fO%2Ksc* z&niI=rvZuV^w~OfsfQnGc(0V+fZM8*JrQ?aa)+$tiVBI00h%i3WflGTj#YsA`FUty z51tHZDz}J{N;Pgr8ZX222)tTRR8}}ON6k!h0``+LfsaJtn}|_}DkETox(fM?^)@U`C!G}wjIua)_DHC*gv?FKaFjdmnn z)RCTrQvZm;gMb$MCX?yZWs*dG zkajmZ=GK)g`mNaM!NjmNO8I#>{Vqw*WqTR_a946c+YdeN*E$a4gZC|U2WKnQ^Uv@= zpZ$kk62;t6xRnbvqCAmzr8N-L{?y6ltWRk=+FtHH{3BUwOZ81lYy7oQI@3qBu$)rTy}>3oyQm&F`Fmc{suSGVzZqKTrDFoJ__^3c&Mi&Q z@AhM2tu;gl>@{e;6X7hakqgNc6MhlP5m>GSJ{N=zy! ziCcpkCfzUc353U!0W9E8U~?*tn6iPIZI=2-uW6me-&#!uCGz4uYbjz(ifX>sVn|hB zH~zAJrfEOBy;O9}HL3oy-WW!In%wrbYGq01gzK<8iULXGsNeOA?F&YSV*>0sBm<}M zQ?KD#3HHhw# z-aw;)b+`%Hm%%Q=MOs6G!{ot$75I_OwcTRM{mfTtQvaL& zWY}iKV!~{V%%5wp-CRA_ed4w~AH^jMS&ItaCiSo0IVF^=5siCaf5^{iyz~)-jVZb4 zK`P9>uM6_DUm1n~yv)eVP507sPyj95Geb#EEq!v+cRLW`%&C6dwl0@y{9F13=;Xtx z#_Sx&W3FDQR;>&bVM=wfRPtlc zVD}oC(us=&5K%`;Qg`Q*>z91chKHtael`Y*&5CdmA_Gn(CT@|6vFnrpCxyNeax3LL z?_PGGbPFmg7OgHQx=M1G_@pn(q)4V@?QMugNm}&+t=358%yJ*gOgVJDDj)XX51^ZE zvc1{qd@sPNi4pR)#o*I(1UYr+_Mno+o#!B*jkm(<>1UC#)?5`^+Jf521eX5UcT_Az zz`0GQCP`lWjc)UyLH%Pf?GrbWf8~H3& zqYTc}SPJ0G7PQmXrW$8c=zjoGoOD|Zb-b$`24`rF7Pjdv(|-VJB=r{&%x??`!PvBB zz#vN7{-GNRQ0(6dTlc;brB2Ck>oa;17APwq|6RYUMZKE~98hdq?rCD)T-OFFDRg~m z%$re$z@hu{64;)Mb?aS+GSrMwLBds@4t8~npHOHtYv}W-1l-6#iPmD5H>wQ47 z$FuTnxZdDc52*4Ql3G!EQg4=DCfWT2pdz1v$u$|71mRbCCASJVkGsqS{TcxTNiYT_ z-BcQ2sw3FpPuqu?5}=;*Gib=eHmQ{T`@R4XC9w&*5+d^*ORyp>BLh@wmrZPn;9GQ$ zu?>`u{%ied%mnqg5h!bqcL@+onzvM&1Z0eN>0_w-V-C`Zh4SGEVW)snz`K)Flvdx| zg^k0=H`Xo~(2pWI^P&GDo6td!Bm4AU6A&|spd^&U-Ppw^ZW$%O1jG&RHU3ZNQBvox zJ&LcUXebDgUkTNK{~j*LR%i?B5Wr|B&z*rGhP1oVdpLc{h;!xb8JDUx{>^HD)U1CR zw^v{u1}PidCf1;eo}*%nm%b_Y>fjs<${wS7a{UhLF5;&QY`Y+&wFlT;oFo7*t|CL! z8sTEmMb_CvIsd-BLc5lSKpYXTciRilLgX%z!?D z&^c1}IoaOC_V*#Z+P;t)-pggj4!0xrqIWHHlFW2aI)_0u^$42$e`)vd)WE|Z4&5X&lON!KJv!1IiK!%mb{qxWV z*m#S>uQd;`N3DA3O4Q|JIMP+fe#Az^T7d%an(CfwS#edMgLpS+7OEvuG*V7#4@ByMT7!hcwR zs{{vL0>}{C56!};^Ms0Pz4KIpwoUB(?<(Y*Tfb8;l@TTGfX0G7_&p@ud%o4Y%I{i6 zO;UHMD4AX2598tnqXEI#h4x4Wxj9&<_P+W-7YO70MCMjxYvJped;;p53b<2>B-9S+ zw{YW73jPTNVF!rOj4%(7ylt)%4(56J6S+QX>!axgZ&|}zh9uDECHK^ zbD&o@G35))^bYnV_4@BBWP({(DSS`hLMmXpbLqw;-9z7$^rr~eEdhE*J4WCAigPUN zP?g4Ef#%D4W23gDep$9ZjLKug&tn~iRncnVDRtX8jsfb}y%FJ32Cce!T{ahp zc>SNJk;;^~3%bZI_Ua{k0{7>W!ODBj5OZCVg1o)W|04oP8EVg+h5RQ{dPPJ66eRU& zXoy_DnYt;Kn(L!APpm2PmEM5Ci#p$*7y8{y98o*Gb-7_g6S=KB(p5eg0OQ&lHQ!(5 zBa{U(j1G(S8}p|~vTXFfysi0@hlvj&iSk3E6J{K6ayf>;Z*%&Xoj68Y?`{Dt(a^P3 zEvvP~lV!7*_o9VFQw$iQpx%s@%q?OpcUhbrLWJV!*U=#i(TRT?vWswWM#3=a1XP%xOb=KNJZJ>!aC+QV4T!g_Ho8kY$$*weW6{&}6Objy7y-m* zaME>xwJ(UwAEP+(a~W1`*RcU*sKrfNLW|%=S!`NOP-VpF^f?=Uk~uoQgfV6wlwG30 z>`oCHp;Ezw0_4CTedQ7pj5;i|0FBhwsohDYeRkrzyV|H-DRj5c&Fc9=mN&7XwDmIL zK7{L~m5Xp)x1;pEC4q#(Ueyy9Kw40NtNz`mDaNTlCtAmN3o*udkGlo}%0b$?);omd zR9{-ZO#C~22m{LToO=q=86R>J-piuuo1D98udRrG(tCn&AiW1UdO4iOV3ovZFHcu= zsC@`U3Lozm_uu2U)2;`~a%BaT_8!Yvn8;QQJLHmZM!VC%`;}xrZ+I1B*``OtS zcB^G^e$PyPdx`vWOqQA#N5qMvYI}{=k%`;qlr~F|)8zg;e{z3{Zt2EspA(s25fLIGs1>`@s3-VUqv_0L?L3f}fRv2LWQ|6H5p& zr@DhePWSugo6J}s|HEG@rZ_TQw{(VkQ%-;`&7eishY7^MN9(0Gn-JlO9GDGX+uYUL zg~9pQ!U14xC1SI-E_A3ip)~GlYHVfUqc07cMq!i_>2^r^W=HkPU^!1(rbVEHPhL)J z5sNs2BRcH-VhL6cpnXK-)dIn~rS=g873203s-0EpNiL;sDe2 z4w&uP6yaj!@InU5Ab1F;DH^=?BDe~+NFDm(r3t0`s?U6E=+z8>Kka$=zT~nPR7mNZ zC8;7ktvG#J`HMXgvKMxi{5xT@c<%RvAMXbK(1W`iMaTgo?10fgrl}Oj?yMk+A17XM z;tM7Ct4NhuMB_NS#^wfsI;x+_VBPWnGn>c77i?@s(JuZ4aiZKo~T1?+5A6#D$$ij?=a|!qedO}FT7ebYx{kT{2U8D!2&(A zWqKHZ3UA(i^NCEeuwe8Fe^FkZH1KGVu6XFOR^36Y+eg0TRwoe%vh-w}X* z|CzW8(y=iw`*^q{K^3549I24`_Cs$eU%cYMDaa-ulB2z^INzzfYNM`k70Zd{!*7RZ z=wWW47saujCD>yhpu$wT$*@NM?89QYCUtH~5#3B)w$$sf2)jjC#qymqPypi=VY9F@ zLXKSP4API&rG$)z?{&wpk9>u+B`)61wt6%h)ZMtcM`KS>l`Y>9g~-2dep*w^2eNEd z{wQgPs36c5WEg*w8X~e(Z8|o+%=SoN(0XRLYg5o*OT%b7`lPHn?2>pKpy9&Hs1L8h zfTSeG7<14Fo=)*16k1mS0RVO>JAlXumIn;CzDfs}AdNe~{9q!v0^q1F3h%9V>?zLz zPEuhbXFgbAWT7uQbQ+g*YSUw_NKOL*7sh&U|Gvp{w>?Ycfz*IQhGK5=P~i@?@6tWx zqBgo+Uq-EGM8z2tfx0SsU1$(8M}hnor?|3HMa?Ryn$?HGNHq`WW3#4!O#BV%r-b|S z&Gu9j)`GbO#xN65Y@c~r$S_(!LCw^sL%}&gXDaCinKcZcS4WqleolA3HJXpgp%#$E z&*u*OP(U04cn;QM^*8vFW{NhPta2j#!ZBmi2FR@QhbN~-jl#@Y-2~kD_PT=qOWXzR z_P+~*B*i`TfMsaa(+dk`?5fMOEVVbCKYJkQdVFC&3igusoUza^qbc=}Lyi9ga{uB@ z8CD7|%h}9M1ho#s_xAvKb~P+sAu6y~#f-R~kp!_H)@yZkVjX30TK!=M{NK#<*q_e; z6RoPIs!m!QB^C(qYJ9<%=sF8S?QIPG2YDd8g@N|@KJFxe4Y2}jp0TXG(`~xTrKp5n zMmXGplSkVT={oci=zq^IU1Lh;d#{}ByT1B!5gi3(J83MBKlihf)o_8uQZS@AMg*P!7#8tju>a zzR_KU1T!$B%{|FLN&6rL%KY6w{zLRue zpQ<)tV9%zte6rIbrv<2v2Mo*@5>Y*Fi?D|waby!F50~63ScnJ-ZyStDJ&H8X(wR5f zH~!hiUKvF4e`Y+Z>=C~E?qb*J6{QV+Mf#eoVkp(!T3!nHLPxW8@FcF8{mCTfKks?dnyz=y)|IvT-{dIW$%`V*gXvtg1$2BQ~bnp_T=LPQp-eo6WJuk0!hk3=y=l2p%moG!Y(-_N7|f4t=X zyLgH4?_YqIy6tBE-#p(BM`XHYT)av0{fV>Fz<>+{1$D9Pwc5Qv(SNI%VvB0N54WCG zd3j{BhEUCsWxr^9PM>(#`Ymj8)cw8?13oHDfKg%)#_2D;cr0RvvQ6w$fj?OI-cK5_ zGwSh5b@SHpyy|ag-ybZIjBixYUpepEiRv$+l1|(RMNR{PO8ZAS{1BQUABo>9xP22y zdfImRnx(i55?q{dovp+K9UE&3>BmFTBvp~VEO)8Sn!FpnC1KP{pCV_0ICr5$OWel> zU`}Vu6ywvle5ZXVuKb^Yj}#*lTI6SLO5D32wA!n>&;tHOarr9iZD+g3cR{mA zQJ)aR4P+s*6cEnjxp9i@;|1L!IgPPFK)noJ?xWbX1p69qzkgDpHoe?Ftw{W)sXUK>Z?5#7ea>+tEz7Si4rpGUN2z>de|=L4MaPQ`=j zKS$bavd!-F8l`sm&m{YGfMfL~&7|ib@60iZ0rk@ZbK|fc8Zl;OSSbrx`iWA0v{}L* z$R@m*d^I3ssa$&+cm_CDM)^hA*o79yocy!HJR!J_=1!itc9o2{^58+8U1m7Tl(tFx z4#$_f8J(=VKcdwOzJJ(!uUw0>TN<480EnGA*XT$r^JzagLv56K^U)NQ>oZmdk_V^j zF!YMsz|1|k0d>DgXP5T>?aWD9MXLET7M*MrZoH1^8J=fPlZ>35sN;5OsZ@o2{S~}r z&pv~(e!3ta41!B+hZm?qvCpXZ0(UGoqerlqpjQQ(l`jhEOm0W4JyfLfp*3ghFBgI> z&n;?^hQ|JN!=?<#B6rN&wlLJ4mFSFp&hYsYMgmBe@W0r^2_09f7#GFwcD(^X>7IB% zxs(60J|7V?)8s>@2|#v@%w4W-(GNgn6&<;6aWkJoGVR8fnq2*r8^9hE`ZtmOUG=0eSz0cf7Znj}h)LV{^fjG1zP6iz?21+T$gtso~wd*X}eEU5` z0eXzIFCWREu;r)vFpZmZ#pM^ux%-ND0}T|;ssrJ|kZG82lp44ub1cSXe`Q+}K(%<~ z0a!&CExd}V6V|nTXYjrS{Q^BHW2@cvwSJCtUeB(?s7H^+*X?Z&k)NI}O^aSHgRhIC zf}KwMpPmNJ#R3Sn^&;5(E52Q&&5e^7 z6}l+)3n&JNKiY}pZcn6%SX&oh1}#QoEnBkhBuY$Fofk*0KXHfvf+_$O-j-;ADf!^< z!ru^T0wrg{Z`4742{77Ts8n*$1luYb;Gy)}r%F1L=MDz|9yQ4r7fX63zrW9oJOVeR z<=+t*#EEW8hrdznh+%-rJn;W=x1V&)7!rRpami1E$D0n28=)=y$yZb4p(3xR^Rxa` zVLaiCl1h6${zf+Hc^w4=XX-^#-z7;SYjieXJ4V>xKlui5nU9+U^g4`~4;_S0%&Jff zG_(7N6_Z7j`%?ZWu^e$H8(=2QDA*>s`R^394z5eMOx?tJ$c2#FdNG{7p!_ftKyD>+s?H*+dr( zrBkDk+LW!J&%TsBT~~E|g!~*X8@~?zl~Fzy?=cj-RP?jQG;pS-S8n#m!%NU;f+ELr zY?n$~#}E|fdfKKG_lBZw@E7F^S8iNNs9_3IGfEF`3$7w79Vy!##tr$8+RSYu6s9!k zLJ`SaYK7bU3~Z{51Xe-^47+(uQJKYD?>N;M3Ce6>Oe@S$*~>1%ynsw)+s$flK}Z1- z((lDj`ILm!>_x={jd0eoIw9>zgks(QTB2k>^T3-Ckie~GT!xgd$>-ZtbuO=z_f&rq zwq#J)wP~qQD_Rm?i%qv1xhRjSL(wdQjJLZ7$6tU`EiMrHXpjLY3=`)*RIthEYUx$6 zOFT+Py+8H0eJ9Vomss`9HrzxZ0E$A6d4pP-h_$Q=0GQyAGBL6fc36AyY^2Pg!y3#* z1kcm(9?(E;p7A~KZVL~!%H!`(F^50%L#OiX;0qz08pr_!wOFHj)86tmLllffh|hsPs4|Z z+mTH#eaITWXe|(%{=VXS-AyY3*WWLSBxje#j|8~Bh+kM0fPpf5_CTyy4`vb)V2p83=+aGgt zOpe$R?Th6<&(K6%IuCswto>^v1`(mx~$z~g`FV@0!^r=1akuUH?Y2fi)E<;;#m zNiN_Hj;b5UWm1l=2eHScuB;os6qKYTRoQs+=8OGX)oyj5`n0Gt7^*lbL`Nw61g`j5 z=3kn2wk!LqOoMU02feFj$b;@bkt@|BD?%8;*PvCtZqs=hoKWKIgsJ{Fd0Ha+5*B(t z3VnGM4U%yAe0rL#3hO6`$2;SH6wQVUZtvxp%{!giJ*jFu+&iE5Ig8YHjq@h%BM~V< zvkdeHPjEhWsJu$fz6hS!OWEIc9zp*6yqzrMPPAJwYU{B`dq#IDoP9J{DO%VvPu?`k zbLIkhCv@Ph6S~Eea+22Nra&W8(bR%Oe}?0a?ms^f9KnS1zWJ{U8TlxZCQ__Rj5fBg zGvaS)W*(*d{;3Vq9t0mk@u1|L-sA}98N^phrWW%a9mrTENO^Gq<3RT3q9dMK%iGCZ43((tlcLGeT+l<)Z zu)hc~pz z1fe-NF}ak4K5b}>RGos?nSS1eG>!caiw12$t~nM<;niySH*tsz`T@rdt^}3}HO|SSiN`lYReM zScUQ4ll2yNerODhkTXCA*aG?OE_f>J5RD4!0HB;}5K(+R^4;9roxat9ei(K5RX5y> z(mSr4*Zx5JzntE-v}=ogx@&B4>_;F;L_g?)1qsdYKacvhr4F{!m8!LxFVX6ITX9WB zT=?F%`1Q(6Jmj7ahn*qbJ_yCdIDmqqToLWMC1@nZi4ZYso*i=u!^yvjlBa)=Bs{9k;(<*z$mP7^yi-W^& z8;$2&{*sq0Bny>d9FXHv;W6BoQU4bU@ZB<^<><4|uUjk-d$t>pO6ucJXC@%K*}bOl zyn-3oayX1a4A-1eSqmw<=Xb4qWA{(5&3UlIly?LN!CpX$>`EsBhf*;pj+x7GetQpM zpnvWRp4a5i**UoE=_iB(Q)UtcllSQlS+C*6q4|Hcw*No`O}?-x!SMc>;nm)VK=x$zOjq~Zt89F?b4 zZkXrvU(U{*eg>GtraTerjC|*?fxqP=OJw7obhd8nhg3q#?x69?KNU<--)U7vYDPBs z9qUZHvO9_n*$CyL=+D-0&eA%VL=R&Vu!^8VGvjL_|Oq?hFiH zGQHSNhCm7-y?7W8pO%IAD10>0(&m!qBW$v(;9R2o_3wfF?M(e4M?r6F{pAn+rho2e4s}%S%R6_bGtPmT8L=$Vm~3Ts z@jv#G#;+wgBr`DpBr;~EpV3==8!{0d_^$o7shTOHrUMwH6%A!`p+%QrwZ=$#41mn5 zxFAJiE=ft&U}vZEued^6ZX6H!54o}SmdGmY*>+jxt!X4;jJWcYDc4yH_N&(T?Y8@f z6zEg=x_V>zx0BB_cQvv?i6ZIog-=V}m6X7MOnN{$n9?=&`>kHtsa{KylB3s|=+Pq# z5D_oDf0@_FS^m$N*Q7g_B%=H0+}mYUZ3q!BCg=1@<>j!5<+x8+6=bnVy_p}~FBU_7 zcr>xCULsC;U*mv<`7HDnvtQ`b4ZrAc2wnKo%WFTXdD=4FGyeuD0Y6A1+~=r$uXmQ$ zyieF^KUVa7j{7tbqu?tofiJE4vc+bR6C8=KK+ZbWHD6GeM(;Q!Xs>*Hc84V4v#@#`>#w z4<-p@cf*847MS6qGfkRy`4ACX!kjRsCWb#*tRXUZl0O%7@xH)!(m@ zvut+le>km>cK8&VHl=Oxk>7>OXuuNl5}~q9_xEI9p2@Brccp(&J(ccyb|pCGN;BYj z*J#64?Ye`%@^Q%FYdb0NBh@S+we__o5u|g*Y>ct$p%Ix)QO6hU{@U8(j|EIS5(-b1 zRSWXQsNZ`$KP=zJ%knmQKedQ<)LyOcT_wg8%u8PlnX^~=kP{=8!*UoVCUkfV57S!? z>2E;5odA#GQLdKKm}iZ@TF7%!ji>o6QKH45ktW8EhcZWkeS-XzL7T&!U7LrbX2FpW zmR7|j!JJ^EvP+X;)J>-IM04MQ`PqXZH*HN6( zc`!-D<&xRQ5VCu_LHi!Psh_@LomE`LqJBF{?3mQ|a5`tL>sv}!Kgpe#yN>j$VkF@< zNgo3ji9>M>)Pwh%{1R+vBkuzHiq5btHw{GEN*dw@n6$B{dcteIS<2K#7*Ap?LgTEV z&nB*V_~{#uWM;<9XzClrjtdHT)01(Jr%w=bzZ~8twP)ySK9lvI`OfZT7+m!M-CV-A zTt#x5h0W~0Y*kIc1K`!i?w&z6!Y@yJqF(h9!{TnOe$Ko;_*sEetA zbxuG8>hjHNi=0%&d`Ijl{78h(?x(HspOVCWlj*$i&aXn$9x=fCS)&quZp82ZD9hsF zizjU5!fbyK{XB}6jkT!2Dh_#q(93G@*e=(8qB72ja9kBV_Br#wN@hnnO`#h!)n36z z=&KshYr)1+9(OlFnxr)I2L=LSKB|Gm(Mta+sR`z_~6{)=4%5Zc6u*)ob z7aCpV+yXF&$&3PPAcA5@bxYov1=Z3&+deLLVgaGr&2fn-3j49x@_dfr4e<@M$n3;w z0w=k0X?fFT^LPM_I=AGS*paA=-0Bz&UwVNJprE;l5KGwhA~Om%hWS?PoKvpvp;9uBM);5x*m> z3EsDNONZdp0V`e56h$%hq7Fr?y&L?}X#yuT4A?}0rANb$vM6HA^?LDB8lLB{FRA}? z#9XCCj%8H{mt2{(w_3wR_2gPJ&mIb>?K(2ewDo(j&W213-@Xw1YbLY3T#PRr(keg| zgI{Z*!oDhI%6Tbo*12R9^u*rKN6(_!RoZf6heI#Km>fDvw8|MPJZ*3oBbG{5>|Cw? zP@>|&GUYi;K6lx4&3Z}?j1$2HlVxuFU7asn*M2;G|NVBm8WlB!im+ER3#&Y|J2mxh%OEwfh=B!RhpzCIg{XhCV|IVJ`a1Xj3-on`6?E=05kSXZSL??4e5TNM38= zt2>SZyJ8AH`Df26C?U-^XVIga5o#kt8bN+L^Hoo}iW{|6|5D2P*oYvq|A9YKs_zy5xfD@rw`j8b9!2V7GspYrGui-||>=TUofk z=hlfcVQIGi;IfK&dgC&&O!fDye(-^z|wEk{nNCk4Xo3@K0 zPwL{kyzV(Y|9F-|WWWWf3%g8TSdo$%8sQ;^>`{mFY*$HHDQwHSm5Ci3`}nlFK;GM# zJb;|M7(!aT$dq?6yS($^lY)Zf+s6?7WRm^c14RYGTUitLJ}tqyAFNZ=-Jy>P9}nJQ zdihIRy`#i=xRH|{57%G9cw~C9h+HOHEl@-;=FkW{LIE^x!iq86fsGMcYQ0$I$0V?k zP5Q}{I*0u%w%wT6vKQXwx7aG_qV7APV?)0)XmqND;@{k5BE@Z}n6+I&ci(In-A*FV z9y1FbcWo-ccbvLm((k$R96Dy_8E9e=oBGvsz(gnq5_+n&3mnt5dT)>Ot<0t>P+RV} zS1liKy2KkTZamD9=Q~gCBs_j_vKC zZY?vTCe?MDVQUReea{#)k6y!eQBzH8udAhhDpvfc!}85MCKuQ!*}d@1zyj`s?#=m? z4L1#D-JJ(~ewyv7SL(ObDwFpFw-cGZk=>2{SVDI}4n8%Dpep^@KP4U8)PrxH$w)5ad7_BMtUI5A6Na$OI{=?mIGs*qO}yTq#tZS3z=F8zhq^ag zLvA%FDvjlK+73<|JHze?V}3Li;!s^utMHY`Mm^C&|2!Fd8=B=^S<7C86~Gd4bEA~W zKk}<8L206FM_8}KhAY;^1*a|rhxX$Wfz!l37|jUO^Cfym4%X|n@Q1Up6Sli+*D&|g zk*OO`Wi~e9EfKR|yz}BbF?OL=X9Y!uR+`sB()KG78k+z!ko`%3uz^RnV6`u?U6Li$ zsOLobqvIpr?Zu9}{7E~8ydEi@Lu_3re)-qYYJfuTqAtkWn|mmL8pS(w{W-&AI!KKd$bQ+d@jFbpW#3>B*KPB7{FA!l_=rh)Z$3vFsHNVU+K;<^_R!V zR+D?%HpG4z;qUcLivzt;8NYwIM2XrSdT?&Qw=4Z91&relnRe_Hx3216j@ZGp&33>b z(BhjXjzL2LSNaQ|5CYoJ1vjR$WktBhI`pDi1r%8xUV0HI95yJhyNAGfkcjGTskmSuHCS~?x;rH|7AwBM|1PSyeZ%}Zz45{2) z{hU)-%KCf5;>zOQ_OI$?T>FePr@X^BpZHko#GqI_8s8okcC7|lwvfIhUaAxIz=E_o z&hLpMwPSl_xi@824Ui(HuSRyW(K)MVYt^61w1xeNY7J+&2^zl%J!3iZ4X^y@>~h68 z$RL>bfxFM4LrJgHECx;Ii9O68wF@gmzkgCyT5e>yW7$y`q45ekl(IZ#`m0ZRLY5Gt zPQx0m<`kFBpZ8!c^8UnEm_q#;vZJdn4JM=*T^SArRL8#ArL2@=2yEC+HR;T{2eZ0! z1$-k17T@5QE-dA>>;5IDJ5)i70kO|ddmD5U(s2+qn94g2WcLXkR1$bjPs@< ziQG_g)+GUL1jxb<-?R&JBPBXToX1<(24m2-Yiu8m6hksWsl|%-NKwQ99ebbx?q7RH zE}39qr5keJ)lB}ejI#(zk1B01LkBTK=GIFL?>D8-K-F z#ryrG(%8jU-uV)6mxv)9wH7n;bbdz`p>QN+JlZr)?QJK?5kR+H_o-*^_SLz6mR_VM z=E;ve2lXq)W207LpE)DVmva{r#T<-LD^*zhMMdJ5oxS2K-<5fD`-})C>R+aQ4 z{bm+KDsw?tvEMgf_tN8YAo|DrEA1sQhh|M!QN`{LbLvw@4%I0_NUlqp4@X+t^UpR)ZO7gQhn1s+Qxizn9h!N4EDYQ!PlNb2elPFml(7cv zH#)||;wp9jV66!A^7j-h_35Sw=Ox`cPQg>yEhf^lpR_if5d~nZ&2=r;NjH?{Q*PaAN3DR4)!4YyYGGBC4~4GRJzap zX)&j1=(Qg5Mz&4~qfwS9&$6wpUFu|*>&C(A*3e~V1F;hcAB1bUt2}3(jHb}Zg*%7F zNJ~s)*h{LA6q1{WYL6y`3bN9-=hm!WwG^6-?&J;6>%-X8LPa~$DNW786b0bf(;iHw zZFv|AeLjg=F=Lt{UJuNoinXZPcR9q4rc2pZN%;w9oD!cZsgaUh;*yl_B3{m#=J!N) z#&j$@&a7^~N)OvRI_*kF=AzrzRYN0wWjcnMoK99T z6JKFIO-cP-d9J=)91~CAwOYivw&CmY+p1c_pu+M#=kdrFoD0ItMs$|kM(fQ6FtP_j zO1EI|OxX$^sZ_d5l87@2s_wDoNF+KYsb%PQLfv0x=&uUZ`yC1_)ZSAg%~GId9nHe< zWi+YZ)@igC?z(q+!>;>9Y-AbFM)o!TvaaQ$fJx~rNPQvl`Uys8L@dv#yBp}pK+t?9 zIp-P}itY?kZ@tgh)l9S_?J@qbUzsp!?!y;_o{ZD@u5FU$uKWr}as&2Q+lPb3!oU1%0+BR$WkY=^HT+Onjz=p?zk5hL@G-*>Wo^^mBK1&3! ziDiakqDsk-D|vHmY7#+=^2wsQmK%;lr_ybN;Cm0vduO?oUYjtEtzd$_+-KJ%AQ-`_g_*Op*l_bGH$xN4@`tbZ43uD>|2$*V|LsFGc&~CWYwQa z2rYhLviQj8)DXC@tiD*G@!pGV}4?ZqAMjM&S69ChCPfr>Q{#b{oM z4+H}FT3l^rq2-O-dW7`pk8j>eGVG9G>RG$PDmcGOh)1GFTQ0aHH*5tseEJjeAVrOi z*YB84PSp;0ZJZgj-H+J&;90ak%qx|06oI}hht2P`cjYRCX_d0M&5u|WQLynnS(J22 zJyuD|z{ztWDSyu2r)9~omiz|A^6wYizhA_>Ky{+e*E3+J9lMUj8}F-8xubP^31Y0A zRlJa%IEUc^bt913`RJGBmM^002DPBqX2uVSENGfLe^v1Bh|@2nH|<>O&BjJIIRSU5 zIH*@~6jqf$J}u7Co{QnTzqcc`r21z>9HX{=@ap7CtAHI>Z%V=xXHA450c02_%YS=B z2#Y-60Vy#?)W>@bw##$#(RQmsdzssT^(QkLIq8}=OURJGGTfjFcahk1$oG%;R|`9= z9KJw2tV%WT_2tK^PiKxnBF|z(be1E`uB3$LjCdC{K+*3l5 zY2Ptd?4pDmcIpRoUq6NvM+Q1-jM=y+9ts}LGQoN&kM?fo{d&KJ(RvZ7YP`EC-k|tx z04K&$j8sp~g(^oL8*=?Xc3Fc?$t92k9_xonn74Sp`DD6~Mr`oqd_-V+?*?w#d z`=ZfAO1B6Cn5R^(lbClBONCiL7FfH_LEq&Z_T#0l7?S|s&PB7x(rE_{**acJU#1T) zRa+C6M109P6yqTR((B@E+N?z(xS}gr=tgTaT)gbP{TXKB_c+%G0@0+&110ZpfKc$3 z+lLF;PFZ-jdJX@CGuz%4*{z&Eo*uF$i|b>HMTXL#MZ7FhI-}h%F7{d=l}jXyt%&pe zw8+uCP|j00-m2ST**gplRhb55TXp))i4d=Qrz4vS`pJY~t6n5L8kz16W}aHd)Il1*s?okulRwKs|?}k=g`3 zgBF@_D>nwlAHY>b{`-%sOEfiyIDC6Q9)@sP&R%S=tKaK#H5w^1L(XlU8$g$n1re2A z-A(iGz4+(-9i|;FmXDvY!M(e?`;~U57&gNY)U<3DHZRfE-|K}Uq(mR0v3*}@zn&co zs1aNdyIQXaOIi5e_qNSfD30exNiaor_iwKDy@c>7IsF`_k>#4jzydi_UGff{>!FZ}& zW+_>Cy3EdmVk*8LpzO^+l7=Awvo!6-{ug>$&Cu~7H1NW z9((Jh1a5HUvvx1Yd9`WW{B7371U8mva~ITHhEbgGEZS;~UTgzQS-!JI=u232;leIB zEx^)vP*lM0@#nGZ{g_rLez=}zNo3feAO?g^6YFNRMnNaJEc1(W)=)boF8!8%$98*M zG+A6tZwUem!>K8joFj+xePD+7x7+qFia{qDoP~Zf5Xe-|wnI*?M{fkEH}^PGOe{QeJr6jA)r(Dxx>tzR<$Tsp&q9&)Y;(9q+S z1g(eF=856`WQ?Z<2@j|!`X~8L)eC(3k zg8wfTz|w_UFGrb{Xp;>15`Ya3c%_u5S`V~dP+)}b+K-?<$CyA*8U(f(6Evi0IC3R0 z$Dik;o^O2W?41SYJlpE3PM7;z;cKsyAOnKj*{5CL>TCS!#0v77^K$W|EAXNzSCbGA zP%mfd$4n}o71b*%dFWbE$Kd^)qiLp)f$S)^S@fD;CnuXh$?2x79o~5VR`ewz!mY=1 zoi4!GTufv?W*%+Xo2sWG6O*2I(IQ9jkDo|Grquink$nS^wj#bXWelmW+3wBiZ$epVjpD z7nc|uNIAtS&T&j|`~@3iqg)|yxEFmcxt`3vQ9T*c8_(pc%b>)|is5S}obTTHF~}X) zla<e%0!!b7+PSw@p1_HmZK^1Nr92p0 z&FID2@@rupbO9L^fPA6)wl%yI*bD9~F3}u7+vATnZTy!Y-k{`T4M3?+CR>_KvU zz`;iM-_MI!E5A3a%{j-4%o=lgV}h)#anKg0Y;;y#Ri)GH^#oQ{9K(m36w7vwRHzWg zM9ffA3n)xF6qgGKkRxy0x=MMcM^$;^ z=_FyxIeT^cY7q*?6I-VUQ9>?O_&j&%kNC*X#iuyGcN03PQ=&gUy zmoW_KbAY}~U!yM)LEk`flzm{vw5kuD5Th@V+TyW@$-jJ25K6!og+50>Sf6H;lbr4b z_}M{He5Pl7cW;5A$D+V!{l!DeIp^ zg50Ru(69;5TUfr!Ou5PK7DSK%K9W0XRmz^E5E;UK@A(l2uM8l96y#1-z8O6;2La!6 z@w_c8%ag0%sXWK|XoOmqPt>Y#>@9>f9JMjg_KaEdTYo1pA2fGSoP=$>my!}CBx7{D zeM&}*Yr*r5lA@oxookM`E6Y?37lMtcakVw6SWUNC5a;ml-*?1GLys?T zrrZBo7*ePj7f+(rVyVCtWDGoh*N%I8c$gUC`!n`FZjXOAIAa`IV?=~;Ap}tI9P_30 zq#($`$*>n4qpunKx$DLE3ZgG0$5VX}Z zM0khk-c81h$8RYhuRn3vtet}09m5yDHb^V~E}iCmHB!5+^AzO3d0`r?oX-2+_fN`3 zhdMM={=aVF=5P)6&O(-}1g&9VBag{FXS-Dr)^w)4k2t%VWiM{vZJm4rTYTeILoX-w z@~w=ZmvJl6`Ef4&9x684S@>jZvaF74CdUc80g1Ho_?w4P>#zNOd%sDm^P+y5t-I1U zv!+k}s;P-90OMx#hpFj56M?^du7A=0i{N`(MC2#Ym+e?913r-YC(I}Ca`TpdwdG;#2;38GCPKkE+=N$K1 zxPIv}Q9;_FHa8*7dJ;eF7Rh|{X#ebozl*>Z&vbl|Vln?_VZtdlEN5a zk@EC__3!gkA|?_h4KTUm6gp{4Ju`C49#l5aHlMPqkzvz0iGr}&-cbFF%hvC%{_YjNXqT=Ab-x_b>u?cFwpD64Q| zt67Y%uWmy2AjqZMp`G`=Carb1!VHemGk-&m7Jm1yLv2F@CC-o50f6GZIjHdAvapmt zvaV}jnM31-%jGSghv^g7wq#tEzczX2LJG`Ksy=A^;09(W1;76AG$!EJGHXX^%s*fl z)^5mZqrU-WXp)~%lk76!+yMCwp3n@cRKYlhJI_5S<@|9$M3Oo<89;o)*74I7{s7~< zlPdqi@Cgde`#%n!_+WqeUj(1nM5U7Y>@Nt3^)IUx=BA`c+IHTT;QTY)3|r8NJDkS# z#|=ld+=`7p^xsotS!Y3E{bPl)gs8Z>wnAZuOYfLLTEBFh8bg)SgOzh6w4xW(daE34 z$Z5U>k%s~!Htq$iFjq~Kb(et&C#t5zo=8DrtM=U|SlSjAq%n6EW11*9(pYG-i1TK2 zG)3)iR@s!O0I%F zpNiH<<^JN-H@rmH{n1*>*$-LT_f)PL?WaJ$mm0k~F@vUq?x_8qE8Wy^7X?0A3F4!o*3F#k{O#y( zGu&` zKL#^(4D8g<%51u9i86*=9zj(M#4o-qeZQ^2e?4^wSDLoLl!ZSiVm~>bkLV%A_15Vk z+50}aNe|lh^-(v1=l7T|AN*JHgytPHklH|j_kC+PJ-~Uy0o=D?-roTH5q*YbU!FB) z&?vEli{&QspeQ7x-X_Ya@wHIr5GoVPNWD)TFbZo)BN;Le;fViVw+kT2Z%} z;a{T^T}K01^jm~$XvQp)K=CuMGlndtixmc@U1Yshf3RF39L8pj2ys*-$ko@$^WBw8 zS>$RT+FQ2bN(hgwGa+V>>0)k|ha8OV5eiVRGZR7?UL^_)0e8UF{FNWRd2I78&J0jw zQoHV@=kA}FK8fFxtJVY5M>pCJe1x-3uLFy;hW03?)G6OFFXD~<xT#8lVEXBZkaerWWJ>(m$Yt(1=q)_`PsoI2 zpTcd@@=oi8Y%epcvuASeOOwu2`%QyIM~tR-ynpIcP^%n9iZ!`j6;~E^4b4&}Z>cJC zkk5!l`>043HXyP%z_Onul;FTB6gap11DQZb0c3I}%cB_WvO;+%Wdx!GG!q0&>OprC z!}}#t8x#zuVUfp?$ma3#IuOL>dJmnh&_H2l8>q+m?39yic@j-D(;dJe&)vCUv8c2Z^!3e8E#$ z>(!kSay%RYYN(Eevg*lqQ$2gC?rve;ozq@It1RfzuNxw^b@tDW`|GiLnFQB+8|VVj zWtJ;~=W^9`uu@+M&_SQ%0NrzPZuAP#KgC0THjsc6Xzw1Y#5cJ02Pe53H0m7uZg(<2 zf(2;}gT~)H?EF219O}AI1uYb{-?-S!4Io_O?A-W1!k(tyzH(hcv@JupI7H_*v*u?t z*3y1FAud-5+4zVt;XT51gNc^nduH@Ei2c5Aq;3=SEA>~<+W6HE$>+zEg;>p=l--aN z^Y42`COou_BFJNEQqDqFTps;%q0@wt*+}A#tJUnQA*vB7lQj}z1f2ftCsq)>UNdsQ>r{> zhZno1f)A(m1S_8@*S+3x4{O+HzqHx6XJhAeF1h1zhlv#U1LYbtKO4=}U5pE;7uCp- z3K8FTr9x%c9s?7V$NWKSC|=x*Aav34K~d!_9!P{xW%_X`A(vZ#xe82FaB8~8uiSj3 znS+5g>3&7&m?fm(1FBb+u(}q27Jzo4CE7#SXX9&Jp^5I)G$7rgj})9c*FKb)OgRV# z1Laf26ayW5uAH?E6^%*ygsix-LdShtBo5*jeX~aZiR&|&01oZTSkVM>Ic6(lKFYo+F^+*3N~w$_aX4XP@RZiaftu zX9ihriBkW~4Enk8H#5kYy8WiOi=N^7kCc&tNx+OO@{{eZo(tUNCz$q4dz+Ky%mOll zh%dKl(VsY_lgPTWhXa66b~aAS7{#dG6nMeFnq3Xd+AU|QvdwxU{D$(o8{3RLpH6Ug zt^8smv5#35{Q?6JkjvIf@Rpf-Z>*H3{#~@AR>^NZrHZ#uo_pPd992^t`%Xgcdc^qW zYjWNXo+C)RN;?Rchxq>QhD=~5sX^CW??onwBb@A!m1^OB<&ZF5NHf;C{&?KMJ6^t4 zeL>d+yY8xcG(z{y2b&Ih5)~MZPV-|PlJSAgSqIs3;=jH@V^i3AJzT{UJ}&u|NhFA{b1$V()=M?wv~*6}OWnqEAPt$pbPN+l@95$JWI( z4VQL?ha!*}AsC%edQ>;Gc6Y6=@ge|S>-@)?IzK{AgV{Wz%sDn^{!`571jXdb-Tkg$ zgNj%|ee35yyfjP3qlU&PCZPBAWn*~CvntJy$3Z60ThNCM`b)CcM1|usYi*O~Jy3t5 z<9M!IqNjzN`mKL3-RutWCkR2i?9BMIxly9d!ca)v5EnK$xeWD8C zZn$4V1xox9T0|eu1+JuVIT`Y)uu2=g``Mc(*RFq#jX?J^_4@%!y1qa-3O`y)e)K2? zle+djqIBV>*j_W2q*u?-O~2hWk;Y8=C_r;;Lhg+j{>m(^{aEFj`Z&PZq{x%AQsx>I z+4cPaGn4^05CEM}fbaImk>w$Q(HDeG$sMngH+lB*^i#4cr65sfGNR8~9$2q{KaMPP zYuYWJ&xmT+zz?73o1!nX=!MAt_W+V7;H_E=y>$zTzp%D37;e-059}p9#Cc;bh6)ET z9~G!~pJLwBw&!FKDt{gC=!54e)a@UdwuRwu4?&;z$FR+5)*xOgReyXT>E$N7-;w-Z zk%6-EG*nhJy6kz7hSKNOtenydA&VLShn!}Y zCbKuTcu4nHZfdh3tG=}vOD7?e6!A$RMAKo*2-fn=9AzJKU} zB|NK6o8%M0Z|F5Q<2p5%1pJWBkI2wIn}(40s8vowuQlZ$KWtxboGuCEo3_oZ0KfOc z4eCX^<8|@P4&sJrb0b8F&A4$BbfUs(N!%^8w^PAN3fY~A2dGM{*tE19OznqO+tavmI|}DwmLA%%rQ&J?KeBbQaMEn{zLhEqm|2x8sA* z^4RflFaqwrwgm>4GJR=~I$0Z&z5qllUqnU+2 zYC21NIp-zWNB;zbJ%xDhF|FJjF9DRrI$%>s=uN@V$qlNjff)OoE^n^_n|877Y(a;h zA)k%Fl;!lC`4^Iz4#^V(#m8xWgLZh4Rj<$lk})o2j3!>`$bQ__e4#L`q(jB+uIX%* z{Qq!A5tZQN74QGKi;^S)&4C5P(!m|T4cr@}iA)<(z0@n-tTr83#@yBO{BZPjdxCYm z1bQl5rGTF(9;HX=>h+rX%<&&?$zZ2P%@DThBilK2Up1U-OKBkvwozy~armK!oM!IJ z8%+(-;H|I=v?H4h^n`j2q4_jf=ZfErus)HvLQv+7h!jg|jc2 z;U!ZzqqY#=%4uvt&ny-q7|)x3;Y(Jfx@z zbz)KRibzB>F1E=&R?=Y&qq(SCplDj>q9?5?R;jiKrX#vOZ`2F3(=upi0Bv?nZNq28 z+~yMKczdcqWAcYPK@~X-xni30EQ38T0=0WkS6xjT&(mEyw6KkSQGH=N9zi4T{(2i; z(o5#I*~=U{)z<|ms*cc#uPdXws_|ed#t6C25~V<6g>)?+eV$5rpjAO z+Q+Ml(=01ehB+Zi`R&;;f3+@X{ zFC#SC{C!%mZA76OZV$jn&JhE+*|@=L@3+SMzXL|*uJd|C|Hy7|=bAilM%savd+Xa{x6vFibh#%jbOm1O-Izj0YgE z$DmPb`1I9vUXMA?a@BB>NNkN~{_fk6Gn~k^vr?ziEm?+3K9l{cS8T7sKwghfy>vV` z%|CfP|CJa4c|HGUh!GG~1LZ{K$=b$zdfwi8s$DSWvc96~UXzINdMr5JHu2JOaFGO8 zYxs-iG!*SIiobj_gpxO9R#wk-M*?y61vB=An*OW94Ji_sZY|tdv-KYtI>_vYosUkP zMK?xO`#6KR!)3#GR_1TJnNoB^m=ApKcwM6_oN?dlKs};$_wYjY&aO*q^)utnd(Y?j zR@(^YV`GynZHyB2iqWqpDfdwa$?jo2dc|+|Q6@ex`^EM!hF40eyRq?!a{*FRqK2ak z;zSTjOUmWtJ6?6Zj&kV$mmF?y+V#T>U8 zmvqqFFn&z5dU!F9+=%hU>AvG}8mTAax0<%&>OQId_rkXV3kjucJW+cQGMsFzdTeC+ zI4NH{lK*|#GJjd2GK{6E+~~_(ZWOG3_4mY{gRmCJ334;1B@R2vA0$0X$OOl!=uFNReP`=Ew#q;eZRl`{0O&D9uU{WS5_ zr$w%&aN5s4h{xjTa%0z|F5n*m)TZ~sNZR}G5^u;{`)V`Gnu2ME2QU(-SL?hJ#eae> zbc+5JS?4Dl;J&7xd#GVeH|mhiNCe?CC|Z3|_N?l7gBA>5*b84K-~zz_XdVjAEI_HT zt|NOK?1LARO%(_;{=~6;ppC&boumSqQg_5NF|M0r+70b9Xk$s!!vt`IFJoW5DoWy zPJa~Ay}%}qYi2EMyTcJ^cA(t#YuOMhtp_{# zb_Z-Z9aQR(^ZxxmZ&XV^0IBQaWH)SN**+ydvO1eO^D(1F!Wkv-JaS&m;N&IMXY z-Ks+itf$Z!ViJU{w=}M2ESFXX<~)G&L3JwUh@Cq?%^PZx z26{yw^me;a%0%Yu1_bs{r?~85aZ_-YMNCa|_AU$9w6Gw4Ozeh20KlPE?w_VbM8-$C zqG!hk@0f6JV(&bNIQUK$1q~Y9A-GE*KyYV*yEC{C%CaPJrt_%U#zKNh8HkpO~CjcVE0Ss?mPMFfJc zt_RQVe+S5kF-8RqN#>=rRCrImrhlE!faorL;vBbztatJ6g#`++6zrIY>-=BxI9q=T zs=PX{FT4O(M(2F&T4MO^57gaU`n^gYbW^Naa^aybl*b*Y!usPiYVnaXNRxn5haZOg znjQENXgxE|=pqCBA=+j50nRc$FO~ZXMAGTJVVitX{pKi=AL+A>ku^6Pn^)V5&S;|a&|`l7l9nd*KahlZM^=OSj7Hbjh&=D zD}mz8H)y=#>#&5hN+@do?EwMGJXi4)rt-7O_5ouLBc{HiTj<>35nkqdP0*GljkY6N^4+WQx7|%ONO_V}o+`S}LRk zf<~Pn^FyOMZH7R<_R=XinLAmlVReR!pYq>W9hoUExI5m0-v46={tyIKjkI9DB#jS` zDvj;(Br1at-I=+$djqkPlCNO08Pnpb2$ZS2 z=hAYEwDMF6L<|UTx1SUC{CG{3c|CLtsox&2kYP;%%8pg*(uSEb2Z~kd($Wr{K>E#o zJ0rxE`-wL30}S4aLSJzvf!uMtBBLJO=u(rfIC3F`vR5F6fGRE_sQ;sGaI83P7}2ce%}6j^<0#yv0bjt*}PJDy33x(bYiB? zA0EB4Mbz!l3=#SVjoEYa-+(NZ;mLBjyDqW7%5Y^SlWNm`do0A@YKO0iOTcrG9Ptve zdE5j`CYlxaC?lnM*RP(ub#*9=19~JJS=s3oXHqnKFpX?_Cx1i8+-hv{pTm%8-iDPa zpY!q~t0dl$Vsud2RyW0*^03k$t-Tw&>k@CL-*2N0!+br#*C#s$dPT_d{s&>!v@q{C zK(Y8Qm&LdX-4TJ4TQo5UcbSnQKt9bL7xmmw0xc&F++QY?CXZPFDYb*fk(sy31DgVG zGXogKKT0paFw}ajATY^)waIyxxGRJL>YwcPUskxZ1xQRl9s!CVdal2hk}5iB(+cC6#h$kK&cbNGY2SQH0{`nph#j%MGp&HKXn$bn;RdanDwI zmhWLhsoer_2*~zzUGsMyRcF~UY9!;q750!{hJ5RsLp-e&6XiewF{jzacl|QB09dmt zYN6%vRSBPJj$$1g5RH5WwJIZ4NUlw`_^ylJSK&{(gGvQYY7O*^Ezp8Bdi=z1tHz$E zgMs?)hrVv0n}R&!`yz&+eNTUo*;1iYyQ*hX-TL(2U83p)*?c3HK+J{!-;Wgt>}2Y> zf5Q`Cv{Ld`c1Q|kRhFC5?f{N-ZJyl4z=$GRFtE?S^ohG;I2?SP;cc0aFsFvCFuy}x&@{&zcIKKQab;=ZQ*vXn4>ogHOhK1i$ z_euoYIk>f-ZS%)V*&U>jhdI`?Zfr=8kv=IeJb`FcI>+IPgPXB6nnb8(a74h(M+tDZ3Kz^Cyr8qIuW)yZ9l zXutx7Hw|_5--vFHV*DlYNPES)f02Y+!yhtEAr1Gt2viypCLoMQZP0`)N%~KyZ4Rga z(SF#DjQ5YM!j9M9Jx_a3PAu$1I37GXJF~@MH&-8L|6AuADbN3O&T)|Y+c~E#^=Z&r zV-kP?M)1vzTd?+{1I!~vjA+sP=bMO}2U}BNpflvq$Q*~9(pY_$cnxLDJhiJwm&RFH zGo)-87~ceyJw!y8{%d@bH-J?uYHl@3r>M8><<%vBt#! zU7)NnxM%HeyBvT`0(Grj?0V*i`iht!2j2*%JXDX^j00fNda0z8vsrA?Y}o7mf%lrA z-vf%ZeH4g!KeOGqwm{6-k#ZE;(mt1I=)?N8RP*vR6_S#Zk z%3uj-HDYkOZ=mOG^5ZgeNe=sW&i_D0>1rR8dSYgoXo$ez-L@-aSzb4ti#meN?#;o) z(}_27R2et7_x%;8eLE(I`({Af5H(~`U(sm@bJKg~xA>{_yDIe>r)jK`ly|CTpy3am zx(%`aJ4Q;dMFwPgne|l5F#nD>=#i;%UCIlR7fOHA({7>5#X~$Ke;fNSfy`Lc08AAa z)KoHt7JuzSjuF2>@?r=S=FScja}{=0GJ_v;zYut;!&y!WD|uYje?u7x9>XxMD^krA zi_AvKw@Mw?_sc({g-#x&Svd*-itt##BeQ$2(q=b?;&l`dBHLC_vuBe@0vm_ zq@W}la4uTw1x!X6njrPFygN!#fY)G#0B)D(MgQQiLCc{6;CRu~V=yU6$&}Qz@6GbR z#M!cVdlsi+zv_6a8PR4eUbG@CfIoKLlvu{Pdjq)|eJvJQYQJhde`WGjMd~>NEdwpk z_lhgzbEG!W50SHAy6<$6k-5eCgp%lwM`AvI{w}pU=}$Bn9H$6$y*fy|?g_QT6IKG6 zUX2MOO~nqg7De5+GhTap9cB4D$IE9wxo=~ZTXOTkRng=mW|~E{ZX;AK{+{948Rf&l zc=))o$&8Ma6VF{I;}Hm)OTVdsu;_>O6co8Ws1dK1ioC>8YJXQt^Wf42k6%bt5-epS zXfsOVspYjOgz7pC-W6>?B^+oUVyZvfUpr)wvS(_HD?YlTkt^uA6 z#NuHD=Z6*_E($#`$m(d%goT$h$3)R!h0u_AR#=?+v5?40JFYNW^J31tb+_{R(7TVd z%KTk{m;f~&=vZ%O*5{Ku|cuG=lF z^86*i-)juXpLSU(!eD?r|2BDUIjb3EfBQ2;SBRxGVJyCTz7|N^wDx*LXn5M>fP;Pn zJ03IHrlffJ+Ja8h>xPv4S)R)gg@@m*yGXvPs9oGQas43?oMUXRy+?G$MWteTVa5u# zj*FslBs-OXQb6!@SS*SA@Nc$<1g|e0(v!4)%?#VKG;H1%+K7gnwlIfRA04kGyyzv$ zw5eL+XJCjr-eDKsV-}NXR^A>qB}^pzRD>4HUfHN?q8lI)CuJ9Y2hTh=go^s+ef1{7r24wzfWWmqBRu+y%ncJYZ} zq=J#4ZIz4O&JQVr08@LDuzoNWt|OP2dWSa^48P$mD_Q99DxZm&%@gwZ(8EYaYZ7MUQm`%^LtFTMjtyB3TS{9DEyU1Z8SVcj z<;vmg)vb$V%B<_(Pr!_bqH|5HaLML?6hRI5%LSB#N<&CE+_vB4-BEL*-hIni#ND%! z=Ld1urO+-NW_W|lg{;m=~DsYfcUVbmfQeZ0`qm z#97khKI0HSQ$wU(=AYa_n_Szw+SsB_#F<4$#K1_;kDp6?_Z^*ei@P4a9S^pxZ#j;q zJDfgcAOeLpP1q6euDK5nLe)=R^x&?gbrRf9)oa;HH}$P~q$ELk$2MJoPa)v}S};@~ zEjRkG-4DZ?NJug58(ke3;!?4Bwv|9YJ-^Ylb}oFK@oH8dFiJ^X)s>lFfsMbRUv#A) z?!0JUULD79**{CKo1Q1#8v3@b^Yv}e(rEL!-o!;Ts8AaSpQ|ypkB1d0R5zfEIjYJnw)wR|o7N@V+^WVt+(8-+MuC z6T<&qJ4lKVeqW%_t}xUHy!jHcBiOFJfYqB3R6z(zn1c7_bnp1}4ulGTL}oaw`kZ&X zH+AtGDzeXp47_@%LHcd{1fY32mKE~Ei~GRSve>8X%^dyGJOl4)Zlw*Cuy8?jllGQx z$Z<4LILY`f&>x|T`ADSD;ALzTJxePWJ&*1rBrc=F2-x(GqDkd#Xk_$$4c9GptZ?F= z_}cnS2$?7Gtn5H6f#W{D_qs^5Ax+D4B44T4J`X0s@jKrfoD22LbQ+!89hv(zP8D0C z{$fI7;*R#Jv#wvG;egcCLUx^7_Dni@e2T$lUfg!@zIp$oA6Erurs6`>M8=heyDslS zfLpxSpHgn9}wP1GRYSR?I3szaEJL)oPkZVkXz zSabv-ye;7zkj8^QuawItys`%GOf&hGGtSE{Cv`RSjT}>~%kTsBtB+y8ojyn$HlCk% z_q(VLD#@JZxnvzyw-HX@KFf8rE`4avC&Up338WYHZ($wPJxq`Nj-K;n=HM%e#IhS9 ztePU0Ge?0x=kugz`b3lCxFrXspYo$E;hpbv1vMk`as%&7XY?hI=yfmU5$LmHl-I$x zV+GWb+?75hlYQSt15F$3@ud?&hrh!_NJ)RIe>iOTgAD@T(yNgx`X44?E?Y3iN-SwE zY&EaC2c@$fMbns3@u9kpGPxfyDHjvUdFV2UcjE|-MHRkx4RlCpY%Q&EjnG}#67-7X z)mtvrd<)Gm(COH)RL<2Z{Nko_Pb|XubvKK5t6|GBwU%%7NjrN(WBHZ@=g`MpTNz}J ztb}+9EJNCV|Ejn|@b(SpbGN4Fk`=1Nl8Ie6hNA;z6ZLin^(|ip3J6_NLn;L4z2xCq z3*^&tQ%?U)g&z5$>a#BYV4APRPJ@XPo_(K+!)vp5#;MaFO>jK3b8+hgHO`pbVwgx$ z;8LEwVr(S~;xtWcE9cFMbsKzw06$!~cxDI zLv<%|Kuxu%$ao>ydH7o}jl6@$P2x*x8+kuoK|p%0)~Br9X7~30z4`0uxry(h-sLl@ zLRE#Bkzr1shpqkq81Qcm1V1TYbAY}FF6crWguAnjVRYZc=OC6_Bc-8A%n?=Er5`kj2uhmmDtl#F@Uk%6f z3iR>eikdm?YqdA#R0Drm%Y?qHmGd?4UX{&uOcipEP%TS6n?8As1e-^(0rtTAzu5!% zR(#`YzKk0>{feYmur#*i?xzntd?-l-p`;M09CUFjv zWc8rSih={kqV{rqysf)#w zzLh2xH8gIc!;LH-@45adqrMfF9ABz`t}GC*{pFVNhtr!Klr6v$$h=c&ChQQ$m7dAC zp}TR#mi0&wQQ}X^68^9nWN&4G$aX0^-*Fl^M;09H9(5adO?n*31&+<7>RXHq5f*P~ zbjng4CEu^Dtu^-y46+3HZtqewZrGCV)Z$EPuHRHE{rgql_7IrP?lg$h&|&q7v+{?m z2GyD@Ph|9+oSg8YnM-4;Iht(c5PUd$vhSl3UgXp$3%nzwy|zyJdrb{PoSl|UksPV7 z(>EFq&W)tNkN#fXJohJzV@US9Bd$d!;v*X$e#ntVTrct27}5(hAu zCG(w%?a@xvvX}t#<{!-^bxW1^wn5_j6)R4ZDwaD-ZXN%;vwuA{hFBTHzrI@y*J5nt z(iLvgc1v}z8*6fDJ_*Za+sBYm zbCr6=d$hfMRqgJP?qWbZZ(6e@e#uaC(@|P?YAI};*Cfz3wov}VVaLJqjzL5JIjE_u zR^^*`!W9lUSFi9(=|XG9yJ0~J%3e&{Nyc%8;wkEW#ygt+%hWUAxBNL6akp3&c#NJy z<>Cc!0m?00+2DX46SBYZ$L&;2X|&Mqxl6?CID|GO>#c;pL%lV0&=CLY+iMxZ7e60? z%tj5JM_L<<@IxNw9hm~PRO8zj(J^21c=t${bCX!|(D#!;5(%pA+he0=uW`3%6L|*t z=s%^&fXtX11rNxqZ*9{&h-BBt#9L>Ib8HM)sN#0zWNRBd|SM<%wZ94)<3I8=3^2b_C4H> z^iY!Zxvi=BPXx_dFp_X2vgC!P{d#+mAbFR~bWsa6G;BVmR4KCl6^37)&uhU1tA;mD zczxT3A78p$^?{wSMhUD55GW1VpxxD zwcpK_?qogV@o;Gj`+XcRYQ2R-?BqbL#JyVaP(WtnRxpBR3*Olt0*fUB)njUbe+ZX< zuN>Q^1;Jo%jyo?&Ky8StG=9^-44RWFDB^M<8LfklF2`&m))}QMb>MNiZaA`kCG~ZV z95fLbeoGiv82LCQOMUC#_oX-Lh)_VYU~RxLE#b)=-~7g6S1V}ARfai*~|Z*-j=xmvk;;g_d-3j&I2(-|hD z6A;>vsPdFXVMTmQIip}@ij?;4H$`-|J~L~wp(0-o zPIaC_^`6W<0v*a?W>~)T0DL4U#5`vo8yzk9Mm0Kz#qxkC7|ga>(2j2acD78{@I0={ zirea@9-SmkQynv-tG}FV*tbxkzk>v?N=-FWvlQ{PT^kik*tNDz`FNDP>%wHF<;gVN z;UTkYRqhXUGy0jeZ!tuN5kw-hYz9xq2JY6ah@Sfgh;&P**m& zZy{B7c8sldJbA@Tz<+O07k6JDJlO*xb7|qK=06$m^rEYpj(#P{yT-y0HqKe4h4K`H zWfUEn+w}8m6 zY2JO0U`8DLfB|AQjE$4=ysVe`4-R)xOpZ=eWIyBj(M;<1t4DuFgZRbP#S24Hhb> z(`mWW{&-K%l)Pw)wbQ849RXkAG!;R!)rRPRHD~BF`#Y|D+R;Ct1&_u)rlgyq z+XM!EW;H2@XO33ZHB2f3_Vf+6!nIi%3TTkMl8oQFosWiRh6Zw0G=(j+Hw<4F{}VW1 z8apE0YadFNi}+|R8J!2oRi&o|nVEe>gcCC+>3X+aVe}Z`_GAbIt~HKeo<$87iQG!W zB{%VwAJHfF`y9SDD5I5fe8NWbsbK!hdxoN;ZtFN%#xtq!0u|(2_VY~lqd{}KOLp!h zRt~3>14j3MSb%4sX&6(o)vy~Bd{I)0sUpNur0`_v+2+Pr7$@>+biPB}9X(4i%lry$aj|?~{9WxdziY5dXiGMaZ6^PTUOLU= zpui5R22_L0g;X?L`e~+;$(QC*k3dE$wF4S%pRa_lWb0Th6D1P53PiAq)njnBF7K&- zjm`9nx$E4c=?(c8Oo-0FvVpmOIqU|V^HncU(ijqXN~fzsb06t}U2Mry+V+3Hlu`+y z+mi%+Z;zRDeBBLill`67bWc2+>lg)O7a-OaJ7Py(8aA|G(SbPpa>{S$olNPF*B136g*i6 z=}t?LoM)|D$|7U7Xg>QvWF{q;^O=cc0@YEoHwy|*+L2k?lN^o8X?s)D;gy{I^Vf5o zdHr~?H}Y*ZlLr1)6|F)P#3kB$v3itu-1i;6sWSaUWPQnJj3VbQFQ>}7K&g>4wvD~-u z!p$tB-fp3;)<1s*=qcWwm1^CV1keYz=^MAX4R+xICvk)CUmk%};4c*$$LJg_o7m~J zMS&fjf>3|xnQ;bzm=fXF_lWmfBu)#y0f`6}vB1pPUk*IpiUfQ9V$BBP5&P28GA z*u)_`li!yb9&R=)F!PJ*(Sx5&QBl3Nm9+AbG*k?r$9LUxvn{)k2QgO_V-slAN~Mp? zy%LjRFEx$lcw&-J{y=L1yxAli7Qm=1RXR?wrtCgtB?5UwYf%2K+M_Dd{rowTtdx!<*QQ?J zvjP=Yv;A?&O2doyCV+vVF< z%x%%3y!&l2`0_o`U|qG83Z06Of-v8(FJfws4**raS%n-e8V?Cfp=P+p`35DgbD3?pBi`e~f18&RH zUpw*A$+MY{LeN04djFAL-~gQmu0K4%gAbReX79fKSfhs$@Yu?tenz?jg2-*EL9cxgf}eU(ca$qq}zT7Hcmiz2(frGM%fo9CPwHlScG z(wI6G2d%QL)|f2r^KFM+rbR_)10rc@Y>?gVwO9xd$_6S3e5U>Yn3%Vl@4A{W2Oxmx zep*9DeKBo<)9Osc6enEyqC&8Je%$+~V_gCpq@1ilU`TbZW#red=R==305kdO0z%!} z3qDDYPEcAt1U4F#6|uUI@BeNewrr0tnYgz9&@z0%RA zpNcRAx#Ui10aK;3a0;xXXYh&Dyhx#ls zLS)&O1zM1H8s6S%$FISJ%!z-g#qyQWo5I^=Hqu zs4;^1x0UrDU=4$%^C{NRk3hWR-fc#b4jxT&=17}?yE;DCF_BY{f`(tk$H zY4mooE0LO7i+D?KXIKLP)c|`{@iVcy%52-O0Zj|oPf}8>zGT5?OOK2->2T9}vz^KL z@{JazeLy#s8!J1n&dDI$DrL#YhOJeK<8p)cd&aN%X#2L$$ew-8pzhcN4&T7>TiPZB za~i|kGaf`kWDuVmfOm#u#it7zf{Nf`K!{6exX)?Z%` z6JtQ?J!Z!xjVT1Y_R0kgn%rcjPHmC>{@OGNGF}a2ho{a8g7$#yPclFti)+Y$V{b3g zka(p(mrJEhQzi<>GYb5O^FFcv`R3=en6aj^8a9H!&C2HYqlhFoatd>6dB4ziB@S;Q ze~*8-5@OK<{0-_enqZvwXTNe%^`n$}{uCLYGyXA1#!~zN@g&YAPvelE@cb6@U1laH z)^>8w86@p%hrCsNzC=u1f+7*m`+`({$g3>?IFvWsQ_ja|-Df8Q$uhk(o*^xF1*oHy z^d<_Coe}XMIS7bt<DS{)4daqcf=`ywv=pOmOdAx($2 z9#nVzqVfp$y`4z1tp=JVEYjZ~Nk$Go^1Jq-?KM~pU>_Vl!8a=~HeR8HV3Q~iyyD%f z97aF#aqSh=ZmQHmXGGuk0Y49mnogDfq}0va_^4?kD8bB}1NQ2XIF}SDTg>5?Cz`(N zXt*kHXp?bl*(Ldb;NksfA5l_?zczqUFJopH?@u8&tj z(xX!(GJE_3i}SN@}J zk&fM)NcoQqv+vP@D;8o!h1Qp!#{1>OrE(W`LZ!1r+$Cvfj$q#!ypaZ1wc#;VIn0BV z!yhfkBk8b0u&}@qt0R01#yN4IU`<-e*EMRXCZx9GPtcvJ=K(As1#s>06&O0K3|3Jb z+FJt(6H#QU(N07Sn?2TKTp509*V8H1F$TcC27R}k*SGj4;Ix`vrAmTzB6zMlPsxcK zL!2$F5a7cM#t2lt5_r=9c{=@SpFX_wM-fVzQc>~rN5=QJVIvSqptvZZkHB2~%(`#F zHSg|O_cZ#4*r0rVmDexFz?*-MECAm2PjK+^eOlGs**=~4_XM1E-X|a$+rGx{!@n;+ zC-iPRt0e~9n<>We$R$6LP6({BUL-?iXO97AZCLtuBj5brDw?J-PDO-k!fs{Db3yM0 zdTentR^2^X7FAP1yDaLc`p?*^ia$6h!wHtX(1)qmE5Ied4>T7!uww8(fGw zJPOaf1p4GWR7Sdols%$M)t6>A0)eCxenQ)bi`Tp1!`{i6HJeUnhDt-oAT7X^R@#m9 z?fvZal+AOf#+CL3{i&hRcCcBIc)0W5zA>>E5;1OYZzwygV`zEFmeY>EQ9VWoE>@%% zQ&ir8n9F+ur&aY2izand`@2P9V&{S9boG=)J}}a&kaj>*`LrYqz25!JNPxxJ-cp1f z@?DBi7%bmxH!|1hTfx{h&bwzG`&-><(2^-1!xY}9(~R-*M>g6|b*e#2J}T2Au5t>6 zwMeDUr>OWymx(5ka_Z|c%Ac2jxG!$j29lW1ZOyw;pSvQHz2mLU$z;x@7p`$PIwUDJ zN82WlZn14likso^3^zmP)J%v`4DLF`d3w!+O3ToNpG#zJMi!7iz%*@25avdoN^|3D zd`=K)L8o&fk`J!`GehV7fo}fq?n5UAK0SYw@B1foji;zU_!7pPK)pRlEdqJIjO4Az zUV1~;-tbC6nF~V8S=NeI-CODa>7F6LY@=4l^%ojaMNv_pYn75-ZQ^`R1PJ)rj?`s> zm!7tL>?FkU8+T?;Dx81uG5iz8_ucN?!Jq$qiQ9_62vFBnNR8f#;Uf@(g0ILjPXZaJ ztE+=DJBv5b@nSz}1BhG!b^UBVo$ar)VI`sz`KqAdacr`88S|U*ny65(-j>q+>V9kA z?zLX}TbhJOpaHdpS1Qnxr7FdvMZ_vErN_pW;=SIuryHAqw%*qm-oB zr^=`G-$X4gHjoBBH#W1u9h3$NK+LY;y0wbPJg~Lz4rt07w<9qeiH0cSP^IwD!(Rx0 zYrSi&P!jixpn(P(Y^oq@=?MNp`<;sOK_!*o-&$5<$Tpm>gk%k5<`ti} zAQ$6AjSYHAR?;TauuHdRSd=0W|0+t@kkmnlX43tXJW&#ds06x8)2 z@uU;*TV%8NPLw`c(}06l5)bg&NJbkI>1?uwCd$2CJm^dl!&HWSn~`$-%G(0HMA9en zG>LQrajD)^Z;k9L3EIJm8%H(E? z0*YT&w%CO|J*SK?5^Q)Pbn~6HI_xX+Hb1jhI;#s7(Lzm3GGFTlI((C$q+a$1V%dg} zcEHJjJY%

      )%}&N%wSO&|}ejKSt2C_AOqz^fLbPd32)YA~o1^uo2XA-)RC_^+7Q+ zugEzTcCx4}JIi2k7d5$Q1fuVbv|XzPtp(rbyzCHike70FK~S3$$#r_sH|joS>uB|C zw~yy%*~Kw5l4V)XKw%YL=pc!M6sMV}$F1cITd#;CZ9H2QaJMQ&YoC(eg;}|8s$o5- zP2J5I_(|m}m53Yq6Q_}&ixiXn{Xa1H{(#MmEbGj=&E_(-!UBLB*B9& z2}B{>)h$GR0&qP1hv<{B%ZIQrrOVllFA@0gfC&$ffbaJD3Vf`lOf*u~lZ}BJmzb&$ zpFpco&G~Y(F82C9un@8mW8blIHF|JKmhIUhg0jW#6>fhJW08&&Dc2b4k3I5sjx`hh z5w0jb_3d4#6bdN6A>wst*)`>Tk$2U_>m5#x=lu29(YCyHNyEqrMPO<`?VMPUN9SoC z&|GQGT#v=_gZS`>TIZpd%S}MV0N+6pDM(#g^L8+uZK2*#@U|LK@tu{BaP8eb>qh;% ztw78%Pn#5)_VaO~LxW$cC)Pm0-?2dK8A#q%s{(?eDN1#M z6hz@5=D#yBMl$CrRAj{Z`I-SaHBL{%dS#!cXGHk1z`bVTbNSY4o?X|2U4L|KUSLc} zPY$nla_vx19vTtI>it>t`xf`$q;eZg<-;Q6Luu`xd{b^MS80OyN((-pUnn#6_xC*N zU8jLn_zJf*XaZ_B81Mb*gTrmBM(z=|*7wNs>FJ96QErmG;=W!Xbh5QqAB&h`lcuQ3 zpoXmK^|<9kT?Sq?CJIp!r2V6-k0S~vdjQ7b%v^u}&Jd7xu1b5NLgyJA zi&zk2K3J%T4H8@xl#o}v1}%;)Wv`~7ID{nRX-ZU!tdk~tuVdZ5@x(`7=_~jbum^#R zkn)BHSM_o(v`m_D4QFMu+_Op$WBesFXKMAz;u4i26#H`HL zOUHC3O4x8f@mBgW*6Q6G|>M%hW1uucD2o|S|`l3$KBVHEuMjRcr zxS)?pK&ZCXQuPSEpF2~xWQvv44V$)W4ysx5`+$);lN-6Y7v*^*ONcAWk|vh&%~Zoc z_&jOLf{Ba#wXfu9QfbnP!-LNr7uYSl=izd`lL>j|WOD$cR zH~aw-yGAeHVj`z6zfjCLZ+`ZFDb8ne+Fjyq6%AwzEP-bL{iXbM8Z!#IwUtscB0}Z- zZKYBSL_i8SdpDs*1_s*pDR}iUl(3F#QrHVNIcCPZg#i0xdGY(g)XaY*JGC z)M>b<*K#@pz<|!|LmPt#xQhfyxBe=2A=x7=SoCS&eaoo(`Gk_J)WNCLcr%)9LR)bz zv>NSg>|yQB@sxp}EO69nBwVHI#Irl@1R zz)dYV*GTnj^Y%JCNo)-tA<*x2KO;_upOzTP<$TtTgvIOVcB7s@u`RzGT-YsaQHHYO z2h+kx8}65aNIAbCwdkZi&%r#Tq3*Rb%&G~MfNG=Fm>y~-HS}qPNSWqU9U2J8c5r`C zj=$%IRT$wA|3t@%e0*sOcqO7UW^I~UGFXu)Up(g{NmmVhVfR_TL_!Oxr1nk1tx}>r zt>(?2z^oiDAi4M`O$K;ztVnFnfnaki&Wp6ik4gpp!*^kZp`ge2UN)M{v#R&5O>!F{ zs1AB7o^-x4QaU=m%0&Zk4&!4&=#Xt}H0@Fy6a}~UEoOqv$At(S(&e+M{E8QZp>DG^ zt^mDBX}j{td#hM99B2X)V}ni$k{Cifh=0O(xP4Sk`Cz=~4k z2aZlgWlx&;MF=P)yF?s*xdJPz(*wZG!r@D)K#H77m6LO~XYNaVk5N69jF!F7CI4v3 zRr+9ivNYrd=6=SPND%XlyVqvGFB630aXu#7*OZqd-77Zt4kf@!$?Cflr@aNRua0%& zu&Y=36zX-Ax|tpcSEXSN64d$B_yOWlgwja+g$Zp+gv|3Lt>^B}g#JxOra65n2Z-JJ zL_lG(YZV#dP876n=e-hJQQ<%rU3?JvFL4)E{czCn<#DCsQ=~H%>hZjw?-oBqp@1&h zu*3bhgf*|k0{-iS`!bERHgIISqux$pMO7NZizoseWlQHRvC33-pvclHKkuvvF2$l_ z&oO+VuwJ`tbERq_M`K91zRHNU2$GF2?)Ybfd$=no^IkkxTk)^#!BQbbR5x!^smB8y z{x>-$E4NqwytmZ35dg=|qU%grz<2kDmH-=a%JG9dwC%p8R?7Y|3?u=_bJd*S-Q{K{)Yhpj7PhK){J*ViO9Ps0s}@;1OdH+D5U*DP1b4zX zn%CV<kjL(Sc3he zlFk7_0k{^i|K^Uy6J4UB?l+G`ic;(-a#E+NNKwt){5l1+Z@^Uo-v}{-i&}bzZ(#Q^ zi=`hbF13OLhn#NEKvCD*C=zhyEsBp{vpTtgE+=yN8@GIznFN*jAoBLuA5gm7+t)jV z4PN;x6)q^rmG!z~GK38XG*(rF2X|o-U|nU783d)}49ve?xp~Y}&1&8y*U^X-qF~`~ z>WXH?=_kWZnWe8jz~A02%W6(Www+);>l*W9S}` zXkX4y!G)cs;`9}#&%T|-qH@Xb?S`6aTSfVN+g%1gZ!`Wr{km2!s^(DoX z4OtR0G-+YQSTwYPg~|$OrD`@!G_1lF87k;6y@l{5pZqvP?`d3A+Y!%PkV+f_T| zGF-*Cn=s8ooBB2p$O&22RlFGbyceEp%T=fu;3~Gtj=a5mu9Z^h%qimBR}aq=x{`P?J>tTOY2; z2kF%tr@eeRZ!%U2BmL>IQp2OWGHM4hTCs^)fuwcPK?3|AD4!(K3M=#0ki z`2^C1Xnf34cxODTMbr*Zb@4#CKeUY-zk$>nQ__A&QW#+#g*P9|Av(Kgu_B*5(WZH9 z{-68~z1s7)LeYSbj)o4%`T#{%`I=KkG~+=e{4Z^=|FZ2i~1HApy)f(CI5s?={%8%7w@-8u8w9ZX{V~u z`g;F&F|M^p=VG^iN6Eq{`NrXuaHJ0bh{~|zD=CXiuH^<*W>cXP-l57=R)^#4>CIX_ z39td}TSffCcbm^kgw7(=6TZv)op@2Wg-;3~7x(X*jn3|oW1b!_rRUiOy*sG{-$ztQ z96JqAQ&D9JXMD;o&$IPAQrd7{Oe{i4<+yTHYim8+*yGr6ZWCKkZ4UnhQabJ&G?PnyJP&s2?()K$-4}n*Fi@t?)SBz%20$fx|WnSxJ z>p2C$bzh2FTbM_`;gbFyb8X7A@t@ZRR5(D<>Tng#3Ud`Jqv2qTp>F+0OkT6)y+5%G zbQ+>(3o*OTbEC&P;y>7;9I?eEAW(Zv!{>1_y{$uaN65^T69e_|+4nN~)zfp{`BtZ1 zfr+%Bz@<`Yl$e!4A)0yy>1FiuAG*q&3_!rn?#FVE5#2DpwV1GLf-z(45LT_XrbbWV z0lBNFWa2=3h-|D0SP;fktKJ=q@54VT*v=7C7ygr{DF@$

      H}_{!ft9e?3K@lXoQI zJgUN@oW3vo$7KKak2l0-X98j0tDma?z!!0bu%n!De^TCG20ffeT{)f?yli)(!n)ba z)@0;$(P4DhHnVsOwVjDq3r$0po&2bh3-j7iJBK&hK6oA2unHe1pFfxu{3tfxFK0YF zP&rtBN(gi)pG_-|6}?0NUbClXdc=N-@+ZJVyf&#^fS<-=`iqL%?!xL{(g0Nxg(97) zfe&4AM^lI%Q}zGQHFzT}SByPf1VxHorsZBfC7axH(^;--ZpQmeKnW5JNI^zYdks%q z$HA?mPVk^ttfHl_Sbt!Cqt|FO1^yfh`>-FI(m81&DAD0oO*DeNql(orU~Y7dnG^r` zoR5utLC`2uOo1dB=%c*vptU`g-;SEH3&2+USDe=50GuRuDw*bi&5ON%(eD}|_S;po zFaY>1;4C8TJLol>+;^$LfR6@Dyrb2cz5D$$`;NZ>d_VQIwj>J!ocrd&^mjoK(KwY6 zY_7g0`D6ZsC=t4x3koQ;cGl>;5|;dNwYwOef&viig4TI?o6IUgk0Na>2bn*#FoMSO zr!sD9YwrQQ5X~7w#eHPY3@aqIEb9nKgp;S%L@G4Lx!!lF^Rj?x8nMVVm zGu<8vdaJ8AmK>bP3=9a&Ou{i z8A(;}KSVgz85iCn`~g$S4So1+t>dW*z(xN+&XR}Nmk83(;4AL@g^bz>1Fy)ddEjUW ze3(4(r2s;Ml`GDRbh)5#K)@mDOHR4G+a{d;V5hOcdLshrArB!@o{4t}R20*+qCY!- z&bj+mb=2=STffDnT*Lz%;zObK2$2Ahg{?D&x-S7|r?e%G>ml$Wh0hWA3w1}Zwjn)} z47la%7nR>w*eg>JlQnv#FQ9q$gDR!-HycLiF?q~S+Me}r+e3c;>44|=tf{KR1P1N* zgq^&d#T(~+m|w78h8(bfd>&wLoICCWBv-+A0D5aQ%LNLS&3>3{>N)-Qc3R|2^Sp6$ zx4KLc@OoK%ePEK*uo@pL`?%o_?9&Ki-nDhEQ{sjx$tfM z=Pp&aSN5j_;-KvemCG6$^DfLT@Wc0ty1U&cIeq+Xq+Mm;zxV0q2QKM^!gKLly%mhV zI3gJt_$u`k)K~d-zH%(5=}+Qq?dc}jyX&?|EKxA5`QH^GAd(6>`P`N}!(6H6`>XEb4){LlH)XK57ejr(mR0r5JOK!32Mn~s zHbxAbyEVU`O8$7h{II>iwe#n26mUEK$F@-x`aO%(um0v`Ui4Gk7R0|J-<1AGJ4Y>{oXM21*mX|n18QmYA$J3*KkiMy%vLM zk4pJ9Na9=X<@$Cx6oaAg`KB7eLrC&vrmyKeW-Hh2LA7tOPqezsG%`efVpy%H>ahKN zo&Rrv=)b%LV4(3T%*nTZ?LF>v6pJ&}7TX%Y45|B7@XnJuN2Jdpd%zHn$Sy%Yf|-3L zxwCBfqyQ*xU??r)EhGc|Hp077d09txq*X^nJI#m|+_Lm*@{7SyT9la1<#&abTfBhc zKTbsfA{-;D{&?Z2$xWcMA95cYsExb0?!*3^FUT(m{=!wk+hwo94Se)|HWvRXg7*-j<@42VzhccOv6~9z2O&A|dqE+a#f6 z^}5x_$DDW@U*T#k`TxIXyXJlF-67U@(8{!0e%2@9IU!{|?|60G{gP@ANtm>mwd86o zcK_Mhy?-?c#?~_Tz$cvlKK~C<0hF;Pd;ryw9Rw8UXtV@AwPowEB+A+UKUjOqs4Ba+ z-TMMjKoJB)Km??FfuuAd-6`GO-Kio-OG|fmcPIkVN_Q{1yLl(~{ePal_t<-nu|K@m z2V4vnuohf%&NF`JaV&2B_q-Rxls?nCc1A^)Ydbx#e6%KWC{Bttb%pFCEn$iP84&MW zftjaXIt%O)be-D@Go8k&4{OYfS5s@a(N8z$)e7aIwr?M`#%{mKYpZ1{^xRH38=kmK zgOLw|^)zb*nl%nT$}VdcuL{fdcn3H07|ZKCZ@vp~s(~4WGx(62q>3ev(`jTcT~gc&>5Aj?I_bOZD;d(0_RPyWO;^lbwcs5(#LqW}iouG|wM%(q@v8JX!phNgX(UoyD?=ks2-^2B zzF7P;1$G91I=|@(I$X=v{fkVi7}8I@A9?kFt zQUDY41(FwN^v73cWxkAC_Y|ysqk=VB3|0)0A|FYxTuvyCIhNUw_!Qk_z$-|AiG(B^ zRK)828Ra!YK!2hMt$S2LRy>&K=^JJwUTWjs=>b0V=_jXSw@1al{}8>i(=gv&KrLV;Jo`lO0;2$%2@@HoOQBT! z=;p`I{0J+a6HEa(2^X~dAKHVnQuBp>FByUWpcbaTpH9%@-jP3-{{f#_3z>X;y586- zKyC1fA^;@zLTJ~|D6&?!pH_95uQx)_o0RLHk(KhZ*31x~4FOy2q?Ov0MUWSsPfZmezk4Giq$gyaX*@?>#a+OePrA zMge#sgdH;>qDLVf->%m{rH;|#;GOJFcG=ac9%B7+&)*ee@?8B9jaJOXaio^>IyFA1AcVo`I)4XCuXH6 zdo09wIjh_1y3n&|y4~n@v3hzzD~tKA>f~F3Ukk4ciH!%nn*M48ck(ibdd;{wi zo)_DxLqGz+FgfsjYm;@GtEx}8IlvZ<^rP;dATx@H0{;4>;q~8nzsMcio)9EmzCXbj_hL{I;aA{Lp4WFOnl7Var{sZA zCdEXnj9AV)=}%^CF!ta49B=U$k8Z_CYR>g2P$21_aN}VXBp@IRTb{@`yG=~iI0uW} z=hkTt^jL}A7g9uIMbHDQ`3=GN%?GbrQi%T2^0O=v0ikux1iyL(U$OKIi!6_TLbP_F zaQ;OnR`qOayx27Xm0`+hq}4#h6G_Xz|j z?60itYsimpdkSpb^A89!C&H0lH3BuCk1qpUG`sRtuBaZ{I?mO+3J5^-1)vkLc=*?G z338-U+v%^lja>??xx7YjHtf4f+wr+!St-2h(IK_>$WB%Z6WNtZMaw;y(p|YX#C!4| zrLZO^wadC%fv-e0&bB-`|MNGd5!6M+2NMcY21dc|avzJpAI|dRDeX6TB8zWi=3IQs zB|RlP#}SRIk(^g5J$ja3lSwu({j)1ZsY%}>Pi+AP0P;mO^o3}@bz=wU>odTf8l)Oy zM(diWky8J-9qoT*Xj=1Hc-)BV6BghBbHsC75zyfIVA9&mQC2GJ5hOfhtMr;-`rt5z zKNq0mrra_IKI&jqYlF_eY(E8vaGypX3*}i!QyV}6SfhmR-qbjQWAnqb6Bq5bOvLz! zKXV2!LxSl;mu~Dms^~Y=u-MuLol$3=cDYaowp`wi*CDU*KBYlo$@~!wqBI_h+HOM+ z>VyK)>Ub8tb@5b2-4?>0#PKNI*-j3x^Bjl&*OKh9dS{DC`wiifg!(blu}55g`?L|D zs3#tub!$BBGBhz(C}dJPWF9|W@JBEuhwW|%L^e<*afO3)A!%*w)|q&!zYV;ZbkT`n)sF@_vf z+YED^p2Shn-<)#>W#l%OIf8omOZ)Z2l|O&`7SGOr5CU!XHmDC=tUUgL1VumI08X_( zj|;>;$C^&46loyE@zy$SM{d=Yz?NTS~O|Oi0Gzw7R{4yhl1ct`P1YgDz*;Pzj z?*vAM6H6_=tUfN+HLch?3AtLjJ35-o6t3o7X01k-jjq@Y=KCa&>Zdy#odl~LhMNs@ zQI;z!wU0v?nyXVR7RqJ@c4n^4KHW=KDumiu`*hugcoHwXFf@Loo8F`~o3<7%B`ui0 zL#7;i*7E|)dKvDs?I9J^0Wvk&D3`uIv1x4RuyuaK$t$H$z0%Iz)=uj$wFZ5=91&DQ zkAg!m#Ua;vo5I;Lo`5b3CS7-Exfud?yCqCZ+rdZ$5^ljzXC>C~MJnD9;hTjAO0R2i zcM7>K^V0`C=bOZ=x@fdLzBKFFfIMCAY~X~K%f9=;C&|)XnvbvZ6Dei>0uJdv(6{ zZd$*$ET^4LwLNJ^*b@Zf_c+h!0#RU>3Lh|kV3ZgY1^Z<9}pmM1)^{lApHR`mDz~Sua1k)yD{2(UqKoOrrE%NPK5%=Y{T+7;8!p7yQQ)P zH!Yt1PfU@+A60gQ=fdL&mWzu{%QcwZ4(;*)70U96<2LeWo;H$gTV_@%C2N+!A;v|3 zv?I-D^rHbT#M*y*nc`9pqRU(9!v8)+2GTG58DmIaL47cL$E)9ecgPUhmPpk|pgOUJ zu?-5jtiGkN4-W~x6$C)<+YJRXXWPLPsFztI3BxjJtoQkLCj)Ydo3|ZchQmYZ#(mhl z(7#Wy6n>c^H*+lAx)Y@~uyymxTq!Hf!J)_+&mkhCru?8t^n@}R@ayHYk^Xd%{`Zgc zo_j>TWO$8nyo!L3leGOCBtV^qLbffpxg%Ei+m zGh<*n^r236-s=Uo-Uone#8Fnwz*x z$}T6EKXUOkop~aWSw8fzx@d$piF)x(Z4ueR{Q3D_|NqYL=+pe)7#;7Lz?5WmHA%-wi=e6^=Uw-NAwAng1TULBx% zkp@ntnoqpnRcBE^;q6htt;qS>rFZ*Uw!4K+r%-@bizyeB#N%+VcVnXS{gxW~`v1W3 zoVa%vbBBRh67go4hY*?n$;|b5`saYbD|U+chUJM>ehiC|LjdT^PLoZbZ%ZROoOE^z zMgY^i>dnrra1N^4-W2yPWtwbAmwg%#KpftV{1BM9rZxIqb93Cg`ygNt+{=~PY6y@p zMNDZ^eFYeRHz3*=CjXyzWShgf?`@MO+Fal$z|zEwOay zFTN+uy>=H%P`f^ZduD$2+Dc!4oT$Nw9fYDpK_b!TR2hT+i2YX4xnuir1}&JF8LK2y zVf5*KR^qm^Gg{i*%yp)3_w977iBMZ3zhPFW|=upm~GE7^!@E2auX$!;61<(nxjuO<_#n!_etX}z_mmj7X7 zn7L-rLuksPl&eWBPkP8XVd*X;;PnE(%#T0MUsJ!2%AU??q-F6chTeTlzo!65cVhUC zsN?Xl^G(BJs#JQGkjqZOv#PCiJdkkpNgtZgwVFRQXg(gVu7#p|SDL3Lh+!AfpVE*h zhg=!Pu`2#jxZZWmxpj&vJ3hUhsc5Eb6ldrCNd8T5Pjx-*by@~1W*Jaq(tUp*-xicX znx~7<5tiYbHiQ!~ry+>w$ND?RDspEh_N-kxmTh~+Fpj+KXzq@Ycvr@Fkk?_Lp2fi= zSGVqK_Erkb)CD7n3eb`AqXIfo5B`UaRPPXduh{WMLP)v%4X(R6%)PH;{9hd@zi)ZX z>;_uQJL$=zSv>pYM1PQ4f$+5UvRCt%LU_IE%ZML3uqpWttui#>Msw77^n16xzy#;9 z8`x5{<`PWKn5M)ua4qML0o#Og1oUH(rTIFeN!EuR`ww+ovAU z1?0{NbYqP1c+-&eCRdn$P+o8lGCp!sw6rR)(c-WBb!# zP{Jf5Ju%10iJhVjW4&hmVPXFsOe0ti&tI=`wIqqd;MH~a)R0+RGvs!=zF}>|vK%)~sY)_*?QU#T zs?KNO``=n=FWvP>;o-Fb{P9|qPVgv~kEy7B~^OtX_3p?8+ zxt}%e4_zV$KV~FEWygwoP#lZ>aSj}&pV#;ecTo)K?X7D7F4y#zV4jJDiz*3;ZaC{lgC2{)qKAuoV!xhiu zLiA$9(&b~aUkdN3pgi6iLXr;!)AQ}F$syxETnXvl>%FB3 z{9OTxXwIV*Xhw9`!3PsrmuP5I*%8ToocwO)hh_oY_SV=t1+gNA8ABJ<_K5@ag#+NJ zjdg_WsDUlKl#vEmzbs&gkjaI(Y`^fB#hURBZPX(*M$1!m3Dqg9{&{oW)_9HEZoTfS zYR~acg*J9hT+8L77oV~@TUpI{H8a5Ts5S777+qB0_JKVs2xHg9L=Ko`Ao^)It)UryawLsCDr*ex%;sHB13pJ=c3%y|jOA^>}Uz_-Z1jeIQ>jUcZC?QP@!%3q-EE!tvBNSDZDZ*pg*GIE>*=@7-G z=~$ySv%I=!D0>=^UOpySI{8;IT~q4m?je!YS8`C> z&#!=)FGHB#|Een!qu}Q8SZm(tUyFJrk4=J}53AMM>}%IqVoijlr2&bs|6Y3T4>l8h z>2`pto<+ph?aAhwp3>X5i7J}f@<+3>+;q~_THLwLyA!9qID=l-b5gsEooNI<^S)ig zFW*H9)ee~PmrNTK)W|)*nO=PWM2sYJqa_p>+L?%3xQ|)~`M<@dJQt#Wgjy?BUsct! zoK2nZ$aju+h~u`Uv?*iN-fT3dA6b2OjKQyW$F6}#2Ka095cO;vx;8m^H`$guo_}}K z@oFum*yUado14F>I~s`(j*~2mh3UjivDavsYoeU>GcwuJ1spz+P@MY3F5Ke#@6{$P zQMjAM$3xb$lreY5J-?C7EmXLMZW)X)!quF*P3L|%MxG4}c|_eQ`qX`lJ+$H9oH2*T zQdZEf5qv2c4-wKFidNz2X0o#>*Bw8WE;Q_US*Q6F>i5H(?J*G`7Zdz#qRUaMwQV9U zPR-lHKdi+?`CTVL!YgGzcwL#__J&p7x5DY}gamU!iJB(PFxydt_4y^|M0PWU#$iRn zhT!34-IBs98Q;H4wnbLt~}Mk z73(FN&MppjMm*@t1Gt{?qxobbJA3HwWGbJ{T`W#*6Vsiw_A*D47g-6Vn%F8lqF<)w zMWc_lj)(W+kJ&|%mg~5#1@xKtl$@`z#U6eu!1Ef6_tWgU8TUNJ9ge_3gJaPE$)eQP zF^^IliJl*LSw8e>K=%^*{nKeS*WnbSH^Fq^ro&yYlC|POa;sPx1; zXTAsFk>PqsJvviGsMWcxY`aO|9gCU$aY_vfj;T|1(>g|il&

      {8@-Rz=5PTMTTyX zxphE(moUG2=z)S1(*BHT&6;V=>NUB!nxl*K6>wHCtjAN2ADMTyODsI3ry1XH=jmpM z5a}ppH?TYjR5qGUrCM>9?z|~ERJ`_%=sGhpt!3~jasusKZ4>UcMvgm%nxzS=#n`>L|aheu3+0J*n1G@v4?&}Ny(yn<4^?fY=& zE!{Tj!!@mK^gUO8x+!LmwnMs8?JjH-JS7nmcwTE^G%P9FkD$+2E?(}dUKr9ZPv-*o zWmB7#N)=^23|9gbaZOWLux)s9q&&LJi?3$Sb|`=zvBuiSxVAS6G@$?Z_`g^Hd;4G% zMB+C^Dv~oUBl~>D!8gTcKDJ!E$y`-#ZoB03&e-o}YwNOj-jFLuQ0a4`K-SmtUC?Mv|*HH|B9@YA72h65E=-T z1ppMqBF$!%{J2I0LG+*P;h_VE%3nZ{Xl-4@zalQ~=3@RkckCtQ`Yinc+3VM~pDWjI z*wEVhJe>8HK_tB3c?(w?^l8%TFI=+VHsGDO^6y;A=BHU1!VgC!B>%71<^Lhs%S}<2 z>)Ni(QFv)*=y&WCN~+PuCRytKyh>J>O{~ktYNP{Mn@#P9536^m421j~!1j-kSCj)+ zX8G^b@Vw};SJ<~N8b`H3?U8n^(nQv==a|o))&1!1W^ngDo#;HeA@J8TP55C)zcLw? zK3ltCJ8UqSlj=zG`rpge;5C{K`$|Jog+3lh6Kw$0I$MNWTbsj-h=^G1+xMqKF-oU= zk1(w{qikg#7OrU%iiCu8JDyimpiG;khX32ETYiSy4gs3s-W(InMYHL@VvmUIjTuf+ z|EV?aB)a#aA^1Ogr-0;ZdLrCs|Jzmf4%POPUkBX%dYY}cH0VFi{$KvNmmk8xI*@D+ zsA-`EFYJH2ZjmOgBHQ+V?eCAN2>YfI>zvUOpqK*%x=2>5$OlxyV&!kHm zu-r_aO8v2?DZ~JV4vMS2uQ4&_WjCi3B(Chth7F!7M0Y=^Qo|1APH7icQ@T^B7g`Qm z`^hB}A!3Z++qh|Ibs8SsoD?l;%Dh|3-I!$5U%&N=!UMmD0$mn$>-;rM_(FYYZcQ5d zm1rp(OVT4`I#rSUL?t&2f<(Jprjkqd# zl|GKK$+~9YVo_=0#AK+l520A+M29i1-Y}MEjjWKdta-Y>xkp*^rXxw`L)sOC{1nzJ zYu7qz3@imJ6nnY--xvdYU)?_k``j`5J0%o}3825Pn@Nc&3m9;s`ouk`{uCtVK~(0qjO__8;lVoL@vQc>)k2sHEZs49_-}?f(%|3(*(7f z*|ApVRNJ?+R#BP6@jOjl>O9Z{VaZ_Ywb$KZB`l6ATe`0uV=f{-<(JpNul(!5mg}90 za#}8lv)|F&9*$OPjHfFb*SNSv?uQ3|+XGHM-TBxN2)Z$R=tA!GsdpK%djH6g3`LU` z=jgZDhT}iG(no@*4}c^1u2r-3X=B6N%IWdngyn3T9iCQ0#~1!2N7JD8zcfW0repoh z+C}mGw5ZGm(V*8##IxtPPeP60qh20H8O(T(S{55Yuv`%CMH#$1;FYxcK*Ey?i5r}nY<&+HfbMZ*}<_}{2e6A{b_dnBkH2J(YygRJICmO!N zI5olCQpj=mk6J^6SAfozYoBlf5esTy&xdmrFmIg^K))((Q*m4DM|s1iyous-#St{F z;Q5rJX(=uzJ0MTC>|YPSQcgY_X5La#N1t?*^Q1#tD?8VRPK|$=-&2*=|4OQzQ*?Nf zF<9CEK|1r@1qgkDPks_l_1P_zv7bgZgYf?4W$Q~p-fqP^*5G9P(spI)s=sq@q#kdnNPD44amV?T6V4#+BRw7&|B6&Z@WMdvI5Akeb{W)_Q)7)GTw0-LIOy5ZF|LwD@+BU-y%=m6X5?dempfdfb$52n z(W-vaeZ-va+R-Bo$6@Q8_mRksw3(6WL{L@*UnQMtbR!pc(bOuuzX*Z-Dgxp8zmXZ+}fru;E)5C zV7hVuV=Y`cY!536rx?)}zm{D3V)1n`_a(Ch}7rJ zMdGmBb(~5YS?{aCC%5*N_mMm)4x6WZ7XE1>S={Xahdf$hQ563W9myN1vAhrTy+oYe z);FEJ4?B#8iCTiGsPexST|M4;LwE_sbI}7~p_1S{n0oBYj@MF!b4?SzlP^|>11VVr zT*P8e1v?jlzvBAz0|C|C?re>Nh;_ZKNG{+-7-yr(z-FwNuaswn@DO zf;v^T2BQ~LM?*Hlbx(k<DQ@+hij;5|!AWVANj+sRMfo-K3`&-zKK@)lemv*9E>v<2;yJrhnI52s( zGXC&npp&{z%m46X*aMts?Ogmw5WS>^y?40|AB09RL~hE`6+B``-3nfTQo~(_Wak$3 zEHhof9byFS3!_xu%l!@vLB5_ngk_zqK+*2C0~M=NS(#e2lh|>xUuB1m{xYsT{_q*Re!H>$ujOV%4 zr#9&i90j|jpHkt@xh}lLCBxe1%eO5|Z#}Dr+)6Yq_AIMa@yli^3`V8j)~As*WFHmz z2$a~sxCv@`8HOmoxOj~?o*VcWTn=inrE2BM5Pl5a3TVe`xICxLJ-{Q8w_xtFH(1Ye z#~UTGQ$4DcINq66c58Va&6jsdr+SxhZ1*z!i&F~i7&)Q|DLRj_1{>+0NjDZCCNbc_1#xfEDRLrQ0F|yLY&SWO<|t6e2*o&N#crW zeAS}V9K$p^|66a{t|E4b$)b~YIj1SbtA=SR?aHe6BV)@Xv$<>P;(HI8=f8;rf6KAn z>?9ES>~R`Za!*rsN%I*&xrSIfc5ND^t5-Tk`8Vsly>oikhi21P%{-h5*c$~`X za?$3kCGvD{S@OU=--B}CZhtnuJJ8?wFLCyuU%BRWl?WssC280WXt&t8x z@U1x9%=^s*!=xa_;aBL6i3V~`gg*07s5$~P$~FeN*!C=R#BTco{nO|;|5Wnms9Dho zN3?KD9{Fx*}+^ru$7RC_vm5C;LC$M28GS=Erp7kEWhBavN3iCVTyj!(y_o zWR5KTOIh4E1mCLJ$C%up35~H+z$TwWr;Jlf~J?8b96t4THyJww~&6H95w2#Jo&j;gb;K(WdJywKo*X zH=a#t(zVbIM=E~DaN{bwNVr4B@{|@jzx`oNPPD)w(3nV@=iIbEtrpcD^_((wh>TB$ z?xC+!Zs?@ZSgmMIK=-di`yTCSXX^_u!e2=CTp?YOJ2Os}H#yDpy>l#wV-FdnF@qBf zzfEnYGAR_8aZJULhVLkQ>9fJ}Na5}}8Pm~J;B&CXb87@ZbZ8hF=aR__A z01-pvzLM4I+t_qewYUCJYI+POS3#&I!)1qO*g7EGsp!|s=%AJBmf15g&fwHhD zFY`aA5^YS@zZHzvx9dDrU(7zmE!^(#5Hljr2h;t^60@ENod%UjcUoCta9Po~_%fVZ zoWECQ5tKjd%vv{o2d$IrEs%b>*d7e&GRNEJf{eK?11VgzRCDto-TwJaR-(}}&mcLW zi6?gjTy-N%`_gxTEaQ)V4uI$Z)Fy{z6kW%=pf-+=z3$$X)6uwYTRegV)mk&!PWunq z+iIBvpZ@{$u>>euBb=a;pg*`wrn;3sl)tTr+ddi5{MvMbBUogw$*1ad{iNM@{Ga`( zJY{-?m1y`ZLHhSkhBbEOT@6dT;}n3~DJRF=??!Q%hN`fgGFJC!%$7=PX+6B2jV%mt?Z5u1R_ z@|!97Y%!vB$?~}EzsAxiTTI>VdJg^FrCIWXZ$bn{?`w=Q=>O;zjSd)Uk>+zTtMuC-!e zXGCIUKyAh@+Vo5VZhFEo-7{d-{H1Z}>=FjC_z<(Ay7}x7cD_&&9^J2qdHXe78`uAlMW)Sn5QS}@9n{xNI4GFv+;1&RFO+KRonzGw8L zzE0C|Zqad87jZ*y#s*6ABS>xoAuv3cn(f0yt|qJ)5t=t_w?NJwyxhZ$G-Q!dvy#84 zUz6awS*i`{d0DMq?zDypRFmY7pB$;c)X%9UyxYzX2FuO_oYmY;eqWL-=jO~WU#XbI zqqZ|?)jfvX&-%_i2D>%fP0UAvin-CdgtoAjGob~?(~jF(rmjPtxc`pWI@^jqqY z?^6qHUZ=|?i#^ZE)5MFJS|M`a>`qCOBRqVkazlDwBiQE`U};KY$1Ds+gkt4)RBBeZ zcvvCJ{^F)q2dOLtQqnRHZXp(WkEM!-+2Q3NJ241$-sQUelckr+kjUa`I!GC&#nmgz z1tUh;yUe@W;)Q+aF=&{6a(6f=&TV1&#V3Dyo{;yL*US)kINd0ut4DhCM@LUWl#X4c zjcs}4sjAy9-rR|u3w$!jD|mJk7nCQ{33GDR@uOdf8&Yx*2%E3}bWN@*d<3DCV==XL zNGNaG3g(dEM9fUn`v=!4$Kt`3ZZ}_0mZNcy2+tc(`UlgVHTTNN_&ijdQgqlP5cbp& zeEA64tcs{p)tkA!hR^3tKd#wokU8?vD494i-WcOd*?e-TU6~k3^enI>Su6?=3=?yB zGPVvT;P7_hHCfWf0q+Sd8BE8+DvNb!0tuOsOD<5yYBm8oEG8*-=gd#@54<^h^ z*q{m`^a&z6d-K8TG?`Xps=I1ck~BV^LE*An;q}krCp*O#8u+E3jha9eN42xpb>~O# zyHNtMiyu>6qMx0gLgtm@nse9WXDSVF8t+>BzLZAK>ydHbzM}1OL1N7sq=%nHV>{jzE$ulJ6kVw* zG0}dDvchdT{Yqs11uiy8#8=8tf|V0FQQ4#iP_;Tb^s-ui=KE~~<`mxNFpZHA2@Ek$ z%U?#BsF3%y(Rk4szcF6UihVW-ZPFUuk55CnYMn3*b?>XHbBf>`Fn9~|S_=e^=7+eC zE+&^>FnC6b{<{%4-^^$FpEbMJBGgV_0dYrUjP-(XGic#s`2%H%i#3) ziyNpjgmluYK;zKW_UE@fmHw^2)w7>CT9`F9Q-*QqI6#B(yWno^Frv-OoRHK@e%Fpj zwNT?_8XI+q8PI=ZxHw-6_1SiL%d1F<4sRiS5rGuwfICC%uSdlE5_qF>D)@5v&82DJ#THrI9AV5yKvErC?w_`7snt^PpDuTQ?qKtZ z`f2ehrPd|oY*0cX`wH2*0!`8bsxy06*Y~GB@Q}z`T}Fl|T}*S2PyQLevh{yn{Vp-m{i zfk1+DVsdXM_}8}mOI2Z~r*reTJF2RGy5HoBmQzWhG4QD z<{nfXh_D=xJrgobt1xzNCrGOBQiPKhLc9td-0Mf8jC{cHl`;~cc9HBoTjuqnvMK_y zU)S&kec~kYEcM&BSz2sDH{>qmTyt?me;Dyd9zn4Ohyw82vzZ(RC{3dN{qSvyKt+VT z=fUvtWv<)Kb_w5JH9j1*_L3^Hr@{DZVo}d1Ri67>T@Ui`y)9Ov2mY~L$9_4!t}wxU zMhRl80Ax_s(Qa@;m-&h#euG*+z@bkN{cm=V;8kKh4hh6C|FpR`6okEasiRr;7@`h3 zb!}SCX+eiTy~)e5#}+mmJSauYmf$^YztC|(7uUr5o+J+D?Uf+A;85AgcRrDEgY4j$ zn{J8b14_AE90PCN>^|y6j$c zxu2Q3h7i&6zP2mJ1c%{6-((+Rps{Fj6vU`iBK7r26U5K@X=v?H^dJ)ubebvMzQ7H| z-Xs2%%CCEkMkMFbV{|(Cb1Cw%^+qia8n?Jj)af>i#19W!QULVhioHk6<*{3+db!)x zVw}#^VN`Y{oB2cOH$X68*;rHNCBJEJSbISD>QkaWPJ16M6O1osr~c{`+0;s>)HT!m z`BGT#bbP%Z=K^+*fUs4sgZ@>c;M5i3S&?qDjpNRzB>z<9ZIex#7bQcZk)X=pm(!fa zmTP!WSsgVD6ViOHxO^OB+h=OmWkE1sHWcu^jVuN$YWA}MgjZv$%581aFJcz;ym>pB zYRqct=v-0q^0cqc<=E=Baf3|PLdKKiZ#K=6P4lG>sWf7KkhA90UgB_2@N<=W_dt>- znY=FqGZ3Lp1LfRMrQA>?@Q5s-OfX(a=vxrARyY;dtaW+sJW$%>JnjF?;_!SAl;mR) z6zXwQx?w`vDJbSD^HUNIaB=g~sOw^mE;e-$+T)!0q-J#ZTH}XI?t$t}dM!Q4IHr9v zD`~Uk8c$dDgPtserVTOS63wwv{35R7h`02d1@nR-&n6$cfSNJlNONqZivOgBe~!zO zH)u>>*Kg2jyJkkyuCcOO5hnst)0XzlTRC3dsq|uOGeUwnENHI%Rz1K)tfc=okp7Z8 z<7~Kv^KWrcF6e!ls$Z8uGv8l|zV~5UJ+sJ-(UCDVsU|1QjIh68RO(`yQyZj*di#Mq zQyW3c72E!k+G6q@=HQV7z2uy-s>an{=DP7Bqawd#pPk4aO#yi(p@Kovx9U?9h(34s zi{+PswZkssWr{SH9-3=P@WKs&95M7dOApg4)r5v)0m*-{=4UI4nkowDz&#T5Mmeo- znA=y&|1lSbdJsX}%7G1qrM$g6m%#z5tab&-|q?7j)cCrYt8P^+LUIua~;wDm?Z!V95$Ahdw)h^$KdP z&qf)SmPtXh8B0KQ;-23>jnRD2(~jxR0EH0!by+69I^E@G@l<7ukr)srgBISFjGLMF zv*-36!D}_NuWZ_-qb`WNYIJZvO=}upaaW(VUQ~PTjo^>EbeZE>xkGD_c z7No(%tkWietmmdQATa|li;Q!3Z9GNi|OW?p)#2$ zd3?~Ov3lsUl+nN;RnFe6s8#F0**g@S85F(oMnQu1Y09Q2Ecrkc&`;$xPbG4OU8{aX zzbI!9)cAq>yq^O3VXqvJ^*&12k{K~FV+5(O5f`M}bP9SB;*Mqw*2CBH2TW+!T{Nox zkI+o;3mki;RD^37adqF8q0uJm(Di69Duz1)OgHvGmB!->@Wkb~i>Me&wg`{F5-iBE zG&-3o8++oTd4VF(Xx@AhfAcO|hYSx!nzg9i8{>=S_L#^&ShZm{J|p=K%f8x6PVhe{ ziu`@Y`w$l@qwlW>5lSuT#v>T@NzYx)=+g9TJ{Ic05RpI$oU&jUqZlTdQj_k9D20b! zHpeXQzueoZjs-Z_&{wou`@dlgR(q=c8CunTQpjRHdVWIE+*{tb2kJ08T$``JXzUkJYd#+F#*I+aBGqY>!L#QsE$imxxrsu+~&4$w6?`Yz74_rgxqw*1Y z%<<$f5ua1dWS{;Q9(LlwjU%o=bLC=_Kj(HWd8R3{-l5ZL|1<A>uv2Far;yz^hl-EGVtWW@%fjMT*hW8y>Ug3KYOoRUMu$f0Se9}(&l%q{`$@udFRI>^oq zKMoAKWAxWGg~S<)y>f6}mp#ROG5WT*Xcxx=pw@F_@18&|HR0DMQfe*TGA!EzN6MAs zCW%^}Wa+QeL+yvof9QB|ot?H2f(F0*WK%4bqtQrck~!CF8DQAb^>4OrQ$z!gppK`IzrExyFG!Z%4O z{Rvb-ob9;Z*F&m3+SYXE!#4g;JcRKB0uM;Jl|#GhKQJX3QUmupo2FPvfIzK|sNj*r zzT`p>*xA@aDOZ@iCFbG74=6Oz3T|EZ{0Dw7F}A zMB`b10{~`R;pdRG#qEc!i~gBIS#-&{Qh4JFO|Rg>Vf^so0bKh2i){I=MXc&^1;NQ4 z&`4{fjgwTO#3);}Ir$#!YqU+_(c|3wv+m+lOqfLBB?$KD-y`%+Jia}?8y`J=x6zKx zPkn)KgZ}mWpDOoBdCbi11E^?R6A4eI=LFE50$t&x`KfF^bVjARG{8vh0m-N>Ra54| znaA)l6B1&l9?F>!4||XHE-O(nSDg}81PpY0<9dyHmNgA}=l%8YI7TtyjDdXZADR-= zlR~;SCD#suxt#opO>2vF1Ax~bJ-;|7ts5l+=`vH+C9<#pcV!hI(CFd!0cu5bqQ((A zL=Vr|j*;f{P5EbI5GNga`uThL~Jw8vDRi9L!w%WEPH~cq6RybNdGcw8g6N zP8;??_5J8YiT?LapE|)9m%WeM%T$a~K8{LzL>sdWSzcwHTBi2uTv`J6*FtC3$&2s@ zZTlhA!NKl#d!D&*yU&VKxXTzG$!#5bSCp=IYeYq3VL?P2F-#UW@jGrY@27;NG&~}? z_mQF4?H**`f%1g7fE->Yk3(GK;5D1>lRuVMV(_2bQ{BQyP)J`$MMFMEO{$AqydTq~ zPe6`&mNajP3Oy+h(D@PdL(MtKC@%q9=Yk50+3I+qqa_?qgc|Eg?U3D`n4-a1FPT!~ zkbTIc1=j)#U}O&1KGEHu@xqJ2BsLm6a(x*1>Fv|gQo=DWQ&>QCw5gszwR1V2AyU{y zxwbL!igDQv&!uIdw+Jwh);=yM_6cA12QbEs?^NsWv|`iA^{mJ)c|J!m>*9-U=;@|A z_Qu={v~$TQke@!;yZ#1B#yEFPoeD$>IGKB#QQx-e?h*!X^|>lOrE8Mj3qE0I4YfrL zd?sxPFJ6!xPY(wPFQBmoZuQi#`|Dd(87*T)od2A-X4ubj!y9#g5v)#(J@R|b0(8$d zS3*+hrk1nqG?{zJC^ZYl$u2F2xj=#oHv{)mr#F8CEa=m`5I4bQ@e!VRL&OBi(4bm- zA(_aQetKNnne3qEk45veVRzWB91EAOG&lwF4I)Zc(=sNhcWIeJ??wDsLN@XwS#gB1)K}y74;NDd3B@4A;o;< z1(sJwewwA-b@R&JLGz4<=PhVEHEXbU5b+!@zH`m0!wbfXr z@v7IRwx#@>JD{DA826xO26@>4#IfI)0_vNkg_wp9Kfbx^Z z-ra(uJC~E`(cz3ZoiQF@BwEaMe+PqrVVzBrO3ly ze$>$KR@3b%cu(7#d!httQ?h#GyI)4v|G1qAbkiO}2DweEl0a1Do(6Hh?0%!;SqaZy zvL?M~Z0Fo($>P!3JYO_feti^5V`VVs3fBu1LIV8X5&XaSzh`y?Sq!E8 zll8(k);lB7@KJ1?EJ+&0+nFlYBur>l4~jpq?$55c6>+?)p(2 zHk*>@3e)SiRTSZ(}^S3!xN5(XJceF<@ih87E-E}qF zo9}{xdUFTWdbDg*j|x=DxAS1O!^;J46`gF&j{mrz2CiP3FI8*9=Bs@h!wAb?wF{4v z?rhq#m0lN`ZMZkO!D}_Si4sycyzivJiIjF?HX26R`_rWhcH`F4vzR?CN}GEo9i$-s z^5%nh@ezPG29Ir~S>A0)@)%Wly5(h!Y%}MPE4u%32_1%@{<3+(#wPChmE)oA#lvpi zr6JPj{=B2NkNc}k2w#?q|2uIN-o@;5qgEWqzQ*?KGdGX5b1y7twR{0gV`fZ^?~ zYu(+pk7ZjcafseFqKTvbA_f9kv%djy1~%m!|OKGUacUJwRTdWDel;6Px~VL)w* zo_j#fI)Yg}%DYr3bs)++Q{G`o5}?(0(RC52KsLpS%Y<$se~Yh~;^grs-%BJyew5bC zrPlhTBjdl7IQLl7A9_2}nS)n5MIE-EzJ2M%szg2Y1YV*Tv(2KctIR zq05YU7c5?XIoZPz{(e)F(&{SxGmFJpqB#5pTN;q_u@E)-5NU_5l|5YTq0;Jc3Q-(54UsiiHTK{{C@X?jQ{P`>&>UI+nZLr zF|!H)oka7&YE9sq{Z|-9+{r0^=f7z%&~}F2JcRgkZn`d|Jv=9~unrpbaL;`X{uexM zsa7^P@)>bGpmr~C8TncEhPU!WGLfe5EUWn4rcBk!DE1Co#M`HYAzv_F<5ss~YZn@< zAK4C%YV67t)mpoy5^Y;+fTN_Rz7TB0PSZXVw+K57QhK9DNVS-C{qm&AS*YOq1ZHpZ z>QWGIaKbqb5pO9>1?4cEbBe~WwO1v`;?zKH2XVh5@7^Q!7z+{N=(n|OAap0xbjG`iE&w9^rd|ElUy;i&WO1i-1A&ofSPd*){B zZlkWa#Dx)F{6=2UPcJWOYOpDlQhEeBN1ni=Q2eBP(D3hUJ%CY%w47?*F@{#eC59FK zF${?j$pR{wO2j%iyr!5U62-W+oUk2?y-QF@1QmK#!b|Fcc|-w%pN@w0%yz!)4oxT5 zd!S_&b2X2huP+yx$86@ylYnQ@tAz0ze8y&Ahz#62R9SjsPc#b98G?z9*+nGGWqoA1rR8s4Ea-pPSwbKF zVdVeAW|`CtD5w!RM3i(%31(E_+$#Tn+`V;FRPp-nKL`ql3JM5Ft8|QjbPOrY&>hm< zAk6?O-6;*yE#0A%x&6G_@4Qlr7y3P2l^rgp63NB{1lGT5*+UxkJ5+Z*!M*7a5AOj)bSm!Ar0f z4Cwz7s=7L4`XUQWtZc+;oE1-(ECZy{{esREFe@pMzAromaDY30L-HT(ZJy}BUU?q|jJ&DvC^7PHvKe)nO>EWbGb>ekm_1wgJy3T@W1OCdk-h~P~U}=BoS)ifObLm*_o7{nq!05_*=}A|YzdLiNmJVu^QW|;`W#*7^*JJHRH)C#QYH__7l_or z6Q++_TTqNd)Hv;)0)T&!8xNc{QC*h?l!Fo+;^cCkquAl^g!ocPoXV( z@&Km`^?Eh#r4D?9VO3CW%>UPGjksvn_BOIqIC&?1E43g54K~nN_T%=#)ir^e8E!X7 z5}Qw%U2yZu7agDj<6kgPgYUT_nnzqwQOr%GYmUM1 zPuh?{xU+gCc5d9<)yi>fJ^JVMQ|gS9v|cPp1laWs?Q)DS>^L&@B>9USfI2|1>RSZ9 zbsSK);rr!j$OPl-C-{p8x>)MVhV<$NJU0SvY&)3;Kqp+{ zOY7rDy?H+2Q`E}6)*OdK20OkF(O8vMUZ|npo=rFIjsyYyEsBs<(dSFtrDtNGj;b1( zEuz~E^bSS9Aps^qiX7;&Dhd|Qy9H|-wnUDyRDZt#;}sbxA+QT^4eqFbayF2JNTj&O zdsDzB%uoRHV%DM%!>FFhsZTbE;M)xerA*9Y@o47*QyKvWX!TP$MRG$oPTuq%iTost zZbrZq*wSiV?ss8VHs6Q>L|f^#4uei(`IL&0{A5(`1_FK{=nSRPAgeE-*2XZWp0})kv{IG^zeW zEWwHHayWm84BBi32({u%Lerk?AMeqfe4J-y8V)RDxENaYa`HPVZ%M~shsD?Xe1M*z zs|z9P8JXzS;Em|T3ek?^O(YeQoaih>1a|`Qv^Xph7|7I!xB-K8 zEg2j^?~rY1?RPg&EeRkLGOOmDdn-AR>u-KqzVM@2MSX5;hNv;>t@K^pMhDQ@L&Dht zKhAC?2sI-sZwlz$^YQA7!hE(RzWsiTf@B7eIArJZzGBs(`j#^19cI4~mJPF3U#%0V z^FPYl?c8_`B947|vJfLnsP0x(9+Rn|Q~nR*Q0Kz`b+_Q*#L{vjxLE(O=3=OzeZR36 zQyk_^LPpbFFTPTJ`=GlG+6MnXWa=~U7W*x@>RDapOT69DmSNeQ%~-dwN3laL)JPp?UFI zfDim}-F<-Y0fDrqPBuBvD}&eYLEHXnbR}+vo zs9b(Y(-xb>obSbKW0LjwzRn)GRkOckf{jV@Ja$PIpt9(>fvh_nlb084h zOv|(^0G5j}X!$mK;{PUOPLF?S%5?$Rnez^MhfxYsyfDQ26xn$8=E;_|Us{iGO^(dU zC8Hg9CTA!GZ_+UK;@2jiWCQ)xM#TQbLFjdoX=MFMJM@eAGe-$B?H8Z_J78`JY2Bb1 zf)6y|e_;}R=L9hR%710hTI-+eh{dKp#g`?0w6kBKjB$4viE-Y@>0psjH}P=UI;8YusX-n8g5#S&O( zw%Fx;o7tNW;dAOS&Y85IJ)3M^f;I0-6y?VFys*O)-G-f>(+!jzrf-!jk|yvTMKN_I z3F6myJudd53oOd}PQJyMGckJgjwDKkWdVgDKL6J?>=!&7TLQVj0t+%)np$#4g=Yp( z3BBsWc^vwZ!q-)W!vOH9g6KchI|HJ98wg8QAiG!+&ORMHl~!MuNFbjdu`8Zz`w5~Tc@)6!=iJZ6yFY}f;z+Ma@(((t-QC&9aFeJrPVjkqn_A>UYi)K_z|Ql`0wkG4iwWt>MXX( z*Z%fG&Q$RN!I+KF(jP6~QO9dx_bJJHgCoaEWs0!{{i`IH!mO4t)1B-B@^Cx*Ncs)` zw`VNI0_t|kxUA}vX?LNwt^`C(mQe{?kt=$XZW_HlZ|r?zdS);LmH*7OPX9mP?iE|NEl(y0o(xT(^U4cxqR*u2l~?}zbG^w;#TKXy1DWB@Vpoi4>x@4Mrttj{Wv09?P4@Ibwm`~%}tVrun* z2qThyy_lyKuAGQ-2 zMo>K7tQlzePBIIvJk-Y22qyaK!|z`SeHzbRU~d!6{@q$|r%C=Z#J9X0mNGCLAyhVr zlbX%a zM(%q>#ADEp3{=i)Cbyp{eQJ#AHd~rFBI%t%T%a5FUwxggmY*C`! ze_OGWsXkim43D=7S92YBL5fepCXNK&=Nq5@d2u!+$=b@#__+YyE5f$lp(@C|_BVY1 ztWT7FF?qD|Wp(v}SbO7Ii=4Q?EPsq-@Yu$uCV`!U7s_)zM)HgGVV{z%6-p<~BIpQ} zo+9()C{!C!g0)M~Rc2YHHTxA`G?jW%Q!uhu%~x9&^%>wHi7Zz^z&RsZzjV#!79w%d zdyErXp6Xj$&Mci>2xf{7O+Jx-#!ymCacK+)=Aed5TgT*VXZ#JxdG;wc^2H4$pbb>F zXAO|Pbsw*}etYH<9P`3pq)?!8Lygra3 zpuA%0ht4=#3(x(cLvJ`}-aSdOXjl=QRWlZ9UbJq-00xb*9!4@B~I*m-?; z6+^?}68phz!*g&-0$Rz%_VMmVe5#|4M1ND+Apiu)bka*c6#fW1xo%DF5O-SEcM@k` z=JGZv>}ez5V?OA?VuLl7Ph-*nR0HH05OXL&`lL!DFaJWMAA-^ROj|&Tz%>R2yMPLn z4@YX+F=;X(zA5^s_Z8_26I-oZIRY!{C~;-2sV9zcYK=D-YPgs-QR^o@z?JS8IsKL~ zLVyRCz(PvwIoF%^FE9L(@$G?U>mXZ=Je~M-4)O?Y;U{C zX-rC;8q~+(Wi-7@ir@K``AcQwO01#bBl-2Rz;bnjQ!0AwI0c>HToNioaa zwLO{WAxwWEQ$SHwta`t!@2UCQG6BW?{B3COCuP|_pgvY%%U^$^fwGzKCKGM# zZs5=XeItW>LEp+=vc*1^2^!I&qe!U8r##Cl-gKY*v;^kPG*3GrR5e|@LnE1$y zEn%is`m{HtpB8M)KL4vZS?68^f;p9605is{Y_`5trW=DgR=>Z$@Zl%w9_ML&O~#=; zf1=%n#sE^3mWrtHbrK5PAuy`0KCJyQyW-=jqo4MHYY$=a{3myP4G?Kmxn*e9Tf*0% zEIV@?Ym0yCuaEMh{K|MwzKSRHl-*kRNyP~lem^qB{mUZiYjh#V z??e;m0wuxc`S%_Hz>jEtC)2^SrHZtK&vReP01f=J91u-7isIKXO(vO(a|kAfV;|;+ zV5#KD;-iew^4Vf^St&Ia_aw=NuY}BEGoha(&oeq%rU7BMif|v8Y%^icH2lJeoO(+7 z&FH|VVP{>wEV)-5sgbJd{igRRk;P(^04kkx!_tKnQ|6FV_K{9_;r6N524{h(#m)6g z;zz{d=e~h+_*+*006)?8JE4k>?zKy#5vY<4Oau{#dW_^z%O=-GBclRPMHGK|PyXk2 zhe;N$tpU}$BpIo>GDK@&1E!fFtp<;#6vmbkQy*FLI90y@ zfsO-J#7VEd&LIFBC9!+;LqSDzR?pdp^M_oH)tgni;D6Aa%!02c%-@r-*xLBF!~Xgq zBcS>DlE?>6oBr+*09+pCSu^_Y^ zy_iU>$Bd!dOh#T3iWnCKno6qs_iCyH{EVSlE*M<_e&?^S@E%}{f*Tj>?vc|DT@KCb ztVww1*S=TY4XJaf>FLSo9#!=;eX(gKJF|^LidHa+N-EPpc zp+HmUd|ZsZ%-+RA^|FN~m+noBu$9ddu}A0p@VHMo5)+|CSh33uJO=3_?nYq{cmy5# z6VwDk1sYk%pZYHC2g0Gd^f@nA`U}v9E!qkJ&9-at+AGCVXUSNwO)oL%bv4KNdW5 zrbCs8Z@T;+X|M`?(nObesigeP!!)2cX{}a)pa2a2B$*^|g8M?WrU2`5?zoxIx>@VS zje2L-8Ksunkn;hywnR}xX~DUiCC25S1oNc_4rrLpW-GI~qol!9gzAh)s~dNT$CyHjerB89`!#2Hf4{Frr=%wq^?_N-w{K98kg3oBUcVNkEV=TE%fo-Z^_vF0R9TdDB8=6byOO z6NlM9ZrwRtvn~Y6AS9lsZmOw*Gl+CTFBFJ&1gN`79KRc`rbCo7a2>ATqS?(Q{}$YX#q5X zdxwR6TZ4NJt5C7|${^-=hQM19XM57LnT4Ab7AOjv{p(iC&F5e6tg>i*POp9=LU7!O z3R2%=^K}!=Db!Z(bnzG2^D4C#OkYfLl3y@3&0&wtLx6GX%%q?IW^fudrWhIJNB2;S zhZ2#y(dYZ{pyph)PtqK$Ga52+CQ(l~K;AVQxzh4W^EqF^s?LgX5^-Ct1PeB!OYDZz zSB{^#?&_G1M*2R@kUS?c)|Dt1lO0S)y?KWgS=9KDFxuqm6ALIy`d~%im>ho9Rez%{ z##rC7!PKutv)L3?PAm15uaN_^UHz!(893*HA--pIP$&1jIRi^sZfL=~+9&%rUCuUT zztSF3{a9p&u7GQO{Ryn#t6xNceogKh?^mHzmKje~kM2iDO<#x<=?1}G)93N!;edD$ zELriM#<$iU`J3wBF!J6?X(vqg`IzMWidJB}Sd4=mQ%B7v%0}1l6@_se@hfL%tC}4Z z$?Imxq)#g`>b0qg+^&4w5HS_4+nUx6$W^UF2rFZh8Ku$1Z!*JXG88Y^{7(X?KqA}K zfxu}fr&L?$#TGbxzWvQ;TQ)|fdkyh`+Sxc>NV=*!+VN4IV%bESds8_W?I~)Pc=Jjp z#4s#$d&>Az@xW@QS#Q)oFFdr4pCrp;>-4gUGhX5@5;F*0Q4)RZBQxTwxvUjHCHS>H zt-$wf|C=#b?rD7D zUNVVuMDNE3Q(MU*x$?VA`dVr4)nbC{PZcmoxJZ{Tai`~XbkId2Te(Uk`clyg{@|#t zgqdj>mU!AhtTWb_-u3gErZJI zr+Ob?dIMBL~B(6H9qr~`h*X(2k}lhKH` z(k_SY2)ND3WJGs&f~6%_>{|;)A-Ca(9E&x0@QKNmYA5DYW~&V0`A;J-_}2)CnYBLer71d~!3rIvy-~2Q zMl=LX(muBFGe&_Ud^Tsn2m~2ZwO<>P7oW=$H%3O>Uhg8$ z_{CES828&~ze(bW7W${0A8!=Sn`rp?tkpk&bn3-grSaxIMO!}RptRw0Tr$=2Bzy{T zLs;_q^dW1n2|MR#6p+4Aw}TuWi+8mkP*Z+t#;ceyw(fTqqoww4=}3!#J}&hGAdp~8 zS$}9~Y)FXpEB7IPr*%s+EOES>-<6*5oUqfgk9irqKyz$!aR|4jQ-0;V`$MDC9y?&v z^qI>Bm~g4M^nh8<&UFL4fq<30H=Vs1#)IDdsejcUB%sE>bz&oIy7%#bS8emVk#?%Z zp5Ty&1)KA>q(AOgGP?Ig3lRra<=iV`ZLt?ofka$pQCu>*p|3Ncx^G=tI~_k7c5hB$ zWTv@pufww(&_CeXHrE@O*D+bjNHfn{r-KqbQEG z646v|G{$7w4oMX_wiKI-5!fr4KYa;b=346 zH~xU()`v@kksyCiT*nL8LW|;OPz$1cadRf?*EhR84#B$KtUVoET;9l}@>JV z-UVDi@W5bA$nycfIK18hFC0FIw8Jv+;e2V&O7|?+eNxz0DGv?6N78KpP8hu~? zbdn}y9lbRT_YeJCGii0;n(4litlAD3o{Cw)c9(-U@sno#t<;Kj8f|(tB=;Vy+YFj( zgF52Y_!r6O7iB@R3A(hI#66S@&-WTl7UYCfoug32eL5xy zilDr$oLNa>tbn(K+R8;q3{m5EgtoUb3BA!ADHn4C+-)F?Z22SOesTkq2KuuYvMrj^ zsB+)j-lurCsBFs4os{vz$qbdQse8{Y#9q2ib8EhQ+vZrsy8BZAF%z}1yB#DlU&@;(2KuQvzqZRNJIQns8HoK{#LFHY}^q}EPcjnzb1b@q>?OH@cy3xxTMR@PXmlniQB5fs+9})2Q5dswS#qJp2tuFWQW;?#6N->0Eh!27~*Kr&TUi zjT&UgkzRkg!Uzh>Ls5MhX~*m_#-auSmj+tF+tcqKmk|10E_$0AySY70{*_b?YIBfi zgNk3@o+q{EttCL6sSNDpb-%$vdJZ8(vJe#Afg}+TtnXQGTLu0tM9%WXJm5qV)oYKw zIaZ!ie!&CkU0s>ABpms>*Rr>6k#-V{Bn7&xV zic!cOYoZfZ-DZ2H(H%)@7!5_|)mdh-^pOt(){l={MFx?oH^k*WvA!~>Qj^cSElZ3} zS15bSMX}Gb=XRFQ=nh#NeVS?ur%9yP3TZF;w-eINW8CC2yL7A=v&=NWTd)zn3u% zWVn2km&XY@E?x5YnBDGD-|>|oKM}B`s@O9iDhCns#Y^OqM5A67?&uSU|P%+EsQ-$0Zrvv>*TA zj^>S#2L{)+K+Uv4Rh)SXqYkF*R!ICTnV68W$zRj>w&!UjY6|&1Z=kFx5k0pbA1hD@ zX*01|hIxln90<>qMv?p}bJhV}0V$AdqU{rKzB&UD>>ik??BgFLq*v)N_fwc^98kK+ z@g+a)N7EQUuwFrs=U*G3^@O(kTI3(E)v;%Fyv$srCVMOIh+%<-cMRxj@K?kzeG6h3 z&{LU744eE^*_8?U;bs4`1)7w}S|lf5x5&HGufH${B&JxIEShI$V1DnmkG+WGOK2k( z&GoOeqv_lra1sUm{YByZPk-A|zV7&*Ixt1 z&`{>~VM}!_e@*S^&T;>KkKdXgUe!}D%x&LY+EPmmB}AV0Qi_C~?k>fVQ0*YCK>C28 z&9s#exH(YneA_5zOvgh@V{*YHD)Y;|JcI z)*``pX)0|gL*ST1x#)~c#~ihn9&ZSadt7FWs;Az9I}oI9RafRD2GW`}a2GZh+~VYzRp1AJEXO`ZDGi-XAj? zH&>>_vxZmyPHumz>cd>Qgz5{oKY2aDdYDtPAgPhUzgP(5126BjuBYZ0K4(N2rp1%M zR+ZrbYdG--jubbQ3ScR61Ur^_+crb_-JY$Q=o|;-heEX?M@=iC7Z5`C$x4*<>7Y*X zsW?AOI)*W7t)re=GQ0ND=WUrInWn|kiO6VPR8Mr&`02l+ed4)@iTulFVCmu~D^m(d zQ~DcL=?SkCt{sr4Zypw8`tGY&OSc1evAMy`>3~Pn?!~L9DTtMLCO@4X1MAE3@$TZHaGq6zF&dJT$vvgvI9h&!QOMY8R`IT$%C={R8A$A*EU7Uyn z%K%eqHR3pEt$9117-n=gllu-yV0cGD6AmH8Sc5wV5yOz^tMJtqw`UcsS4XxvO|Evg zz4<Dlwero<#Pzw9BxKrW5ookje1(zyt=nOSr{z)o~q zQ(&o+W1FjC!f$xn#Zv!7;d+3C4XrE>-}}cea!Y+xC;KCn_Y8|s*DTqak*l>>;c$=# z`lT4>p+EQ5VwUtCHemC`5b0;ij|6pEFT;c-GCCfq1P)Rs+^kNFbqhdQGMU?cAX+5j zWX z*{L}+VLTp z&ebzcnB+({6}TCm?64ouPaG;e=T`LA^McSw&FVE|9cgrR=c_VUb?R;$||KAk=yBGbq_&u4Zg>?kb5O<{RQ=k6Zg9^ zojj{?S9mVHfIhpC1=Kp=Iq1W)ql=jU&b$pZvpC=DU6xGmM$f@Q-<%KUe|Fx!`4Wi5 zHaK9eebq&m*X&E3p;`LuVX&IOa8cRstd+zOt^j-P8q43o6MkUfj0&Lp8gCfA2m_}1n5 zN`FiOwNw;$2IRTq+FK?#tWUja-xm;19N7v8Rrx6Q5}<>;1uHS-Kk(%HW(^8vx&A6u z3yM_r9FtGszcq1@;`*H{i_NwVm>^ayL;)4nl)ldl2UJd(aaWBR(0QKM%#PdH@JOUC z7Fb=HmsZ41&i)D!@oM90g?8s_03p~{p^0(J07mLm^ZU|z-F*{~up;AE>Ilb-=*N`O z+G2Yz0J*Bh+}CodZd)T$^g|4;w8vxLmqA=dCk7>#zS#;pGSTmCrPe+l7VDh>#emI7 z?#BX>mM^ek6(|L{HIwa^kovQ~-K^>Yve5j@Tf(agz1MJbv$WHMx_uT$uO}VYcmok+EC^Fh2dLFQP^$gew=YSGGgfwBEnh_^Lz=<&vODi)Q`K-eN#x4lGW< zQ6J1LLCKMii$-{HSy#mlbf5U_l?^S%*m39n|T%KEPf;;eb{sN9HBfA0AvwCkZMP4P_WxGod`0On--A~au`XCHT`To3 zZ>0ylyba}I_`BSHP+OGATiTHq3KS|FGFR&XkuhK}lEIBL&Nj9ak+{l1?X=FVQ5;8J zlRAk47F<}OfJ!F}qLM25wB`MYby-qOE@AUr!`tlT?^YJ-*v z)~7J6QDn{eHf@z<*5c45ZX;F)P&3qyu65Jrld0&79C=o~-)?r^FnZH*(>_g8t31hb z*aU=!Y6J1Pwig@EG5p{BPFVy5*0*`jc*DBngJ~&?9L3mC7JHIb`eBn-dseIoPK`cG zth3QG?7StW>>&3Rr14i8T!9&ak}*XiZMDP32~dGM~*?Z7aDo1WlByKy4(+|{bJ0gMyH zRx5ML$C>i|C939RhK{z@Hm=QR$iTk6J=fq!H>K?zT@aVZ-Ar?yhq0mBl3bWlnWkIA z>xshQ9~LH|Urx4?;qQfFU zOmP%S(v}ltC?CuFie|g^jZlvxfp=EoN1i>A$ST3MF`XApOKM*3Gzp%z+R&qur?pAz zcM}qi()|PzO{c;Vqo{ED{1yDhnn-wAbi%8B-1NL){3Yn!rmj4rD~&ZJZ>v#gfkRpC z?fPtv+I0_6=#&6nF`v~QM~=|W^Pfe@CgzgtH{Pq63^;dwym3qEE|eqr<&cHN=M1Y9>EK z*JK|gP+|Fp-cv2GD)0Q6j8gS5It;3v)5}N6Zh$BBbw?ydtdxr|1IFvNlh{onr*Xlm zym>cKTU|#$fHZPL5CF)PJy-&y%n;D%0nNnWpV(O_wsLk&&39Mnnpx4Jp&G6boF?I> z1=8SA&1*j~-)4eMIeBfUayEyF0nM@Z!4ff?kRFl+ClfDXiKi7=va;Y2G-`IBCIXG8 zu_{4M@3-bp==3kV7h5NMToT$QqGu1j%lw`M44_24%Ff!i_w_j}|Fs;c$LkP>0h|JB`0hQf?#Ue-0&5XM|5}iArNb?cK!7*M(09$e zs&K!!KOJIBVRJtGGM548cUiqIup^2(IT5h0a9Z#jJ1(GXRx8RiAHJF1!vQ9D_u#T^ z*VZrIpH5>}`|2XMa&Q+3glMOM=~i)3^QXhsmyTqRi=S>U@6Ww_)b(I9wxgKm?Oj<@ z^Dg%vBeyOO`Dxp|qwHpE=W@}7+ZP*!46;TK!|gqGE3jALCwgP&GB<}u2)Qex+tZdR zOw8JtezbqD!ZmG$aLAiq|6G#rz4@!^Ux&JFo&#N}fiOYT36M_;a%?#l4D301p>GX>?iaoq>*NL6^ukLY{06^j z&r9=71$+xVr}U`vvOu8dyP&y8=P0s#PLdQVITCTu;|@hWr=Keja0V9ATY5PbknpnB zwO1tlkXGMkvs>9uO{XxE`R=@SLEAl__VJ31$_+7VD=X={O3`Ie&?=lgmp0E@@C@4G zVs_;!THh=)DM&^?lQ*;t&OZ)^w_U(6%GlkSwB#K3Q6D~jF6z{${p&K9n2>SlFHrj!_`4gk^Z9h<{$PU4@lQP+kI`@RDXk!mo@QnQsWt3 zW9#~?paRy{mt9mJve8Zg`CHcE0#E52L;{ri?WbmLs4Zn|6OV#)vr_n+V`E0YJy(dD zX2r3Rb3yJiRYKu0g%`cr{(WoWxt`=&SR`Oisy(t@b@w zb$`wIOfoGB5U1~H`2DwPEL*dM-Xg+?XElliHf512wP!i2oVd~P%1NBTquQO%omH=a zh*bHyR~@o7Yz_Ko!QV958iWPn`P8yrX}*aL8gRK9L3WI+ zm0*L`jmiRu@7YEXU)jyX7F`gBxBJai2w=9)3_Lm?xxr9trv4wd&u}T(NL))M?Ywpy zp%8bkz`jBpa9X^@;Yj&L#(gg%)0%g~yBvuj?`*{p^{~pSewub~CnI@*GG#^(6|u>x zPR5OOz}49r3*Bet%atqE51g%B?W>KMxa~f+bUA!Z;Sv7|PDv`9jP4j7{?m_zQzO?k zeXpV^()mo#I#}=n%k}GR=OXWpRbJ!UZmMe)fjWNZri1cNR^faphsSx+^5}jX9g#;V zTy55`Kn)K{cjRn{K3-cva;QRHXuRuWCc*>xiAbc5n=7=@V3jEBoL95&Jg*&=@!3l7 zA_C93U*6jzj?%prrqODT%os%q7w<#*Q*s6x_UGY%p|7EyaIf+P=J(pc6j6SK zL*%5yhz`{I>e;rejE5&c9YF%Bg5`MGt|2w7GqZd8y-0f?HtWI@>fd-n-WL1k&Vk^A z;$v-NQ@9+uZiiCihWu=F%E*DjNtdO+xgeb{bpO`R{bxYigd*TLV12_Jxa9csWeKC& zfKVg~HuL7=?4w+NW{$In1LTf{TcKI?rV@o5Yh z_uJE`Y~NvW?q9X4TZ>{W9I=aDKCeLg(?09<5QG5FfhTCB(7Id^AA_3r{}o4Kf6{Dq zFv(keC{>e;_Hln3DXU?3<9vox(l|#0Re*m02lJS?=ro^jM^Un88KfO?N-LS~Wl~{B z^228gPUAYqvddJ7@vGMbCh_1N8g6KNC@ZUMt2_ukrDZfL8 zaNCCu_lkJE8hbMo3O&zpMVh_|W)h5ZTqxxzu*#i7#&%Sgx3r9bIzpTi3Ne{u( ze~k44L;o+lP+Bjb`#-QmOPPOJB8mS!mI#F*^Zy%5)K3GZuR*?0BQ?5HA>{|s~M@;psC~d>T{zVV5p5PV# z*5BZBtYU5}3CjU)#BK;-qqZb2zzIR3{#%McVDJ%86bc0XrW+XHkW@w_hF@CU4(6Hl zY|KUjFll}LZP$>rUy0D!L!s@u@)4hw0XCj550 zb;8A!?oM~XLRWlA(0sT%R;3~9T>mm3$U%sbaI3uiR?wf|f~X^L-wAY{02ztc=;qo4 zX{5UPwP>a-(|74A$v`byy^NXMcGF)!;E*&A50osR%*1Pl1BJh5ENpe8Oz+TuJmLEC zGH#HwNYK59G$Zz+EbvP9(^V*!BU;c|$0bIQBAweKkk6#>)QTyf36|EEdcuihoD)Y! zWvp5ss|*Ntqrod{1xP`7n)b;B$4T1l@372q)~e6K!IS?*_{2J<8?_QnctPm?N5ZEE z&LNa>f-L~hJdBlbx4&grm+{;uoxRa1PYZ1uF{Df4nt0CIaMXw#gqrt`*;y6y6!z&8 zSPhD^?j3%dW_45pnLT!_^2{v$V-}GT;w@~@anb>{8YMn480!JRCjBLRjC3U)5V;VQ zI#O!UWcY%eHc+aFJ&vvN)p-}8^&(3gfBdkE4(>%_k|68|BlEf^Jw1Yu`7)+4++X$){3727l@*#_xVNDDWbD`#fbxE-n8F z_4IM9IozMckZ|XoM%DS|_B`d`c$k)+ly}5Zs5sK@f=l8q))jU$^*zNg~eZz{1Ha+eQ|9Vo(R>wbuCv@^J7Dna6 zE(tWQi4%#`$r&&IN$=o4U4u7tTyZ>EgFgSK%tr$Jue^a44mtSC8=)=lD&2G0Ew46wdZGQ<8 zo^lyedE>;FPYhHKE)L4aC~bLXdWzFIUrS2F0m76%rOZR0?jQQ>I9IZ6*aAAOw`a2< z@jYpL`xD1H0a-xVQ14o{yPnaSUp7ex__p!h08o?b+Bmu>^?~%|lo|?EX54Ju1-*{0FuBiJ`5G~k6LC-xLY>(lwe1OS;Lt{U>b>b8Cs`;%~}VgHYd^yd@gNu6mPOI~4IAr;+|mH?Bt z#bk~Wp2?_MGRMyqHSCwGsl^0|?LGJYZH?Nq&3MWfzEdYOX6*GOukGSEdd+>ODLHr6 zWcS_h-xyV+IrsvDD_EL|x}OIrY)x#J`{vAQG{-w$GQpt$*yjCzv?$ems(vj%ju zGIm7po$5y(k@sYUJW|$W0GSh#R48?!<9v8kyl^HvB_wKT3zUr?n0jr@Nu>VC+zQAD z;2ds|sNqt|hyO|CLng`()1X%>;d7)5RVey={s-Wv@|GML22_1 z@38DTrQW?%6e$$~B?bu`<1AVz6D$a>xV;!YnBuSh4iwqnA>$cvdjR5LrqOthM5W^Pbk5ZoqCHzDKbxlIR;c7t-Kp7lh$W0ne&g5_CCW_>E%SZVjM8Pkzgw!N z)!v8PfDScVRMyUvS7lrU_hn@*u~2`<@hiP>K+q<;ZBy7sy~~q!AiGL*OqXfe zKf%$X2iO|=a0vJ{F^mhNCv)5A%L$$6kVu?9usv=A6~qk><13`POSo-uzIT$#)DTZp zUN~-)MO9C+ZHI7~_-me;XgxpJB?N$Ho&iE=Fc6rGv_I|1#Nxzszj|?ROoQ+_7A4Bo z>bwylq6v7v5ee~{v~IDl8o@@qx*KOeAqc6?0p zpR`T#ug<>+&%X$qY_rF>2LJimDGUPMz={3)DOUO0!9||6RzK76(fmM)BQC+Fd(6Th zMS^Z8vV3E{|Mi#Wn>LG-cf?i6EeR zzXewSe9^x@X<^@TEBHSj7$Tr(6aTN{Sez=rwg30i0rW_2<-fZWiX2~q{y)CJpB0Vl zi(b}`y#H}ML<=Kf<1#!nl0~%TCNM-3pAyzn{}>xqb7mGjnmY+%|Cj6A-sAs8ySN-c&K`o33PZr-n7JY3B<`+TVfaZ#+FWyq<3y^g%jWM*tf>G z059c5^xUVhcfoI~-c?NVVZd@WCsw1p4;DC}Ln5IF;{d8Ja->v4%h!lw{u_M9yXi9U zi0V9cyQIeX98v#DOerA2C9Wj`778JsPV-N!L5(Zi(PmkZ`^r8)m4&)^06)jBYt`F~ zBfB|cDB>tRmK6^IiFpMBIXTNsJ>CWWiM*~yXC-C6=TfnMN+m(pu5uqd^MNe1dlOdY zdSoC@M>01;80cYkb8Qkt)k|c*e>GC5j8lQQg{ZVGHs!C@2g{lY=|cS#7P)6^C3aGr64eToHD(28CeLdD<>9;;7+|s)qKKWN0`!@1;4>=%x;2C}KH5 z{Ux`Q?;-h*WWjLg$Y+p_{lsLrv~JG+wrbiDF>>`!_+7;+bHW}>^fOmhTCgOpZg%$h zQY+r^BYD2JXH zux$CYI(9=TbmifRFs{UgEL#>}1!>MF6Rnxj4S#$r)a1oh_?>l$I^OV}uFZX<{y-VH z?yB-$OUdAbQko_c`0hn{jatTE##{fYL$A#yUusJIq*?)RCY7HHo(aVB)Z>Xr54kha zN?zX=l)9tGihC4Cl0@{A^WB%nJm=)@)I!Q(8lLIqsVJG)dfOagtsMzGzf$D$TY zlsm#2kqS-7)~k8%)MJei)7`g*jbRJRle35tUQ}Shm8RsppA$%T=W*6I)Hy>^MO0vp zZBdnrjTi5e;8|CFjVG^xby1x=!XP(4+A9Y`Sxw18;973_AkN~|=A`Z@zDUutAmALJ z51rRf2X8YrKW-7R>0XmDdV#;czFxej`!x6Onz+0`LGGE76PVtPeVGdHxiv zHpxX3Zi^9~v8tSU7*Th9NaeRRlCP-GMtxl-s%}9CLoXX#%(qv+EIpRA-}I|xS}s{Z z83BP@e!R@P4fz;mXme>dzV~XMLUyTKy7avltybm%R<|9t0?rp4W4qHiQCo29^^2&= z!A+18Mb~>f)LebuJyFN>6lQ^Ql`hBw1Y5TS+Ik=1@=X~t_C<~X_@(Z#T#)s}7)sRf zs14fU3Pjw3eJ-DeHc=X2kUl3FP8TMR{XccB=i=G@_&WS&W zhg&?rX6Y2`&;P$IyXz%4)?-AW%+nB?Jme%IV^;-$UQ%pXJx2>jvKIeN@Vu}j<$@7- zt0CX`84>=3Tr)bYBmROpBum^brSsN&b(bC%3X%I80x^*r*Iklyg1lac{Q58hiL2w4 z%hGeoq5?-`t@U>(YhzsS=ju$|aE6#2-ToA{c+PG;EZPyzXR!2xhKMYpi)kvl!IA>C zxhQ926i@M|Z`sE_Tjt_@(6@4Yu!~_={AD?4GQ%{G+QnsThjbF$15)>W^73>!al9!y zw@_f{($qIN=hCUzuhb&^>$gv#qt;b>F5!wMvy*1GlnQ0Ht86)yl>^e{7fY=2Eh;k; z^Sj<8oe)Q+xZ=JRT3eTiDg}0n@9w(n`Z`{4q@{Xj z$ierPV`pB~2)Ql*Mj1^kujjM#nHfUmoGL&HDQtZ zub`A1MP}S#)41CCYR23~$>e%oCkG^y_6PO15EX2+J=P86?(c$l?G2tFM~M2Ux;U5- zp199kdUjFtlH8$@-M*wr4ce<8@waQD<6EZ@5Cg>qa5{(08D95qDz~`R^srX zLMDf})bffCqKJp^FWRG1rCc$uo0jDiq^!XEC3!Wg$_$IAFIE?7ksdWH(Bq7nEwhvBRUFX?cxSQ0{g}*vrcE`hj|G;uLerfG% zxeL-5d2%XbBO!DVxB1TMqx5$`tr4i1cGU@d)_&CAP>NWc9S{vuS+Y9qJ>E^@n+kfS zRkZCH+5Dc~K}5Be0KbvIYDdqfZo(OI=;FEvI!ac^B5x{EsbSp*X_UB11E}C?6ty$> zAl9P=y+O6{ePBOA5`rmcdB11p^*XXj6ymFXMMDybCu)Ga_Zj>&;~_fiWqcs9bp}A&0X5u{GlEza-5@DLV8R1J!3 z`Vp~SL2yzRG&T=Q6V5sZ-j|s(OYvRCp--9QLap=Rg>j_s1@s%I*~@mr@2LJ#Qr0=K z^Lp_OYT${^)w^G-h@%-%iR=;CkYp8z@!Hh^Nkj+Onw&a^AI$W8mQTwUjpL!e2}5*rD6A#NTzNG|$=$)6%M-BwCX`AIa z6Ne0X<5cy9yt!MdTb^B<361U)7P?UEDw&G7h!)YEUv9oTapA{$7Ii^Sq3!6V-^)F? zWZ~A){~;GQR!7n`(UD_+q)oWl@2t=#g#GR`FvGhoCo8mP&}DNe1=Cw^Ul2dU?w!9l zp{%Wm+x!oxyQ}vc<0T}O48Qm8opct6Jf(e1f7x!(Ct=aKld(~GqbrFNv{5LFEn(7# zLN!Qo{4!EjzNQyV$iEmNT<7f@PT>cQvSp3EQ$&5kU>8uSDC(a@-L!>)B;(&dGOe}(dlj~ zogYY#;iy=@R|N7w;DJtYEM<1QRdys(B)CLC*M%BEE~syXfQ0u;7iV9HN6z9pGKgcz zM)>;j)%0v3e=<&o)zijj0sUGDm>&vJ;w zSoofT3U<1b+hKSuTpY@KS1;p+@_|-ZB2LWWl#nh=+*N|5O1F619SVP`MoVtx2BzitV>R|l zEJFWk3B%W$Ui3w3Slpfvt@_(U3siz0um9gnVa!^uUw4fgiFQcBB2jrXJ*v^;83 z)E{*2g;7N-fKC*rp<_<3>*tv*l#84NAF4IY!LinEhmh&eS{tI2Pbt(G5%x}WwW}D^ z0Anw)0YadM-RlU*)SX}sXvgV<{D45@5WZi-h8)%SS}s-B1$i@ZTokpqMI!)@rR|!h zAuEvuCmz*YSr6r;z3wxp+>W7IbG}c}33?3YTWIb;9(o=#*hFpG9|5s=uL$|**Vhr} zWgl6V3MHzNBB!creVo9o3OBj3xLsC!KzYi1U5i3*(+~W~qxv%LVn*rHUTkP$f=!QCaeL-63P!GpWIYjBs~?rt62A-FURcV+K= z&fe$Nz3)}MdQ~m7vU;vD$Nb0lj~OB_EA|S700jVmR}$jFiU5FA0swIS$Z+5@f07>V zz`GaU#MSKq0Ilcm^;tX}8X*9@10;k$E4!o}tvY)ssX&20yA>3pd)Cd=`L)$*i%JF3 z(WIdp=orHC9=a=pSB~iN3JLbvVtFnWAupJ&H?AE5@*;|Gt1- zM($*+#M+O&b+tQb+Qq7>s`9}QL?-qB=S?$SG=nzquLpmhmRHIye;S2Z+o2}F|JR$q z=V$i5U6TC!V}dvIM~_1P{Vjrf83C)_|9*(PTJsZ%{_l@xyT5jN`u9Uphw-ix{{Q%= zC+Z8Zpno6L1n-Xud$Y%IEML4brfp(tB&+T8>Zk$y8sA=J>Hq6xW-dX)`39m3AtI%X z$tmqa>bndV=S;CJJc2WPI9Cj1##Q?8f4kJx<!&B(~44$ejHmyqjmEJ^#?Q7xSR7-sp+67Uu6t@%8^Y0hokFtgO5q`p&MYzLwvnGL zY&-I|&P*XTq3U_e1~az{I+KT0VhHXLPir(kG2$IOHOeOye~|o|BNhb>V|+w;F*_At z*I5It$r(6QY6yi}o-#HgdR3;dUv;yx%rDy#)D(4ZV}DvFiaIC0F)y(d7M{8rXcg3O z%o&}oMjtE5)f2$aX=o&!`nwN??2loN^hUQ1yt1ddSF)c}-41Qk*l^e^I$HKu+PF5- zSte#SeS<;S-75-rhw@?`+fFqmM#@p}UNmzY}SXwDHn5^mawx&IA%UlCfe4_d_Jr>|Y^HEjyRdICZ zfvZroMe$90%JQDh*YG)I@`k8q=fUe0<*DhKS~~hgb>B9x$suKHoQ@klkal1G%~dAqN%C~~%m&zZ`1a47HV3clvq))o-3yLlM1RpC|Sbv&HN zJx8NlZI{##eMGgXL_deX=DYft0TrDg~WL zi7)+R|G>c7F-Pd6P4`M(GUEVO8UTFKNFm%w(K@R5``c8Ze@=eA1Y8Wo5k3Pz9u8r` zL;9IkM^whIlZ4q9exEWcp_H^80;XtAiDUbiGkq=hk_rZaCeYa!!8UcuY_}j+>BFJ| ziJbOrewVGT`|==G>z#2ghrC#eWT{GmDJNT8P6W#6TPNi{X^O8+?6Xm4YQ}S<(HyKg zlhr=NV|b!C3me`#4yU&WgEWq`sV<%wG=Fs3bPI5ORWda_)HB;t=eM8rinkiXS&>i} z>m*Qk9=ujM6FKFx`Jz+3^m25csnw0q={z(ionU|3iD2pmPGGTkoL*{05Q%14#))cH zU-KDYL(jllExdt!IBEQNj98O-z*0}Il35>HIzT1y;y)#wbVSThvrhW?zG9#Zj5xL?B9BYvR{;#(~vgcD+-1HJ$&6}tNE z`RquUpX`mu6r1b0<a-_>?&K zyVTx!H60y_ij^Ds9@{@f-{|Ul7#E7ZqE-3PqgI2*!0>)DqP?SEiIwEm=&nzr^r7lX zUOa2ObXYthQYTJq#S04MB@%w_oNn{eU2`{-GauZ0L&D1B;mqRv zEw7OMBO(Al{N!5v=d9uxR;ZRcM2?))%3XXB8#SQ)K3AfSQy#mg+*Jt#Sj1p^RocKcZf zQ-*Rj;8gG^*`E-q%QJ~wB4B)ig}+^@(RID@iqFdXU6g)oLq4zh{hji$XRw|G*KZPa%08N7IjnNQg)+zPlHtLsaziow9_ zO~_ZJc*+STpu0NkNr04zKZa**&!J9I9Pi9RjR9t(qfJN7x?ySg*7hux-C(iZLS#t| zFISn~ZT2-!2wc}$)b3|bZjYZ1u>HK5ll0thsteucScoAFOlMWGnxuuHei638_lw{xqqp!Z#OeIf*E1{+Gu$Ld=TWs_MQ zVO*+)E)+_c4ClpAWmB+>$9$H$_qA*|a?z+w#s~{v*GlGa?<%cM)t?1G;X| zOgvb)G(@>)3Et>(s)Oqfb9-~*MyXul$n}+z>6_-UNpFe7DWvOty;nue4#9B}$@X~D zOCmvf|4f&6JC9PbgKmeLy6`hPN_{uphG&;7;V00{8zLT$XF%vxS)?EBRLu{kJO>oz zb{^)H<#5`mR0g{H_etuj@E!c|%k1)Xr=qj~&stp{d!`-vO_vYzFz$Y`HvAf2lvl9; zgh3n;;9})x?lLq;V*(Ibq|i;xnO^Uu?LV@nHswQXR^9(tibtKU^ozYBoQcmq#9UWd zdq|COE#4^5Y)4h8QerbrwBP1nnD-68D>S>2cfDl>vbMa^80Vv0JMTs$iieWyN7^>& zi<|AsDY{2HXq%So^rPV9`(&rnbj%r>$j%P;JRTWmg5!$l)vRebbPpZR58#$%>N4$B z&}y|7o#1FMifb&dm9z~97R2agN*00`m{e{?Cm;)BgrW3mGH>f^(yeBq=CX{|N*0Q7 z2Ro!Zmeh8LkO1Rli7{0Pgb-cRq0z(`w}}&dirl=_ZZL(%TC`~82F6M1y{h(ck>Zi0 zeUP~;9Lb+AITa!mAMTH?O{=7{@trL;D2!G_2fm@=XYoG4+f2!`*aQU-EOpdOe)T#_ zCqexD+m-Y^&+kL@-C?8IT#XF_!NgWJ@{XUD-Syq49``MYg?1;Kxq;V}t1QUbszuVJ z(7n;8ny_1U>yw^4CPseyM>j}@?O0hU?R;C~x9Z9UZa|t~`exhN`#t>}Qc+t=EwRGOFF|ucrpMT_0y-PNmEzP_mh|5%!+TSvL)0 zW%LC#_6I5J_Fp?0P7S|Lr!AD#ZGu%|PgB22dFp{@)KcFVV#PoGa_Gq1SM%z#V%f)4 zETcd79(~+>_dEAvJ5us2iU*`B^R_ZEbmO&cs)LPUz~^dQ^;hU}$MZ$S_EcY!H5Vqh zxApjE*~>n5*9XuxWxDsP*BjSE#+>S-J<&9U4j`*q9wP4R&$Fw0YM^9(CH?8Dc_Y|> zPfQdRE`X6Iyp^8*ePx?~Jx^KD(RBH1Qk<(D?p0DY!}IEwQk_>W zc%CwnS*@3Pp8bGm)tzQiycED}rW?o$yRwEX)RO{H(JFgJH<^)%E%~SD01s~*ki{_H zuyoQv6<(?M;}x&fMkx@##Scqs4kFkrUAFJL9jYGzMPq{M8{NfUwyp1iu?qSGEMEwv zO4n&({avZ-)a{+05R2NVA`dy7gguf)8=mB`0C|efF$i?Q?ICf^(u<)GdZG_9Y1I#p`@r5Jv%^7 z5m^&v{&<6kfgmwVu$`@aZ85`TZ;c#XTE@;V8?H>fbSJw!z-Ow_&EODqHe(uQYSiYv z5Y-Uj+||rFZ>VEGZ@v|kuJ~dH1r~n1GiBjdOeFja_^QH7+#x03usTW|3jAKL_|kU7 zIqY}Cr^}J2TjnRV8>K3N_y%^K7A|A!*3wYu{=UQpXq$W zyT4sb^s(s21)>mg-Mi)IGE;1nu`Hswi-V3f9b%1V#AuVZDQxXiHGURy7=5=Tufr@um0|2oh@sbud-3EUXG^0iPNe8GF%&?JO9xR`A z#a}w}YdRk(SAU~3SEZv6;bMK(DUSgB@%y4Uct=;-q2Z$NaAv7fD6m~eCM4KwBA-`i zH5Ol{2bE=I(qPi{c4wj1v~Vks6R&L`6v3jC-QnWAmm|y8bu&3vVimJlwSR!twWyUX zUQH}`VT;~4*;y0BM6QASX-ePH6*s*nBF?mDM*+`bRq^N*uau^v)c zoWyF@m^I%@{)*yKO20wm0t=1fv=8mozGo^IUyE;t+6BB%Tm4x4-ceu?0G}e5=qHh$ zt3uo(Ch-K3+2!pWo|*#YVJ{qs1V?#@L;kN26EN^vDzoAI?vx(YAuxFYbl zZt2Qx795(2W;)w=m>>3Jj#KPitGw)%3y1MXJbcG2)ngP)5ENe8CThe~;Npw+-qv2k z!}}orHiBfv2eoXR72oi)nEinSF1Ut76>PK;%(tO zKo}}sP$C+qH5w18ZC}(%(5O^g{7fe_l0rC_SoZOiu&+~O(R-R>s%j*Q_i766A0e6t z+T_5`6*9&h0zx1Oyd$A6~Ev(QssEcVEb2EKOiE$5t3`a={Q}kSVMj8KXmcaf#>? zS4*VeKk&_anPcx&UpiD4@!lPMZ?!#OXyI!LV|(beZHtE1s*F+=tG6q?yBqZr+N10h zqrQhqI%}UAn8s{vdeyESPjNht9M1g~4H?qisK@hv($D8gY5sFPlCbBgh$twYmMD%j zZF^czB(y$-!uvd5x{Fh;hNKNh(0)uCVAOS_s&q~g3l>uePFA#BNYUcq;1eg!4is@0 zgAOE;jIY~-6XAST7B8ALJ!fI>qc1<(&GR7PoPJcj+%JsMqGM667HkTE?n`IHQ4NQs zMVCSnX=Iehk(^=c7p8pPH-pPI52Q3NY-lzdI9sy?n9b->x7USSwJR96<#aN~_w5|j z<`dKJm6^>LiWQ%%wL~KLtT@!7wUa%Ar%h%`d7K8w11|yvwTGzI#BinNU^YJ6a2bCTbKgK9#I<5JN}C9pYj^(rQ`p|M#MeiC%&D&)?$Lk4T|orEaD z6^b}6!%wYMGhP{EE?+GnxrG%E^|Yki4Y%gz*Y25>7gtUsGlk^9x3q~GsgXVsU3cS2 z`|x8_=9 zHabnNSIMoHEQXR*>n*XI+f)_ha>vU-$KAI>`r*JPU0CHw_U(J_%1_|eftL<>c)%?A z4lD;b>_h2`r>W3r-p{q)+%?XI+ z%z@vCf7B$A#M~HpQ&bG}x_OMo(UEIp9biV|o$-YiJ63Hw)TUH*H-tpnSGEQ_UB|S# z;o-K12dh_$9~g5CwS>z9s20BA*HTqm<_ZCQ9TZ885)nENKM@B1|AEOc4Jfiqt-{m^mbCJ4~#Rz9^El z7|q@qGfXT_EYUTvb~R;M7m$!@HB>n!sTqT}>PUZUSI#cHrfhp>+VGnXi)0w*%KyE! z+kOc8)xI}vjwpv4>dX&V`6-L>QFn9cp(K_rx$#=)fCBg%moDfe(K`00ZC-pHuH8+z zh@buS6(nTOe4Nm)4(?hnNUAn!0vecz*lW2ZFuW~g9`#OBlu%9bFb8>wVsORbefNQITk91$ffaA2QUe) zF%Z?}Ifpf|ZATP4>vMA$jhi*Mq1~8*h_n2e7YRG4EG$8_4kE}Czuf<(v8$f{K-Zkb zoWwBS|3I|nn2yd*9~4u*BBJ|<{Rg{d7hbi03fEW|UsYS=q3nFN{GYEyH;X-4J<(^g zi7k(aeE!c@Dq0=~J2F5daj1r4n9JJm_qYxAittNmG`~a6p0oZ{_5tSJkjaOa$+`2UPc0mIlg@#o>lTI7 z|Kvk?K2(kXV{fsJaC4i|&ck?4W;9vJZ*c{rs=vcuy%gyh@lDICt2Gc}|0z10$?RQ~ z+N2}z9A(9S1=(4%2!CBRIY^kNIqhHYl>YR)XR@t-)J06QqCtDDT5apNP_0UlVthEU`JSCB4k3pOjWbi#%8~Y zT=5CWeD+ACE1CP(vf#h&Fjb+2+g+6ngUI+jXoZ&NoxKxq~$t|=Zf9=BDD%U-W zT|*_@iBf@3PO+k#5NqqOB4%ELCYA*RQn$aas82{H?ygmGy5RX-EmT#VCcSl@*1L@f z!75(kd?Po3B7Vwhrxwb~i&KG%1lC-5eQK{jJ##o;k`paDSz_*ghAW7?YN*lcsG_ps zsU_mNKiQp-2xp;+PDp!p{UI>{_*@he3Fp)0=`MitOyHnUHIhg>?b}b5LzCHivH?Fo z-jl|K9K9GO+*|UUd7)mJ2b!m!ZR6pm*bX5cItkOi#-wz|6^pbIpiE@)mdMVWV-M zSIID!KT71~dhU#0$agx5h6t$}mbxL6ndYYi`kimKwOpE&OZ6ApZDgtlUZMZlaoP^pQ zAwdl%eC^Oqv*iG~M8@-rvjzL#BcbdqnYzrybMKX?UxGN(%X`sH0X$hY%#2XTiqqt; z`HEdI!~Ji#8=_DC&OarYCb+G+PLtW)QanpeRP`72Fb8F!5ogUtja-BcA?_u#z~7Zs z>^Y)~={63NcGY%Qd>9p@4ancOJ@i3{)TZ|rcn16<`ZZc>1vsmb+@28aI-<`Lz zz3bG{fXn$}NAW@L$VJ6cOXM8aFQ*lHJ+CcS9wGA#`NigB(~q4?iRfJ(_Z}!3aY}=0 z?!X1`?(FKK#oPa}&+&MXRUn#JyBYe5B)-G*$3XQM&WJW;CUeQncf)%OgeuqF$z6z! zijMv_linnO^{WueE*0}T9m#E~F_OME<^)d*LzO=>Orl9^LC|evPx^RE!gpZQaY9s0 z(9Y|G8<4X#{ePa}IJWu74|Ni}aYV+{N$))2l=Ey#RFv#(y0N#BGsuLB7{ElGlVJqM z(m59jVNFePz^ zQHad5-w)dkxgp0(*`sxbobjR;eu?cNKVEpcY;>wf;iY$^wd-qcOe(3B+lV69eF_l# zco@B|3;Q{0WRmG>_wc-OH0^pzjH+g{$Y=NNCq~DMT=$MY*XFr{4?7pr5Rb>`VtYOu zglzoKV}h{CG#hZWOnKg?R?pmC{g0?&uT!0biP7Su>B!N=`(l%@>}Ya%P>bX4TEtEFfD$-+$ttSmck4b>U< zM{2C8yHQN$YC4PNvm_=gF~tZAGNo|q_x!F{Y@+0Uc$k0mx3}~ZY<;nMmt$ver=x-K z%;$@(kIp#;;W3j&1w4A_rVS2Os;mMKRhUiY(Hp{h5Zxpy_wumQyE>LLL4%tyc_MwB zf!tdA4TUOE79?}X=?8}F+SfhpwQGw!b__%fzz2>&zfIQK_-|;u<|416ie{v*gmRU@ zl;@=n26q#%ASabv0tv_Z#E0XEE}{DY2%jcFlB6J{kz14o9*a728gHy@#K8!B=K+E# zx<1_RTSpc-p}4)^8Mx}!81Yf+ZjZEAsah2vtIm)4#XqRRkztgm_sJ%*hhZ+MLp+oSfeo^3*eu>? zcHHglgN!>eL(<7aTh4i#TGxi2k>R<|D0igSpL=X`-N=Wo>H-E^c)h=Qq7Rgve=`Os zm$zGd0L{h(<>FCQ*|%alYHO+vw||LO(!Y$}Tz zO<8lU)!K8}^Fuc1Si%88a$2re-WEr@5=uA{SSI%2N>Rz%c`-|ywCAY9?HWz6NQRJ1 z#$;X(d9{~vnC6sWRC8_(r?YXE05ZA?_aaP9QPp}M3J-*!FpufWp7Q$O$ zfB!%xy|kG>U-iy;7n~z5k5f2p{Pg(47>>ZNz&}OpyQ5m=Z6vY9`}b^#aWg}{9QYPn zeHRGYDol!Gn9>y^wPn+4CkyU&Qw!~9t4pw|?FnBYiK}KVhQwf@lg;OSs+EG#zl)3R zr{X1qzmFQ;ygz(GZck&$8T%>N=QFm`4k-9bva$^cwH(bCIqs}rpcEIiT)cffR(p{S z0@bnFz6krv{^?OQ`@wrYq_Jkyiw1*MxAIesaH49Z_i|02a`_>g(tnhLah?HD3R;n5 zsWvT#!z{V=^;-PUCgTLkN|@;qzZfGirz;}h;MUJE`;BM(!cSNb563J}#!Ke62oNQS zpIdy59stzMZ`s27B7&Kw_pV7K?b;WRv#E@sSrQSu;Z&+rj@K6F*Y5ry_vvD({}?@x z#(`lB#xkhz+!!EWH$Gs*_`k zz9mFLK5jt8Qw73QfY{+#4D6UugsX5}s{>5zdm*gg?FZWDTWL|<~ z85};}ziNTKu8+k01kTVagZ|UPeVMzuJjUmkzJ~**myu)IG)*}aA3nQZhnbG+)Jht+ za1Dx=Nl~ov0iEV-35h!!WW`I6ds&VAb8o#edgh5iKeOR0i}@#B$Lk=-L!-UG>9u!- zmm>(n>(-QZ=f~=J$aw75*H|%w zTUs%AKET)MH&tfc>22R~og=@T&)vOm*L>M6ZyKZctW$>S?!Nm@*Yi)@WY5^$c;XKo z=;Od3-J4XhnGzb@>fZt+!%tSZelOrytY0s*eEZ?9-`!z+G(StFlPOqI+moip+#?me4f(Z}6Ip%W{`Js|t4l78)gO$zZqHuV z8~aQigX?z3AHUXdSf=DW8qx8JUz6;0HK1D7GOdOe?!9BOoqNYPp%jLSwX-_DN<%Sb zs%m9C4Bva-fK7w7gopyaH0#+Cj>-<_vtfrJ{AN0!gXzm27URp)FXZ;zAbvms@}<+* zg9#UlPvAg;Il42g{4?>>sTZRpLm$$M@vtqPm3NetC6vbvHA0LHqLxw2#nc@hB;~BX zba#v6c+Q(9>1R>U4E|y)L=7^=FL6?xLX3Qzajez9%Q1`_ka7`Rf`6?U{A?(S3S~n~ zc!I4ElD>Y4c4)SwcHp3Ru%&+LeY+h^D?Fogpu?9LvZFN-3I1U%ceF#x)pgRhjd<1V{uK%B zPfKHy=A9#aDXh=aYcQm}%0dErdwMC!$hR-vaR16xGCjVd0Dzb+2i$wY;&%AwN+^h> zv~+wfom`W3#YI1FPhltNEk$DCuU&s7C2G1+RLzadjkP4D*?!>6o$G=n4#?_v?M9|N zdq*4VVaf^*aG-=xrY4I)?K1hgQaKwF#M8=_Ey2{E|_ z=M$0-h|^Ks$emy*IBtjVm^nkG|mAM~h4AQfX! zPAjPe!YAc7A@NTW=vQ0NdSkjUVROE!Q?hMoaJp*t%xaytF^tr%tvsK0NeH?~-m2ye zb0q!>1-Yd~IjN!Eq`37H<$)H`3xKS19=c~7nOu0eG56MD9e(j~&Uvu`x?dP*%Rk17 ztAgQ8RCujxpp!XJ;W5SLoIj%%NExfnui}{NebtB$SmR(ZJ*Y-5CS|xi9xmgx->=k0 z*sjkd4nK0B15-AyN3?D^0i$gk{-?R2yC)8lMfZzKq|IO5cUF4X|LYdzFLa`p0GINAFptOd;4$q@nfPsh z`KD!XF5lv{4Po2Q4z8)?<}GO9qowcEe>4^P6J)7`Jqo?XB3MiJ=JPN2O3%X>b(0Q+NX~df|FaFT6nDh@ z$A2Otf`1~TcN#9%djHv22S3Zp(Nh!vTk(wlKegQbpPTWT4>MnGFSZ3~e*LnRAj6=I z;ZHEVj9#Ts}=)AW@&dt5pgz=%ZN)lYlK}IF7WWmt!CGVMUhIY#b9UZcMHIvnu zG)~H-(_3-(+J_excrD3?h&N*oVKNH24I~EK0Vs8^Db?HaX0G@9l zScGTr8u_nRQ2ux7R48^d5)>p7ct9q>=@5ta=1gHS+E*0Y{q}Zy zyVO~E@4Yr10O!-QnTTtrE|u7&{wkzWJn(F9PKcNojl!6bo}Sw=t+eRt)YQibc`>o z@zPb1h@eX{yVVZ|lCo_i_YE$O-$CQh>mpb8k<)InnYmqawB31YI+HwI z_owTVdR=6`$yID_gD!GOnJc?=QrnE)bDuq&t8nwI;G&vFYJQpCUt9`OhrM_qJ#~b9!&xv~qaM@E8CsdBj&eC3PX#vaPUlaY)Mzu(KAcZe z){yAlat_hMhT|$Xx0L<8k!L^d z6b^fycR%gsb7B9x%EvmV3F+twjzE(6c=RjG`M0Ik<7nAk`jt|S7n$$(Q5ChNpdX9pz}x8hFu%#Sv@kwm9ku?vD!cwgG-?kk`Jgb8}-)5 zV=u$J(kdlKXvsk^QMs*RY|+tvV!a`EQIcR9kI?{murM7@=1ux>iQSNvO?=pY=N{Zd zuo2X;zqLXz+mR%4UKhj!d|DC(m_J2$|9pOWN}50zLOwsB8#zUU{(Gfezp$LBd3smF z;Ri;+)w*Dth>j1)M-LF11r9AE<*?sokIl^2!CXg`sN!FOwRRB6VpR!l`t1zil@;qB3y#A86-W+`2is+Kuge3Q=lv(9$i z3!$8|wa0e;DTM zMVBLTxjPsgZ(n^0>@pSB&_5036w}Qe(bCTWoii`st1m(!y7f9ZBESS;7`SdXXgmA? zp%_trzq7^7!b~<4E9Rr6jP&qGWlj0Xhn#oU2cQ>mR7L8`hp Ne_uji$MfHt(V|^ zc{yKN&y>V|ZD7}TpnKB>+sI`TX@6LgqvfyzI=i*2V2Kc$dgp5-sq<95}`7oZ~qvVe!NVT`72kP?i!=dz^<=T@3WwH zp@6)O{+H{HCUBt|q*Z?!t3d%%x`WzR+*NPIC!e7*=|d^dB^bDv@&WA4UIZHqM)&ws zZK_n+;tr}Ihn}A->pJt+HJ_sUL@^Vmw|te&#Bm*~5o+5z2!OVCnKhvb$gI zo8|XML@6e#o$~R055zf!4iEY@m2P2+UU_|!Ch>ds@s#KOVY$?@GNQz2BUrX;Z;a>J zPbkmTn2DlkS!j^~oPPsVmA7ue9I?q)6qC!%1Zfkz@_6NxX8@N!a;2=^Oj8i+GE$ZL13oB* zj=qL)0rS+=FVu>+-D%@fKY72o>H2bA9{v&tCZk^!^8V1xQ-IpBNko~wo{YKTD;3w> z&JX-{jhev7e~tI_{_g%ojo|zCKZF+t2u=>BYsvb2V4o_s?E=AdLsq&I5+DoIwR{5S ztS7wTPH+JELSlc7TzO2&r~a$i|3QZ_Utix4>G=#dBG-*lANSJgq3Ew}cL zl1(~d7ewZa8iz9s(=yKfAM@I;+nRL*G5_pPTx$hh?GkMoAF(;o%iK*FCc6)xf}?fN+n90(R^;1e{=>019>A8bt7C2ar051Yvn$iz0S zP}j}iNfgAGX!7i7_oo2%rbyqP-BO;-1(#nWPKQ&~TLgUstr2B{QB<9KDn_cy^$>V9 z25pB&yZb<^5HUGz+|&K+4wL}PIr8p~d;QeG5x$G9TXdaN&f~#IX_JIa#A5%y>%GO~ z6u4*MbMd#-mfK-;9q)}_A3XW*?cf1Wk(u&cAObuejm1-gJKa2K#xFn>@K^e*AJ2-$ z-i_`ScHBLXEA4vF%+z$0wG&|JHU;=sYlQ#p()*My>&{oqwd(_%w!`WqcHh^qQ1Z3w zc;3G-QM$|j@tX*GrZPQ9OPR;^p`Px^P!JoblMLvGcMU34xK=tYWfRH>{G-a{=wD5= zeoCrnG~1+L>Nh_(e>%L^Yf5#>_w9bAw^n1A|IRH}nhMi8oyH~OIWRo-aLQBq?yt%Z z`2Psz@y`nX2bfpBsoTA}pE4AqSF0bEB~n8tBt1L(koYMnOPDMhZ#13R;M@GsN(_nD zqlI@D=bXs|rcigMt|g3>dq(- zfyMlptWVkYje5s{H61peEa|w9yshIj@@Q`l;f(hgG;Dno+=FG;f|eb`ide54t-Qx* zDFGk6tX3>*i2adG09a{Qp24lqlYVbYU%e-`{_{9jg6UoQnEltg01vK^vz_Wg*4s=4 z=?B|1B%d^v_g!)?uZnG7%Z^g>FN>6{_JY7`SF}b0{_GHQ$*D}{a0SIVMor$Fx-PGl zX9BW(uXsX*2=J504G#l#YM-O21di*xwuiy?DxRmgk_{{?pN_;U7?}TUJRdB4mMn&D zA8qI}bP6UtpDr@MqGqPl-x(8JMTSowjquMJz#SM6k4pzoJ&Vav!ULZ>vkepN&Lu-7 zhbjw9%xdq!ZoZ;Fz;LMFyFAk!cma_BI#z18W;!sE4QAhO4fhC!wMWE5zLvzjb<|#kJlP?RjdaU2kD;vFR`X zrFu8_AI&j&e(EX5K*bNf8zF?Vztl4VZ(YQ$aOdlvb)6l~*0m&vp^()os5vt#r(`RO z5jiuq*35ns;K`KG;C86kifRRp;Q|g3RY($D!jFh0+PQpHT7FcPC%#$aHgV)0M#f{+ zWFK!!hNs=Me7A2GPXE&6=S3?TckwY?FaK**h&fF#vupCq{gkZ5rzJnMrKYKuoDBsC zL-QDa9m|d4rNr^W(e(_a0&03s@Bj8iTDgLBcjg;kUBRrv>PmaZK5`{UE?wKl?*-F1 zhGWt>UB3#lG7f@`%g|AT(YJE+KHL}|Jjj4%nGp`>a{kmmXN0SFeI=>bSMr3R0YAZm zqd5*+y|(4~bGhIKm!%ML%AUfK%DD|KB+eYex4g&!+dT&eZp-Qi;m;SJRk2&wS*U3K zT9&Fa9zC2(yy^9Cul|Yx5b@b=&pwsgHr|7sp1pE%ZhBuLVL>r7?HQo+K+u22CIbh^ zC{RcwSzOyEsms1E=0&FI_<002q&+Rf-0sILc0YG)3-T@^lqvh0>MIJ2;00WYmZm^E zL8Mm$U``pyCwL1ajg~1dA?G?j%gQTTnYwunB$!R|tZd6H!R9+}Lyg|_P5*c|IJGJE z3>k#xGvuP%R?B2>!U1_#)|zvZt46`KEYnucG}?IBZ3#Y@%=kV;y_xf^JSA$mCJ$YB zr{7(pQrydB&|W1;2Zm^0IjRl7iT^wvDOBP-J@F|3b6H1Q*hs>+-u(&VQ{T38#9rjZWL~gWA{|!foRRR(DJ#3UkH58HnHvb-ebHQ^L(x5uo3kBlRc7-J z*)OJvlRh47`Ec!&7mvb`a z>^S)5rwp!05t~qXf9p;hCL=M>85M9$a?2CEJKcm*zWjMs31;(EgbMJcgMpV3{2DOLGG%jCNQ4p4k=>Y>>{r> zchCYx4E_%ItX83yJo_r~HGh^5FGg&rjC|fsG2h|@kKs-O}*xr``w1H#$uL!xx+NE=sy=jUg zBAe6}_}G#+U2{X?B}&n4ST!99wr#8g{l2D=4;odh?g(R(cmAunrPL)|y z$4xZXve}Y}$mG`hXiwtZX4|{O3sw{<(Ay%gDF)o0;Bc6+nb2hR1+9Hi?#a%dU{b-Q zmV`f-q~nn1YChng>FJV6Pz9vx~pSBN@-7(jy#UL_?Yu9f@x`J&k?sOE$|_i+AfG`ECLt%+K| zaN45%QA>*EO)fwENo{z>+rfbQ;LOt;rE>`#p8)bkjohcBZwsnUpB`2~>@~&vQbJ%E z088elYYL~w$QYKk%>wIY@>M-@PTVX^{#u19s~o0mRfr-4hf=1};tyU-tC+%ce5x$wMOk?%sti zHX9bk1k9~`c~ualMzV$@B1tKoU&U8A*&->lL8nvsyoe76F;{_^fVOGne5ys)yRW-u z>ziLw;}?}Ap7;ON3xI=g$_Gm$f|>HSn-fDB6{g+nd2XEElv@7_x~*+g+K}|z z+i?F|PMeO5$09!OPA*YzG5Y)&L4x3-6dv=Re&=2%pQ~^j=yw)$!HrS5P~yKRS5!|B zZ}kK^xj4d`8iUXN=+$W)rkXjU4|~#$O(Y!7S3E%*!e0m>Ii#>uJb@dTEAFo<`Rge( z6o=_TKrxakrvwr`P`M4Bt(=POTba@VVB-jARzhjvczSvb7c$YO6N0Mq@vx4CN|ZMJ z5Mq4dJWOri*F`}42gim))s7(&`3#GpM(G*w3}cIO2`Nj--JVa<#Tk2=KOvJfsU=2c zhZ~kRQ(#yq`r7WrORI6bcG6?~v;!zrE zv8%RNq!Z4+PN-8xEm;YxhMX zFH5VYx6MN2GY_n0C|mEn@!>&QDGEgmnJ?P)j$hdy>?pUVYb+2;te?d6J*+1h8f8NC zsp@t=xad0iZe`nN1OS)CU^Z8!=Q@<5~d#Fu2ct?K82R|8D+QaoNwRp*w$fjw${ty+~C zZQ{uzSnLYc)^VwXY6^}|)nKzgOM>Nl`ZBluO{L0{w_tUr#G3T`ba>|WBrW>|mzOuE z^i{}?DcV-YeQCrS==BU71E%#wUggI}IADP7uG4n^hK03E+eMMTuEet+tmY7E)D!JywY0WYZL&sr!~OL>y7%7b zx3=QTF^v=Ra(@4%EboXe*t;zime`;+t`inSy}7?VTN?QUZ%E7G+7{Y>_R#Jw)mtIsM8p4lzmrm~7~t=awfx)w49WO4k86>6lZdvij^$LY^7jg41bLbxRB3D47`XY*k} zQ(0Uj1#bAs>qLc<g#Q+KT$vA(6+srVS9M>#s#K`zZhCLl zo@=c+#~5=gPD`33{synA^TRi6?GeBC=wUplb+D(m=?!Kt{5xC1CeZFK>1yh2S}tJNuA4ZnD$BSRo&f?ghTqM}bc zt5H_$DGbSRWA=1&;?`43-sz4&rt2ZCCys_p_pIP-=58LyJp#JRZ7Djx@d23Sk{C45 z&Icl-Ug@yOAr_I32 z&tHVWJ#JuI(D%y_e0R_B_#}Au^|4t zlGh_1xML3SIt1jM1_a#tZdnoi(yq_X&hhxD{VwoM)Hf5#Og);O?wABSE31yg&SZCR z1n3+9=Y@fG)s&S1MzfVC{`^0Xln|9qk5M!X3|v+7d75$^8zj()AVIK#HLFv z>!6pLF9z0;MiPJm1Hyp9)$y>5H|q^ZAU3^1$cJRs<+q+>jvlK~FD#N8MKfDcA{ySp z(PTl|YjQR5cv@&Epp+X`ZFcn^?A;Cz8^m&vY)h5W87&9D{ukQ&W)Rivdk^e#@_|<) z0CVJFjpfJjf6ks%*s==MXIGfK1P^X(3b}61X>vT0Y)t`jZps3Lkff0NUUi<&YH79#~DR zqc|3TT%ju}R`+j&?(Q94+%ECiM=GoasE1dr93iisAPaMIODPB)%?wcOd0ma=&9MVw>i(A8{^z-I(wUr{7 z;~bt74=IcdnQfw(CQQssvZ7e?FoT>POLa=BXVo7#$_sy+hu@y!-SK&~iAPDjn6&&- zF;V{nVw`XPsimgW$=HSmax^0c{(*ii5PbY-()M|nf_{afJ5`M<2Kvit?vMK+|M?%@ zR`=>&P3&xgG;3Nr_4rMKi!}Or-0dzFI^$iVhW1`)R*Q60qHpfM7FjxCvQs9z)L?|E zyC%zczf_iejoOu5cgX+lVlFy8^K-l~g|ErH`x`%)>lUs3Zz2{2N6f(@t*ZqHG`;CE zejB_zulno4sj@q>@?CYP`^49trKgexqwQ~rzA&F6ZVn}-1Ru?0(!|F_ zaJ0j@oZ^R6^9SI&=*s>0DT)#&0`sK2sE)LZ^S4K4s;W4^cjI>(9qVz4@ju_7{=H}3 z!|(%_!@A5St2;4yh?dXhWVt~katX;4T`0etl%>euUsYs$4w9#PdU^Bm6!O^qHXzdx z<17y2Y|94e@7r&Q40U#ndOMeY!_ybY>$6H@o{=?>}bR>m~rPbjmggJ5U?)w+|TC2E*y>RR89a-da z@aDRlZlij~e2qmnF@}i;D7fAG_2zpi?Yi9{ECcX}3QQIplB~ThUgo}PC(u9xQWM|; zN(f}nMd#P{h*k^^b|{?f_kxOR1#pl`+%nBvT$dDii_YzS8?VGLiVh(@mGTsu_>)s{ zG=+@oXGyCv{SR0uz*iXd1=+|1w_Kffli^?{4v$e)876(y?O0+P=vax%@@cr4xEP+( z9bbX&P-M9|92Wj~dB`f#@v@Wk(c;dvM4M0dd~?C1o86Mz{H6Erfbb$iY9_JoT zS5gx3oozxZ7CW9!OqP38j*tXlFU2gsd0>Y-Uu${|-_TcNtA4~p069zU&!zhqAos)d z6fY-46;+5LN=^=|muHu`n zg0yCOv=92FET-P5JrT(x3_Ru#fhzH4JQRCFv_dpwF_S?cU7Q zAsr^=TJV3K(!_MOV8AP`pN8FcXwD)zZ2b4Md8h31r-yyphERe(o{%7Y!-Js!elAOKJKE5-t!sLkisQ@aA^BxGA209OrD#Rf#B&Utn9`TC^Lm4<6a3$M)wfYo z6Z$ckjo>QM1s5>=qR6PD)fi5<4-vSlr$WoO<%h{emzTLVY58OlevOweV>{g55%ncx z4|$vg$-(vWRD~z%6W@9Q&WApzUsEAuUYK|N@*Q}25WZXYRkw6HxbqamOzuw=Kb}I& z5{poPuyfxWP5YFqUFwUl966TGGa$SZd(UXFIE2T(iHe{(jPToPwaaFQ-NCr^ChpVj zY?E+U62&dj`PFX@u({>4TkvyGE>{e?kfDz653&#WDNoijh=)@-e?sHpS&G9S zKCevBzY#oM@OV6tn?plGSALX4@!k6N%-}b_B6V*|ii0Iy&LP6N5M1+QcLs0357snZ zC~-zx#~HKc63yxmA2P%I&_{>cIkrsLV7T5k`Q)YiV5jyfkxTEsNcG5H_w~ z?n$!9Wc2i?80dA}kSb+6j^gqV1{A6I>wBf5404$@BlnP+IK8zPFwd>Va@CP;7IOnp z>u%m%;RSw#Ge&-8xh4zSwZ~(48o-pY-_q^hJms;iZ5SOGDZL-69(Q)I`}yiMyaD5+ z{C9*0>=B5c#TOUtH0Z2s&RFeh=~>CHKEKiZBC@=QQ-7%;bK1mQq22tE-_vwG7aFv! z&117NU+tt>LCAl1Y}VbKuB0G)!aabCo1BhYBc4c@G<{_pjyXYdtuJ|NgM)-0+1jOY zzlaN>L&Rdy+_n3 zz(fS;&7!0%Nszu3J`-bp+QmRQ04xj-9z+zK$sAr+TVH-}75D(_OCKLjyD7M5g=h4Y z4WGmLvgF~b0Pv?}TIQPC6lpbC>pEK#fy%TRT&_x7xZl8LauHZK&+p23nWnDPy_RL_ zL+C9saJTYkN%t2tH*t(;HV!eCIc?qMWwqV;Ev-_~ku=ax8=!D&LW3A-Rqd2ytv9M5 z^uyYh(A*w1lv`*LE;0IVUc4N7NHk5lV@tnc&P{gSPHG|jT{b>sk5QB`hY?En5sI`+ z+sXiq8T5G53h~Ig@$vg>Em2-qiHj5@q7}98XjF@@u?mD08oT_C2MhuivdF|MLfbM% z&mr_*k2!gr){vf}w8~g+=chCmz|G!IM~~|!Oi)V~rIAxbXYt!6*+s4|L{!i7NAzqd zK!e7H@)Y!MZfu(=hlhGU@zBh5ratt?n-a}u#9GY3r-ew@^$6-=sadr zdWtp(BpZ1{kY(>+mwYo7U3ToZFeFBd@$snUC!B106TMvkia!?$$b*=EW|4JnyCgEJ z9et|aEbFxM(wrXO@6Qf(?O5{47DxFuml74N*Ng>;-G<5W8;PkTC63*6Pp>;Xe&P6t z-p}FiWwIT6!OEZ^HP>xPv)Wz{#0&z~G7GgQbWN8`4twI}uWuNM!tTk0c25c0nZs8- zQ@PMJv6X8R!rC-1cr_AFfffo&)k`v>JYTgcQN9uq_F^3NJS0+M6krJLsPf64GzOGT zn2cQ;^r5=!uULZQL{u$wfjx9zqF@po=M_UVMqjN!$a*l+46CVT{blF-r?$clj`Q+G zk~6Y=uLk@6y0mwF7-%2UYQ^AX5P#&yf%9fcn$|1V#|8(6d<-USjOfQj6zvPINr?;s zgUOovlQ>`)eL0QM#{3&T1|{Hb0B*HlscnM-VY(lp zkV-5rUA)q*Lx2R3>Gd<5imB`qmMYAcPug1g=pehJ--~`1*6`e>W`u9J-s@1c;$ZwL zUxXD0JL+k6&hH_6#$`L_c*>6_ydpz!TKFNTQi;+teyz!xJ!g?h6bR{*(S(F0Jk`bz8|~ai$VqFqTEa=h3XXbFL>*nNZkl~4^s&Me zUtwI8llHosuB(*BK40{RGah*j(Wl0GwZI(KN&sdl&zG@8_{a+FDOG6Dc)*)6PqTq6 z9|C@Nrrk*`)PDx491Qyk=}S+ie6R&2B5^Eza3T|(Pfyo-4_WjZd1#KFo0{qMl58(B z!xR>Fi=3QBDd(1vFCPz=ClFrA-A&!q(Ch(v3D^rGJ$6cU=T1)6nr?J0Fd_*S+ct;U zGV(wBCb`Go&bK5;ve=`dx8Wp z0Jdm`580U!ir_2N8lOk|MA>m!kE?z+g6FfD2et5*KdGOXbG@W4flK%6D7HroY0Q1L z;d_*b`i0JR$3|r?yFWAM)b%2?xAhLB2nRlIMixBaPHTax`iIehTF4`kC#1QZ$9*h%!+|jn4#$Q@t!qFjXM3;jHg6E zlJaNNGL3;{U)A*r#>!%EiB&5{aryqC#qm%vKKa*fLfK`Zd@-Iz!zibNq#owz2?sgN zbe$%Wfk@?fpVQLhWPuV!Q)!w|f%6HrEOo-;h_qCsB~j!aNu+Mdr`Mtfg7Uc|nuncM zdGTkr)Kk2kh8wz+9GiJjE&(#xqtS=v5b){dNc#%=ICI4-kZhcg0J4}s){kEzbE8T* z*uuwYh*6m&q;8>o%OHUk1njPcOBA8!?_evbu1FRc?eKPH4m%s^FHViOID>n>)QwV$Fu@vw4yp$Np%xh>mAl zN!OyeOmmD5vBSZwr`=t%(_VgZH@ z?<$$hJi2%4Vp@5}35-qLMfS)}v?~%M{4e5#Pd0!~u^lA;gG7a(NZe(+1?J~>u1#5N z6uSsWUm=-`Gfl*K4kzFbnE1fZA<**lyGd@%ZB6L}H7maT_ti}wQS~0fI`}GUV7`d| zX>H}xC-%*x*LshgDOIYH?fxboq)eBphK!d>WTb=|*?u70+>i2RqbxtIJm%Uvj11BL zaExmxDs3s<0w!N@7#?M@R z_s5S9EwCNv71ml@`8z%};F6%yzZSqHWDbRh2xlwqo8bjFUPMvx{%xac{QM8+!x2*! z2P*T~e0XbV+{P={l}t6}3s2+NQvB{sYW<$A*HPj==6^1f)34x<`TUR4{!l2Vkb|Zu z+h=?Nq>Dtrz+RC1981pnVWs(^I!=|q?s$B*x3hnkE?*{2h3@5ytdMs|>2H0-JBQu# z`_C=`)TiR;49m}B>617rttoi3l+HKDn{>;k1QxjQ8u51YQbqFXfkrS-{?d7+;^wb2;-1~Lbk*Bv&_lp1e z^xxmBE4^Ivd8H2&WfZJFeQ>DDyex!7tCo4f8S7bJx_5kCXkyMT{N1pV^9V_yEu6}@Td(nE?gZa4< zw&B@N-c=aqXirZR9Ig8$f?BDlt}OUlKJBF`t=l#i+1%zk?0k3H?+wQM?Dn>M8w{R_ zy4kE=?ZeD;x~UVD)mcHZzH}mUv2iKOpt9#?#);+(+2)x=3?u#v0TR_*O>K8Yq zR{qb!yblj9nn-vZS`70_n!uE|2g;cc=6MLc7dac5tXGomc+clLn|aH=Z9!nsKDa&} ztYKFvTUGi&RWTp-&*T^Rp9u>WDSf#g+B5`Naf2!Sw3$XXgfMR^SOj!i2LtN4JoX^Ni z?Dc6_Mcj`S6K+Gl!IbICl932|qX1H#p;q%?No9Z#8ZY8Uk{#v4jDy zYgLKQRkL$q`YSWJ>UqE;!f?6M=hfCIH~WBAWu=M+;^109Kf*FNt_-L}}Ztc<3sZEFWPxwucOc8eZAPSZP8FD({MTi8QsBniv>EdKy|MMH+ z%nK}pfLIR%05g1-EXU{Ipt)4L@F(p)`k0w1+nHmp${w?KeQ@BG#DM*Dw+IV!i7hjB z5EtkLEmjHC@w=N{hDPe%ZY-iVh&J4}i>DCY_!h5}1o8Qw_m|QM@Q0q7Lo~=0I}(d;Ks^7eRBt2sTdLFW+5~qnR?}eorax2%axe`H35#nG=nX_xlo7=5 z?h_{A;f{ia^Ii_;1N_CN7UMo&c9k%xzE4NQ2f&nVM6(~pG6%~?66+0GFTTKE=~k6X z11fjCUfm+5$&hUzED6bxPb0bbP0hFb-lY$H3Sod3MV5&cWF`B_!WN zEsdOLP?SQX$2?Wx`KvJ5kgv-N=vS=Ymt!qTsAzl z0iFATp}iGpMy8EfPf?`#53+;u#g|s^#5KWppx;M*NS2zhaQp#MZvw`n*J@ccaS4a&j5SVY3jsD6;Fw}%XPgfr-B{9p$liC?~|>@@WB2_OYSK}p>nFKT(Y1Smo)C|^zt-o zNA|wyml2>ut|GUgiR-_^<1H(VP(<-MS?_J}v+kh{ZRa87k&>F}(nCKOlT@`vEVjSF@WApqLAk z0cvv7d1}4x`aEU|q)YiGQoa$jdi(x}#vTpM%J9N;T&o%fIEZW+sn-Qfi9VSvF-M=| z)H=flHid}FY{01fpECjg6BNySO>l2G`lzS%s!T<~KYJjnA&^TJouAWs%P$>I|DS<0 zkOE_i%x`3pZbwkwfIyv7^o)^+^&~J(-qxlVeuU1)yf3#D7SbMu9F)BfCjp(Efb5(< z@X->scrw9r-13yllP8qd-G9keQB3Jvi2Zc>({U@p#@kx~_?s=FAswQz z{b4VrP%neHm2>ET4MMssQvyODJi+Y(t1=YF33~9n#}S37H3JXA3r0_dNI&0!C}$FM zY#kUjx??O2aqBjbcl1ccPW#)K!(Z-gumIipv#l=}3~g-swqx%-S&{xJ=ybqo$nqEo zsQ76{@^IjeG8#H_iKd-Yh07%>YrijVqd^cve61vne$}BL46sCiK#%VtDK$cw z{~@8>ME}i?=-Gsg-SXpR0R76+7E5>MjLwRmNt3$8PB=940Wc5AgB&!+6)9{Kth~N+ zzHovV1L~bF1A-yu{>8D)Luwi^gx&87XH0ZZp!yPuKMpA058>t@yb?(VAf~H$J`P~ZEJpF1Y0bi}n zBqZpPK9l|QXrY--H9E`Vwsu2*teCRs7S9k7QCb!eI7t9|(-+ucHWmu;(z5!u4gERo zIeBgRf+5<32nr2f>o0z94A2y|K^d}0ANAf?4i@dA_+;kFuH-iU^bZkt+E%g;P6(3v z>GA-v7`BmKRD+o%2>Jy;dXn@Pxw3i2oAZ-|DZ<0v7YbR{JA z0vA!^2TVX!O1}arxm2YhghUiYd<@2DR&rX=!+IZ7zpPIA+($lo031icZ>hC=QUHDS zjQ*6ZYT6$yU~q3EP&uJ_7yEjDgbCu29yG`Pee?Vyv(KxbflUo4m%CvC=pN0LwhyQ& zJik!yFhm|bJ?#d6)jpAKS!pdsl8FPh)LCX)5bcvuP*RZ8L8E~}ZG#e!NRCg4+w3&A zrKz?p6LFjaz=(zHBO#~7N-aYW!dnLyCzhU19na?luU0&mueuE?a&=Pf#+gM+M$3nH zm$^{-M2 zepx=}W>CP%+m{qZ7;WouxRdNKDcnVox{G&qeRqvEwnitjTK+{5Ij6XprBQxFATp3c zljx_ON_G)u+-GbkuIfQj1_3yR4QuzogIwzvJnT3)@hWri3x6wnZ6|M2_G?@Hg;=*! zEJQRWscs)u*%(0-DAXgT&z4pjWY%8gjz4k;yOMVbu5cx`1DjA;;>LU7NnhCq^)&E1 z;eKyX>rhUg6q1juaW-jmAp`!Z&tE|xSTaMjczpfoW2SETq~k;^VLDVJ$6Z7-?7g8m zWSJSGKxC;E9OElVziYQM6J#!QK7wJ~Ho;!fm|`~(p~3>9gyzzm#QcW7yBZb?b5lB< zgh*)a?*#>wd%rlA=FjCwR4;O&Sqow`CCcW0OG0vHTaht08>1lubku56vFLd9s^(?k zMhA@Y`wDtQ?s*?m&xMkvGe0*2h~L{y3*U9i*jt`92KFB+BeAE>Qo1Q}XHUlnS~)Yf zTvO>K%7FE8X76d-&4!GE^T0|&>=YoJR-2g*m*sR1)|sy*5MD(y+l{fIhX;mi)@J(e z8Gp@hUCy@j1=+daC!YdoQztd`oQV+z=3M8Gp2+u4 zy>OEaaY{93eMPD5KuRMgImYsw6`R<7;ipS7TYQy(SDFgxIjd^$0si&r@drNG1wA$Q zbFALbExoatEK%k6wZ*?hH-hd<&?}(X6^+TJl+AN%bc@S5LKIXIT10e8ZB&cu?7mLH zl6FpM_sG|x-yfAG6`QEljMA}ZZx{*ox74X1Qw4zKGfqeEnW_r?6HUuix6OeN)9E!I z4PLu@E>_ZHq#F!p-NIbzYjBNHaB7LT6P1x(vocyv@;Ce8Rv=+##q>0y!SHC<^r)q6 z!w!98(!wOZsfU8b#znYBCD8K=05n8~l9JyH~->!?p*AW~X>VX!fHD87XkcE}4 z)hp+(ur`tW-iN6 zA77A^Mn+r#>I{pybs1o3n%q)>@G8)KzPER(&-&zM+dmql3$oct;IQ^k{@eu(i-)MWx`z{Hi?>ZH{`K!S=sx>M4c6A7E z-kKa!H+wQsMMD7%D^Qu*+@$WQ&pVU*HUk1wAk=r|SAEfU!7~nL&zX^#GH){i3&{YW zY(zEoJ)i!TvRk?Tj_W^yQ2+P1{#4dFbRf~k(Dy;KqSR<5?NDz+rPmvh=FMqaNdq?$ z!OwRk2(V)Chb#t{*(nSNV3Y9!ZP+pFU9bV?{SIT>0UB#|Ib$)-s}Q@lF1*vZ`N0Yh zUK{d*$fc;98eMCKG=jbnxV1(d;%ZD!%A!EzZSY;pKQQ%bm|pfK6YIMZuyMt}U!pwL z?nbS-qOAuZm?sj`d}Qu9nW5eiME#Sx8ec7{C_IXWkqRiVeNiw-T;ZJBRHW8@?9MJ> za`z(KJ0kaPh-%LeyM%0I^QD=8lJi?^jn%tt{cj0|@`tj2VkZm4g#EnI3-yUCVa(Ec zVL-~_y{O+xeqlVE%D}L<+9zB}>CEcVb0WRur%H!xTjrE!{f^_WJd4pqHCt{QV=rjk zuUx!onJFfortbvNeAFB68f0LVts_&^AMnjFQi&0?OCg_U=u%nT@9I9CwX^lzKgz9V zI9`o)tJ}O=6l&4U(iJ2$n<%= zsV;1Ly>_1)tFOJ}=3Geqvj!0{hyN;ET&oWtA#qH4LfdXwa4b6#FTiy6^-^KB( z{e%E1PCEcprKkeSHAFt(9<`gEZtN|D`4`Tl5b%HddN8G!z{h7>Y&b_>(7-Mj+gv-v zz%ScL6ko2r8}oMAmwXJ5`+#{W!s3ZZJ>`8}&_zP6zrW^P=7TEzfua(GZ#E^sp63!8 zis7Oyn7@`~=w`20o$P1%h~J*BSs$OD16-&2RextWcOJYZTxdwgm=ZU=;%e$ux6s2U z{gvJ6>(gO05P&)Rc}i}fWy)?o=N$LN)#`hV+{5QO5R})s6d+HFVPAX8XNfSY%SQo_diz6QyGyb7(Hah;<`yx+G%%Tbt5fC;$vZOa_ zqe`%+;W)oPw?{{?pl4SJdGpKvc#1&bSf*<~LfOc=dB(GAzPvVE=vnGjbx3d0!IBw? z=n(zpmf*5P1#FdEAkPeNS8QK(ODTv91TWJGdQyD) zB2!h&g}!M^Vd0acjhCmBccGC&HCV%P2ks0YgO&z{Ux0mOOHEq};B>jUmvkazkl$>G zX>FHeUAO?DR11nft~Jhl7;ewsOxESn#_*z z0s}J0Lco9kFL_Pf`wgp}0hihdW%YoEIIX%JHDWqcyv7@z{_Fp8eP43*>l@j7o3(7K z6F{9o^Tiy8-zP~{I~4W9LThZo0UC9k@KHUdiR?T0ye7PUL!)NrBYoUyHPw=dlb&B6MY_|Miz)n`$dQPMbj6P09}EmJDdZoy)2vFap3J8^wP3u`cmAL zJ-UDE_Y|)t4=fSs+ybK*Wq{BV7QR>E6AJE_SU2n|)y6mgC2*9p0}I(>OBnN${W{Nh zBba@rqM(7XgrtMmZ*G4>58jD8!P9_Iiq%(BfLIjfR?^N~&RVZ!h@v8P!Mq4r80yV* z$8IK>KIFklG`-+~Ck&_@zwmB1^@pgBi=Dd-o2rzSmwf6Z>cNd8D&QLoM5ip#6dER2 zl`|1{afrL9Z|W+9kYG{;QMP{bnlC*PT9sSDY|&A|_^PCD@4u(e=!PQxGmm3EY)*7~ zhh}`UqXWNp+b`djbDcO7kLA_aM?JZ&4GhEZ6!JW{lq!%cp?|fK$4m^v4zL zpD95cqyehjp zWruW#0~{tlL4rPwXl#uxK9`YDN8%`U1kR+_$^d>TJD#CBA_y}uOir2^OvX3z#y7l- zM>vWiwUAzH9gEp%P?g`>2m7lJC^|KBxkA}DqkO7&5FC+0;>gvvIy)(*&UAcj`bD~? zZ%rxdj9{V17Ml~fJFq5Fou+oQnjrs7}mRpHf<&fgClhBd7H!# zGwW3+p`G39PR%Vqp>}sTcMA|Yc-(HRd{k{3MSnym$nMgt!D~MM-_d^y_m8Ng#fQu5 z*NzX9NpKaaP2h|G3-%AvCKXf^^xwm!s9s*LGJalUG%@sF(IR~c*}jBg+8RQ=V-99; z876n$fPuc^f&nQ0BiV_>yCUitl+vi_JnlJBop8s;l)-xga-7Tv-ygB!&F(W<;0fT4S7;H!!ukW-SjZ0yW~+xRmGX!gq+sqSPGH*9f%T)ecs&B|Z<6AnF#aVf8h0 z2ZyBeB()g!)ivdP7|g&ZF6M0lZ1!=G27#KJp}(fj+o9ipN|)VE$i!Naox>+-#Wogf zh&Fr<_=odaaxW9|Em8l>M+-Nwm!b1C@LbNG(wn|yFoqQHRD6`G^nwNL(XVUNUuK1j z-=ya5 z3Oopd1L^fu7JPsz&+70vx7axBtLQTUvmaHuH*y8w3oE(X>dSmrou3_}-F=%QIY{{% znlZqr1?IgCk`V>C?8gK@4$&6}|3^nxU=OjI?id;=(P7{*`(N~J)P3vUa!RfSW=Ry1 zSJECEJ*xN;_ICK)_FPHJlv)kIjn0O*_%~h}k#BO`Kw&uf2gcK|y935<8G z!7lFdB<@M|_qBii%UM!(JL~XC65S=;3LpNrH+a`<50C<|bLGTp=<7FIBO1%zQ+F?_ zq%Vb8On-K)Lb;(m4l4xnyfn5)$o-4WxVvB7mQ$qSvD%f!!v>ToJy=qu?wu6~VOr@Y z5QLA9FY_1`?g2@G_%U219Tp~xWA((KmCxiDR!QnUzhEFWNr1~we8|i3G*EDL=M1V( z0-E1&b)N~T&ZeNh>6_o7(PuO-z_N{V*|l;|RXayL@0TZcd3NYJv%d_cWL48EUJshq zXH?3c4&EqSS4iD-2>n>R&g>9hnlU%IA>ydMSn&#_uXLH&f+hWmu0Sg{39Jh~xXa`LT4Q>zg=hM* z;N1)MliU9F2*A1fym)2Fj$=10Em8yP_*AK;W@;gzgLu}T9owEcPSyVP!3Ka=sZ!l< zc5>dk37ZkB4?f-|NU51T?b5|I*q~fLF7etUsJ&(y$h;&}nYM%zZxrBbDYMHeJFVB2 z$Eu3-n4qS~P1jwD@sb8)&6PgyfdLJ@=R;x)TFBf$+&uc95XiJd$aSJ|a8|fEP>n~< za=Cyk{=((5zdzTe3dlR^T;BuWlBSOj4bl|(K!>{T-Pnr>_3F};=383~B(3rny+595 zQ}s^rp*mwxcXvnPiMjcfU#$#N(s;-kz{|yn`7XMS86rD;%S0cf$I=s3jjZEoNJuvn zc9oftHtuE2ZEkF$nk$SDCEs3P7~gGaxQ5UWA6~`v>OlAl-}|c$`fWCs96M>ek7!+m zdmr}xeVBCC7iz=Nv`2trDg^|-p)HPYt#5C{8P1k`oB^!OJK{@C5xi4XgLlFHdaVy7uT2xW{`5}`aGVZaJ7{W>O(XYi;PpjT;zKqydW(a{&I+X#u z!zT^%Z>%RYeuX;~6^P}_)L#yPk# zZ({~T#N7_uaoPpyO6oG>2a2(#mhEm_ERZLoUz=?fk7EQRD2J<>|3@>cqH^fD_8)n? zc@@B>j}!g)sHzt2@R+}m^NZ=w8)~P*T3VJ3IiUHK5Ht|Xp=WwV`NjO^SEqVRe<%Sd zmJT2gc6^VR(9#^jQzR!h-r^ZUL(9U;SNx^f`83txHryjhhqaL>HP=0;0q@E34#Xc- z=M!(Uq(};=u91*-yjFpe0wk|$A}Y^4G1@~kCLEB{q^*N4)&YRb?e3g~ z-ERKORa#|OnjXl%x8Jc!Lqi!@%*)B|5<|Wb5pkJs2?@$P8;W?h1)+D)6T80f|B~tL zuKqWsH$e`C-yPG5xSBy2`c_ik#g5hXz&U3PE1k>4J)hiRfcXhf{w-#O4lf23B|9_x& zVL^DpkXi5EdG18)xSSS&ewhrjA)PLFuF0y)tM%A<0vc2R_Wpo^)#jx-^U_l*d3E@X zi5B`twBgy+)l-PF%ZA} z>QmH}>)OqqGf5PD5MHG`H> z*#-X_34QYIfUSHe)Y3bDe>X@yANZR^M8!NBvk2BZG(P-Z{5C-=4yrsV*BqR?15D>N z7`IPQpozj5;LrsR!obH1WTQ%Clj|Kmtlsu&32Rd$Cfxj;4<}Fow-7-0>5rC!fHu_d zoS^@zvY&#pJ|*+yh>Zx(Q^C!}wd`4ZX>vPJlyKoq`9 z3dbLNd>Xa;Y!nFy8GVThC!P=?St!959)0(Tv~?ytt+Exg=Tc5&RHQ$TKG@%FhPE_oqnJCkOn_sOu_(W|Ud(RDAbPMs5)cKGH5 zU(2qvAqkrC9pLQqj}~CLNva_n2_Rx*kdaro2#J}nLCsF)AG4CJgI^#7%-zS9_(|Yj z0mS`((+AN*(R@^ykzs#$_2Tnr)sU&bC+l+y*nMI1zgX~gr>ctXR8Ih+q4*5EqS>k2TOAVdBSj^qD3Nnf(b|kQeVWSI?M&{ir2@^1?x0&b4UoWE z?^T}{o@T?&`%8!MOg5eo&W}4Y?fxU3-v$Ri@ffVPLKoByMskV`lw2Ta(HHHFVgfYT zes}!wIvYL@uG@Fk14q(4eGP^R6DdB{sQW84r%iODC6ad_vHy`WT}SHZDIvLzwX=Kz zZ9KQdE+}Ot?#~Cl8dn~VWL#D^Jrfi25`YMKvlzj*7A(0?S?U6193pUly&pf`DBBe> zLWeBCqLxMu>|UEN^v3!7xq&Fz8C4)5Y;WmPQpF7|g1HY21wR4x-nBzb?I+bOG%Qoc zo<~ZqyzC{-cS7+gQnYNKHEaxP*e?hy3I&=P<)kZ`wf=BL<0 z2K|DQmxup&hI^p+V_L&F6oZf|?b@!c_Bz8-Z?Ga3-^U_yTB@)5||9g4^|A#DDR7Cn}snf3pQ zVfoh$em~N8e#j3@quhU(&Dufbc;w1!z>~HG$?7cH&Ngcx!5J~8^h=*^34|{V?LZ`t zvFB%QE-siLL)-<1_d<2;mW#`a@C3;94_O1c^JkU!VFejN$LoRXZXrvUqN>>Drl(|? zEvieBQllh^r&I`hFz)Y7&JqhxRj7dzJicgSYf%~#iVLTgPmD3s0RHh3q!ADq3x=^6 z+250F+1s`q6&eN*BL=^dsMY1&cd|8AaINCOcSJK=4)QF*!@f@`*yzA68psZY2)W@R zt*<{vZD?2FnvqA=AF=;_kt1TAOe4trpfwUN4fO_O=JtlcH>%WiqrCuta2L5LRHZPN z0H{9%7>DDGePLRzNX1cki8OEQ3(qTRK&(S5#GdeS?cB{)7!S+osm3 z%`RlIrwN(G){-EEtt}@Ni&hXQivTk9LUi7KXPd#az*p=qRE^CX$6BvB7y6~U?Qpvh z(7thdUopI^*N#tvNb>eNxXeFym>^~atF&uWUCH5r7eK599JAx~bU^ds5y;o6JLBX&$FanIdMgY%zfHetFF%O;EwcG1WM=+b1Suek zD3DTyPMhLeuNm$)3s1z$-`?rSXqEN<)_)vX|DLafATaoyarA0ROC(Gp-oOZ$&Al3S9KPxyTNyv+@>G z7GyLQrdHLe!F^Bbmey#gWn-Oi*?Y8r6F#=1z22C5UNNc!0&ogyOdj=2!d3C0)=b6HLIBqQ+%qG`Ql~Ht*w2v1JKI>WR zbBzrBmrKN}K~ijQbKipQJ}9|V=+3lgw%|Pt(HiXhKR8P|&NG7{$4SG;JtudS;vch4 zb2#w-JpEiNa+!aB`YsyoaLc+3gB7?4)s{97JB~;DANnoRIwgVH=Z`z=wc3x@a{|yg zHiFd|2o$Ir`z|)$^2BwTD)(I%Sy>G|t7wJdGOJZ#o6CHos2-+b&JWwqAkfmF`sv~B zR8y(cUPT|ps>k)$pEXl=X9?~96X|H2rT;&Wj{3+>NAxrA-8NgTmkjIV>*r53T35{NOJMOw6*JzPH>Di)Zy>dKTy96Yf zk?==Wb!YadjOYodD>q#BW3ty0mU)=B$7Nz^UioYEneo?RzM6D zd7mo$-4;Jq@0bq7_-yLptW|>NOMf9 zk&0z|5XcSb+)xVT4M%8{MzQ!fPSprB+2drRZ=M|{8)=)&V5dS z#q$n^DbUdUxVIkD*3y8(&GX!A=Qa0iXL~e8**)j?b+htnCNk_x!|rpHytg!F!vjm( z6B9JZWh$63Yf2@rD2~I2vgLx*O3j&$&);ejb_SI5_0YqAE# z5Hb2(eP{r+_7I4z+x ztffYyvfqD%NrHbvSaPfsNWfQ;^qp%maH9R7mV4>foeU)dLB6gvns|Ld0m$s)rPx^! z$>h;e?5z2IWydd}>`I>n12l`b$5DEkvBHDmOeO9sPyZ^F^Pbd~@`{awgJv(BAp=<<+Xr(P47)UI)tM}&i$ zMcZD2T1ygq0Oi)q2s6<)K=xmM%o7uv2nFhXbeS=A0nhav=DeMLTVR2s8?JyuM zZCtI46F{{B`Xxs7x*Mme0mH_Hi^Y2~N&xg9&TT5Qs@*zE5->Du&j4puS#Am=M@Pr& zoU+PEXLqIECa{Vpnx?7S+y2R)58L-X|6jDd1yr2fwx(NHa1De6cXtgQ+}+)RI|K+$ zLXhADcXuh=C3tXmso?JJ_sjnO-TUtiSd27sd(TGDmwN@fdMwd{1TPRPk*;|2;@a4(8@X8MqQj8t+Qzq$*(`VaNnEGr(yjv zGQ@7HUNjBJKij}a2bS<{H-gysy~XliCvTCph=bbPT-ibD)7*EZH{3mqR@$(!yEhKk!h2iCrIQeX6fe@FL7}v?%u;ROp?H(g= zgyLE7ufku1;95H10(@9P+u~-?)dcL?^6v6-7RVBIx7>2e3{bshvl3GR%PNq5c47`d)w# zd^w^chN7sNQ6LR^g;3x(A|TrZJNd`$FbNoSbp+&2MmUwjfI7CzRojY$#``ReT*}FV zJ&OCrP{E3vbdfw-mPfEN15foI0G+?{T39froFL7%chBcNA##OV&SZ7|r{QgNK#^aR z)O!CF)rPK!0Dyi@wr?{v#V9`N#Nh)*TtF|0II*yqc4a)kq8Z-*qpcoAWrssd8H;Jz z?;Ru5b2?hy*HI_tlU6)ws-ht41vOyMVCj5DT+xl=xoT?p$d2wLg#dQLeyH6JXa&q= z9EhyaJ(n}*ndlShz0YORV9wzL5q0m@W$4t;@LXrtYAv7-ul=HaQmy(5(sgTuQdo5G ze;WMe%b3cE$DQ-g7$czJ!27G3l2{5eMiVgKb?9*9n@Sn=NB?AgBR4uo3q*RLO-S!9 zpJ@Tp-G2X(;!wkFHTXQp-j%OmNlcsh2a`th!K0`K?QJkiXm0 z?i!LtQTh(NG7O?4W75=7USUV5D5)s0x`}YFPyV+%zxA2EDfA{7p*d6Dze#X$)q5%Z7_GLy>d3)*am%h9~>O66) zNJ8@FD1}}-iac6AJJa)n-Iry)%gxF6!y+aJ+WV)j3&G?IGgDXW8mYufW?W!vw^g!N zfZT8`leCcQ^5JqO)^P~*~>SxnX zLqhqZ#kz|CP-GmNk$f|?@8^CfVCT)+?dZUCZ+Bd~mdTtp%(8sd_-(8MqEcoG%2HB& z1U%iYF_W*t3X0-t4*|QNpw|f;di+J(w`sV{m(l92C6s?tuNW0p4hQt{LCF@_$Og)f zph2mbiPtAgd*q^a4I_hp>3H&g#pvBr*Xs1l(-n$Wpq$qOV{UQZ&<}PAwRqbAaAwse zbKWY;o-NA`1?qb)icW&*k!t27i^(bcO9l7T!|2R5zF&t1C7m6--KaU(IU#z~X>6`4 zJxhKWxi2NEK+EnQk0Sy)0!KlbC2qoC;l6H zsUwN9BfLdhT~g_;u%0~xP#b5gx|DaDBv&^3%?gD?14$e#Vl*tRO1_LF2Iii)l9C?@T~*B9HusrZ;rcUQ;i3W zO(P4g%zaWxli#VZJCc1}^x6{l30L$D{4*>ZC1(*ERk;}@p41@K zm}D%eGImKnK8G|OB`)-18zgleGSsbItR^WzZM*8kM%i|#zYVFH8Kw=;sdH2=P9B;g zvYmG;E7G$1NVC1w_vwB=ANGLW^yj?{aT(YEt+L%3snzjb<~`G^DOfA45kE3~-Tw9` z8LagShZTx^l~gAEBd_aGBp%fpdfob~s^fE=)vMC|OAZx=`u)R`c|W7YwSZO~{jk!z z`_HxKp9H+mt>d%p^gR^eKkXYlbsQo>N3UKOP>C~fF<)PFbTY^clGX<%&~FxdM1c1q zs&2%-Nvmy?h>{tcjPBjdc;<=_8N*si8P++kGYjTL&b59{YEBr)8(#>>oQksB_{x=L zH_RQD5Bth}TLD6j9A8j!NHr2@UV#=UP6_4l;)NIn;d@%v_j`9=2S0l~flGG}I2Rc>FjiX=ppqhl3EWof3hE^?7r;?h#sC z-mbG1BT2z+Ta=CxNdvi<~yCk}Im@}!w zit4pf?8nbUr`A<#VCw=e7F%hV_?|WxQ95soZbTCW%4$HrnYBra<)l-?T#FhI(6mf| zVX3f1xE&;!S&@bBYerE7P@ks?R`bLgW>mWoOLfB zlU`2$%x$d$f6V*5L2C}ocg|^_L~Ow%jQM>tJ9XOqwT!4X(IfvYE7W1WGBFvMVNbP9bP{Qs}*{(Fef3vY&Z#h5+@!Sb3n?!Mxsj?fg`l4HS+)aiOhq zz3=*fDFVu(Q7(TlH&4~Dk1|#LCaRr)eClv9+SqO(N{&W==#`RWkPkLs!-#6WYe>F% z9ye#YMjUu2WP7W4AQ9lr0?fo=p6hr)PQN-f(zIyVj5{>sB5cP^H(I}(MV#8B2UVV0 z)7qTK>JGlI(@DlK#BhTDGkCKE{(!y=T-n}rkM!pQJQCcWu)u9`Tv`lfVTP5@xl>%< zER$21ep0jeND>+Qp3lbF1(cn3%CeK)ZD!{aN+j_b8>kALu&)g-`+o1~ix_*7DP+I4q2gF(S*#1)N~}T_iTu=3`gc$8Dez(a%N- z{VNF?VMq1HUX3Rrm}G3!-CyX(J(wVB@?!PiUnc5%F5^qdFV5I5&ro$2)gc8&&KNDu zC^e3STE$SHQ@cK9{LZw{JYNA(ZJF_5?s=TtB=MHs#hZ$tz)R>ZNbJqcCi-fg2|I!; z?XE#YKY3A)t@Byx@Z#&7O#*08sG1q#wcA=^)JG9wW&BYkHg=9e;)gS(?V$iN&<6xx z9`P4`ZT38N_d_Pi0^?2)7ey#r5ik^ewKUVuaC~V-9PXs6A^-{X-n{1z%S2 zpSZb6Er^>h$8o%*g-IZC=j=}Fg@uRdybtw~HU{*HsGi+=U#TvQ8uarx8RQN|moJa zP#)ylv{yN=1z*AEtFXR!W5f14fqn`(SnnMk;J7g&37|)KV}MoIecKu9&ecfNiYJF3 zF5QV8ZV<^0A@@UFzu?c{BZKst?hx9hMO7IX@}^dgUzRc@XYkBB!`C3b*T=W-Af=q) zogoDMoDSRXO&o8cG;LLjISm<-Z+m|<^vl0Qf{jx(MNN2pD4pEukx`gJ8cXFAu$TDe zuMyTc_q&Q7B&bjh=o$Y+hQL2@q0j$H{q?jaw3DH{PoM{>B@j*H0^v%P#uE~l$R$fB zRcb^=ohd161M|7!RIzvTJFMr(rS1-s%~H8Q(S8R(OclVWD_djX)jImc%%(Nt*=Kz* zw&eNmK<{$kI4sz4vS(Qzhhn>rc0*WIa;b5 zV^4>AOZP)D7XScwy!Mg`(p!moj^*)>p>vcpLJSnjG4b^sZ7{@iBMw3DsVM$ zUt?<6gwAf`bw+Q=55h*^vw9;zHuB&X=pz(O6%xW%}Ip~A`+B- zb{-)D9-Uw7%w*0;N;GWsm@7t3Jei>hWX7nUO?bJPF3Eo^L-waDSQ4 zXqH^4xZ42vPDtn~Fa!Ge250-5!T3|$`$4;AH~P>>6)MUfSl`vD{aSv{0gIUJy-@FD zm+id2KM;MMO#250k1pR}O+ym-yi*r(BsA~nF5QIZHU={StnPYJOw9<{%G2*`s?25u z6v#bb8Mn-sh4NoHhkdt+U-f=vbreqaS~~rAhyp1O(PHCi)w}!#WG^{4D`6)5&R^x@ z77x92-Q9$PAWQ#t)Z0Qvg!Rf(1oOlh#xoBDL(qrBYSh z7fDgW!JhA0qZEU?okJ0sJ(}<+l(NKQidImkH=!(*T{Rre|iC&J4ZF#KKDDXjJd5I%i>1D`47$ICi>KfzR3Nc zNF4SVn(KF2E$py?$a9FtP-N3W3ceHG6}tDLOHRS`|E9CCOVAq}ja z_|AKoo7aCO2_GjcB>-&;~vf^39p%^rrO2+ur zar`-N0s=;Qixl~euj^*3rY5#_l!c>DcV7h6a& zA(fJ-RfwiX&e>T?XU{pVi!VYCj*q>k4{O{xP+3~a6-g=5z4SuK(*>?I;zQhfvoXdz zksF_@l16_Go^WroXNZDGVnWoc`;3YNfxU>e`)d#y!mlA_L3i35uD%WuZ0v;c?h)rS znGq?5CCPgF>1{pX>051$e0QaC1fbaSjgyY0;DG`=Q$71?H4_)d$R1=3sF+8j(@*I z>hn17r?}bS1qDLJCR)0Sp$KoKg_k!;j>RZk?+q3fIgmKB_1kM@oN>P88_)>*C%?ts z;;d&aWml8Prm`2Ld~Q=_(ha*LTE<3Xzh}ezo)?7=X%KLK78d0P*G&T}A!iyxak6BF z9(omHR5-wwD>1IkL>ii6%P_yLFX;#QFwkW%v0zq3pU8(L+Or$>oQXR8LOhK zMOe|aoPP-?!}X7l?6(r>js(;VUhM|LWcF5!r9ARuo# zBlo;UI&@^_eJ-tg(QCVlb{HHjVSlr2Hj|no^<67ZZDy18Uc{K!#|#&np}KyciJ)X) zRD$1Oj{MiJ2|wV7%m@4({ObJYSFZjV{-AWxSjC5u0M|g^Pcl@r_3_KSczgzxtIO0? zuC%^B;t#d_M0JG&g>+h%u(ZnYuY3(akjOP-(~qX&lO=PXe9ALpE94HA(buaLYFamm zsf%EWV`C>5C#%;|yhTz`Dw=P4d2GDtc<^~R%UD@@X|Y?ljS+Co4wPAk;7^y8uM--p zH6$!&PH}cW$?{Q|Od=9DRNoZUaNe)k$@g#PORlqjzaAMB@zL}6;ZMESw+$Bz0{tp^ zqvMk%!BHbl+w|?4nKKatd*4zMbuhZ9+oeb?#@DsG*QRZ8Ky`+KRVfwL^fu_;X+=ka z@%uMXIE>REM>M?4nx?mUcCz5@y$~trjII5vu6NZ9y!%?t))7(o#P`wONixp-7$C-o z*#e_)U~3;kZobWpK^RcfZzsH_X9mWC&VfcUyMH7jRCHrq9CD2KlgY8;7|(f^vSV4? z3>3djR8#WaM23K*Mrj{@HB3JY+pK9ZZCSy6Yw^)G;q{7pV#etN4(8x~HtPhfYRSjm zj+|7*((wX$c3ePadGl0j&D-tlBJ|W&-QMcLT=8IIH?VUu4)Sw|327$8G-a8VqceC} zNrSYfm#eT(2|c>5S)_J5h;>{pPEU&LZ>uaS%`6T@kdTnlioU=iyX*lOi9kz(L-_Oz zd%={ZiDVO*ph9|bvA(>xt%KT9Cb042y($BT1ESNDQW@A9c2={XvY~(@v>hwt!FvDC zUI1t)^q#l=Tn&}TC<*TeM^e1z-42w0B>mjnu@R+=bk=@AYtroYh$y%nAeVPb&>uhG zWz@YS39YZLKAIJ^wP1FNTY`HPt5VEMFN?49c$=N9%dX95sAEo9-_U@3I6xaen3q>F zrHsnZpWgP_eS019#;;1eykefZ_snq*^J)OH9{=+F6QM@1y|R71YZE)R^blu`g%MY7zCO2~-k7n@fvt?9B5?yf)ki!qQ8i=eXKR~k5PuKAl^8lPkLv~99UBF`V# zMl2sjrxI32lcYc&y+mr{YgU*J6m!0V`xb@Ih8s}FlQNO0&T3@wC9lt}-h5oMuHC_l z+jjwhDvR>OGI05Dd>+Kq@lEO%+aP{HX?EYd?!mbpuAKgmXYIB{M`!!%;f(OSPpWO< z0Ni@0W+aFShDn(X8)2e476Q{QGQNCWI>kn`)4R>E8(g{fWLOwPY+Fc<)y-}{;yDTq zeUtL-7lldRo3nfNPbO}4#3Fx|pXqE%KpTHr!x7KMzU|!Nkotp#jehf&?soD-f}?Vw z2g_D)l;lYkn(TIDv*Vq?;{_Gt7p0T}d-0y|bKK>2+(N(5;Yr)hOJnj^?ha)fv*f^nOv}+)-xUiL%LD2i$I~se2ba^b zY`6-6<)|8P70-llK)-PkcBLNi^S-40upBue2MoL39txx=U&kq^UaA8FwMi|?o?3XX ziGQ(&v#|=kjM~{@2v4~Q3*c0-mP}(G#VnVujfzTYxgV-w0F!|B%Z3jxcFK5N6VUO( z-y6gyq~H@3=(gybaiEb>Tv$2GRJ}HN>#AYCovg~ATddD}A|%)N!jj!QE?K;pJ6-+K zA;^FSNq=(V?{~yVma5>RoGkZ#495wZ8>^cl+949MY=}6+m6xy8n0U89Y z<(FV^6KQVCeVthvp@#y?P(ls}iWf0kZ!AB@$uKP`;#~s!8Pct`#YFPM^g8!DN&2`n zQExA`o?V|ggn2+f*`T4PN9vHDumoa<0qbOP1;^#@$nkXCYb zd_-V(YRj9vCH(_eS}A~#+{pm~PID~Gtn#&p#8c5BR=3u2b>w6x6wwbQC;P>+dVlx} zAsSolnZU>b&HlXp;37)INvA;+c@XtU+i^rjgb6$=#1D$tfmc>?xULT0G95CCJAT)_up|}y3Y`cq z=h10IW^VgJ8yvxtGMjp37xd9wYUJm41nZM{+$&bWD_5>8N+I`$FwI|v1$v+1c&aa4 zbn#_DAt9dkoz?Qt7Wb~FIyWO_11@t^0zI?Yxukm{Y`Q6JKXRHE2( z$n_Y(VR`KfSljP(J{ceQ+(t}@xZm?*XF$I+ebN*uIjpLjvXwzIY`tQqcK zn<@+*kB2_V5OtE%&=d>Dvsy9IPkd0}@(@fx`JSUBu$m=3Nz;V!`{Zf0=h=X0R3@L7 zjo0`hGM09ar&;MGvfNzZ-E>J{n9PV?`!&$l&Q!cthJ2&lnnCLxUR>1$f=`CYje|HH zf{>Wj>_YnZyq!)Bl~q}kN5rg)yDjH)(YcW)tCUSU>(RwVEP`!7?uAnsyf=4Hw zFHa6@lNk{ckedhI&Dch4{oQ3nze1WHWCJVsi5!*Ut{+Fe974q}!KqPd@9lZbm~56t zbLzMmV@V^`EzEEvmS;BGPZcT3h9jWpU3@Cp{CIv2w$hHn#sjE`OO^T(XydF=%n^gW z&K-|l9>z8{K(ABt{5w>UYNhpI)5$h;4Pna)YfA`%1p)}Adv^*7vCR6#PK4Ysu zbim`&$2q$?v)tPAyxp9k6J2UP&p_LqZoBvU_!^d^O@}^~3^x_Gi2TzMQR+A>Yh>iLwh)h z|Fl2;L^1j0b$2D`_tx5DcjDA&u(e!zi{0#(QoX3kV7gio0l15|`Yx+n_`XlRx5^Ek^B2n~tHo+P_KKV{4- z)NDT4`E}LVUXEuqouJ-XZDcRSR3*Hb1Uj8pJebb{O6y*UwI?uGNJ(ubY@b6rrG=;c z$~;P4=($`i-e!?Kovp$4z;tD+uJu53X3r{5%XVe~QuXq)wj17~uKA5-_h4)L&p`tE zi$uh-;VL$6tk<+I9cYXlFY9fl77n(n`MA6ejNQ&%P7!L`i4_tnQ3^>9tI>g(b``|~ ze@MjkR3szaa2t?G##(Tk`@;0PU8gJ)kRW)x2!oJ(c-N~2Ld^n+OzNKX-E3L*vIeYZ{nlKsBUXH``!soNbA|=Er&#DnZ4Ee4c z{q;NmGOVI~?1yy(RhpX=EAhRPe)7mZY)==s{r;t_5C-7d7qoQ(aZDO8APiV6)yo9Jpjr#cO%nC2W*y_&ZE-{LuIJ;^Z82m8S1q=N5K6qh0|xEWf8TCaMLx z_I(z84_|u04gyS8_l;*St69|WRcLH+KO}9DvWW}h4K(Hr=-Dsl$|g4kc*M47UqMsu zJgwRyOMbfLx>CS6_!yyb@m*+a3SD(5*-t2WvxM%s%L5kF=F`|U{;uscYQA~z$Z?=uYP>BVMY`)Kog)+WJv6b3I< z8;40CBEl-uqx(j(;`5sW&mD>OKGchiVM-dZ82(SO_x2)IG(!QI@W8>m?J|VdEm3B; zqFIV#L=WV@MJ)f``FwF8TK6747!^foY*_c{LeQ>T{=Wt17MX;3CPQVCpE#?s(l@-o|ak3YFJJ}$HToX_rh3JyO+=4_FG?f;cOEirv)}$4*9m0 z-#fQdhumu%$cY3~RgT*skw^SMhhia{K@w`or5=l98*U=cQyOLWuZF=1Q`G2}_Io87 z?3lpbW-nx5Xct13QeFRNhl2(|>WL{z_8!U z>QNru+@>)xtrZH(IZj1=OHo~~VKHkIDxk5gm27Gj8PD_Q1MUw@AIjtIib%gGuB-Si zpBh?4#ud$0q#SSU=|v5C-+Z|MlCqmKx4G4c&naRTnLPvA$$C@|)9>DeY*37j0{89* z8fyMwk6lM?-OQZe30Uz3xL3ISeY@B}^PLlC5m2Fx^MIi6g{+*<-RV$>=E*M@vm4~! zv#`L#(nzvi!N#L(dt$Ui9x_*ioO_I)%mSz+A;K#VyvYEP5Yc`xgLajA$BHJEI1KN3 z0G|`=&jBgx8PaMkb)A&9^gCur)*WIcKISk>Br5nS`hFH<_t1De5C~%aSdBScEVVz! z9+wY0;vJyR8me8ZTjt|wttG`w@+jcpr}+F^o{lS^GVbd!lso@dnPDp2j)5`(QkhXw zP?nT>f{_ER@0}FYC1%|k3MIR!%Y79wx>-9vART`Dov3;_7RxEh>(YO$VuJSu#Yi-V zCIATr^d7B;(1gHrnD46cUuzI>F%msJ)B%SJhU^B?=5Cg#~A;Si8XxOBBAdq=jyn# zb7cYbfj&zeg<*-=`e+#aX2&-z@4K%AQH$(~r_8J@yp8Er7y1FZOuorV7GvZF$lq@@ zer92g{!jg*x2Z=YScRoVbVhJ)YavHu+@< z8q4ld&D5nd4A&7qj^|c;RKvqVEc|Y838$Qlr@{@BU9-DY%K-#A%H&5m!w4{@9G||f zk0i}}?T0C^RwOX#2Y-6buX9pp8t?k$O{D(QLfqL@hidUVkmb(GW1;$#;MCdWWI0MTt|$O{u`T-l6ZtH)6*eoqCYbKC+enAnC>GooehV@&f(D#!hOmq;+zFBTsqhG4Wg*#)R>F5Re_YbD7(7$##F& zR)LC%I^|WT(V`8st&b5!%`FY-xnP{*FupM`=HWMFjz@MaH9p-!QU&)Wi(PLcEI~;e1@0;`v%sEUJ@&M{<5L46Jlr-R zR&A)S2NVFHD+(bm$Xi+UfA(Bo zr=$ThxTNJsEElsCg#fNklJxjk#$JeFbGv~>e}QE5&roSOE4WxPGr zypW?!&aBstn;B;;r^lj@>_R|%MN<_JWfAcMRX+PJ>d$a149yB}l1TF1>VYLn#50u! zb2Oj($%N|RDe6o0uk#bia}WfOt+KI#lSqMXoW1fqs5w zzKkNIrf;a%ky7$AJU+Fv)_kkK1v9b)ryoU656trWgJe;E{wE|G#qu|j%`p5M$*$Ot z^9F8yw%q9IH;-FoI%HkQhikHhC2tVPJi;wa!qd}RimWh}(VUvDB*A<~&t`2GlYoVa zP=>^SvDgQ9lxoOj;4jHyo-THy`V&9MdE7rU9#6`nJxB6d&I`{N~a}d^knH;}|C>{JN57C3l*9WG4(#WX25HzcWg1+oiXv+4$L?Y!+acs0PaZ+WxcS~>`8Lr!Lo$(%(Y+w|P?dHtC(mxMZ zBQrGA(qY%uiJFV^C}2e5!gz~~FQ3*OldIY`FeZr5n;&ISkU!N}(dvXr;5i_% zXM^e|iC2 zYeht#8hzXKgb^{Xi%9DyOZAzsvFTO~YZV?|EQljJ-ufEyp_KfufLH_O-vQ#<3!1=< z{SAf&LUsnrU4Q@9$90!Pvhe!b{H|fSG8)>8rkp$^*O<5;S6%Xv6>K9C2?Vc|%VmcWn7dru^sAp;dPtyNN*u#rSM_bOm?lm7i#Lp}k_cb3^2`@s%AcBx*e8+6 zWN>Fco{zOe5?O4oX=6G3egJmUK_jyY7rv-FEr2~in}cqZ*oeWl7@i&Q(#MO1wFXRZ2CB;=AWRvd>ZSMc0N{G29A z4ausnMm*h5{rW2icwFUMx)8d%PIh)z)~5Z@9`i`-oiW_We(?H$Z38g_Q=-)~ahs63 zRG8yPe#)VQo*TaF8?FL}i^wl~%RGAJ>eav5Qx^*T_Rc3cL~OD@;$$g->SnnyG)1_|-%ZCh7>`c=4}E z8H;gz^Y!W!kr}zb)`RQcY(gLyKVOt zJp1f&wcU+t&%JanQf_`37*{vk8tTGD>ap_XV4WJ;g3bmH!kDWr?V%GBZoKZfB9 z(g_$!7PPJSDM97{CD!-ZnhF#m9@muo@g*UjRZ&$?w@uY6@`Jq9F_lnu!=bx^K}?R= z(ebv@$)2qgHl+-xvTT1NJ91F(iJ^(6xo* z>mPy@6`IC&U2fzc0mw3RkXdQD3D;|fC=E5@ef7mfOn}!Ruj6V`qXeCcEHZmwPM?hg z*Y|B9bAE zb4ZP5=gJb0wu3PE2E&MjrjMwo>KnkyJoGebhs%DxC!OPh0(_B#Zyo_(u3|O_wryy(CDn?8HV|snNAC`qBqqw z6ulzWDaFeC+6|yfkw~jGo!vX(O$@)W+W83sg8FS8c6l>TG?!l2@D2R@8m?ih;Kn>R zGiFcXr2iu?EW@M;Q&9U+Q<;c}pH^c`HZ;N?Z3*>$K@X*(o`y3D1X7d~!#ZWq1T*(W zx6LT`|4_B@UcTLMr#;dzgZ&`e#A@><(c}-x1OwpnM^11t#oyq(%BP@j0Ph_+8(^J@ zsG1W4+*E*98#pXu;dN!k?Yjo7Rz~}Wp-vtj&URs9f(CfZGOZIlP1*uYn?w>dJ~S>R zZYf(tRlnqu-99oF#J?uKPSUBC)LVHr`JcEsvd~gl5r^8&Xj|hkxNZfgAxEzOPcz zsP)k<7ddBmSSlujan?o)84~Kwf#M1Aa zUs0Lj$r~!-US%82O@qe+C-H|U}_y;UP)G1 zr%9|kiT2`5o3jkfjXrfzPA=ZvlU)8k%#c$@kpXv{*4J#daUuGt6jRT)tLl z=TZ+jSun4rH{CY$8rmwC(qA(;^6Pnu`J6X=-tr^UZ|Kg}jZ0-rxq5X#DQTyF2M42V z!#?inM%XIdvY2DlriF_S_%Oyi10sef$X-W`gdK|f#zfg0u5;M{Dj}Y(wr7Z&#JzZP z_I$M5z5bB+w$A6%`o7~k|5cz6qNrjysOD1@o`R%`;^Z&!fOjQ`*icYmwOTS00HFLC zsUD{DhZ)F_b(erfyZ>W z^Bi`C*$ZI@*8GgcdXd419^qoWb^T-a8IY4^YXZl+=!}u{g7AZbH72m~5;2IP(0m66 z`+&QCzX-T{78V!Wj;N~ZF&E|+-450+x0MFVK8abJZ3LU!k9<7xwn~nlUtMLYq%KsW zi~T`YC=4namPZBBViJH#buepeb3)T!+*da+B}pX{^LUg)4t#-JXnA3-)GLvzRLCol zBBatr`PBv(Fbd;~B@DZ1OTbH@c8;5D4%dT;I89r1K8Jqe>8ffd(_E=u_n%(!7pB^&39S zRlU{{cUo(c61NQ(g>dUhM#(;$fX1djKaV~IBi;~Gso~z!#Cyl-T))f(i*K~lKq7bE zqLIBGa4i&TzOuy$RBp1?e`ROIPzsI`+nzYpDO^qT$5DP@gQ8id8nG09Iw;0 zua&N|9#z$Ow51Bk!^TotP+-5+CScNC#IeXH(|R8*fGfTtPXhE0FB6gldr7j_<3Ehe zNHD#EelvsV?sa+h%lX|CO}t)xCdV#NJ%oK)Gn&JGQ{3yo7O6qQ6AaiTLMBuTp|2|l zy5A2DhIToqax5?UD z(#4eqF&K*z)dZEK$ynDoQXCl8z0JWFF^P&~z?`Ln>m?srZ1b*L*jljaip+;C=dij9 zAf!@b)T83cfMQGVk%l$w_BuqW0mev-%;WYAXE`n(Sjh7<98gf6-S~44(p%ojH!bBN zt}I9kJCY|Xdn6mMhE6zwK)u%Ue&y1wi*%-POv47%?an>F?T;)|bXu&NpF8FG6s>NG z?*no?zIz%AmA!J6N(8nWZ#MGRo z_hWs{GM6B&>E0aQr_|_WzogT%LAxoc>ldF9y&z+U)rhwrQYeZ(|`+L`{F6bRkV?O{H@PZ;D6m=iBX6J7? z0-Pc=x1R}hb&_Pe9r!s`wHU>yJ3w{S7$Ea!Rtfh{{p+~_JMcrg^LvrD8BY%K%n_Zf zDRO@oj52X~(*ZsSMlz)LM78V8|8iQ;U;t&JUazi>&iB5uZkT%~#_Oj}_yKHR_;70a z#IKZwWanQcrj+VbfjPgBgWL51%naC<@eT{<1$~!BW{mrSJ7&r3UAX)8JD3fs%ufPO zHJxf4hUuX-w%^LZ_{V#QRv)J(BXs6$rP|i!NX!jHH;{(hg>N@H-Yb8Jv8?K zc8#RPH4;&L$W&THPUK2{*~s`U(c#s5EP51_0^hyq_TIpuoBhc_b9*LNmnwLFfF9>~ zE{-)E7qh{QI_rj#(N<&jg}T{7Og0RuQ8G1gq-nK-74*`1%*JPyqV|2lsS9R-cf8Zc zfT5DjLCVw30g|mB#zU;h$}mB43+E4oik$hZI)qmB_iKsK7xVU$0}MG2Qxp|i@?umm zd`z6n_TS$Q(~I<9Gq&HKoiyuZD=GyG3KN2ihBDasZUQ-C)RMnKIx-K89A-{$Toab8 zISvCW7o%1H9q*f8zXq}e3YcHv^_14XUI@#fomu8EagEQ(LVp!)T}UMLi^)IgivPBR z02gLPbww2nY0Iyck2tKX|H)D!r(fiGev)-3tbvyBZ!IH$;pD{6M$|<$Wj1B-KRp?x zpx}48(EsEpSq=aH!%+h6FBeLoda-+vYq;tM&XrN=O%siKtVG|nE_cR=`9G&!K7rx! z_!!^HO~KK-$i=tHrC`us;6i)pE&y}kpImMyk701FG24o7#n%r_Hg=e+>%+{{a~*lq zCx58ybaVB8sdQOD5_kXKmM&}Gk%jHFasnk<%-pngU|1XHSR zH6scxw`lZ+`cTk`1S@sfalPVGzI!HBnvEq>JDH^(k33zv12@Buig`|CXVojwNw4`Q99GHFC(W_$A#A6?6j=Ak|m$kets$XUl{d*JGu* zPXvp5w>#uT9ztzx2_LTMr9;L#Tw~*8?3zE-ohWX>RE2@D`}~zWS8fqyI-6L`7u*4IA4s$YOsX?7LVt~^C#L1Ka;m_K&|{~ez(qx zca^_Tb)&R(wTJ!ub_W%yd@g$vkTj3abe04=35W9gCvB&rf07qgY~fD3mgCDeL65a(<43==WRds62VmxW*lixePd=%03b3PtXiE0QT~iog-rC3Ku$##AwknTAgWc9Tlp(8J zzbn63{j|gOhbu$z@7CLV0lvV`x!Gjnk-@E!Y}kzFD#UAi>?Qi<|Do-z!{Q2?yv>H- z4#8al1b4UK2?Td{cXtWy65QS09fAjUx8UyXd-A?J@67DX?04<%w||AJPp8k*ryr^M z)m>HIjV*-~9Do;}BE!>I%y>U+bSjfM_4^qSePs#Afb}Kb`P&(HZ%JX<9q@%lppnLr zI8Pi*G&yInO~Z~puIim!WC9jw<7vrfug_@U z9W8p^>40vtZ=NFHwf5NLVXJMgJtpJ`*TNDZJ>!w>^8YpioO)JTOx{QPbDNC`vbR3* z@uBZ50-!fpAp`94-yXO+(MBNMN3httAB*z|@5cCbd&vjx3(kOd?@<7yLE*mD)<21UgL9yobHK7|Npl9?=Khsp8w6m@&7UZ+YHYdE?i>X zi=|EpJ_!hqf$6S>WKK-is#L8+qkw~e3Ax6>vQlq9bB_8^Uv?F7g)+>sqQY_6PHqpHa%wR zS0h5=9>)qKO&q73Er#pGP?B>!DP-J@*Q-DtMmd!~(6jbqmQm%J>p^#($QrCSfx2`S z?_^s+nfjOrZ^%aTtE*Q7Nto&I@-Q!D6%5F8#a#qQ_l1OAs72x@WU`}brRr!y*4BJi z*N|~}>^KN;|E8*Co49heapl8t#;<>7cfd$S7UJt}c(iyP59*)^PR8vT7$D_}SC0!kEg)tHl#&>rxn6@FuL9mWxT57DzWuj21{$S_k$uXE z!v8X(T><-l&S(cxEQpnvuTlM5yV&xf1yO*+Oto75GVivk?_p4mJJ2p$Fn_aJON9+< z06oux=UOL9e_SBjSsQZ-{X3GrN|YYv89EIc2-G?DU__Rz5Ib9C@>vo#^%1H~AHB}B zN%i?=wmL@p%Y(-i5Y_HZQ?!KAqk>%faOMh;kSs;-9jE3Y1fblYFR`Vx-2ex575n{w z%)A#z!Z>K}#z25@*walGsAJvDP;`(*X%XbO2bhq3thCs_f<)w?FrYxT_#tK&KlA!M z`i_gtX+IrocjN-Y=+59Y;Qn}|9~;W}Plt>JRL%RlLe_@J8rUs@$oG(S-)uNpJjz7I za2uOcMQNAGp?s&n%8P{iJ7G3|_5z{2LF5yV4gqEf_Jb+o^Jdz~NrnD;NEG=d@0HU& zkc@G!ol`aVvm*WB@x!7%hTR1Pq*y$no(?RTtPY&dX;Sm`u1(&aJ@hZz)xIWJvQaO*CrIW87q4m6wG4>%)DHN zSpgCTLT~3(oq}*-*e)j)Wt*VaD-iAwuF!k8*<757`n4`2D$sA*0@@L17YhN0%c@66 zxJ`9TcQ*6G9=j?hYcC#VWolH!lkKe7g!dgY^9=l{MHC3s#ku{_ex3yAnt8bto|{t@ zw0G8fb55$*W#@CfjWU_}rI}XQe*|iPqZ#8L61qDW&9#`^UYYkQ4Q7&C!mcZ5a*Q43 zXr7**iEOVW;OofmwZ{AMJD=@@$BbvYFf=FGFKt-0kI}W&x)^ZF)6+&frb_DO%D>er z^8*^IXM^m+wgAlZ)E$BOxivfefIqfPD$vyaz`CE$9!`dy*C< zhYS(JCjjco((3x6xyJvGdG^{>(Q>_h(ta>IvEShUR2kYv7IZ7VV&ceqG8I2Mm*ob7Fm0AGz6d{W?stb`mk>Wx=BA zm0z!8cPt7NB`7p-c$-(~)#2w`v4vd%@=91i`8#r%QNPzZR~0xZGBiE>;x%$|yjOF( zzYD_FP^KgK$UE;Arohj2ljU1H6T@z0)Oc-wWXbGHID#^1u>$fU-(a$VI@2bU8d^7~ z{%tEO!~E~gNr8d&SN%l)In(~l=Wd*bKP>lsdt+&hW~d-ZvI>QG8ZAZ^t@MUoUZl^D z6@%yk?3U6Gj+$B-T`v9#B=Ir->p4llx)34?F-iXY4t>iCdzS$Hs@C#4dD0hOx2`L3 zYZDjeJ)YA#g+WYob8nrxq9e=fq92`nq&BP4&lWR!pysU1l~0TN1*mIdHoqA@ox9|0P37!td~X*_ZcilTqmgvHn-hwW^{YpP9h*@6BbnSDK7(!hmzj9~ zVS3(CpMm&I;Fhf(3?qMV@&6=v3Ox63t_2~U!H)jAhl)f(bH2>K_5T)uTj;48ztU5hVI1MXXADhE;zrWXCBTF@y)WZ3mzaFIs9!=0TvQK8Ji$+pP+36Kp`v zF-G2=m43*Jw9ilyuGqzXno_IuNx$07T4 zs_EVJ`JFNw1k%TfQCiFGRhVT$1(wp#D#rZA!?Ys*apQ4rNJan+AR@?G1s~7kj>K{B z|BVH}3H;18xE$8DDN)RJS>fQFIFN{@9-T97mo2ZF_T)|&VF8Em{?N8rryP&&b8jzd z`bUU)uBk!xw(&&2o-}dEJ2Uf{ccCFrm!26{iMqLk+ggil@Zmbe7>r1o2of|Xt(ErL zPkqfp6_$ECe_hezzwxFUnq#GJnwpEEVP}}Q>)P)xHwbiifn~ULd-m6H%fbDKGc-#7 z;I`C-*ErzCa~{dRMwT^x*7XNeb)EDxLg1r~5}_;|-OT_wf=4BBW5H5pZMm~MLS>@8HEUCIrWmrJGxNd(ZM%YuNGXhOg^O`>G=p8rq z4G?ynoaDP3Xl}?Dcidzxb=kEm*0^(;N;(}k;aBwfpH)VIVv=+;4_hmSS9=w*VvS$6 zOzP?w2kd1Ua-O3Q;Vc5+;xbgXbknL?1^O_<1+1c8Gv+sP67i3Y}mC` zO5$?_*O`N~AFho9Ch@kP-r9ACM{K+_%(?`^hj}@=nw?x@oQxSS58B?VKkG-JN?qfQ zy*l~e?ttr?*5u|+f6~6jWmL_Ivyw#?``n^AyUOM&urvfV|H6J4_ z816iOB-N=0QU;0M+SfY+GgE2bI4>-e)g^A!GlGe&N{B^G0k>swJINrR@keer+zbqe zxMiyn5zVbPl~?WK^!XUQ7*Sx3AqW;An2PpiHGG<6-$ z&(%!t8uenKON77tVBFW2f94u~8BEEfE8U9$fEF1_UQYRgHHpvEqIRLk+n+mH3xwoq z?jmu*5#D`n&XUP4lMrXJS(o9KW~HgJ?%$gC^xjzZXxYx7df@$tL+rWY5)>9acEodi z!M^0g;LOW0v%X%A)<~+Hc4lSL5J1^99RDVn@_!b?KsQIi?=aPJ%wjz`*;$s48*3<- zI|%kL?2CeW3s=2T%821zj?}4e zDs~ldfN6nN3(Y^bP-`f;J*W_78_p1Bj*H3ome?6A>(S)v;H>DCNpFHAZ;sOpWFMNT zc)-MjU6x->eZ#A24?wabUfOp*gGz&(pAmo})$9z=Z(cKF} zSsW77kb_sN8|b74CaVLq6}J|Nn&fC0kyLlS_G&*m^{ULtoII!F?Ir=8P80UhSDbKb z=WPmAdsJk!AGs9h=QYw;7q7%SV>q=YjhX z<6^NN-DoOH>&-buNk`pnOk{mFNTy=J!W+kC`x%>XzP%g7#6AjUXsUjC_A@aB8r1#c zXiw^OBa8j&)r>6ie!|0N^;YA)Xk6X$0cV|7ceJ{4t*yS(@$}4Oqe8%L@5#U=)ygYp z!C_BmcxkE=$cJD4K5mB3+QZ0`Tp?WaS)wE+>2R1vEwZs(ePrc#K+@CA`E7Y{+YEA+ z6cnf>qOnQAQuA)Jg2#-APSvVeJU1Tlsl+A4>N!J2HkWP=`oV41gOiA2d72qyTYR?N zEoM~Nm8EW9u*F;et>rC}^5O5)OB0N5VNw)H;eY@(J4Cz$E)XNDmTEqq57prd`67{r z-O5o*FSMif^*ssb_b3$zl($GvJB^8M#=DvkIiX%MN}%YhZdGv~VTr!x(>jV!kVTYE zvw`>J@HqUlM3NbEjl&AevUY-lZI_pAv1D-6(+TZ1j-I7;l+dYBdz_u4pa&~~gzEo2dqO4AE;7Qh?Z=_Z;?a!vz3fS#KF54>NfScwv8c%H5 z(=L~epWiz4N=tb1WuP&w{?5ic7pI}D(;i>Mjh(V3pG|#Wm-SBE+l;RjMD_Fg@sPIb zzLv|T2zO!E9Tc}F#LEQ!b&SQUlq)&(7G(l1g+31kvN>(~GwJV}E_o=2to>B?P&31Zk=+wLLsToJGJNgGCQt%PvTt`W#8wxd=8z9Of+6cL*TH>( zU9PJ0+W%5oJi-1_#pbP6-{hsl0%$=K?{j^KN7wU$+^3!Xa3<3fS7DBmg-}}22N;|6 zFxMP!5tcLgt!4;5q?te4aQbrseIDWIaz+GVG&eCq9qW5snBfGagX#Nzq*nH{TiFADUFL9*4#T8#lXO^F-}hx z%@{wBi06{qV*{pi0t#T20)O8MeW1D)@X2r@JA4CH9mfm0Xlx`e ztx~xMzUPe$i(=x%ZEtYo=4qG=-Va9nKcjcG~wvGyK4$t|vU$0j`aJi{YPAIIwELH=7G)NG&hs9f8BxZ5YQtP#`?t3+5 z=3*SO?Vuo`>#)NM2SbfDGQ~~f1%|jIiucll=}k4qkIodqG3;Mck;L#{`+ocSqXAV! z?=2KMiW6*=sB8_ZP2Uzq+uE6bHU>GuNvz<2>fMuP5<{w* zSneEpy{%OXfPP;=?|d1QCktETXMY zf8L9LGtWvWEpJ(Qpj&P~E0!4?V4G{Dskq*jd@3!$BE%*s&akaY6l$*eX2^*CX;nBb zaR}?&z+4`?v2OvB7FZqM-JHId#@vT>-95Uu1qMFhzG-HRG;MBFpB5LjU5mdH%uw?d zi#r~x*+ep0Gmh52ti^xd)){`q2mKzWV;w~_#C|&I*C3;$eK;!W$|~&?08JjM`Vd7I zlJNg{Gna|JLR}EHgOw8Yq->w1q@BGF?4GJfU2qpq7=#xfauL?PQf>nW2B4x^Y?GZ; z^vGw^$!@z7b8yn0i~CYchFfvAM%A0fb_tJo=a>8LRZGyvM zYk`{gpcf}kD_v0qO~Ddb$+gbc0vYMI#S-tu@GYFTL{hDfGvWC(iRVek*;^+eUFy?> zo6C1=Z@ZIAv6?)6+w29JJP%07VvD(ZXi&*v3#2IS^|&$_JGDbfS(-&~6tf9dN_d&Y z;JEe&=o#lbF_nMX!mDB-fJL1C`Px0_NBm>s8l{Izc>2?kZ-{bE#xjS_#Z(!(kR9a+ zTkqQ#y-l)$cUV=xTp?F@B#M#25v4W2j-1<(=HqFX=6aGR58l`c zDc(Zh`yKg@-Ays5+bOXYy+O4Cgu?r6WX&9gPYNJkFwAok%t#ZU%gsWTYv0lrQZaV4 zKT-QgRn-SnOc|*iv9+d%hv@OubxdN<^fN5b_L5 zRnJP$oiblNblWf zSrE3$ahH#vCdywUWwzbNQ28un4LDK~AJ{)5Y7KLOO~X%Jk&T{(Q`i(#bj!x5g&=9> zY~YQ$ac;%q-|VudTtfT`r<$Fwy9qdZS@F7LHF!_Cv+7l*O$7r5Z37{W`)&tdo&ptK-7X)` ziWpWkZwBv(1hV>AgKRE?^zI^<_R_={p7h~Bs~t(VNA>53DxMu{fUYrb%`bm0zKV%q z(R9vtd+5R7R;G2nKJs*Ntu(N00Za#PoAoeQgJ)W`2h71s6Z+Msm*?9(uwsYeOf$k{ z+uh2|_d=4+Zx~otUz2M2g2zKi3HfOE8n8T)5)Z31WgaI^3Y4H}GTTzHJ&u8~)g7#L%3FY5afciTH$$2Rz>W$>Wmp3GWdNDnZ~ zq((YnB%(G$NrtqJj_HX(0#KNwgyhj7ug;3_9Exk1mRV|Ui8!N5z0^pI&b!H6z((n+ zK8zPdBd^Jy*z{|CW91TVW)=E9d^X`;e!}9=Vt<}WQ z0;GDk&^d>P#Qsy34MMWhd!%>maIV|CyW z*85!+=IPGnMT|f2lm6@f{o_-Pl8#K?U!S!sz16pu6;l4==l;Dui)nuY{bLgQ@dk2y zj!;HNnB9g#@mD{g%t3e4)nJsf>O^;C_|5Wmv<2InItPDg=)?T*AVZwMw~~wc%ew$U zrVMqUX?b-aUSmb(@zE9tgjKcrcgY|#nL-GW<=V|pGzN#wu1BL*y!1bkwYVsc%v=ox zDFR{0qIx*#Om;zee4t0mY>vfjHw|m$AQr0x;Wui)48D6> z6Gy9s!b4!%a3Eez9RkgnssN){JhX81ptM${^KtnX;Zy}q5OB!s4i;$4Fkh8=z=Ewm zUT~!5Y`A;H2(7C^{ES?ztkTTm=3=$Tyt{XotE$q;I?f=ks#_mVu*iM@DuTBeUSxMZ zbs^TK;h=*i(O+JPUO9M-D6JD10z+ZYbWTR0TMY<;D+_XfZ;>K85A+NL+ZvhjtfriI zuzf;$?NSvpFs|s170R0Ti-reYBlB1HNNkIYAW1SQ3mhRFO6e28(NaSVx2Fj0MA;357a^#9N0Q114YQ12{1%4tEFl>|V2v*zf zeseXS%LSJ)18QI@)U)-PSFZX3W~Y8N^twaI8y-mjD6K?Ioczwtt-Y&?NIl|gHwU8z zgW1i!I}dAhzRzW$A4-npy6Lw_9ft|#ylJF_nMlkZz2(x9B-b78j=H<0yd24tJTy|j zJ`RuTF|P}TXCkAKt!!T~)Sh)o#SWh%Y_mzli~HNp^i*{8RBT-j-|K!=?RR=J(r@0+ zwPoNd#c7}2pSE*or`+)kUV>0?cV5RRKO*&$|MiRN;>?%v{P#Nq&bo*gSoq(T-aAgV zckkw8(&`IZhqj-6u7?7WHlC!>Ma7}pfOxLuibHMnSB;fs-V$K10RvSuekXD9oYgCV zwwzK4(c7`Mj-u0`;hnoZcCd<7*4cZhPhvW6v^w)2bl#g-W3zJf2McQa*tw=QHqSBE z-X9(0Fla67Lui11`lo>YWst1-uxvM7_O)%);tjC4O*pZ9;?&*Z?P~oO+Adk3?XKTq zaWtXDfDS{uNrd|JMe=uW*npK{`H9CVuw6vu-AQrVrVRrjptOHK3!~?i+GQ8?YvLKZ zVDzRgG>NkSNGMf$RTfopvtiudjqq7wpfS?Z#PS}uJ~AogaB z;IR#Sqse66OMfp~xN)ayR>8-k8}y3b4U zX3_7OqxIJv>oZGlLgM_h86r^a{W;TT+`uCBHJdNiC!4ji9zo9->+9oIWV_a9s()_P z)B^V>uE@#7CKC8N$5CT<^JeZ9fcaHC75K~6Z4hi z7eSSPUad(P=(1p3s91gW+LkU-oj^qoqShuT)_EArC1R6+pbMZ>`L^}JO)6(5WB!;sQp6KMqEq;27 zaFr+HTLg+Hf7l0KE}s#E>FU6$hkjG*O{ArgPw*A?A-D|KW0es`+Q$Qwg$lYee}54^xi6* z86BBw4t22n>Z{I*+^L25Ul&xdoOPj9U zcN6|!i14I9a6`F|SxtFj)-)T{IyUB~6*iZ7B%UlPK`BE*|hyC}!$MRxoF$Rn@NHCVItU*c zOM6=TD)GS=2~}@GETDtQV5)-W5XAW2=JX-c;yha(Gb#S69c8Za@nNdtZczKhLt`}~ ze$?FRHsFWoYK_))(+2j*`F3V{>g^cAJND>LnXaJOVtg>8K1wAfa7w2FNzQHj2CrFN zWLg}bZ}CQQ8Zat!bFH0{lUEXNwAb~EO>TQ-2?o^T)z8Cq@GId;_r)RT%`^VsJgBOO z41`V8EAVc)OFwYgMO}|vDiNwatMBn?Dp5@&*BeUmv?}1(Wm}a4+VcU|>kgacwq-aR zZ4^%*uDiaorwhyUx*>}+2sk+c2-~AsIAt3XRoe(38U3~j?W zS|xj7cKs9XoE$cGH;s|)Ex(dekFHed+o9zMV1eWyv4))q1SYwtnTK5#@zcP=`}M4( zjZJ)rxZc`shemd?MAf!`#mzNqqGw9Y*BIy&XbCRbckpUxiVGXh`jBcLWqQ6;)Ac-{C>|F2Y+N+v?@eFLsp09-TL{ACF^#w%7N8$qRc{0h>=8UJdOLkRa1t20)BB z{g&;sEY9=!VrTyWkdIm3Xo+AA-G0*wo%;1VcW>>nILc$%%lgSxp0PjtE1xSEa~A=`94eHJjsM$$G-$LPl?zZ#ePclQeBOYEEeLzXS`G0F4NqFmy~h6YUO10YA-&3h+sNhI!DIxRJ;;?L4Ee5@*N-8?0Rr`9wa>d^v#x@f z0Q#NaR~JuAR%?4tvy0T%A~|4(%D4w6#a+(lfru@1BXy1)DMi3 zi%E&4w~?D>de42vsmWK9Dcn1_7kv}&e$=2{Jt5?`-kg_N4Ex5^^Y~#AHdLL^O`x$@ z^jfwCbcoIUCfKjZhKg<7J2AE7CeHedi&M_KWiRtV$fpy6Qf-Pp-crQ}<4fZLd3yfj zxxeM6L-gHryhX|;o8we2J}d;3xj4Dg*D8G!zSnUaG&w6Y#CduH5tGsQ^e?{#y^lDa ztPs({+F)L6U09F!q-WaRo^7mbM`eBMi}ZYou_{&^24a(skI|>@i5@1?lMDjxPNVr% zx3u@gy>rBixmEVar&z*Qwqmn!9l*C6BkaW*?c4gE@!P2$Q@4k3-)uAd|i3cpnT)L-PCt#rhU1*;*%ip zKikx|hHXt#sN`tOEQoyNK|mxz+O?OIJ@n6%KUAL;TA^F|$4XDM_9@U3SfL(SAeQz` z-orF5K#W39Kh@nHf}=8`^iOKNpfSMVgO2mK{QHYH)N1ZKnv$-M2)hs=@EK!}T+0Dn zrdRZf^A#?F*O*(>1QL9~K#R~~iGXpZwydNMtmv=YIzVFFPrqrsC~JzS)6sXFiS%aC zK(}y%Hq{&;9BpRx?~7W!V5>oWpu5}T0lqcjCf)xza9Un$SJxJ!KGjcwa+O;=X|3yg zvYiWro(Xh*n=di4=xi?jvt!pg@$$gA?|f<$6=mto58~vXk)JdNL{mHnt?rVzqjj&{ zvop)gK&$8-dt)Ge>*XP`E;I3LFt+}o28)xW<&%M~K60lAJV_o(MPyO*dNz$&2`WLr zjpxnr5BqESWimXXUXM0i|Iaw{+Fu#IAX9i{7eJ>#=CuH;_i$yG&OHb7)|x!|T-+eP z7~Kd13}lEwvVBk)t9Kfhu75MaFV?rqKjHDi;wTXD+Q>d^YTCcH>DhMwO#XER4pf~R z_EG^0Vapsk{8_{e*O4ZinqIhxx#WwBMcN$q;BQ~CVC8nKvqdmDkUCn+n zE5vZB&w3^xSt?y8e1&XLP&IedBT#)@D%*7r$%v_w6slJ=5(!L^y{bQb+Yq{oQ1_|< z2INdR2}}cC{F)XcbY_&XS=dz zFdXA7OPk_->gl>BfsCX}D7&xw=1YzoR( zBS#0XTRY0eM4>E-d0<$%^)3-9!4d8X`~rLuy%@c@1S@kk4j4fJziVK9S^dOx<+Owt zx7hTf#PVDsdOVWDz3rwm`_n!GA_ynYu^O6C+fKhcpftFsijE8gCV=|_Kn_quNdl^6 zbG!%t@-w<>W>;DTSzY22+7bQ+ck5co9N*Ymii+&=aX}A1x7rtbDO8YUyu?y#1boNF zd$33N<}e|P{fDi;ZWKGc_q{cmyUf*)C4k?Xkz4YKSA`mso|>BiJWWbT**|a^vlp)a zjMJbQ8qw}pOb$^?jFalEbCLuyJ0JYdI8B044QmjSd?L*TRm!d)NnPV})i@Kg?66JGDx@~5^$8Hu=N)m8 zj`)Cu&*zvR-7Ic|4umBv+7xjs1JplmaPOO#SyJWPVp9`9QwKJbRPA>+r;c7kcj56{ zh<>|t8FU%r9|7cGFAbF$@ffWLz^Y!Em;r)wt&J$7ZSVij8JZeO|6SL>c3#Oc#oMdo2{{D z5DI#>H)-3u<*Z8M!|Io#5s9AmejuVk6r5td@Rs#GSNk}~y$Q{H*&s=X@s^8!RVBSc z&YI9K)!aK398R!+4qOck9r=?pypEkdviC99lOft^aUX-z<_5v9u{A|EOzm@Jp?h1s z@eStd>(Av=cx+ALn9Iy&pN>op?-mm0m%eVygA3VSnD4SuQ?neFc_R9zjMu3AAx0jZ ztlk0ng9e;2`SJQY>q-fu+N&ub4N~D}o-aYRtg-6$*;n!JshR~|z%ixFJpFZ_2FU1+ zHCK@39tE?0urD3DRmOyr&58M1=KeuOMnmkce)wm~DD0v~N;h zR4xBo`yQKaH9uwBPv`udD+%!_CEJ9d6XCSwU{)q=L6|8Cp75M$(-G$r9zK2Bq+;hW z4og1wiv!W?OOpyhf@UFPOB%pi0e($8CFA#!zr0N;{a@Y&@xypRl$#XI7pItxJNwmZ zoE!~!1yfTvO+^gPWxF40oQYE3i_AT4@|mIr#$eVrr&bM;U0STJ$k-VfH8#J3m*vw$AhxK@Fd=0))U&!BnD_>SX{ zhdM9HMgEPVApU~ z;{4jJamsD~_2y5f9AseX2XTgZ@~dcA(f&ej8Eq6tcj=Pi1&4V&MD`;Z4Nq(0TO&kj z$Wi>?z+Ao4s_gt7j_s_S4=oDgfmYfgNae5Q-%O#sHJG-elzdVR#tlaDA-=Y+Jf_#H&Er`lRf}oAVWT8V&2m5`#(e&t zK*OWBF=iXA)usfXu$1$eSj(fbJ6nsyGwmsLg<*c|xXNv=VX2fL{E=joBtSCLmDQ>h ziz*?@DE#}J1@q0GVnm7p>0*BqLl<2sgQ(l)0g)^eOSeWiwiKz)<(J$!|C|yvRFjZ% z+ZkL{@)2m*kqC?>%Go;;BBLE)&}?@*JmLd-m#4o-yi-*nJ(vt$T6sw0I%jRVs@nTL zvSgmEsN-YNwd2#BNMzq@GoQW|&5`v&_0IjQ5=Anug!z$yUyt#@XzWZyY3gVyg~xQ} z5N=3a|4#3_0%Hl53sWH6{YQ@mbR;DlWh>4jOfozwgIGJ6gVKMo5PCm9p@WO|SB7yL zNR7u*Fm*iT2_VeIi@hHXLN{-pyD)LEPzeT@c|A(hy??4UrGcgGyw@gtvWt!oLfNB> zia_Hh=_G3@(t|4yQf2CGbv~bdnr>*z@@jhP zOF7mzU{4$?K9TBAE2Ik4R4y!&VtZ263-7G$--nXdnP;qEpwxe87`~x#xYNlQbllt zxt|FCM_cVNvoDlcz_MGcIkZy1L;MpbF zNF9~3=zs5QjeeRd5`nNdq6chmHQ#Qvq^JKgzO&m2=>e!EUUdo+v;$2PmZMxw6ss~3 z9nxAdMG&YcUp|&Szx{&Kn0xH-w&q+_fdHZQMk1JBdW((wCON77Wy{zut+HQe$9k!Z z{7E4oKU{K*2&e##djTj5T8Eh=6B`WMMP&LY)?baoJ;Wq1#sobFi7Mf#^|Eo z^z2$LJyX2y?Wa%sH|ITRHZPw@77TMCk|ZwyAJRd18?Wko`3)jadY5C{OdVY@w3Vr1 zn=vzv*Vz_b??fGz4IAvGofG{={Mgvs)L1_W9T^trB>t*jr{nQDNx{k8daF%9>iyvU zVP+xo;hY>g0f?NfUHGUL6A8t5GL%j8$wa^cS~XxAWFJZT38D%;qg=LS)XEPD2T9)A z9#Heu^XZt+V^Ns=i9^L_=sAg;yMO&=%ave`MT%RLBMKGHjEi=bV%+=KmU0yz4!1Hy za@7M#87s!Ev-I;A*irx=Rhr?8 zRQvbJ3h;6(zpU}bh`-@Fpqs)QO^bIzjY^ACsGMslP}o$H$C+O0+a4S|VkMkRgTcuB zBjuK8;@s+YLkEY|+%_Bxlc719h`2Zaavf%7{dhFP92m)kk4M2t&!d{7B~4 z$8XG|ZN)PJ;jtCU_Xu*q-}zC*JLq$bc6_JX>J1oRBG8*juMuL%9v=_VaAqUG@Yi5! zeSzuC`S}vyVY=Aosz5*g1Gl63{i_BbAQhY9bUKYsG)_(ylshDI-g0?+B6RWmV5cu=D$M_W z)*tM;1%xnic(Td_2fTa`#8n6WM{37!Q(*=qEZD=xYL?=8*?HvhR?F1csLO<5u0LG% zLCC*d<)vX_VTF!%cHzS0WNPKVL3TJjPo~$PwbY*t7=TKlUTpUbfFevl+SB=Pk+Axr z-|PGpK{%_!)nX?AQs?#N{&XkeDbkV*G6zj+X_O-i8U7X#;BJ5z{K;yrLz1;ht7MVE zs~0aovq3zS@$sdX-5%Eb!u0CrsGpw|(9|pt(`oD8#U}y<9i^%V(R3e1Zy8+LK zI@G?lYV{_MnynkqxRW^=Inp>^>{*Epek>4}w5QvKm^^0HFnmir-8XZA=ItO_=utVr zr;t8X+H?)p!aBUm*}5=+B*I#qg_k)W+_#9eQ|Y{9@=R`Oe!|{94Dr$&evhEbptCBD zOcnfx=~AEtyk>QL7y?E-Nf7L+FR%c|1ZRtd_)M`7wkX1_;}4`Q{8Rl!hH`ksb$lsQ z8qv%bCeQHmpr6vx2-!AxNPN?8)4l8^!56YUYE-J6=9g@fpusuB{F?Wssl z?Z;;$QXYO#F?0xW7@;_%WGJ&+>p%ro-in8$+M-X2vuqCy&}m(~eEW|w1sky8BorCTBM9u`QP>78g6O% za(LdIi9B!l8(v_pcd?^#tMynq$QBMjE-(6&-kPlG(z(h+27pCY1E`r|$apgBVTBdc z^Z7lqYT;%4*fW=p%v5NbI1(8J27369JZY|D+CHEo({>pvc)fXQlnZbkwWKaucFP zjVTle8%e<%{YS;$=xR_UU!KYl|0~FshI;hHC9Qtq!gekx)>a@khqyHbd#&8Qsyn$q zOd>R0Ens{#Cs#{E(ZfJhadMNc1xK%Kx6OXcjg@4>+qU5@RuBiY=hq$ zCS#9Tp|H`YBF=s%)qH09F!ripobI|vqNvDtvGg16{MEbZWDyb0(n7(~Y$X2}@N-p` zZ!i;8vZ{OR044q4Fa`9OVo><3+s5!8T1 zUnn~g&3LW-2fOa=C{^)QVKEUE2101MV3j7cJsv6C;EXHECK({k8GCY#Y)E#^M2n! z@MNzYu(BVgRKPROlrR{n`@7aTc=0c*s_LGrCVA*5$DLijo4n0hh26ZO!pN}ebbY-M zKO3yA(aXO? z(E^oo5C8VGiMqbOeJbdg8CdxU)$eG|<$Tp6P7pJQoLdDW10|w^TDtqqd?~b(6a|KD zN>w7hXJwGQHe+-S<621VsH4ZhL?p2SD=VSXTiS}$ke)ty|7K}P6l?sFocwm{nrtU& zVUfsrV3{iZ4I%zdl*xp1)-GWt zAzv1aRHugHCVqh|r?`mw-BDbN0Ul-m_rp8@@S0Vh&#zIII$3SfKzaP(OFHVR5r?T( z^Exl?>j@O8f*A)K8sDX*Os6K1=VPH87ze5kgSzv~$Boa7(K>OsOB9-O-cc zfpBxGj%T6Tp9KdU0qmrU=c6_0sM2o?_s`Djcvat@Gq+tqvebrSsSl9^Q+Z=7-Aa52 zh*xiWaj?N#Xx?g_y-VNNP9wXo*NN^oqLaXU^ZeIB1hpDZxztqiE1rlqVY4@H5>Np# z*Go1gG84s1Ne9JC^kyy&VoF6sM{S5~N(F5K%}dm@{_k@fyf6Xu-Iwh_1Od?~rTa5V z7YCE;YY>T3)*C3M!zVfiQr5L}?iFfhfRJ9Y!=!nm#0f7La}%9x#>gmfy~XORPFOWL zduoSBz}QvU@D|`Y3@a-wtt)T44NO~2z8}j8w?RR>S3H!}nif(WOn(P

      AO3TgvZu z0723@s|KSK?oL=)AXm1XjKD%Q$uHtr^AWIv)ClPdmJf}C7;B)3&M2+9$J+77JUF-N z!Rv2`9mw)lH4Y!Rmp0#}sJb#!gG=e9V}Enm-24&dy_|Qrc`#ic!70MZ6+mKIn4uAQ zx2GmoB&>$6ju!(>l4-iWsdbOwU>(c8ARbk1BQStelQ=$E8pWorTV!iha~84?{Rx6Z z1s)rXKETskV0`4fTRJ1>q#5v6pfT!WM%c%=~3|)bAumxnrlqyZ=Z zhC*45@kyNy)wAJn6r4{{5nIs|)4=vl)iP%iI*xK5XCMNn@vN>_&}k zqjA#MP8zGR8Z52J2!Zeu1DKHJ>H{b+h- z_cr)BP)~|jGz$EZuNcum8L{o`ZkY97f4`X$oMv=Hr*HG& zb&ftLSeZDf;WLKFHtSYuRWv*zq{jH+#^_pLJ89=A*cK*&H=a8V%3q^^Vh*IhtoDe< zu*Q1HrrJ-k@lG$2TOWabFx?^!4AghAjlUNVzX+&C!r^ODemgx~L6=XU*HVCBJ`7;N zC~YTt4q~+T6`?+~)>bWcHvn`BbN@_>AUSZoN$jbAV9gC-fYF4%*#(3fbwu9n?wd6^ zn?s}ExJG!nNPtmE6nF=`+^-7J-B8N^4KG2{O4=j-d$uwdFeyLO_9rTcD=N_0sOxBF z3nh)5@GYTd;@x%OtZCk z+AgXzX+=zeYPu5e+b3yJ>j>#?rg^t?DjSySrgWsa?(8 z_dmSFa|Ep4bg&4Yf)A*&%Kueeu`}ELINu%4sQC7K10+4sV#OBaVRrTh1W$qZs5C&8 zC#ybGfQwM(t*r3#$X8?kpz8S^FNqCs3#`r$8(#|Wt$K9nRiOn3>Bv*tIXJlH#SWT> zGTie|6YtCvA*v`2>}hC6)5S9ZH>i%6iLX3d*9gq-@B>>r5)p$3F%uSi&esG7$iJCy zA7-I(=TtA#o zgaG|QQRK_ebcTkSq77D4tT<$ohKAoPhX@oiXANceAZ=MKm7uB-zA(ayPYj@X>ID=V zOrQc(0Jf)?AOfl4^}k$UFO$h~+XYl%>U{Ls%n}IzQ6|spj{&4i9c21PbqG-N=L6&b zTm0~a6-GF$1kZx1mO>`Y{}H?=|D1d6FRUR>__`*`Vq~)57Q|YRmLJ-ZH!C_VNLk~A}sYny6XWuLo?fyBMn{rHx~dsa6eu$d&H0q<)EoDt|)bw8>`iJ+}qeBEz9=r8%gD;%aFZ(PT~PHA4N(q1jL%DcyH&`?^sZG82<^U zpW{B>+PN6r8f|>+1yvaCU()TjKw0JoKcWH!7>%0dYH4@rkrel0uurPQ z{@+hE(bJL5jLOt_*L42hEFRJJj4X#LSTJSuOBDshK>bc9>6gmk{`q7z#`Z=+s}sha zv=0U#YSJ?DzcdajcK!H|F0XKGt$8^~mZRXr|0YcRUgpHQ*iq#)Uxnglx^fp#y_4AU zmhvhRk$D}K6_Jflv;4TEw*HG>gxSk~_(iDm{)b-#IXh6WyE&P(m$oK}Nl+wh?)aev}zayNBL3s_Q|a z3p-AJ!aH?XRm`md_Pf!SPv0)=x{c3NaR8xdf*oAVK!!9}Mgan-Lk@PamyF=;S9KR- z5WqMUp&dxMpK;O3fU+sr`+`uw8_K{kdi@^> zDj>X*G?0WX?Uy}dm!&9?cIQFvnHRsX28@VkN3pe(T8XRVEx5tf>Ab+vDqk?d`94zlJibu|K$j#<7#_QpbJ)V6? z=R&_QF-yw@ma=b++qMX5H@1^80hjI!-vNQ|Uv~DBeZ(*C-NNtVSSrtCYc0qi0WdSF z=JzYOU_^IMknX}n|KecVq~kkFL7RR`3LpdfalRNPT&YZ;@l8j`?|^Ds=5N{~dMY9d zZ1e8RDKGK7JDmKR`9#-W1B5>sgqkGHo1B$DPt(`%MDyH5VHS1%R?Hbmgd za8UwVch~lsv6H5;AI#0t97tn}eV=J7!b_j_I%h}1&K~6HfqWn@Y2ozkC$uafTK{kXAxQv1~ zp}c=g8H{iLZOUNJ$x`m!QA81@d2%_-Ah^swDePwo0gBYLX-5uf(UVRqfjzu8!pKIR z9~QOW=oh|@b`y}GRd)>(m#RPdT=0)bzV;)Iq>1}xMn~U^^&~c_QL`vK(SeJ(Wbhv_ zJY(0o0)GPwp45vCu}mkb%mUP2Qr=J@%;PvX;oc=SBWlK%_sM31@E)CTK6gaWe=}vM zwI#sPMu8BB8BQ!o=~^S^`vfSl;ryiX%U6_Li?u|Ng78<^iZW#FHV%Z%xjm&A`064s zAX3v5C`)*tYmTt>ZcK&}m6%i71W|YKr%MlBINEYB&0r^0l)gL-!ThVUQ~C@PJrk0r zp(o>Xe0O1IYUi6(PY|IAbEpqMr0pyCC_H&bnVHjL5B;AF5Kc+5;rCofm}+rix|r`w zTDBfFb-pR6H9Nwohs6r${~rty*bx6Wh6vW!rTAENbfs~>Xl&{2gkes0GD;g)rLu?43O4C{`b$^r+ik1G#b!l=H7jA19u211FU#1M0qRcd0Y_5NW*1B(Rkfr*->RZ^PinaR)pgYiMrLPD4!6Q!HNWuB?T zm-yI2Glei-W#&jAs^n7&As0hCt;n9bEHZId1dtU^eT1|) z?PrXQFOhqwbTp}*oY>Y1FYXxbu_kK({&6Mw-pM*u5~99_xLl>|L z!ezD_&Aa)zf2j@B{1#))A#>|4HQuTeCN4#2=oYZguS?Gd0~WJz_e{0fYh%uPgjS;r zeLp>>S1o3y)_1VasN`X+jMSTd3Uz=$sD8yrZYu-)Z)FP=Zopv;kdBIl2j}y@0L;4x z>O4PS*7Q8(jWxX$*G3HZ2gJ-&k^GX6nMg-oF{ShY)?6$DBn3+yD&w0m<^q2IQTQ7xZhR~J`G9yQw+vX z+-FfPOKBp$>4L+a7<)zEE6-glcp=(M>F4<-$Akr*#ydoM1FW{zy(J1n~pj+xr4s01P^qD2v=1A@M_S zD4ndbn&Eg{TpfQVc+U!_sPVeU?8b@uG*(n0rVQz|T3``TOa zlOsy?CI07Z`v>WO*-b9rnegOC^i!(M5336<;3has6ZX;gP0~$^HVST2Wp$d7I9%5> zPo_xd(M8s3JF%eQ&PXIFDvIUKkkfqNAm=1kPW@-LQnu604i^qvruD3Lg7T_&YjWod z9Ih&+>tnSlDcF32!2ZMsZvoUvgd&hk_>5|BVZEWGTzR9Xnm}T zV(Hhd3aKQdFs6mTv9od|P#5^!3i)-;y3i@Lj*)$BPTq0xCuI)g@sCmQ)5gg!Qc<{) zgR{ffdDpV;SLQ$6Spk!FH5ER`IgJ}PJ^OD6nTbca5&0trLeK0r+TklRY4+M!=+Ei- z1@$lR@Vc!)YtkJBvh30al!v@P4 z4ygT_5+2_Ob?D+x-NqXl7oH!R3i%va(Qw$swD4v`HAx&n8^^mR`^S3}rOr6`?5>N0 zoqN?XeRZ%`M`%lqlPOUcW!z%lhoL}!NY1|T!KOcr*7Lo;Hkl|OP|;B7u)F!DmnWCU z54V_h1J=>!yv{}LL9w}uz;FGLkERq&E%>E!%Gv!hgM%sgdV4|q!#`^78U%UxpN3`# z-E%qoZergqiQJXwBHPRgUa*Ct52(`IbVS|#oW)Jhv)>Q?{8<@bV#VX)y2a^`S9CG6 zoosDBQos~n3UG`k<&pc5QyhV+*?z=m-yq$5*UK;oB6Lgormmp@1)*MRmOUUw*WxZY zRnMo3hRn`{jEvBqx^|S0r)6`)bNk)#mX!4i@FTaZIta^IUu5^bJRGLaF>^lDkQ_>1 z{t_`CA}KZ^eOK2!9JbFP7rM?Em9il@^t?gpXnkF!sH0AsMAX5k=}|5h?nE5jk+m+1 z?!XkO?x;dnknJhpGBns|R4hIY`n)O5%_lkk#+s^Esz%P;b=?vx1YqZSr* zJBt`@Rd9u!O;5fMg(wv*3xdn}Fr!Pe;vn8!dh^M?BsTxn#bA;khvIxwf|Zuz znKe&ZP)8M{!7`MKX}I-+D;aRd#IT+3UKHe z`Rr49Fc4X4zi-e!{B~~q=bO|DOo4$>V#l4ZRZz_)xB>WC7yXq)<#{;#+Nt0>`)>*b zeFoEqvEi`#aWVi!Isl(i0p7;$2jFdN%|fxfe?dVUNsk}oqhoU*LJ3q!8lUhq z0B|v9t-t?*2?X%T5Nhk0>2#?P5;2q<&dUq`S8aBm?DIkUZ#$tkpSX;F&NS?%Qf*B1x+?<#3L%j}#G9kLM~dx{q5&-@B<4pQR?u43BXI~S3(CM?o5 zACo>6uD97Q8DS@d{F)u)KCeC3|FDB^F9z>879L;zr(GiV0^8Pp9*#>x5)>Hjb~jly z%B=!bf4h2dI}&6!m)kmES~Alr|5Evu?S(FXdtd4{e!_{eSaZlg@qYF>EvA>VDcM z)IYvrwsdn4Ax8dS@G6Q-kn4Vby^e@U{e`vq6qm@>u}@e(JZ~7E6jgNHy5r(wpa>L& z-M7*fi6j(?>-Z1QT*aw4e2bntS845Zm_Oa@DnkZ(XIUGvJI2DZ6R-A7g`KRcDV4fK z^zMyRB>CQ3hB1bx<#AYd`u67si*7%9=bWe*yNf97nCy}lr9x|*Zj6y%9jxZ9cS;z0 zSo8FbV&5!sJxLpBsx&QiwwFbFu3Na86W;8%(&tsvHSfbEY6n*0q7EN#GLqdgHo{F^ zU0h!}|G@2J(S;cOLq_2j8UCiwcYA$nOF^g7*OPCth!vFMWa{-S&l(-91|I$#o>05th|a9_lv{x;g?Q z5hx=^am}j}yZdC&hk#e2`4|2pBd7a z+7*t#21NMzVoiY!Alcl|;)APqM)V0sx0p&_E#UPDmW zx$_Tc;^d6zAVxA;BQa|jA~~2q8O*47=p8PF1t`;|{d|7g78O6dm3FM2X#1eSmCx(G zOEDJu|xMPeRaij*dE<9kI{177A@@)WY-y;C4@7+VWPE#%!h(4b2>J zF+;_*u{BrsFIK%V0|g1dCs|TTohO5P(Ora0Hu@TsWdcG8fq0|I*%rAon;&X6^5VW% zS4#@RojN@z#1ZbTN@8m(%E{gT;3cI@`$-)O3pHHtWcV&)=6f|za_@DdcnHw0kL$Ks z=g{r=Fq_OSePwvpm%JticY|+6_Gaf+$OfJ_Cdok+b&PZ>Ma-6-FWPyT$2h-RyO(AW zSgG<+KrmT%zzqVLH2HkMa<=Skv)ooV7K(j4wsE3UAnm1unjv%P(tq|vERhXWERopo zjq-O4hy0$aK9ONrrt)YA}<=Z*!Fy zJ~au_LoR-k^9;%XXoTn+92gLXu}tBs>JCX10%nZUq2S{+^X=`N<+2(S)MgHUR4S?G zU5(L0gQ~@Pu106raX61FRwVZyLf3#=yjMpjYnlC1w_w9)w@-4*HuYNgW%> z2HwUTO{)t7xL{sANzd*@l$>Zf?y9m(ztXC0N$&_t<5mt05Z^wP3VVvb&~{JmcjA$K-g;VYzT@VTj$4WUFQ z5!XKiMPg6-dHWNOfP(`~L%_iSNCx6H-4wvm64LSZW7|EpI1}h;77<_LFsICU*8aw* z-!Yfxgu~zO!T#4XDPyzh`h9Q^w_^GWwsN}Dn7n!r7>FA;0nC!Bq~a36g*Rej1&}wJtyVLR8wy=&x@pC!0(d5Cm&ooX6V{3S5~^ERXcm$Er0#!|=bc`8Q0%V_b-1 zK49jI%+BOuInTtu4gDHl-=8l{L*F^i#LMPo4%y?2s+N4bmRQUm8Qa4Y+1bhhf-`)$ z9ebDY#?RlXFnKr!btBf=nC+CtqOc_?2`@HO8HHo2P zD`Zn`?Bm66EfIvnV7-OS&-3V|D|xGD=A?U1^#;(j#`Io+nEJPREmCrF$*)=qbRzQm z1fQDAV8lL<`A-#h6+Slm?oxuhSkF$XHfIVkxAvKQa|4qv(OYdvYIP%IUvCsABb7oO zZj88Gs{^b)i!UbRVlpE)e4hMfhTry+zV<9Yyhi=~U^WS)p2CS2O&w z#k=}dl{E!>CT%jGsWSmL5hitRgH8aS3mi1+-IH{T)BD9a28d*C<&WM>TJcV4enX`11&Zt9PqR1L zRx+c6F`=+QI=*2fI9LQ;0`XOu&UdHsE|^C{%mX>NusuU#gCN+|gEEg2^&bZ{{*8fd z^;E5|M1@&E$#Okhz9~5sRJtvsuSJ>iPDK?VXb{kJ`BrnQ%XcCQ?xoI8MXu^7yRqNZ zwi@-Q=S}$r>G8sAvyZh#h*u)51h;O@O@a>3;!}s|4+e@Wedxb996EJ@Bt;c&pKWz- zJ)==6;MKg+h-~j~KEGMGn|?_M81y6vX>#O>a?^swyg6L+Sn_>Kc1mrsr(qpf-}VW5 ztsSRSQgl@Y;8id!PRO&jeZj5h{kgb3jz(eWSatUe8M^@1HaWH}=3cyOdbP|P@8ueL zhiigS`m(V*@Mrs!`WvU*;J5b2?Dj{CsRh$@${1A8-+F6vTN54S#QN$Y$o~guY*v6S zQJkPGI{x_ezJ`@MC;V7h8UqFf-tMxqR1&OXNM35%Vj;QInEIu$K(+7O&=QB^-oc=UUuOv@L8Z*z!b39Dj_Yh8)RQUh(zc z@z;n?Xt+i)q$#bH^jp;Zuzcjamp67WHuFoV_Rt<*SC@C(pqQlOypsq8Bap=DqSkkF)4^<-Q3%J& z{*Q0I8&AMv{{+|44;Xis7RIj@m;)iTp#o6 z#269uj3;TYHDW61fPpcn0;RKzyh_#|tfP$l3TUDo(@su}8^&I$a0`&*DCoV8_GZ=W zN=9|3IjZ>m!T7%+R04)elflzcuLU*H0a%e;v6$2951=L z@^sVwdS7S=;+Kv!o>+}8Mkj+kdMz;qt@~t8xfi*{?cc8t@jG&M^q{RGL6O>G&RjP5 zNJ?p4GF2B2Uu}X|*q9U4=mRO^4BX29s1T_5uW(Cd(yE3}*SK$B-mM+*4i+v(q#G4P z{4m16{6z;M;r4jzU23KM)I4b&P^!vaR#9Lve5Sx63f_uz1N>hfm_Ge8I){X|ps$r} zi{8z&Wn@&?o4iM&t)Dg8)6|92C*g6MIz}xR?xUMV;0+JcIoi}Qxg@cVO$oM`_KV+= zw@7n+f2nn`$-nbZvF-)$@V(GBJ!}LbJ*VyN_!iozl~3&G=we3=N++QS7{=L*mXb1G zg>X+x-&Z`5Ky%cdp2KI;Nu9}@JcbV$w7_{?s=1T83KX2^KT!EKB`@`OUjb*7W4G*p znZdcfErDMWqY6L`yWMlc9cF|hRZ?tqPvQH44}=cXzas}IVWSF(;N?aWg>5%-dkEcq zqXsuE>w+E$#%vtQex=8ZiqLPs}S?aU?$#re)+ zc=_Sy_nUvDnH7e!^Gu@#(VmfYST{tVxu`(E9g9N86*0pxAKowXkn(^R?~vy8X9~NV zktoJ;_?(f<$ykmEqX`}NL&ZIy(pmZ(wKfV*v%T9;58L31pxCP|hP2-maKX_3(Jgjd zjwS8mLdGr{8I{{rNyPJl6$>xYEKA6y)Ir4z=kmQJZ*z*LEiJRKmnWm*^Vpjk$XpfV zgu-RF<+uXu>j|=@f>J|>q3VsjTNe!O0}HU-L(z%KO3nZqR4|kDU3PL6f!fiWDR>5O zsGcPF#29rCrt?@W0;b7@EGEjmy?dAJ$%G!Nw43 zdOoaC*iwb&h;i9Xvr#K>YZaLjM!0;%;lNgGJo#k5NQKqo+E(;9XTkeFKYJ zV^s>&_yJzk3W3+x>WF^r7VKhtC?&FDdFj!fE~SvK5D$%Xs%?#J$2W&9^TPTVywrbl zTvt|=U3~U2+5?qOVxlZrc}=5~_OQit&CN=@m92fLX&#opo@!>~$QaEL&KuV7PY~fJ z*cqmu($a|~Q>Gl@3WemA1zTUtP4$$gGMbUix3>>%A9fu64&(^k^7al|+Qs>i5SNc# zHo$1nGB8k#zcn1{*N6!k+L_e}5TUfgzd>kJ1~M0{{2q2Bqv#MW#dQ;~zmU$Ru=5zr zXn&e292x$xdvx#IYVx$l2htrH5|g9?$=*Zjvwu&}pwG>XRnbk*62lNS@}CgbpUld2 zz0_sFl{WB}IPVvZTGgdrqB)D z#$hnkk$8^$bpKGBoo`j3f|+UZaEjZwPdV96!}TR55pyUd^vid?0!_Vdc|9dZv>_j` zj0zplyE~*{s*2tjZxRi3J|})^enoiRSB}-EnYHEn5OC;TaG=O7Hgsn?n&P?OtTFue zNup5Ei(oqbb$p@f(+=UVw5X~0n9;+4qU2799m>lRklquzgn_B7pn~Pj>~;5Z!-HF# zcdQEweeW*6_?F=+V_KQ}Ae4=U;Q25H)Za1ghls4F9G+uzj)Fd9pS)y`ZzkoT0|r6j z%xRmiPnq&PpJl{1HcfDYaONt;EDWuyh>i*kk*Jgyov6Uy)h~+H!$OerO~H5LJL6i4 zh6SeotdxZ!c_E;LO1j1^EgkXH5fW%Gar+D1GR3_k*%$JRS^7j;B^1@+- z79LVCnpKQ(L>+E6O}n47Td=S)+9T>Um7UQ`CIdUWAYHZPbZCPZ4!$O#GVq)c9Ne4{ z#32E+zmHT)qEAet9%lB4gBeunk)({Fm8l+C^sL+HfYIbj{=g8bWbV&;eM?YfGLHXt zaEZj{<(hN;1dSb$G?x3j+S8Xj#;?h5GUOAaq=L}Uqp?I7Dx*$KIqb&|a-%YuzR_R&Gk4u)F-VB?sca;?i*q;PZr`FcjGi$7kET7=~&n! zz5x@STEr2n#Gnmvbaax^U)W6xKj8ypo694Qw4DFgRm`2m8vCrM;`;QfJt4Ilvx7jm z`(~`#d_z4er|h@5&VW8?<%Io|r>@^V6OVdx*b`Fk_ehPl{R=#|Lde&ofiQnwr!hBs>%0n{3O| zDc5yU=^q%LG_4(tX2FEFs|=XAbaBN8)!f+h+ECxRgg+_1Y}YuJx-+XtXyGc;CRVr@ z_u!TKVnr66K98kwI~fnQTtuk6fPu2tk+wl^9FD?vU=jJiVzG_Sx^*u<*3WsnzS?uu zW9w-2!F7KHLKpL{)AtS2|8&8rPTMZ}8eRgdV{NQxkK*rR$|x}^Mq$Sz03H6wl~XQ$I#ma!;ZX&6jqQ$-Mc+)JkuiMrwzI;rgb^t-6?- zMYvkMVnAGfw#l;dwzxz>>5g44Hr||INr=f-J?#&5O?AELx`2tbH5Mj`t?d-VFav^; zqT(Y3Efh$dY+511Bm-;jr1zN#{jKWax>UmOHVx9>4_F~>wfh2)mPr#H()yPi$CZ(K z6CGHLgT65w{^f+{{Qyt^FenqsY)tCU(4o6fb8yZ-guExjIVV7IqZ&jcEXp>JgaknR@T#>3V^ zL9DwCm)uO3t7H9c08%dGG5)e8_F-l{wS-ELwF)?{?Z|*mvOD6ZYcM8#E<{CMQNPji zM1!0=tqj$_tUg4G^WCpTH?;(KAseSJ#UBHTMpw0Nd;B6955%(ZK3y}qpD*ANf7 z(D1-7%%2@^E@QCVO$fe@4Mzc=!5CGy{`)oRZJ(tc81-)$`ewip$Q1c||P;ij}J%y^&))t}*!1u8XH7K3S>VCQ(Z%KaRR4a1F3hwZk1EKk4k zS7EWwQ(#CB66jZJ%ImLH?%eO3=cM9W-*2KX3fF%f#%c7)PTK&aS4vA(9~MR7 zXJFebZt&#nB6ieFyLlbj(zV5S+NX>N3^5)LLUW&UMx+tt_mULE5|0V!D zl`|v=CLYfqa34u}8isE>C-aZ1vz>3{tA)O0OG*Ia{(}9<$&58fQf{|!Q273=NJfvu zlwe6K?&e!mR2i@Q&)1^iiA~niC5--W;9e)DpG+++u~1BAi^7PATQBZt#Mj@v5_c+b zk|RGQN6ODH_8@RGWM4h2h(Z`NPnh>HqJlFNKKLtq7=5Z8x2<65huChK0$*l=LtByV zbJ8TcJ+Gxu($%2*lg-Jr`cfbdc}D`zg`+W<_09~f;h;*NiSyd+EF#>UE%j3EBX}EJ ztq(Rrz@HvMe3rif>HxFgmb7w~VR@2veZM6ugW~33{Dcf`)yt(s#zShfF^sguSqda; zZpXQu11ajtpY%Yx$1~nPhx=Jp{V3*ZdvO=V*W#g~i(!&j&L)|A*v^9_1N!8#sOqOF zE+a}i$x3jxKsy`n-E&!ud@5s$Qih6VC{9a5)fQW{lrU22Uiw0=(;vMQZTBSntPlq+Hz6h8azZQMunn&>aVg zF9Ue`W-?FR9xL4#4|O3sNtH2qPG|buo0}c2%YJDnaP@yKv{VLSi7C*)L9%(iK5R+7 z#ie9VF@mLbu-$7G!hd?2W~XbAt=1eQT18I*xqgO?n6by#$&(0}`Ed5RmHWxeisH5>6<`&svAkvgPvUk_<NV>>?iJ>N@;XT{R)3d`)6vU*EFguJg;#)hAHGrQ7b#PAYr^ViFG7W#v5XBI9xT z6I2*~OPbV~KtPS|{t3!a8|rU<%wR8&KV2bIFSRMcQML&;!Kzg6n{}V_rj|uawd#bH=+>Z)5)YOoP4MS23?=L{Ay~O|gh@DQU zut8Kw43pB4tXpEzJja{-#$EW_v?L}u&hzW)DO4Q1d|le&f*}nCsGGRI`CBxBMPY*d z`Bal7Rr+E&cGV-MD;OcaaQB~AD91o7J30W?#}v_~xLdX9Y}Ps;_)2dmK~09Z?$UVa zDEW<0`}0!m-Q!h2dnjzClgaX0rX`r}@fuSz(l^#<#YFG*w&d*t-PMQ7Cb1Jm$|u2? zO@n*ZQ})G5t>MS%^)im{pC=Uo+<>1@n-=(Bm~l@^(8m$iE6-1|bL_#bM58?c#=(E6 zQ~&uAs1mpIl>+7)N!~h?_J95>fV!|K|GcyQ|Ar`Ft!+*T7{C4JZ~b&tWcm8V)-mb^ zC-SzPSdqc3?ORJv39j&BqTRJqc5m`Wr3#k)yt}OB20LY=lt13llfccKE#!01ob5`8;FC(RS(h+ZgzTSLuo;MeAOHO?6t6rU-^qH2&z7QE3 zYm)4lG@Zx^+T6TCArSmF`2qClGegBWuu+Vj6hAAfml`jlUqxxpAD_L!>e(=w12r`* zeYoIGPS}$uJkylz?^%nB?53Ywf5Stt;;qx)UwufC-Q7W5YZHi%V-*{a9w?7iIwpn? zUo0Pc3e6js9FkMyLatltOqJW2Y?f|Ejv9Mea}7>rIjDBJNgin^n|0E8KjwVAAI+D>aj*$0nd3(v!)N#<8$aH@Y7Roxmj2Tv`1*1y;6FZk&8Is!!o9fR`|heDTLt zfumVmvU7E`5=bwlr8%+f`%YAO-$Z&X7SZ%94H?|Gya<%t=}pffP=&$0R7rp)2al~v zrCE86`-_u1afOp=Gv#3=GY&`2@}vS=a4=58WIji9TpB!xQLRzT#PEkKyMHC!vX4C1 zs4H-aEOHp_FtN5^lPm{V-~n|3q-$xYU8ZQd^!CtdF*7m2q2T}0aCotrKq3i$c@qQ< zAE$eO4h+rwtziC!NuFDDpAga#QA)bdvCO zx{@qaQ%%ms656dyoV@rG63P-+0o)Z>H_0p12mY}|1v5T$4sjB2s9mil**9)!_g05x zM}V5jP9gilcrcx37D?^oh|JMpl=qQONdOFls@Z5UnMF#AS|ySDHb@Mc<)peiY3+1> zXTR1~-*ofk=fU1M0=yA7Zo}(5)#+lN58it0Wo(56_SW>JmfVlLIq=qKv3w~gF)`gUX*K!8qFWWZ_4G6Z;9i%j2Nx(%ptq(+ov17;m0CwT(%N$R(!;cnnc4fAP^>$PFNmD_i_EhEjYtO*sCi-?Onr$(I2GR zBjU|Atn4M5pp+G#?;{=eI$zO|GGP(gILMsivX{fcMm~bXN*dSmi?S3hX9FNvy7xJ# zRP>Rj%m!0=0SvRV325*NU43?zpVHN!o!ARx3J6>Lkb-zCnyghzh znWTW=Y&Hhn3d{;;16o_HvP+Bp!{mSwoebFz#X*k~yOhGUQ@D)cP*8>n3ey&1-=aU2 zoURJNrdxRwGkAv1>PBVk_oHAHX;EuO3zenrGy~N@nf`hmqUw-a_ws}Rq1(Z7y<3-k z>fBfWf9?K_HBr|Ehch0zzYUw3JHf8)c+2p{44pig+!o{2-`a6o3Dqx9!N%1HeQGug z^pYMCBIh1ouW(t@cY9;meZ)u&CTNrX^=#FvmgJ{P$I9*7 zmLi7RE|ar2t4*3@4EUp5$*l2U+Yl37h2M4RfeTjI!nLb;@~{3>kf&S+Fn(YzfsIH9 z@0d&9gVpI3Cwq; zfYLlMNO-bRW?Zv`QALgfHHG(KcYpnqf;MV2nRR4-*pDRWe!o=4s?Tv-rka@GDc5N{GX!TH=H@PvJ5a_n(ih7oq~~m74)B?O zzs#bmo4sGV%yu>Wfd+J$8A~<>F^%>k%?+{;pbt12l6;;HFp%@*Luq8DUH!fK&$O#> zR+>&Hlfz!rf9VDPoo7(g`Z#`6K63x!?y-7gD)5HC#Bd~3ZSwY+qdA7JJjQ+K#3Eep z8!c^-_pgnHmtr|uq4hdA1WM_^eweN{4OO+N&(h23jr9@I&l^CZznW3Z%+8h$&^?VD zUEwL%B60wR#tB11fZ_Y}b!2Ji*Fy&_vA=yU{mDg;d5E&rwAFp>C%f0>N}nYr%)cn$ z6TNm`LBZ%7?Fj~P;WcuQmI?$3*k_?brOlZatu9+M5I>F+z{);SM7X@h>XPpnbq>+y z_@iDSTHxVYWHLb6$LU&)*SaWFACzM;B9D*+y%JRZ{# zl~aLaP*1rr3>A}=qt4TG<_tyv1gOL2Vw%GC_1kPD(#YkrZ=|ow>)`;qV15?}Q0b1DTsm$y8 z@=S>(5osw`yCIxKr_~owC|qVMUh%!~#XPP*WFZe%2F)**Zy6aI(E>O9K8I?{z9sm0 zk}rlceLksdUTaQaEq@u~M*Da9!>9|H%Mb^0Y!8;b$TBS;!pglkgzP&_Qhn0-`ploivxcoaMGfO~6qLlYUG>*kM;H({pZ!jY6Z5OKOSm?aVd zR<^<`&02@27~*J%3T~5<>v_hO7)3=geuaLK=qEY!PXI4@h&Zbh`dN<;8#MDlU7)kd z=K~+c-D&s;@@K_Mx{K}mAb_C?b*O7uB>&*C{iRa10IQ~#9syKg(aYyAqhd8qMV?9i zRC;!L45xS5auGPdb#IVvNSE}F`iEU3PJLB6%TNeQf@XmU0irLt<1N+IB3?JHSzNUV-m8J792=9 zPCv;A7$A8JHphi~89nHl?Rl&YUl^?IFPd?pNzh@TfP=xQ8aEGW{&M~%X7mWha~uaF zy>2YfmB2)1_gxg^&(S)o6Lp!z{6*V6GD-!DO>dn=@s3(NQR_SpK&_}wg8m(wWzfL1 z7R0EcP<*$?SKQ9nj`6HWrsD5k<4TeI4g(LbPDV^aqqUBeJ1{a3#yvc+o8y=J1ZbkD zT3lA!%uOCcFyg?#K(hAvwgQHB1UgCr9^#tRpov`44_sWw%9hN=;u;!74GTp|=92Fq zDZ-qq>yWe6ykPqfhs?+nJ_F}>?M^zztM>)>rJ#- zw84rt%Y<_om@>Y?G7x|tIWmCw9U1ZtrziftM8o^l)&EChaHL9DmO7{1k@DlpCkwlr zjc$*b)gQlf-Jn24i0%7e;0;l}#^`#Fh6~XaEjhSufBf7y502{qbXGd?!!nleUd+rh zwZF}WQ)*lOR~7k}xd}C3p8*Ae|9BEi1aF850={^rmYD4iu6g($kI)VOe$q|+0A66F zoyyX`gut3g4F3ZY^sNU42m#zucFW;Fh>TZF`N<5xq{#3GsXx&AWokfxTF41qp(;;X zRY!-@+N}<1(2!p)pCs7-cugb2+;W-Pehh+ zD8QDO=u=lVg{^J5)&@}PxTOawD~?ewMhLTG(XH1~_k8JRU{VtJjvG+0phG|3`p^5D zvbz*7B=9;;pW;>RgnSYqeG2qB>h39BZfy()MypYeym#59m!ZS;&cn{4Q<7dEOogX~ zj2yw&RBbKu#Fw=)hkU)tQ8ON8K*KdQ{jyfGo#TqS z2Gq2-rIrQ-xAx-tEihd{=W<{}e$Iw3uk(ES1YW&HK;q!wtgorDe0|fxFg=HGO0Z>O z{ks2V2dXf7eAaMGpF>#Nxvzp(!A}VCTQ5!U-o_Nz7?-c!qj}ZJG)Yh@3d{L#l!UA~ zu+aa9kYHH)XL*Xx3nh8CU$X<^_5m6o(y{yt+xrI+woV(uwKuiij^*gCun8)(^l3Sc zwJ<>%^~4M}!0cmCI)d(}$5i8R(EwK1aZOxzXF}@gyD7Ybld;khKvfl1&fCkUq?6&o z)g(k&SkhzH{<)0}GMaAl$$9S>F%VVt3(wP%gs;|n6?%KRpE~CvHmj>s4{Y$TOdxg& zT9x}zip=b(a<(@;v;-K2mGWp)*t}>i;E2aIy2S)l}QnD=0w>EZuk@2z9{Y~MW5FQpWUyF10TxNCvp?(S~I z9g1ry?o!;{-5rX%ySv*y?faYT%w*^6IVbbaCYul-NO*wjzV1sta%1^=b!*#pm-)?0 ze>3I~uLx+MwY@^z=7JLfc9V>gGz+!;kwA=9(58Vm4$e((FrZAS%mgQM9m8!}o$BVS z&6)JFBO*t)3mxp5!kOX4_pYNEpP$RS6X>+UYAfsRbp_w((IehW7tT;e_mm_20Mx1X zeH9oR=e!yizn6g6--g)hKfqe}G`=Ry0qFTrnLiB`ur&l`IYA;SyU&ZwS+TC#0%A*< zZuD78*Q4IJ!{2a&klt%4-)@%k9Kyc~|1@6|T14}C)%CZmk#!_h`_LnOqR)20(5gyg z(dFW{YP>?>C7qTmWQvO7KC5|nW4`mk)|XesxWS$#!$ zu_o^41|F2-Ui@a!FEjfFHHdabj%*B}5SgCwTbY^=GpsS<^gXbch!%9)ZuRmnqFP92=Kq z2cr7$rFMAYF{^ra8aE|Ei8crA4XWk|GhkTe~J-#EGYw(YjW*7&24$*%J^A`p2&g|frYGHL_a5p6*Zn%TH(!6 zJzLQNPB##Ow6r`wSWH$n?yiW`Q7W~hF{@K@ja9M|H%#0zy!0BDI$#rd0TPC_pxyiS zVm?g8V5U2B$WW=MFiEum0Of=D?jVNQjvA#2#1J#+aqEF@A}pNym0IhQ(?+*o&@BQb z4HcJjBYv@Ai+EzvN25#el;Q2#5mqUQanA!XQMPX8gh8sb_$~IhP~ zhMI|cmnK|ZS3FP;F<5s63(nc$WtG|)LKDH0!%_OwVFsW@6y!{IhBx~P)DUFi<|Mj$ zbRT>eU2o>Heh#HV!uI2JxuLE#OC%`U*iQp6hCk>R@PBYv+8AGxip`jf#>!i9WRnsG zhi1+$+$Mk!0(Hd3{ft)zWuBV#*rqbn>xxTImv=BUN703jz-Gnt{1TQp>Gk7C?t34% z`Xh|WJqqOWa}dPOw410zp&Ia=fo(47Q(UNa^TqF7t^7a)5Bt6xUtpDVeRg3XDzhS6 z&LB8(zQpluT7?QmS6{q8+dI@KaB+6NN5Ou_sf>9B&mDb@6O8q9XETUHB%m53?JU0J-k4 zrn<4z$>0W@xEp3tbk(wuw2PiS-(PZ4zmaQJ2qLiZD8QwEKFl+B#HdzwN++5TT*$nI-^a zYzDW)+i{B4p@mD!hyoQyVePTAmtLV{*>w~rs|zO%N>U3hh{odSN;jNw&$p%$ZINr< z@xXX4H)S`|;D>h6bIWls%JUtu(ds&(k+m#*kMhO?=6PVK`aE|r`YEX z^wx?GBw;zFt@ZTk-&pE&jf68WO|=hKHhif&T7U2iqPsa=wZ5*Xxa+%KsJpxNC6PO< zCf%9ms}JsW4wm&cHf2D;7kqP!;CA`}@*!7KjjFjc+t=@=#T7hh6D)Gx5F6`MZ&pc% z`(|JVi*Itk^_;!|0@I?#h76PY44FOa* zFj5IQWa0y;8A6;4#K>j5WxtO&OZAA}sc1;IR;TaC= zJ=atr+B`emmM_U@wqhZGjP*C}sQI9-?fIN3-4^@#_@o9)xN=k?)Cu$fFsZNCX>vyA zi8`~oTQcp78$@$6r-*i>bg@->Nhi=4@#I8~PhEjBbAM1r8WfJ(Pv>tEBch}q3vWG81d$Wk2e73`m$Tw*+yX#(}$mQQSB z`X4SuVh|*CVFgvNDQUWeZCG9d#Q-sk0GXSajdu%n&6Edr{)krsLU{H+9(Djl@ zgwFZ!C4PQJ>iBT?cQqSH!mxtEVH|g|-k5d^pTPz_4BuLjqVk!Vu4+T-SDIIehC?0S zyrqqEJVEn*0F`hXXA1xlTEJ=|uuM6d;aA93jvESj010HefrzvR^cQBxFtM3>noDt? z9o?^xd2zA8$nkb6S;hMr{@|oX>PX6+-4@`OkJRs<^%oz%;rzzg?~6Phd3KAZQRq6r z+_WQW(?t|!_M_^EAz_Kvw>Fn?<8NLRrX5#{=<;);sW=P{5a)4 z)rR~BV6d7(6|0Aku7aIR-cHAwI-MS~Xpc@D`HBB!Ww43Zki;Ug6F`v*$d744vFpO#}giC;(jnu=`sWX>R#s+H^~I>2~&&cUO)I z-p7_y@f!(EoCQA`z8-@*=7tx>=SCF{--G@WY~Z@Y14Q`$8}ZPoV9z{~&du(jYAWqz z*Daz&rr^PSh4+Ro4=if{h(nBys@q+=62=`~(>A4}I>5j8hA~SaEYF4Mn^)K9A~2QaRGs;Fw_t;`p70y!GIpFvShZYRG16J(ksnUN=C1#E;_z9v~n6B(6x zw*Yk~0FuR_YVXs9{+rOnleXAb$$nSQjyB(${kQV>XJQQ!Q|5t4ubG*Zy001HUNF2d( zoI=r-4QtIVX}WzbPtn(^^=steh{IL3INE#+&9SAWaMakD=25*ojU1USXcW@A7#RW~{2G7hs+ri6RN(1)!_P|$QS%7w?m~{QWWAQ6- zrazZK=ckrX6?f6cC?4(ul%x0Az=5#j6dgO1I7=)Xq3wlVCLHVi7~d{rl~$HoS=-lf zioIVGr*|==WXl>~f!dvOS`&kop9x^_+JKahZeg7A7k(@Dxi&!#)(};1i7pyxBChjN z!>@;^7>Wx+)PpnjTg|4teI<)1L^2*ITj7GlIT$!62v2qhI_tofTlC2%uQrBV_y>XC zS!1{fQY?#iIcpKAMCK^Mg7}k=;a5%3%j=T&6`+%UzAgIy2M&L%+mA&fm_Bp*m*$P5 zBv4dp{LAYyfg`}v*KCD2v>3RkuIH+~TWZq-11&ebxlCXzfe48&%bHWR28dQx@g}Zj zU;1%g*>9&*rUrM2%B+IM^zT0XztFCF2kv(VF{YjdN{YLn9ibbDfVNI?u+cS8sL zTp0K`%xsdI2IU-8Mzef3aM->sJW0!b@MfK33q;eNlz^51>K`ou^NYFBA6m@**!6OX z2B=WLhf{q$IcbwHc#R+H8(jm#U!MTFy4W~l+f#0n&$I1eR0UmW|ETKHr$R=`_r5yp zEyn*Mx7U1C@#54z++}~+%7AN_Q#h=7st=%^J8ibTaa=yexkv_Tuy+$se=rA@_ii8h zJHPK}9J(7YSp^F-lL;cX!IoFUyl6vvWuAU;H#q$x1<-9EfF>LHuHcEj7NkS1i0rc^$&~qKNFiY@MnT05TDv zVog@KPfgk*WVG-CbJ8Pc6daFm@-AIqxvsh*Ugf$XWH6tzZ3#75LEtz7y8@sm+I=Mhsf zu_(2u+zI!*Ii$15Pth~h7v3+NSMEoY*0Y)8s}nYiTTRMqo-gG`+iPqotJqL4uJqvt z$HS+Mf_WJFG?2ZQZVlmLt&(NmYq+01xbd+>ZQ(Els&mA|iE^^vV(nf$S~UR*%I6D8 z4JVNt6D98iPe%71F25$&l!m5;FL|EJU7FnPm+RVDR$|e?QeR)pu6|yRB)syNGF-*K zN=NmiQVMff3-E0JS@WjRr;V`HgB=WePcZ-?<9C@&gF&*BdwsVuX zqRpKFn~3xJ?p6Hryyjv*L9t%a9Lp8=-HWLqsk>d8hX_L3cl~#k>eB-pjL{1UA7CK1 z0l8XbF6$h|`h$5f8b|ubHc<==a9hbnL3`*w(iAx(#Xx@g1@IC65l#RBkVj13f?;6c z*yzHdY#*f~cMg;eO#oObE{uG+?QPy?)H@~M7Hxi!9tR`Lip$Yyf0df; zGW>!_0usooBqV76}y_@jHHZimCx|Hz-P=J_LaqeF* z6v)Ta`m0I+y&~WiicD{kQ=}=r=~IcWxz+?l4GswlQj_>~eGd0rz>i%t+bvgt`>t7L zYHc+N{PGC+5$`}im;NnReCoE}CPGd~XipOBax1Uf*&v#Rwr7eQ9-xblR8FB znQG+=@FMfQpO>yz%Y3`0c{QWRXDb1ps8!tV0tXNXsxt^h4WxS8+#^d=cZbHC+*8Iu z*yu9%T^&w&eJ18Q#UN4T$xduxx_s}TmxKem^YA5l+GDtPz7G@3rwhxQPlzBvx^$7G z@Sr`|A^?76pn%kAR#k`_;;4!VG=kd^@c7YNQ$J7iTe>uR@q4RaW9vOdH)?zx6Rzj0 z)S;&&;euUc@Smwyiy*prn6p;#cGSUI+s=jD{r(t9eW$qnwUM0r!|pOr{3I0Uga0Kx zcXz#Qr#NkBtJDd5zb&_qqpMJlGJ4@r>xU+Yk1_7{V5f-~ghXMBsNLIfYD;Cz@_+1) z;D*OFb`MjxK_D>v=Zdj7WmV^JjwF5!$pBG>A^kI1$_LY1emb(BO^z8=eb|^nE>Y*^ zd}IA+Cg@pb*-6{WLy*O^{H8}F9*jwzdl-dw4yO+$_Y)f}t+4&M3hs1M8Ipw#JMWj0}QxAPyC8ED;!JydzYVmPtkb@qz%Xg4c zt&g;I$vH#)PVy-e)EnVn6NJp5ajx9(ay#{=)#SBTddD_lKqHD~|>Gs;P-5w219N!Anpq-3ap~3B^b{mP2mWk^j%@Q3kY3fOg@N61K$!L+0_i zl2N>xX8l?c{HsDc$>!~48>84bunw-E+}Hwr#k&f9HCZy|cxq=yFFnE4{U|I9wDoJA zX*Ii#y%vF1Mv|ZTWR+apk!@lA&V&H<7#Up~-MN&QN9*w5V3K8d*+fdD$vuOj@y8EV za!4Q>7SC!1sOxB28g-8M&X-tb5s= zCY%bhZl~>|Gy;KGUit37l5o)MIv@w%2YsrGo2LDh)+_R5GwM?{6c~S_83#no4N^SM zc;BaqHT>+f*ARvE(v|D`e_*3fve9nv#947GFM7?PkK&N%V&w;Ix zU+?A0Intit8aQ9#B9-W`BI$Cm_<#Zk$ddp9@|%RD|u1*{}?IIb3&jpfZl*vsc)J1AH%=#W3>=7)%ah$2z{W#R==w3r3PVRR! zaEVQ`X-a-ohVUiV$VQh0^C`hZzE}mbiPK?b)t_uVR-};w?qK{I;cIxK)<2Bsa%JT| z07nRTBA9yhT88Wk@dDZlG?N1xdF)Q_{z|||vb{_RJL_veN2sEUVeaw0l$$z1nRBo% zA{Kh79(}ihn|+G(XE%6b*!B4Eja}>L!_q_-)CqMLj?g7XUtaf=Q(VFkoGvgQ0e%ZL z)yI@iJsOx(5pN#Jyc@iZ>ztmXac&ggB~o$F8Kq0K2@(-8buQ_UAc2BseHZuMye@sx z;cw%&aK#UnhU4&elUJ~S;&D`rN{iW^UH2Pysn=@rT0k9K;-yD>gbsc9+urKg(|Brg zs(;4p#}9swfupIc4&AdPpZBbRD0Q)Vl(kn$OCKGgJ)CLPDnC7`f4Oj$|CU#s?dc_8 zrVucT-P28zUXG0rx#y|gfIu*9)fs)}=yv*sF0J{pIviu-^=qaQ^gGLHm|kU+8`ab3 zWgLavBNiWx2m&-hcv_*Gb3^(W-TPVLtv83|Cwpxl_9pyGIBHO5CA9b%H-+*KN~kPe9>E`JP0IGj#k51*Z~J=u z14j#4i^oqpAs+)%JcM(-$c9oWuGEQ!TCo3|*H>A>p%$^&Mqg)iNg(O%JdRGAkB3q~ zAH_Y?rcfS2YZ-nC>aTkG;bgl$Z4Q`J?mb*ArXGLa-|`TK*jhKW-I*zh4%=IC7d1a! zbGDp=2Z@&_vMSagSm)By$*(qj1Zg(PZ|?3cXT?m^67#@)4&UqO^g$F1rlqB2cY1Fh zUtOU%M3c(nwC{8Nv>?o9&E-bC%W1({L!_s>3o7$==gmhw3D1>T%Ny`c& zLdYunUJijkmqSr@lHCLIryjn@p6=mDwz=cf{nb|Lx7-M)Ca%(2`Ck0&UJ9xiR+F7Vd z3LpV9MnBlPbUpEDC=l?b%~NSDHy60V0ik;^zl}WcFi_E7q5C?&8}dYbmUlkg7uV6B zOY%`z>%%4A?cPMEgJ-`-5+fn!NEOd<*7*gt?)7UGB?9Vz$(-gNO(jW(jsTqLHy{QmemyUjN_k>% zskWAX)3n>7G`{&%Cn2#RUbI7>(ROlQBUp3v4jiOL>JWtie_kLDL%t`kgZm40%tevC z-SoYNvaeGQW2LhsFWb0c0SuUd!5U=1ZwVC06^ZfY82!Tu6jxS?OyR|sXUg$AoF`3s zNUn#I*pf3=0_Kd0co*`k7Z$D-G;5UpFSI(`wKem1o6(nYLL~9tRXn@PqnyvCPQm_a+&V18m|UC#b2hw&i2?OqSDiYn zEmJ%+pKj&ffh1EH0M7k-wPQ0}`^$c@mc0(v#@^OXOAQ?jW95yUB!VB<*c%6@&sXK- zp!F@I@@K&Au#zTNW9zJ%?Ra}qCgCmY7owCx;Xxxzyhr&AZ>m<2RgPxvgY{KsYD@GZ zFXsB*&f%u)(R&e%*v5@>9vY|?<^Fi(GXiqpMA`ou2?1!xO~~&M4S;B@cnAMN6ih6S=3^Kq z>8o*RCO%1D9$&LxC+wS`eA_3ok0Z3Ro7vsPq`wIW*nJ&nK`rBPizi>6G|W+8OtM#H zyDRX5=#SKu@LP(6cghYDdA>9mxzm83JGOF++8|21Z&f+4GD%dYuBqd_*AJy++l&3h z0x7w2NVM7&(405FGM4oowiGRoN-{f0AFW9?`?cz8Fk7Tde)y<}AbKy%?=jJa6qSc2 zruxFu&gsd@k}jSjBw-Lls@5IVWD1Igcq1 zFtBd_TE?y1U>2I(Ob9NE>!=%D>X>Eu9^fvDq*pn6EN4TvmkzJOgiunro%d3=7P`={Fh5qiiVKz54 zY1J}LtPqY`cZVIppIc7(p!_&$)w2~$*MYjwdjSQ-{xmPF zx*K?ewzt`^H|kdDBYiW?IVo8b9nurk{yxi_TWt*#1Fk|_d zdkABFG@0Bkm{&TJHZJ4Y$_=hg7*dHMDter&US*ZNX(o#ZL;gYYTLqzRIFgh-)y#`d z9nJ?RL?IZZt#Wa3)6e>`WrR99ibii3pY#|k4yk)BuJiqJ>l0~=bYV( zIr$2p-O+68$En+|&*$1@KYnqrsJA#f*q^f7J;<8PLaE>1>$*SZ*L!1ml#KW+0*R$O z!{e+-2WGDlE|48$9wcTIm-zw8)}0c6-uG;76%{-Aok|cDFJ8k~Nm7x_P~M??M+9IL zPfK-pRF>kR*v+&se~x~JNik#Iai8+J9^$S@jJ*daAMyReXNas1a#g!0%`QagHrU6Pxf4C#SP~Jxq4t z*pl0LUYGVzgW!z0rih%hP^F5coGGVOx43_6Wh2iBZ8b~$kSnh;nCJBEV8}VI7Un{y z#?tTR>DrE_yzDofM(a$4AQ20X$yxjkI7#y{hoVunhfTne|HRMiK#|zkBI(q~>d7l| zST3%@rY=K!M`7AxDW)oD{N~Aj;wv6-__)x$#gZC@EI~IYV3tPk7PzyM`Oi zw>C|(4c9E=JuR)-#HXR)BQ^!EMXzaFS9%`j@pvQf?5@bPrrECop6}V`hr#%jv?owYa&pFD&@2@%&RC)bs7=(uYe@@xYZgV9 z>=^g{$kUxAWTi`kzCromxGnQ~wI3O8qZ)4cC0o?_+o7f7mwzJ4lAcK^7WJLl40L6u zYK(o4*if0LpB}hl?nHZ#9WW^v78*&@48_oWl`UJu%!Wd@BK_WbXN;Ov4k*382U4&< zlvgkN?ak;Ua;=d9+utaX0U>`-ciSYnhzl6|#d4gJ7cHnj$U;py!%3Z^ghR~($ym(= zuSDeq0(HZavS9hM^oPw>@FL0UwyaJ%U15+MT?!)+}l4keA_8XLJmx-c1ha^ zm!lq}r2qqMoqozSI*ewF%MU8VgSEn8aJ5`}_2gXp+Hlv2(VpI(dOx3L{8aJ#K-HdT zXVXw;*yj2sDRqh)@uR)pRa^vna%n&og*aV|c}-kgiFj$@%q1)1RxeREB+u5t#`6qh zH5flt*2#%s`%BFy4wj?V84b90q)HJ9zFwkgtM)MQp&7I$5Z`zZ{+MV;lOQ-KveuJ( z{?|w^(GYGhBl*xW?5~lCEaIV-&p?r?2YkX$oocc6!rSE4-+5PtVO8^CtfpuC$$m{8 zQkeppI<7I>FHK$RjE5ve_%4H$P4sjRQib~NL)6nFap>f3vg9%HY+Ri)MKG^5!SqnA zsEn2u{vH2!Tk+iK?(Tbur1~F?<1ON(c6KbtU%Cp1Iyr}84@=wAmK(W@b=T-n zL`7Sf&3^aci8`O~7}zSA|0YP-=TX@osCzE3^%8u4H_T!D#)1uswwEi&C}h2M>I@UD zS{h>vRB$=n$&P#_o0HY?6|^OvTRgbq{s79P(5$|Cbb`Nh?ha%*2l;?JRw^E_mSWuO z@FUMVGolM_pGUwnyF97p4zCii5q@G~RU~v}MgoOuk_=4!q|$pNi{QW31rnWea3%?W zb-`s9U|rB_Y(NQE7hnL^1s_lj$#GkxaU!XNK&5E2$7-4(!Wu01tzM_|YLO__lwjQ_ zxaKQ%HLi7S0WftUhRi_Nk+ns{X7su;=UN)7X!r3Sr-`>(Phi6{p4;^X#;kmAWq3D0 z!{}MWksNZPTTYckmk$f!k~mplk$K?V_&&b9qt1*dwNa|QR!DvBL4TA9?NE>`&ZE(s zZ1@6Z?MXn(peT}EWiuLM4F70%Q&m3i9mtuD93a}4qzZy6VkG!9F4Uh8-%vi=F|9r8 zh^t4Ej;G_Am-YZ?#l@g|9Qy9&8ZW~QGvty%6`>FQPfso)8Ii*UR%w=gb`=Sb_pZjq zXz%z_bO}NQ50c^_+sT#(_a{Hk-%Ie>{6IUCUIKDZ9J@k>9JpYu+1`Z^d09)dZdb~% z_j{iZgI^3C1W)7G-h|c>%g@pL-T@brE2D#*+GK4fyCmHe1q4Jju6q~ib67LNasY%B z5YL@)s1p8H(j!JRvL^lKDt!>j`W)*jqTERh)5fUH$uThJdHGnM0^g@y!VM$wMQ&Om z39$3Sh*Ml^>n4uS=1~)J-Q){z^#kS?NeNwLMwuGpt7r#ieJkIMw4w7O)56C4q1{>! zrK8&_I(Vuw>~M zn4fax>vr7U&(k-63Y6OOT82Z@Aqh+i2pD@gd;KhXR?x?Fnzs+QwePl1-%+Qub=T4B zWEks(5BJk*re|uib`kLg25Ui&(7>nVFXcR%YR%*d-h*`ylzBk%Wm#l0^jK=Wv{6e& zVWe|J!JK#arn`()FPqRGt`JD>Tb)sdA9Z@Wr^+3yn{s=S2dOiE&rK0PM-2H1Cd!dM zk%2Rt>m*0Lc}Up3A@Kb{N8=38A6ou1(XciF$sXy>@|VTEgLK(;&>o^j;2?)}XSu#4KGEFCqdK#vv(n91=H-mw z_dvLB@XK&#^QjaFvJu3hc6VCIoxD%}?!+s&=A@+Uc|kXm2jNvlU2faw$9b96M)tE) z7BR!u_~nz9b9kYI-aN97Mnxik)7XncDt!ltYOwDfo#0On&7JS<$~VHbXZ#4-h^+5| z1F1vQL7D9=O_o=&aO2yzRumk^?3CZ`V^3yV42Vi-)6pnnvm)wYK8kBz`ePOIJ*=I{ zD6J8IOl#e|3C3AFd1+tJwA165en_W>*q|J90V$ZM)T94L=<#&fDpwJ=cJLlGczOHd zGEf>k2pb{X%}~|ej&h9GTb;~N{eA-8!-$L3@vJ!799#KJ`_h8m{wlaM>y;)5o!-~V<@Rzd&tP2LpDfsAuUjqV4HeeKsz z5TGa>)#NyhM!CShvqA;bnL^KDb%ZnIe6^9+t=OUO`v!C?ZZ2?GWECZ3FWLvS#eW>p zPk(yOILFj3s0DKM?isX-MmQcIE29%Yf(~Pw!>g+ens0%_VOCu&PNyReix0iu`F?I1 zHM~;6RCJF{FVV;m;^Cn`63P->#=JQx(TVAEDcxL-B^Bk;^;?iTX^guafy}NN{^nF6 zFnZ{Fu~4|0=zk(raJTR7b}7X#Tg_(8FfJjerJVbfA^myF{oGS<{u{0Mm~g+1^6h^9 zc6OZmr>RHVjP?OwACM;0dY3X?u~nk^4kpNs+aL|fY{&iMBe)7V|OsUN_`#vy4*r^pYb%^r!dkVqqeobT=<@|16<~y zS1Qb3!nv^2BnfRxl?MToIoWB0kt{r=jA9|*SwWYHEyu&f0Q=Hgl(fsnX33+^p6zt- zYj9WNt?b1V9<)3>;BNGq0Lq-Rx1oEp>l~RNpz~BK-?Jvo^}L@c2W+B1LrU1)NCh%P zkdZp4_~=z;C&ZuzhnF#pGn@B5-4iN6koHF$GwlStCX}tIJ#D>~^QHDO2iaWo32^Ix z`IOf;=Qm%Ct>oiBBGns--@ImHsI){NgA2oB6M##aN zc2icHf*nps8J-{5dcCK~;S*us{qjx@Ft=-8a;R85jjE%txBFB507qnr=+A4UV8;4% z5&7NC;6HOY*Lu2AyyKn91mx0(N)8GdZoz!HcOA!PQeiRmG^>32Ua`dUD=vFMjo#C9 z7VkC=wr9LFzgTQUjLHx5ks?CD2@8VL`v^SWd_-Es9+cK1Fa8YU&risly5ZN<4%~$~ zY8j}gtcJI1KXhK6tj%xp*Ay|Lu8hOO4`=KSk6EOUi$ntQ3fu2IT8vjt!TafWsuIdv zo`m^y#?@?I%fZI$uCEqvS2ocsoL=#snDK9=T*L{7Qu$rpBO1L@s69-+09v)O_w!s9a{lr)r5%Hf%z!fZ}cx08rQx@HDP$^%PGx?f=B@Z zgxs24oFCpiZv$ytEpKnX@ev?^WaCw0`Ess2tPqq8)=O=(%|i2%b<0c>B0G<~d8aE( zfR5)Dmy-_|wCdLuZn9pbe+=)tj(2msSqM5290=?hx8=zK z9J0sqpGOhV<9NLGF%+ji9X4wb587lZpv@;(F$;O$r636W3<8hWFj`*N@MCU3vKI7Q zvo$}fH8J{0F{0Bsqiq8yg7?>sN^Pf(8r#R2Jx*62M}wLi&8lr@6O*r)KT1K!?Y@7v zaq*qvN;EJiWs2*H+;Y|R`F5q`1GhB#+y8WA|3}_JhNhNYK578da0N{JKgd!5A{AMH zuEpls#uZ5#4<3P??0=N40312Gb8i>Cy*-f>QfFFj70LdSt_9T1ju_XY&}^5+XG7If z9rp#sNl!7CaJA3rj@A7z^#B?8qmpfHKhp=>wCBngJYXS75xMGmYFFB)bJ8zdgT6Zd zd~b9~PKlPzx;u)AHB-Dbyub?I;f+{ z;;U7ml&mDldj5}%ylmR;WiRp7tsUGMnVo$%Zu#H{MXQ_I?>Sdfo{c4y8y&p(VU>o?^;c1_PP-NJaW9FTOgSJN4(2e7yeC9Omtc zihN%PiMD*K#|ZC;Sh18a{wk!Un>dXx^!;@wRbFpOzYoIMPW-;}{CZ4!lU#2o(Q(G4 zPg``hg)$pv=g>=^LPMq4Dmw}*-ka~swD)1)iH5oC;zXy*o#g9hpi0}xFX@k;Roh<3 zn{<>(Pyh7yzbK0_D!3x9xzh3huIy{GirWq&X+pEp*~Wx3zrb~69=wVpXTS%*DQU(1 z-lMea#4~_U#$&s$`%F>*s$$`;CILn?gxzsmawUUWSnHW*5ozzT=G4Bs70)H zqmuil?+YpR5=S*6wamo9=M+{mc>%UmKY3N(jwj}GN5tT@zyszW?N2<;>-$rxjO=1N zgUg;LaAM`BR0CS&6gt=D6x6h{Xv!Jd7l7plFlD8Flzc|eK;Yuy7)jh6t zt!liD1VemynuWlFb8vtP1gcdhiQ!~%RKjUqT^x&(4Xa zXsg|RS9%sm+?j~erO)l`%E}ko&sl2=1@0WLSgrb+@@yUsw|s!V?g8#egEQF_8KyC( z^K!4{&7a3Ze57zLW?Dc1&jD^42Tg&k3DY=#47U3uQjfXXoZwB+|H&m zi-iW{eG?&b2h3)7Lz`uKS&!iic9_Zdm@}@MiERS38kUQ4TFg_Z*Ji^%;P=3}&&&6u zZjVt3Tjo=2auFSHKN-qtj5BZ;YtgRw1yHs&#HRzM?h%sa_OJ@7+6Podx_jI!dyu5! za3lNUwbwnc5MgyD5)SZ$6hU%W(CHodz=?#w8h5vYKe&- z$Z==99_8AvJS8ElqGEVr=t=!jIplf?o z(}!yp;_JXK)?NlzquJa0|33Huob~^NAH)w`jh|a=J6%T9}Im&#HWx9 zUY91HfGnFj2&gp)*##`&D~|aTZt>b|*&jn6Mkl(7zgIV1ips}} zL_3*z-$ioy>}f0~Z*SJTm>ia7J$+?y)ZHq!{fDT!@v6z%s~N)$yW^DgzHLZY7#-i*W5--kTaU&1 z#LE4${Pn0@NR~{o;CKo4c%AY(DpToXMHT%jXIOpv=D4~V({wM8qV2Uf8sEP*%JhCx zKGQ}u6QXUaFEhy)bMpi4e<*))ALEG^a*K`9K}k04!^=yC@!^)%8{jb6$sJ>z$r+?B z2E~(;5hgpGq2xBDSROxBBS1TSgrN^>R{ohzcXRDFyT2B3D>g(%-mi!Wf9dMM1y;P;#e;tCQEM zyFp(i=udwRT6I(I`VAyx4Gv$e2Bo42aFD|#Mtr2vkDjSFE)lMw^J9}nw5@sJrQ8o% zxqF25u#Pau04$)He#-eODjPRk3juO#|60)e!u}W|=u?s~6lkb64^;pE6%zogusf~@ zjWXlj(XSF|-4(401g@=8s&U5SA@yl6jrPZ5vLK_E0uydxfD0}S3Sh!V9HM{H`nC7_ zIqUc>LT}GH_JDo(Ldk66mz6vSlb{pARhb|LW1OP}+?VUeFcSG7h}nyb*V@@8-g1_*WRer4kLR&`e% zn$$>F@O`}lO|xyWQdkwxWCOPHcJZ%dxk%5>xb8d=k4NgcNTG^QC0_$Xm4_nNa`JCB z+=8G2Px-=TD-t#+TN|bFF?k??Vnd?O)}Br5W(K2hP7o+zcPOmqARdpcnt+?p1_}}s zSY|~FBjFw6?*e1cbde!5MZTO5ubE$-BadKYE~Sv$Wpd;+yd%HSiA$co2gdKh5PNeQ zDizQx9>0@*f}?!3@Lz1wbBw`#M2a)+PMx!?!1K7*Y_{fLw%!`=o?e;u=*Tz7-44tB z{5<-?gxVhL+NH}yl9C=)EDFKaEYAR|7MX!&ruPp&EX8SU2pDK^qi8ca~UtrX?3 zSHtAuR^?eu!#r@Hu4{UA8Tbo(FrsbJN}ed>-lEzF^{!E09#5&+{4E8}-~Xm*dNYND zlrA;K#q&%jC?6f93+5eDQDlIR{Gg&;O4aDH+UlIM`|8>6bOOq}9wObUzU?dpZmB?g zo-$2JJA3=n--G+&Vn&D4s5_-NppN*s1<3mNhx_knE8g;y)wZ|uS>Rn~CKxXbC;K6Z zM_UK7#sg)~mxN9#P_UwcNj4%A@X`gr4n?fH0TR z#_0qQ0#zphP5N+ld1MZO0L9S(1<#G+#l8 zU!Qyy>4@Vk#~rUT^M$)If{ry6sxe$eyglSGcfmve&7k)IQhjvGx!=U)enOM($%(^> zkL9YnsZ!ryHWf8n1+}6SWu#gd3Tk5U$6QR?%o=h|G}jT(s9Q z&p{C_BK?g$?(13G7hs32-{f6@h)#jYN7VDjls<&;byXR9XTOD>^mJRv8O@(t1E zu9ClOxOhtuPntSF{K_BkwgbSqi>A8<8v#id3gEP(jnQLw#w%swRZ1S?#f<+QzjibT zDsWgu3-0HJ_?_nNt%wb>E)Nc(94S3K9m8=oUQg}3{i66XrD*PU`ki#mqBbuw1;DtS zl`m|R+NQ9RnLlh6lI9i>)ZqbNAK?~o{<&+k_u`HBet}`j<^(AZI?8RLuAB>DntH2*yzb+L1Dd#t$P(diYw$PSt?jM*j0|j53$?vZ2aM^?H9ESMZ z1~di4H8pD+Ir=0#^|BiIlEWRO0?n3F%4H>%c}hOUW&5q{XCbveV_m((ceC^};TgJo zCHFd0a$Ca6n=K3cyE_+)+x@#cN6{8+34n2ys%%aZ`iQAcEtv*`&4XI}I{>?BmY8Uj zoi~lqX&O3t?ccBf`#^ox0y^>VZ+49<=dw>Z#FwPS8>ULVaBml@y-7ONP2BipLVedb zXHOWTy7V%)j)_(9+i$=XkGKpdu*)9uas%H5ezY*^lR&ai6&HYUn%yTl>Ll}P%nioq z7{}9*u4Qd=1h$#61wS{Iz(GUIAdvL80hMHr`B%3%qk`f)iEu4iVlxNcB*wQP0Mw!! zN+l62eEF|1o%YBv+UO2>jCuQgfd!ym#HlN8y9@t8mDqZr_s1hYA`0aibqW|;9UieW zl-;sCZ=FS!tIEkg^H4pR!)eN72RfClih@87;x&|k3*HghukV)Xd2Ci+=1SxNi8!;f zc%!~ycq?!Kzyt*{p(mqxF%5g5rwnyFiogKclu`FPF6zBS2WU7=cK2g?cYXb_6$d*f z7pukJcWZ7JYZC3{v&GRVI+vp|-Y1LhyFl{*cR=v^-n-AjvBa*{W-{#wgov5xLfrgj zIjw@uoo^;iW-vUYSRX^txST7Y(p$07=zW4xqYjF4rPOr4rjcpL9sHVa$hcQweJb|? z9f?=j@D$qc$SA7UUVi z!PBZ+?;)>Boo-1iED-sF_;uv;3!O`XBB`!MPJ=$;ce*Z>o;8eUg z=x{V1RrHi+Ra)~~4Yy;q##brd!$Bv(5u^Wdls(Gm8i6=%1b6Yr9ldsJ8>j5~*#)Yy zKGEL1EcuHPirE7J^3{*>kzZ69GLE@Fi+R%VdxL6GHSriCK%mK@(|odMwvxdZN21qN z4^s8ZVh2qGYxPfT?ua=Uzu?G|ax9CR5^?@k0XUVF{=77}M)QepwCdT*#}4q?AUqQ* zv7bztMpEP0ymc0vJK>@MSAJX*x$i-; zg~f&nT#XJpTvLky_w?#@odCCLw~cZ0aWpogj(|mTRbqUl+GwGppu!-(Ea`1TV}n)C zVY?s;3CLt9`CBE|&vre}s)mt!wVcXy?ge`#Y&bx~Y@%lT2)L^JlNfx@8baU&N{3>Q zK^^~sFPt`Y#?kT|aCt>*>B{!-)=MhpSIr_|HbzE_Eq61O3(dXol==Zlu54u~+jIgsa% zy%PT08gf{Yfb7o#ye#yCfz%ihIc4*0HXrPCoX8t~AB4*~J;G<_Z2uNf~Z;( z2Zg?tBIn=6OPqxW)Nm-o_do$X$0i{$9WV6P!?HrFX!7{o*deK z+MfB*d+~U4$eE7yRbfH8D_rCO=_Jmy296{0wz31=Q>h(8N%5Q$?_^)M!BA6knsSg# zB|=13Eu=b3*t=&Y;lRXky5qs9AONNhL<0%pS6JT&wlC#HKCBe#Cw8xHJ2F2>rnRc? zHOZ*^_ia!9=;+U*2vw%}hijd?!^5-Q4ST7?9z?Vd7~0o2#wEM$MMvKd*<`WtNc7iKy-ot&HZ*Vtg z6z`qCm1_)2kCNt zvL6jPu-6!R4p>+qnw$5B@w zu(c3;@%NiE>m~|>%B<~5p87&nX(Vn>VwjRA zc-3vz-V(i%>u(yjJ+#Nxq&Bs>ezSFmdU|j%+(8B7|4Pm0Pe@BrzG#!4Xbr1z9mftV zsyK4xU71#G2idu%7_k8U>G4ppA~J@`)(w{Ss;d%!qkx;@0M!)2LnqKb( zI29Q~MK+fBAp}Ch?s{9d(e_p~viB&;t&D*(=Q>NjYtcdh3SZ5;YH|z5ZYvjpsC%~- zJ${$ty`T6-iCLZJ9bcF2|68h@SoZ&xD(5x)XR6%iS&9JdCR+Ew3~uQG#&cQO^`^H) zDFx%csl2rd8|iQ$@G+Us4I6Hgb)qyQ_vk0H)6L7`|3sBtef<6_s{DNQy9ppn1wW6@ z&W;w_!{4eoDKRl<4aZi&K>=A6J>;^9RY2JH@>=WBO{!ErMd$V6AF0v~=-`+mcxk$7 z&NreGb-L#Nfj7tRm9lGEO{#{)#Pp16Z|=%``UvjRGb_EhJI0gP*#neuDRi^gp%H?n(_^W-q+qcHMgJDay<@&*`Zg<+CWmB zk6}RZz%?SuF5)I&_(@V8uQO9y#I#N8t-81Jvka(lDb!=+$>YQ<{Wb2iv;3fR@LB^z zW38&&iv?9{e-Z)kjo{4xA8B#nB}Dao7uQ|7rw66X#th9)__v!?qj7=p$DbLoS9@pR znrEYWHWc}*Qc@+u?9?d|LmV$}8#;sAIxGD#uJM8Qjus~AS}-df7pdU}$kP|3B&mIzd) zH~BSKPVZs8&Ap{CpG~h(B!dUTAu;Nd#cXIya}+7&_(D z=3J6|&q>B5F7)^xy_($B2J-7dLBFSNKH0W)R8fOJAy2eBKG3~5JdnP9e7`d zF6gfGN+nv~qkC5j)X3`pBNd4OgM*KlFpmW>Jw3tpwP<3T5i;{jpd8z@UUjeVClfhB zb{P(oyfg;Qb>XIDjI?dT{xI?V@lFRM)L@l?yipc)JmbW2WCHVVt@XR6MOf2F1irrwX;5Wb>+a)PWtx3@csc+e^oFzwIA6o5A^;z3r(1oXF$rpNt%0QuS|K zNx&e?jr@7Mv!#Q>t~i-~8~~hx-Bfg983d712fdzL;i?C69`EXQu5&*1r~cu!-+QVW0#X>t->N1R}qnKgXUvzH%b@lrn zMHv#j6T>3oh10_;x29l=6cO$pSO4|!t#O9$>8yg$Af#r9;J*T>J71QcWdB=2S~d#v zzbB;0+(ZDmH79xuk)xGW7?!z4|Ngl!bXOtUXpOIYW0lOtCUJ{B2|G`f5#G&H5xV%{ z640kA5G7A{dAXigIYh%$!c1ErVPW z(;r?8rZxTaco{`5cr{>`$63_L#ed1E$VZS&7v_};B4PW_3_{X8VuTZJ!Y7kUN5i44 zF1=bFMgj%xIN=O@#3zCDfi?D_1R=B=gZUTNvmc69g4(O!Wmk+4KOPSH?3e8RGAyVF z`?F^NCWn{1>5~Ej+c{1UWLHkEy5rlI|23PmJw^eyQd$2rSQT-!rTxZVjb)6MCC#kN z;_Ccm+S1K()19hZUTLeC7q#f2_*-l}U|0iWIKoozTcDzAmn;x)&;m9) zXTJO8E$PMTk3)LGs;~R+C5p^TyVeSeexDr`(NzHT1BvU$6ZJa2H9EtiF+(YbhLe(R4iu9;lan?DIcYoA~y4xbY};J=^WOPn%tlP8QTo z@;D@sEUb%t@FMl}U0%ERN8moKphF(;7I67H{~n6#-5bMUfKsWz;*mN$&0=H#|A8hH z0P*?tf;0f*^KPCT0~T%Xj}%YE41xF1x}z}KoFzu z1|i0f0;(ArUeWC?bJ>y+})uQAu=aU_XYoW#it%Y$HSI1K9;6EtGb zw<;t~Vqa(s?T^byT+;bz6Ad7ofSF_b8g`x#f2_`xsz_Ye|tf9q}25voi&p6K%|TrAE+>(Fv}Lu?{xHA*pCKPtA$l8?ii%F)C~|p8o%g z1@r04@%qIaE$^ms1^Nv)z1CeQ*m)aNGR?9oN5Aj?3fs-(-wJdx=x+dsj%I2)FFl<>yL;3# z-p$Pw5l8DQW8J+69eGU4&Ed(Uw_fK(j>@}{MWC_&xejC4aX9jl!}*FPEk|+$*2lq% z35Kp9CIT=(omV}{Qd$~vt1N3c2U_)P^Iy-^paujSM6C?L9(R=~gcE==V}Ro}=-kQD zU)PzJYg^n9?T6(Wh_W;zE1y_AIgz-AIv3ao!g8kJi+ababn&8% zFo;1^^U2^Vb7E?@Jh(B!-tJ+})!h|HyK4l!?H!unAgu0rvBZk}BQfV4B&~ux1UjrC zk@akP3q{ax*GQHLiSL+ak>&2nXGGn$XR&nn!#`oR%CG~f$wk9|{G+@N%;y7Ov4#F| zIqa3N&&B3V_2g~1(1dHuJ!bErtWj&0f(Ggn1E%1tSXGY$BBM`63sWhpN2O}E@diI> zBWK3eu1zeQ3c{C=0{H?EP|a(z$F;kuT`Uc+|0*YwCjt;jJ&`r!hPaO;XHWnj^m1Wq z;f-&K75svoh};RN(F`jVv(f2&v|05%s;PM$U(6xj@cVUSb6%TO%zu!egVQQ(M8#Ea zaUPg^Hm(u-3Z!e)2DBl_9*kOZ_wI8gGW%$<1f;ZlDXwS_D#jqufM*o@+QKklYCD|< z@xxW2M-SwFD^a#u2TTWYCdAAfUN9pTJa) zEch=WTV~>q?@17iuO|Z_Nr-&lU5Zi_lyU_JU1Jseq8*Cj3FcJv z-~WsPi^H(y>fWY3Itf9JPZUFecp}Q{Dbr^L5)T9rBFlnX0JS_R2zYq7RrXAX2X>Dk z0}m*KfD{^faG)u9C|Ca?B2cUvG7AGj?4OqGt4x9tiLk!zgCJRs92Fi}s zJcHl)JoP%h$r01ZF%1b{wCYc)kOXx!#DTN2;k60i&W!wRhi#I{{Xe(E3cLM#JFM;Q z|K1L}1t+~z$@g!D*l6n(S`gXVpojM;BH+pDVU{1pl%=OueyKbeSU0tuq5TnoV#DzB z?XGh?AnU1)|M=;2nzK2uj?0B>GTBr+4WD1Lp`}s{76g3U)ninyk2lXL%T|kXK;9me53oLGz-ha5Ra%9 zssH6HJ?{8V&eD;jcZ_5VJAEfA1+>M}1dbATRXk zirqWDLO8`B@nwF0hq2Og`D*vFRz1(RC1rYzemE=vf9V~a`bQMdn2wa%<0QtFt^(T4 z94;dOzmkE{1NLPKO)ZBj$@YhX3T^jskM_$t;+O6WJ=fydsy^dSUtCThKI{2(4V`vm zqvmCuA%PHZ9~(a|b1t9qCSu6201w>%fA?+y*T#=2C3))+-1ql3Nfso z`m~N$Vi`#zRd7$cGVaswx%_of;o%dy0ExUgypPW2D}{VO_5iRs?nlSehy7ZOK$(^7 z4EU{X2!G&hU}YCAz&BD;zAH6sf*jFieiu$qj4u?g?2E(1wV)FM?fmCoyY zge*7R24h8BC4|b-xjWwCBVq-tp~Wzz%~J!j1hNsE-5PM^h>4;gkh${R6Y?PL-1cBI zvbSwJD*b~pcR4gCeRK*h%oO}&K#Y_^y>iCqa8*I;;EM1CH9T?IMC#v&J-xC_LU)cBMbUk#Y-B-goQXI(RbuCmXQ7IPdYSzx3zq#AW@S(f2 z{_&_6&L~$GrD-)^rkS4zK4a1^%Q+BYYifc0`pVo%nGG=I`K4858)Z%_ts2vJT!D(wp^%H=Y*#iH4?gDqtd@ zq}N}dL9G(KAGyJN^vSU0(X7vYB_yPHeUAicQ>|s{XCyZ!n!~ww$|s>5@S+o!-b*AXI%V#-5OEQNnD-h~jpOBQS2KT+xLUBp2bdYP4%y_?pp%1{gOt`h#)qN)Br_{(Ju0fSZs^13yJo&Z3oV^WQlwwMP$3>KlRfA&ETowr_lC zw$(!X06!rDeGwK=B3pU-9jZI7D}$C?Q)SiHxu(==o*ey!9LL(Tc(NZ3AO(%S)yEF_ z$euS7#E-=S%!S~8K52G`pmqza@PZ28|IQ3)+UT)#{f}V9+`(bn%ge6LUKO^ff-#%Q zy1dA)`G-^92|iqQ#4>c9{mG$@KuJ}0YGo~>H z-?^oe&%>`7ZC5`-H}Apkm+k%S9e+~zQo*SZp+HsL5xC2SRgqgr84NLseqawyHbDIl z&Ro@#ykHO<5`u+Ng((LKFMigxz8e4V^7WfbZCg&x*j+cF%clm`tF=~r)3x>_YPv(2eOonBf;?eP#n^~*11IU-zwV){MbV95n?qNu0ARu^tMI`lD41u2N`B#?*tH$9F2FhUuHfEZgsk zxbMF&&Dt85HJMPB)dN9DyC-w}{Fz(@J#86)wxpyW`IZfPh->@;SEy6iDN z887ZF#|{mh<$fe!;LYWGm27<^jN0{|oz#qtA=hAvbc!KG67w3An_GcltN0SJl8aA2 znc80J2X*PVjfr4n$Qm33DYQ(|g8U7Vw5{={Oltx>znZC7z*d8qswP_l|B+R=meqvs z_hXl7WtDNkPF}o+i`Ax40mmxTF;TgQ>4CcdRwZ~QFtFg6LSF*P?7dteirQYutVz1Y?mSNjh z3&wafJu8s#4HH?j=^(KSuW>Isc$yvS?Cy;*;thbAK8~E*B z8`p#eKaIPEJPvgDAo7R^LPNK^1j32vMi4JAW})b$t{}YM?{Vd}2rOWOMG5X{wceE8 z+fVMxzlmz#+qd>lRy*&rU+qD z3LIRX_9?QBi@1%Q%?stSUt1*s(G7fTFZU9823x38?B1}0>Y&J^v<#qK4&xp$1|iMlKSVhboiV}#fX0@niw0Wzuz8`I8qsZ zn!LEn*|L=IOqAf0n)P};Of-{_bzVjLxj{toedU{I?&8C}IYrg!53^*#Po=m8a-An1 z?f1x+&hI=$;0%^U+yq_5*3+mJkY%TWGoNWEi- z!QJM>9Z&h{tRM|71ETGNoG69E##%)`ug!kI)=Zj1^K#YBV>r!uF(_=&89pWm}c1|iH)1J(lP{UtZ&gW<*#B! zzip1f=Y@_)ZF8m;+QK%7*fSQqq7V`0O=wHsC;zzi*z_Q~nWX9y4M439a_SdJt|9II zFkskF$mO{x;y3AMWd9`Mwu&ZtrE_P1wqq5Ze`{oV?TgF2eL8$yd=VA`0isgr>a?Wa zoK!&<(h#UI5l-+Sz&B4`sx&D-?Ja8=KmPQr>!}bLGW`1d#fZf)DKEE%H`n5&N(_U=d^vy$A6ZoEdf^Lg8 z>#@~ii+1D+d~$SIRb2%JbmcwGptW9i+;iRwcFCcVOxwZ8vw~39t(Puja;NXPe0g@v z=E&%Z@J2n}yl6eZ5@@60t7Q(wLU!Q(eEU6EFzK+04!8>gjCZjUH z*OgJ4jdi0;=aIWANq<}k?bKs(>J|;CZ!Llg$(32l8wsC4+7fiXn`>qvdmD(YRTUj1PUfO*(d@v%jD^sL ze0~q-*U6&`tljUf4E$ETv7{ib_Q)T{B}cVk5q3rP<`ggI6nQxN^q3M8pm^H5^pZh; zzUt@|^ILu)%Yw~*xKrrW`*Syb!0-8whnuX&{SN(5_oT%{j<3r9y!y}oBh{_odA;6& zD`*dN;cle)=jDICLcV^d`L$(6K$#;J2^0rd9~S zD$;t*+*ff7r*L@@>o%_+O0S39N#ofpCd`L(30Bzn>!*4<%BKR$IXgI*0$6E%j!yf| z+N27$QFwsDRt?7_(G%l58u1YdM&YQ=C^U;-;2LD3Q*iBkC+n#k3!}a5rBc`^=x*7GtGYh}570Yj?Z~~yIB-!EIfzHi`bY0FQ;b5+wpOJ8)>B;lY ze2PNby!dNWI!)|iBCRrm2M_EPISRP?kY*Cvbv0XzqKX9;wglm;!$u0{_8aR2J*JTKlW~986D#E1LT8sd@~BxBu-*?4gZ$9PdhlUnxUb$}R>a`d#y^{HlEc{{-Ry-t55VAt! zKp;pkU1L4i&c2p<~i&mq7 zS;_{bn;d57%`1|CB2%)~cMH2d3;-Xo@)f2yy)x<@YDv@>vNcSDp0wLLpQaZzS<2V* z_iiSPbD{wXmqBHn*EzM9R{lHetb*PQR2}KDLQ2|=WO`h-H-^g#*@g{8>TPPD9AcAY zpTBQu9*4Ijrs4f3gB=d6`%!r(~DVHB2k)66n= zQ(rJ-;fP6G&v+k9K^pT#sP;SZx0Xxbo)Iyqr~~im6TPB!ybSa5I#2NLZxjyIxb&d0Dv94Er^}^G_zU0mlWoCP)mFuaRk((1L!2AmtMvwPIj- zNCHQCXSE+BxmtSj{33+}0pl6BBYnL*TtgXlbhWT7 z6fbv<;s0LlbZ9hwJjoiE>c+=rJ`|4(e8!`!YEa$T1qpKLXWi!X4e;=UX6L|!R9_-d z{dCR7P6@HLvVMm^6Yieu&K?#kPVfGxes_|A?x^BD_D+w2mEcq9USpIOqyQeT(@wYY zvJ)wbkpf#TEq^Pn7zk2S&hlq;Z^&hpxx4#o=*5UX^vvlnNwRNJ+rsRJq`a11V;Ydp zSQ!wVCb6Q-jPFq?!Y1=aYO|-i+E%FXdMQ^$>U_R$yyPo?j!IFIdZ$kV6@Ij#^f4|{ zngO@d>Lb0x5HToIJgdyk9onncQfffR;)+Yco_NpjCIQ)kWFw8T3 z(!qRo1Z)BxUF~TRgO%v2zQJ5|FpqSdppuv9t{FgGtPwDSq|S}Ct!czyVKou^{bJDh zYvDayrE>Lt`)wRQkuLZz_qKu&>vmbsEGFr)#IAb#w-X4}*KA=T>4&2CM5Ul%>EU)Y zql#t%eY#V!WJOusyZ3RcauWlB+mA1+My6KZ(cf9L5@-;*`m1}%NuiuSeA~6*c{oe6 zC*MZxaQ63d zyf?28uU&ZabI{@D2qVr2xX)5unV#N*KvWAae_!B}R{#x75|3*_^G&Q(B ziR$aCu8(?4V*R6bhWmz5Ka@U0i+sGxqk>qR2l~D$o6R_NYwTp<_Ha zn2!&f@bFrOo9u3k|LH3^d6R1Q%vt$Wxo!*+4oQ8z%Fg!ws5+=BQHR_6IMQovu!zh1 zn9H4Sn<3|eBU!e-4S#(FbV9uBF;Wf#Z?+?8G)T|Gg@HX`0qBR;hC3c)0#$j7LB$XQ z@~Nt@D{}3r$wV@+WNLF}+1eUf52C;0-AO?9<91k2L)G?FSmacdlQ2R9fsD|Qlg&uq z8|rRU!v&A-nGwY8wYq^%k0BOq83R|!I!>|hv2 zPjDIxwlRV49MNAk4A`8u-$8+ZZl}7k?J4jTNj9*{0Xo{SL|Wx3c#~+UZDK`jBAR|8 z_6|nBxmWs*vH}J)Io)Lj$w59_S(OOI8b9r5*(?~R{kMpj10AbbYHvov^-eA>gE~$y z59(r)%F7-!Wp6rS_E*1$j#D6N<$w{9N7&bijLo6H!t;Nja5F)@qfyj!!&&x~Eb z6b$Y%g2LI z+q=bKddhHEwI(YK9j}Ws_pxV}2c0sDdpvz}o5v2uHzl!W>*tsIiuKkji3Vp?8&OV| zHXQ%QunO_2+--9!{cWJ(EF4_ea&6qlk6o;Hy5@pB0@EBX&|m_{ke%CmrfHx2)LzEi zH!jfkkHotEtjJXR?h@@*|BO8(MXp-jV4}%jz`vru^A6gA(P*)iH1|lIDT7YPmYh-mf z=BT5LEuWj6SOe3Jm>pxCz6`dNwSdrBIeD;TUgGAFAa#Yb-qF|-OoFoy4YpL~fp`1b zAcg{)+n4nrPSBpm(eAe)%TOr1r*QjJfEHhTR7%!3VhDO2tc#pjV`1W@=czKCxNt!8 z+aVoLc7hI*I!_$A)Cq>KR;q0=K$_SnpZ!3S`QDShP;A_oM%=Z2P|uC8^WwLWzl{n^ zOA)*qJ!QoYxp50nW+reN4~74Tpf#fVdU+*+(+O-;-z>?5sA@)JvsZblL6@`+ai#b# zj_X4S(1v}62`b#X_T=2=bDt1#GT^4}>4datfb{ZxRKwUUos_4W8N{4Kr%!Ot#GGn% zrus?A>%j^>wng-5yuiQIVvaq!RvR0}8 zFkq0!Nq@kE7=(t#ye*YDZ=_>P1xtkAlEme{j+Qj% zl1xa8zziwL9RxMU@?;~z%Y^k7dW)4u1lDt)!D3LL+XLayt8?<9K8^Zv4kbH_1xG~5 z?2A)OVLF-72Tfn6uvUrp7E=Ls@Q1Sw!3rt3;BsGyiq_<{K3!|b%qqtS_ljz`s^xxS zmmDhk{j0broGxr~1XQkRxz+_K4@j~ey)QG6R^7-crLY_Jtnk6IZx|70V`KZhV}u~s z#hy9%>ux1Kt&zV42Hql5Bx@=ua&f~qw>tS;2X5$ozi9T_0&#OWrX}~;>HFe^iZkD&RwxS0Ht;B`oqIH8&DQh6EK}Ua}SKu2oR6PQiDb6?d>aVB%3qaoB&Q51-!Z zz$DNuLfIE{%Mk0@_mt=wp27S(Yi?Cy>v%!}HEtR#DZK+7y39a+QRt)4l8?H@gw#J+ zR*Z_LsgFykwN^#N&H1vSOk-@XAaCUFQnOOyw|Bj#1wn)Ubdpc1>5_r{skHxjK9K&K z;XOZP1s=bHbQ|aU?k?aMh&KJ1NQUPyos|)tl>?Z=W8RZBZ*mDil~L3ZdwWS3#WW>f z5Gix45)a<5$kmEsg={h#K61qM!iiE5?hl3`KU^Ua&mpI#g9#^sTh247ce^bPDdc&n z6;UFlEv}(q&4e1EZVngKvYqrJA;oE$X%54P<4H%lXAUD?6XJ~tI`>IY`HxrKoPvAt^S#|HZ zKgh2g0K&L<=?OI&tU+430yT}e)`fnafk3uHuP}I=+}X?gbXtTAu{RvrY6z+3C58@O zKfkL+yNYU-5li(YyDod;V3@ZWtE%uce7v>9_y{Z0Y+YTeP>Tv7WBUEYW^i(734c1u z3z_RiV9Jax@A4;E?B$jU?*w=Guevt(Pluys?c}s2Yl&EvEu11zs8xkh@(JA*8SsFn zRDFm}#L_U(gqJ-uCdy;GGcU>c7{S5G80?u%UsxJuiWQaAv_=CPQE{!1u@|MIQ{V3M zmx{8Rmo3uxhIU}CKuOuC-ENsMGZ{tnMWosJ(r|4wl!apu4w1##sb+soC@HCv54W|r zx<+_+S4!afZhvhOSA`r_Vht0NKY0t1&Vn~tuJ>7aj3_jab?7~{*FgXDFII#f4(jGC z%xNgpIcWlBhOq8!1?_e4Co~Yx7fPPA+AVo^hgvJLnmv{>K0;Q8nEe@vl*5C87)Tx& zPDg#ZKoCeARrx)wYpiH(weaC;5zv~_u2$He`+_~jy?QSHe1|_9gk)pG&q0$U#d)7Q z(b6@u6MGalms&yY4#=k^<%7Uy4+GJ>^;RZ^ z3?P!|slVJ5n@o=J=$)HuE@vulR5P;4dXT`zjv_(PEPcBv#sT&M(2Xkr?796d)ZYRb zBYODhN2VXy`_Q#j!ExR`z+N)5)LR|+C;o`ZsE~JkLB;`eQm9|GS z6-zYUAT)Iib>}l;mIh>XHI3Wz8eHZO78VXNQwV)7hW*XehhZ=E+Xs%kYWoqS{_ecM znSSqJ)i=^9NJxOLaj9oRL8x|fE zWLQfWi~hezu?~8j95>sv?mM|Z>EL&Wv@B&ey{Ma70$c$D!KfppyxOgPW~@7ka6N4q zPZ*PS!wT}|W4MRyN1-~@Glt zKqVhJ8gCwq3VokEpD{>Fd`fPPPES>}N+db)6<>^wR6{{d}oGg8;wIOe)nM*UF{GwDPKzYXp%R^z4+4${&pK)5=7w zJeCS{Uj~`t@MVFE?Ng(k{e5?!-+in7gkDu`Tr|rdAkU9;KM7Gr^6vklxEQ_J<&FZV zR#xv298;ONPBMgex?_35MPL90Hp%HE082C}F3I&2VZ88AMHX2382-wd3PFte|4j6& z1stz#mE+ODe?70);zFEgI2+t=f?RQFN8{Ep32XwE^qIzq{|N=!6Ws?5ndl)=pzx3^ z8cI7py={n_EKvmOUkLphbGWjf6ci$3k`7J`V5FS8?|YTuan0P7Oa7m@i>LR$JztT2 zf!z-b9X{h(yI-#Eex_it7F4W}(6O?D?XrUVRfvB> zDfk50?mz!ok+3-86}P@IAt~JagDTX&<1c^x7&f|DvA35NVlvLW z%-|B=f1@*h{}MPDt#^d)rcu?|zgMw|O5Xi00}f=WWD<3FtV+`)PC`*&vExo%^4@WM z;pA&UIsz0V)4eOxZAJxJJ;?LZ&H9TYDAx5wpf04S$;?>4*=P;xY|LiYgaR(_aA1&j z`8M6UPr^V0ae?FSK(^LMV<=3-;7o7hgtWR=m`*i5HqrZuqC$b)3OM%YS!#9JRJU0z zK5?Rwi<39Sw8RSmt=FjaBVc0*5H6u$(oTDjz;yAS>bK>5gUxc=X+x33VJ}oQQb4jv zCe%FG)KxtYnorTi#p+H%|K;QY^xMf-yo4bT5HP22mdd%URzN2+iNVYs_$>~{t_MaR zZDJ0kn{jI^gL}MdpsSJ}78LU4sj4U8_VatuhknQ~?4_JIzdFG|VbOluWtY>hRua~< zKJqoc!%^Cr-Cx`Hqy+6T1gSVH3Y#o*Ga5`IzMWHDOwEde7H)>2ui{@y72^02A?Zx@ z&TMo&*t9tb{RP>LE{BRA82*8L%dJc~mV^r4h1M-2`L9VE4g3-H z-~WyUcd~$3P#7b=9^zeGU;oZ}^B0Lcj0(qw(^W>=do2hIVkZmZ<4uKeDQ@@XS_dZ{ zjBj;IN=RaBv+vTH=Fi8_d_lRa8S!7D{Ccl=J8W2jQ5}NtiP6J&*ef19^8*Ilxm)F1 z?Ja+Xd`pX-zOn=gfjPQhCSsc{O#5}i@?~b%cyL-026KP!ban6so&5IUfM(x|=~V*n zZfmT$8|bR;J3J0wf-A$bh6|N|50!9YbhcW5Oo_972OKcc{%JzWgvEAu;!L&U=5dn{ zD_}N-TVJWdWexA4J3gm@)Idr)_sRru_5Q|}`f3gnBW_44yf5OK-)mRHOW=#Ov8(xo zovqVt$EU!z_m-z2GrnW#<smr4f%w`e-#AwV6f zypPb1)~H-Km_YTxS$m=bF`L2FZ459vL)UTY9=EUwJ=#al@zTYX{~;h=P5=TT%lJ<# zdHvJ4;lm1^oMO;UwBDf97y5bDOs2^2vhgBK4Q3-|OiBV&Kxu z>8P-{SzJuYIPGs5bR~zEr`|r5d#_Ms#~8eVEoh1vR}f;p&>{Zt zLM^Y4J#sKyUoXH0dv=0Cxr+>9TFpq?9|rr?Fg;|95SICRFRNJ&6sL@4IMoSAytCoU z14vJ=1PJ8oQ$fKDs@#keKPf)9+wMpo@o-mgNQE`ET|ji(8A51th_4FZDrN(x?xUn^d~ z`9$9L?|5r4ElGu_U3=#A9_2M$>$@(Kv9tIwV|8VRh>ICs(JNCM9;>XaCaacbN_9%p zxFkj?UmHJ*6{sM;d7-MWUQ5g3f_>(fwOJ`iP2-|bLe`HM@KiO6hhQ4vfOO1DNFGuK zlvLRZ!?ZHwejz2eCej~cR7^8l)8f1{$gW2w<1H98#tI;isilosf;~NO7PW3r_I17B z^mTP*zmXLMa|b^zs7714oty&Vg(lMrS=)1;1aLOar}Lu%Cc-!J zxt`IsTN(i1vBqbfLtgGnTfEF%D#b(FVtQpWx*rfbT{6T)YPWr<9Z>=Kpc6{*5`3d) zx{p8YofPt%X1$tw{pKSE0|F)mrx$OBHg4d4{}(sKmT%2G!O~o=rvcn0ZDI^IZnNF9 z>RyaA>Bd~T8HhC$NHB1){Ej@==7Mf@&2CX@Y^Fw^$7QB>y-Qw~iiD2n*XfhrIvJ4+ zqi0ohGFa@osJuyw$ez89n?hZj&Ul;7;8~NHLAB=AoX+25Z+e|@k(4b;;{$^7M@GXO zE<-?>HGz^dIl|J>l{*7cVIiTz={(Zt11nQ2*IVQtI^J$F*fU0~jJPbmx2;_Mu76u? ze7f&utjG15->zzlnXaC<;R!+p_lZ{M?W@>xG26SQqVOjR%9y7I`MB_}7SAbT%Fat4 zVU}xksNyRY&2u!nH)MP=6kp~*gd_jp%xf`y>urgy8ua&8r0D%mB$cZjS@Qpgq%K~9 z|7#?rq+f#$i2LNShOyXn=?g$I-;a32Ws^%NfD9br@L)IVyc&d%g!cYm2dz%71Mj%l_V9V_zx(vBd%&;gEu1sjOJq(CS!Hjlg z?Yr&;&WFp$C6Axc*jWwGRfORN?H@_NK|g*MuwF;7Dg(7-F)q~1?iF`lm=N(-frV3NRzD^py}H5_CyA zg05#(UGm-#HuKO`HOh_szJ5m+Ne@XYhk-x4`QugSyBbiY zvo$T2nwQ+R&3MGcMteq9hy;b~TAmFrIWF0CRg=a>i?mp-0`nW)Q0&%6z&N!QITKkD zmBEnqT%7Q95`8hC+H>@~JrKVYN zl%`Xn$^-R~e#?ANproQ$;|Cvtv|Yw0u8vIM1$!x}++K1wt3MM8!q0ZK?X8x1$Bd+v zyTxB8bOy`2$M2(m^u_7Qt-KUm#Dpq8-onF``v=iGZlIu4nC{W>AXia5xaV*5#WMZ>1$|Y0cb%^oga+J=nkDu_ zoE6O0>))esc7&hBf-IOJFhdXWuKE!V_R><5ulmz#_JmGZxCO*WaCUDEpWN)sA9`My z4yQ;ZI7xtpelB|o4#D($!?P_HVP+~IOWW_8EU+M~C%A-UF&_9wqWX8!mXxdj(hXm* zUsv>kWiDjBTcw_yrHu1*fXWjV1p|E+1bgO$#ilY$*n^H zT*0B!U`uSm7ehSi1N)rkUj>c~nl~7z^&lxo{1z>M5sxA}H8?jHAcJxQzzGAWD%#jF zx>6?^0ivk#Aecl`dh*iuZGTN3rl?=QW?S(CKL{Aua;N1$q6bdX>G1K}b08_Uhw|Io~m zcTZx#Lki}~jfu-Dv$cV=j7R{;Q-^p(+K8j|=(=0+Tbl40qLv58*WWnrLDa6XCG__7 z<>$KhU$~n#*r+*$a$1{!`ckO8o^~eg<0_85Ke|;Xsgi~Y0mm2Ap3*N6o2V=V{rNc2 zkLx?`fV#~|yH57SH+ujmK9J;lke4&@^!+nELD>V0e95mCoG!jTPm{2k&gl|TgHzl5z(!>$xj5wH z4onSok*c;9N>;m=w^$6LJM3k-p9d~4;5=}_e2U9h&0rP3}d$!ddWCMZcW&ENU3o<74(xcUdZX&eAGn>@bt z_GJ!PQcz93J#{qcP;HINIYE#LTNA+ Rd2AJ3VwcY6K#NOaAG7zl@fkjoUh7cL(o zsf1KZN$P>`js}B_L}=C9DPCN<2o7@W2w5D6#u4e}7}&Q_q*kaVJ5Ji;f)nzz4{fT;s)KybhzmqrQ$bThk^cPDVea`WlP=34aN){Zx@ zMMrx>)D$b*=<&$#3xDI>(`hNe&R?oAN|*$4D8WV=d?*>lW{kG2f0f_Vo9Z7<3*lC( zz`c_q3#f08h8nfdy$MuN*^dX~&5Ltts!$nS{bnzYS$;Uq0w0V|3@FSqLtmwAk!0py z=Cd_AhZLNx=HW)?f1afAYjeYb7<3~vUT+vyb)(51Ha=|u=?uf;_I&YpzJ=l^_hz~@ z{@aQiVq(?Pl$4}acEbToLK$zD2V1G$c@{9oj~1yJ1awkFsF4U*vQ1P>k{cyM=j zcMI;21b26LclQu1xLf1y?!N!z-a9k*&70Y&SG8MPwN+G6Mbiy5KRM@<^L1K1-u|rq z(`c|ZRo)Kmzc@HGv^p?|Twbro=9!d931;i;mA@Pv8KstOc23EaZwCq0NtvW2r8ym4 zDI+*cu@@pe7(f472=y7JrGf#OQl@fD!7@A;Aq*)`U8f`hHP5Oj!^{C@N{(2JoN^eC z3R9U-nb)VZPV|$xWy9x?g?G*>p}hCXbAj|>rXIVgE<~2UXij;83^_V#3lW?FMdP2o z+gu`L^*PX$kVHrhT~jOVX-=n}EHaC_V*|*=){k30lOHUTl2*&aRrtW{Y-zewP`(4t zj~oXCg~G+uz1vg#y33}i1XrKely|h%jJGLz|M=ke`RkFwS^n4MWQE|E&qU(kdwp)L zXOt&$*~&SuQXh(%b}(2JQ1%K)p^RyY9EU9>2;~ENRr?I4JIUty1vT+Ppb%o=InvuC zeYm8r8RN^2Ct`7NSX29F4qjTsm1I$Cg6XuqMB&+*XyV_j}GK8wAUX$J+^L zqPMuLJ?spfcTf3WyL-GQ7@1KM<1CzX6fDlz^68S;%8w&6I^aPH!9kmM5;nR;3-%rN z_v7q*M3bK3?rE;BkA;wr?gYGc$^Qdu*lM0<0dS(hNYDxM2f;OkoyM|0(lOGR-X&>AVLugrDJvAu z+p-fZv^);a>+|e%@>+G_b-!}BZQmtZ9<;w=V|w$*o+9N2IIf#sZJ69_$cW0Bo|@mj z(8{CMq$K{@Nd>&>=Rx(R6NHToIeBupg=7q(oG_XD%^^jr#b?l4*1Hp@-P=O;vPesG`7Nac_-X ztB|y|oEU}t+Su@&5Ma+!8+zPcpV~9foD3oP=(gjGG#VMtL=HNWS5(mkDGUGvAy;Sf zaFe;kjM#88uj~9bRqL`5?^t3b@bL+gKQ?Z^u5)w&9$xHu|#6o(T`s_%pRHu zps&_+r+{aqKa|qD%{6BS_P-O7xQYcLALz*Dx<=ti@**Jes3mNyqhl((wx#5^9uag~ z?QZQ%Q;!-1^oHkegcfPHs#sBEra_XK! z6bDRL4(8-@p7k|xz+o}TY!C5MjON8=Mw9TQd^FH+%j%gvR@jJ98JZEL$?M|0$^lq+crg5n3RV>o_fu3K1~4z~Nz z_W6uTcdHd|h2xi-YjXxv`Nr-{Gw-vVx~>Mc9ij>_Z&Bpjyo>84}4?;CE{xV6ba zm3F?Y7WdHzwtMLL=fHohO2_{1Be)vBJe|leeNth02WK2Z6rT=I+Xxu+~G9& ztp#?a0tTOV(coy!!Hj5aLG>Pg-tWo58jttU&HlfCP1XcvE(giSMKghjBMdJt>wTc1 zfx+c*RV{Y@FhA|J#(P^Y6Q662F0Cbux08q>0wv!U1A5@f7ty@8aGdU;_BBEXFf}&z zTkF3Wa0XNGzEdC`|CR<%paMh-ry8-WsCU;FHn!LxF~&N&8iennjFX{mT^rzLW$*xwT%j_#7V(EkphKb5`o}Kz<2E-MKbh-U426HwrhS{+UcGj>;bLK^QZGJqZ2EG%Omp7rsg&lVh4PM z6?ZPTX>1*~w4!*C&gCj?eB@k>j9U^PK;xgBXrBgpI4tL0J@<^yo*{mACmFFQ=6-K) zl}BF~=r)!kVpyI=$VLIE&(UPw`Q;J2Uqw3UTuq-;dGO!?7(hO9NY~BzV4M?ZX4#eJ z?SUNx_%QCSsUf-Cnt)QE#d|w-KlvW8L;@AWzj?`Qv$)Et?X0bDAGRRqM=vyvC0*C< z$Tcl5$9R(_7pC%cE9r?kSYA4ZZZ}X_ri%f4g^ASO?Z{XlU_*+4*6}!_vg@rWj?)(` z>WmDiv);U0`B7al>TE-QGqi$p+aGxfR!g1{X8Xr=pVTt>Uox!8ZSNRCXR_R0RO4~L z+odtn(q38-tEzypuiO5s3~OW|jRNu_{Uln8!^??O^bf$|1+~l&Ssy&|L4^ndP#||^ zjuxxA#))`4%a!pW2+;h<7hJfEo?doE1tI?|Aa>vUIFmZFJ*y|mOzHMoE)eLOI_2hDEqdpU7mc@qZ% zm_Aqr%%;`o$t|Y|s1vBSq=fu8QZ<)1SLO5du>T@=Bt;}r5|5Ldc``FI=lb%t=1jH@ zV1qn>)Ym1nS#oQ8&5Ux{4Zk-U=m~%;tPPB)pUVX zK|;0q?&@R#2?EHJ*@KF_xTE)-^EWrCWbEGcS$Rt508*gooUTHNBi>89lIad;2M=9< z8&bgRxlgc_&Fic$?mKXU?2p{bOAXeHHI8JmS}n?+mMB>nIX-Q?S`UntUpGwulkL3z zzPEE+`jHmu+kK}w``3m4tz{1V@Rb_Ix0sd`{xjjUjk=G^OLz2eopcP%`2yf_%Qu`6 zol3{I-f4eza8QN%XJ-%nKTx|YbhafwNQ(ii)q(6& zZ)7{6q`@i?j4#Buigd8m{iUPB+?#*ClJR$K7Hqldvw>A7MCH=4cHl2iWUmPgvXuz| z42V8ST^O{%K|=O(`2}44_`Y3rYDe1%fW%IBuYIQi?jJ?E)X@Ky*)9I!Zn83czxv6A z?w`Im4g48fGrE{@sEH?!8N+{m@n4^k9^?S8T$Q-%7r}pN0eahqt)x=_Gv8b>@5AXT z5=k>Pw6qq+e`JfNs@B>8#yR14$=k4eCXE(YTkC-0Z~javQ<29@@B?8{G2f@wr(bBt z4m-~mywp}3p18O&`N({tH2|+v+m={Q4xhK@ZC7rOtvB!Vl9QWGbwk`S_^NBQpZ=KV zO4niTF9Dr|YyQ8m)e&>Vl14X=mG;roe^DqI9&SsWo6pIC8ED2?;MTl0%%Y;$>|MyL zX8NGP`hEXkShb~zHjdZ3^(tY{1lDVd1X0V%6Zj|gXc?%Y z6|eJ_IUO+3!@)~fP8j3XC&rf$Go`j63j>yqA_xSt=ueWgcMo+w4q!ci53FG}7E*dT zZGEFS>F}wT$CGn7gY);uj83+kFn7?R2GdNTW$S(Ad&{{#{%j+9U5_fa{(+p08n6lo zcpswLo_FA?gI?}$H%GKle3L#?=nan(mgARUNKi0ydM|c3L>ellv@ZdT0nk>dU!R8o zKmcEedC0?GxKQl6TpWN|Lxa=7vg$YNNm|K(v-)T0Oo997iwd^A;r0NR0%Rfohr$1kIQ8Cqb4hGi z^?cbG$inM9oeqd+R&}U;1yF1(0WvmQK64wBn!9p&pnW;-+Fb;FG5I$`9|DZ8 z8-&@h5tohMYRc&=jpCPLd z#-}2HniCn4>#RjXdfODtU9ZhT4v5B$CzDnx%8#9oN{{05#LjHT!f$o}9nq?4{ln4G zuyvC`9fFLq=SdLg;4PsGYS{{djdRAl1_4U#Cz1Oba7fbT@(FL_$L@G zr!e_}a{f9F?hn(`$Wd|zWj`V>Kl22wAQ|7&-eZx+A_S05YOxs*dNzEsQ^9>`nW~&yQ{QbQY&;WTu#$2cSsCjUUTswKd7iCd55PoK7-mQD^LNmEw6by) zX@h+Dg12a3h2HF0l7aSi2f{t#Ygt77;Yp8Bnor?J>_Gtw0pBXF6cn2+Jhkqry~}Db zHe7=wNL>8Jai9a-J#mZw48~YKa+?##mx2eaS&bkaD^&OcQwI>_<5pD6QgkqHlEOwl z?A)3P<_!EcnnboHhFYn3I^qR}IRI6KH$p*XUgD~nx%nUK*faXhW@ir|kebuk7V&WC zLq!J!RPEyBnHm{`7i-7AWUq`Fc>Xx9QEN)sg8^6_Akck_`ynf#VOA&GKf4#%0%tjx z=zURP?!Wo|K-hefuXa|0x2dnxw$Tc`0jv(oTE{c|RI&XxGBQItt>?r7a3Bc@j6YVv z?DVPJB=rB(7bv{3>}Ck`ZUEG51i&%`$K^2!H9lbXBORr-9a!&5cK}5I?8E%U1~#HS zR^S&~5tR;3^Zlo4ZLXFRURV9D!|o$1I_-=Ow~me5*Tq+xC9kJ- ztVK(Y)2p}73R4cRt)8;CIjyOiJGLteb8rc3w zWOzo}aYZEZ5_q1=9&g6rIZI_&Ew+czw@UxG|1hzzg#7Sym{^j}0l=$2{E7^2W3QMN z=t?Udb7U!m1zRqX0K!oYH2!DL293*NM?=?a$P#A9_l<`-5 zyRcP*G8{0KlZXEX6oL+hZ**BxZR_*n&ST5WH4@o7=06h6O=5@$0)a^G_7R^qrDnt! zPPViqq`G5BtiFE8pSy(x;lmo>E^V1D@SYbe(4f72FzYnCS2J;CAB|$nd=Q%!+xtgF zjRR66+%hT3q-+FqAHArdfsC(s-5;0ew4Zr9}V1d;*;^H-1!>yg4s%5FY9$QDB*k5&WmG!u-G}sNbieX=#u%Acq}>lg z6WOy~Oy$SwUfXvPppeA}1>D>Y{2ugq`c(f?Visk8x)ceFAiTLa4qE=Ja5PT_b|8_l z!LNk4@qz+iz6ms}-K*(o+h%GB81^O2!#3t**->6&kjl70c#wwK2>uPJpb&a= z@mkr<`@gOJ=SYs55pMzKjx_go`{?MA6YsJrFuDq*Y;`ApbIymC$(Gw53zbKVf! zBvVJCoLmH3nt@PRx!bm+7A%m1lt@h_nmQ)2LO8lCmM?OR4$A85Q6nS%MmqomYSAcU z<#Cs^!IN(*Sf`J830YMM;iA*jk^LB8@HtZOtLl*xLR=z~#F+~aN5Gw60jUk?nL;V% z2!X0tek?G?9#5#Wy?kS)t_aH#GLNQoBz z^6B@Bq1!k#Z20`|**Ek(Q?L~iptGtZLUiJrD-%60 zMoh`=J*;-Pmv->7cYZH2`i#q^lIYN7)>tu?Bze9}gQ4RGhj*RjhlTiO=x0?aNeLc)jtLezwpZ>CZmY zY^-FmiU;&A0CmSY{GR3`jf0EBv@&64()~VrXX_9FWh8=jwX24B@y47srG*jXbL!Ws z+I#2c@4q(eL7!cZE>wq12eprYd5WO5Z7f)06O5Ot`>374Q?{4(^TWo6s{_yd10E14 z%({$@&6-a~NdW?s+CcPZcp2F4?i|*JIcM+-84iRIQWCxxxxE$7eG=4HCiP(qQ?uyp4P&EJ+9wZikW zQT##h&m?<+*r|*4zXLr#DtXugLmRQ5Yb4^$i!pVqwE_^0t*kC(3H#f4IfM>2N=64J zdCqI^-LMUdOSRBmazCI3`;z4pZO|q{M0t&Uxet=(5PcU+gC>r}Hk-f@26w-CdZz2_ zH}ldusSyz9g7$7X%SD*cDFBz#ZLO60VRty~Pr9p@g@~xjxkQal%OgizP5z{}dAVE>;)RY7=}jLckh@j|rADXNubi!XFY@UiHm^sV= zgE}g+4UBF;_Rlqc;_I2Wu@*XT)a>CX1rx6rG6_G7RQzcbj#YF8=CRfemqj48N|oka znemQ>?uf5VL*y7k>~|_GaYqXvshad9lGRybT6Q03oML z>Dlef0Mf?MxeoeU&c+3&Bm(>p=u5sys3ZpHXHA+^!SfO$ufnfUk+CH1tp)F8nY302 zP;LN}ZfAT^@d!Rm>9oJ_cEI)F9_HHR$XM=T4q4 zeDu;4zB^gl-9BpQOnpHGZvGqVM6ImfxqHS9u1}SO1=1GxstA1j<2x+Pv(3&p%I=f7(nN^KW(rZ(NH=dbLoTG?1 zCPF>xydCeY#j?fo?x&sE8`gff&k%@;blsvLkf(hd(+I%0hQ+4)pr;nXq*N_um^xR6 zI{j@xRYie2FZ9gH)XUZk9>1(~#_mNviu&Ii(Zx0cNB}*&`l>JQ}yod^+32axj8WePB{Sqhg%loW!84Oi5i+eQ%U1&Rw+|yY{0giRB~@_^lshP+ucP zyps<>P1+5m|JmLqB1x^tVE56l*0=j)0Z^HrUApHM%N0E;F)W?=RQj1~;1ANMxnHj* z8c*`97Rt5PDOq`L*CMtB1w1lZzFt-z*mgK$4AOMVBK}Rd(!ii2dVvJ-XX{y6L41jY z45A8YsKKP6xl$$G!k$!(kEL^p*K9n^k`kYd5BgZ@ouqEpsFCsG%*^yXe=!tD+a{|> zA(#fk+Ss^8^5c+C?aR-dbjrTS1D4x*`AZ$5=i7;)Kv}JcvgiKus&m9zt{B1z?KIvm zu1?>+atH9@l!Y&9&|s49Oyj+0&iTi}qD_9;CG-EH!lN{yH++u2 z`N3$e{W>thm1lH^;`kN914zh78>h->3?8MWdF@Aadh!t;x|*eL{^ zEeP3kIhzMh)|I5%`mu?u_&}h-fLqqkn85s|3U~<$&tkw_Q{1pP+(^C216>v1?Db`t zWiI+|a@^TL(0ljspr29Pk!B_(u@()AX@7!Qfrd3vRW3!zQkj}dv-DJTB!O|bt@n_% z*dl=OleR`d8&0Yx3#LrqDsP)ZuiwP8^Rv@Cko`pn&r%v=Ukd)0fP(GOck0a#e3NfD z-DC;)zRJCxRNED2Rz9;FxVO!x#LuLmV$$!2zpCiRy~p(y;v1EQ@MTfTCQ1 zXH=B&`*@0+iVDQHk(l)WP}E(^1-0JeT{uqho?Nsv1?J}c?Hq^Fse z69aFY4_+MFW@=pxI|i$1(!`H+{$TwZ^n#T0J(ejIQ`siMKQWPBcHq|(ntU9M>g$=| zDoa#D4Sfh4L#tbNwhK|;tcq$6CZ^cYadQpxGJ@gw$g%Rakt9Jn6~ZwC9(XdQp>B)z zAY(@^wZ?vX)N8k(t=VMr#t`z+dAZYiVfrnkQ~tKH*(y>a2FNzZyi zRDmA;Y_gwv>G-^{vWf?6F_yQJTVZ{b50OFYPs}u@r3r@(`c`5|^7zP66ix{w0CDf zSY6LozCaD1lxXHPlMG4rzZas(h3O`DvOF7wToe;>a#46*>U2OoA~$}Ww#9A;$`_O9 z7g=;XUq*MXISZaKYC+&O7=?P+Th$a>8=_vqisfL9On9PyHtO7Z@Z~h{DW8JBCW)2k z&}B{C^l#(^t8v{ldQ8RShf*BA6PC|24{@ve);BUA*D>Z;KKeW(kHwP^Og_q5%L>AZ~V0JZs|VJ_N0dIT^<`xuWqOr=eYzV z?ELanJS?x`w=rLe-U*o9l#=ZZWA~0n-e%g!+d+dqbAVIYYG5ct2b+V`5;h+Lp%8J$ zpQrs(+s2_{9UzF=J9`SlwOsbL%q*?RhX!cb+Dz`&ve*ldY!A1=ALvq6D`2oFTXRqy zGMWDd6}kSZ3pvvje&XpH1Q+`h4J+F$%=TByb`D;BT81HDR&BPPJL#2=CLN+dMVtTi zrVwK6z`!5l_Cx|d9pB?NdY(%RAD`gy%E80?qjmAKYz_1EcRBTa&Mgjv_p-ppF2A7P z;Naj@UH<_g16(1)QcCOHZf~ZQe5vKm6CA0QR2JV80C3m_)06D!eLCI_+U4ng9I!K$ zJx`BrYbW2E9Dk=}%1v-y#@`kQ3H-x>hYPoIlN=qa<<;Ji1~7dWz+zw;7sa=!+L&24 z>zl(EBmCvTWk`g@eai8&sH#>ds(8%l5rXD{fG5KO&zcsNjpk4!oXgRI(ew=^_|v@F zn!4{@G|$1yy;K0` zP0JNJ8#U)zVjdGS?>sox2S^0W$t7qRCGkes1xBJ9yIz*CQ#|6X52;3dA~I111AE6? zim!IU;+h5Toh#=1p~rB0uxQ59MahI~^X-c|#T3_0N#0#m&R)$JmH6U$^U6gnu4ijQ zOB1U>3i3Wj9EOa7nz(_Uk0=02& ztj3?_L}8sT4;P1guKOz$;e@p&D)j1a&xBP?A0t;Y!E`@O&%T`ir&!SnYYFfY61V4wo86%a#5vbTg#7kbzE5H@B_@#hb2n?Z8NMjtUx{`l|wGU&bCr8AuqK z*jS|n^%NFhxt|#JFW&B{V>;l0<~MSF)D|8=`Y!i{_5R4Br~1u@L!o4@`l0Jbl+R9H zHw($AZ-5@Z9>T>X85yF>JGYM~J%!7`vZa-uNKz$!&vw3UL>XD=zLXZfq5LAnS%2au z)L7f&>v=VUGEnFJRFv@}#2>GC`X)5Y%(+9@AKtq8XWO*q-fDEITy~z8<3q&F>q?ww z-9?s>yU$CjDpW$?Bgb_AV_r%-@y8EmCt@!^1*w7y4Z_xYHaA&DbhamY87snch9pB} zMYT0;GZ3gR#(%poUE>ue{%1*}@Em^NbPCjY;@-6gWC5o91-P8)$((j>{eVfxF3@=R4TabFAhwJ+BWE2q(**g zKJ>n85XNjEMn;4?0>1;@5Jb2x;W70kR#IM_5>(kOR&&m^mA;Q9g9b%phQD~v9NqN{ zPir8=vn8SJ+60kiF!MU@40Qu7i_7NJYpqr7q~5WBtP3S@TJyltf0}XrY0^+i(j@9( zNgMjx@?3iU)87I?8twoGJ*R>O_FHqO)MWZ{_1*71|LhBAnHHAL(bd&A?PSOq0w%me zfpu7`V3}E8O5_xjw@5$WQmU+8BQ|1~mg=r+H0~FG9{v2Lj49izRVMXOTGGYuOHtpS zKW%%TdAB_i_p7ZY!<;rAme0*d#%1(tz(|KE((&~KS8Tzsy5WmRxAgB8hFt^1(1h2A ztyGG?;dS^L<{?n);-toFm*Uqi((WwbU`-zyX~Pq8WH`Tob%Rj$_O6T$NuqY)Ma_-k z=etsB^x$U{IKNkZcSq~S{mye`fd(8a@WBFi>s+0pRJ&|XaML|-JW?)>i6 z>U=tB(*^64XN&e{hmT7TXXCu7;`Y2Ug;boubzlJApHE@G;IFRb2Y|J6T8IG88ZwaO ztmSF{m;^jSfVNBfewJnD_N0l5(}8HupFX zfzo=_;lbN}Hr6)%Z#W+dNvzL*kMaBapYZdcb2|MS=2s8QX8Mm|e%VaZJohfszaX6H z*<_n&|EGt|i@6?8GwauT)#<8i=>NXp|L{F#0>5X8pad+pY7;+;(mtKg*ObF&AoK<#&y=Lap^K{VV|&Q$vH=+6*-GcB5@|uduX&144~H#iL--2aeO# zT3$m3v8x41t@pOoeFFq2!|Ql-oq|Q;Zk73=0iq56(gMgONaJE8FNr42MpoKgL{jZk zmeN%s{kR{7!*G8LZe?HsqWpT~RPM0_3)Dr`$q|4kzvj;eN7}gT`jF)@nAHMeUkib1 z<@VAJ80^#ElmFa8_K{ZgReP3l-UrwoPoB%lG;BLM2RjG(eW&D43}{Qa-Ai*KPG-B= z3J!@CON&*L|obdj@qgZvN;6OIaa&t{=fTf7**&DBkQYG#W9p0y)Jkgbnpi}%0j6+CC7T)kV6$<18}oK>kv`cE zl#ILz&Zc0jjeWz&Q^FCRK>+?47u8}6yS@F1C)2pa9vY4)0t-)RSbR~kgL^b$;m?*q zzl{+Od<0OoI$Atlhp>-|^gOk&43EybkCu{kYToZyB6RM4CItOxX?%T%Wsk_{xH+Wv zoot-5Z_|6y(Zm}HHhduBwac%Qx^JpM&QPH8>k%bV^X5HS_2xX(5*iLD7d<{M!|LF0 z-VU5veKi{DEY|+ptinP42v9+JsYTlRr5cB&+mXkC&Y{9IK=p6SXK3-UBGY$z_QPlIKQJ0M;NZ`z0HaxT%#$3=Ou?E>5{s7_tk7NGE z0p|1ZQ44{-*%p`^BZg-Ca8=r}kBg?wX5Kn=S-h*@%W4b+YC;f5;H=l^9Vp?IeB$ZN z=@GRzhyUYF(Uyh%vgDToGs^zb!m7pYtY+?j5;QCk}9Lm7)|K_T=e zsRjjv_zqdeQ{-!H|27S}Tjs+vb2kEL=RN>MJ2|hznHB0WMDg)_MMe#oggz9EIl2{} zjCXEcfC4N^q|04`%b5mD5NKue*Y6Vzx-!1rw9s5>jrD=Bn%_b+=fX9n7;G6hsrRNEs|>#Vu1AS9~p?=wW2z+fzP%O$OP>#B~@OlRMEar zR~BjAZZEw%=H5VKfzShPXyC$KwZ7A|jFy-IE7v}IE}v4G;&dkN`bX)#;wT1Wyz6|P zTMvTWZ+1F3&=nNr8$;6VtoJ_TvmL|rpyag%>RZ0YubhI4ueZHEfWG+C+?7YcWtnOK z5N=+iej*}u@lgJ{g%S7W%jwSuOVtsbhh87D%HBg7ty+VE%ErYyw^h9`h%8%%*FT4l z^UNBI(fR`#FB+m#l!t0UaJ+8vnhrEJ18nR`e zWAhITq@jdm3X9kE=ujBIOnr1%8lAOxD(YZ}*bhYJ1ek=Fu?Acdqj`-r6rP?59%v|J zOue%6Dt3G8-$))a3>cpq6@+#PD62o^ihP9BU)j z6Ne{Lv}WPf{FEk`Awe4)_!|sguU^Qx{Vi@ILx`5~?&?KHcar)$H=AQSaTAm`-{9jr zx`D;Ajn;Gq<=#yVo<(KA?(<!l4ay;8;p319>kJ!fYgU=(84d)TS z$3WDO-u7*GF8dG3W%Ya@SN%f6GcG(G zpL)D}<_t+W%M`Z;cFNH6oi!GALZG_ueg5X%{bHWgi1>jM@}!O@UEHdNe}`k{$q8Kt zPZ}&p+Dx;ztHvuP;$)*qZ@qQjYDw^5kB;*JV&+3}B%QIp?Ho~6Rn$RDArwCdBtPAE zq3YErN;6{_k0k`sBif(%-L6b?1K{>Bju+l;ziKsoJlTP3Q&*IfURU<#>*Tbq4zjU= zc;Sss?aH&=7z5F~n&znX(-c5Eb$l*(o#T6k2JtUH&z2>*?cK#Q_{^J{THe3>z?!Q_ zdG6!l``Y%EjDn-H%IYqQSo?0QYo$fD>h*?C1|Y-fU7qY04pUtMgteL}V+QvrL-HmU24UpB`@x+ALr1{qm%^@JgS4C`g;@v3Ca1x;X7bG$)oQIPfon z*?AG?6pn$&<-a%5L9VjjtC^*xPO*`d6*om&-rxZJXAg~{GNUrvSprM;ydh z-58}E#+E)&;tyNX&N+*oxL8F|bzwBol zDV`H!(YG^5Bd>!4`l5p~X^giIJcEi#6ipkIMzPP22aoHhBuU z?(iTvLxVCD_9|l{9c;MyuoVB{@#DCyS$4j^ta)0qZ`8b3)ZVqGFj4 z2sj%U2>P4n4FpGie;~#+dadT(`{65MWn>8f6fTf+W*}EJsrT^69uGk+jA?eOjK#EM z*tT=B5jeOqeUm9v{X4a&%{*)lgf^Gqat>S8NqnXlWFTZAs>&bW48tY(5zawG6rtZ# zIc;gf^&RcxWxQo0cZ=@d)p`66Cse|`^&a?wzrP4*kO1u_#J4h3@EnE*m+B+B%wYa3 zVIi>Lt)i;TKB=k%dq*0PqFu8#%Fs>+2H6?MvsuRonDuRC7w@|TQ!k{j{O6SHSegd} zkREh7W$W#d$R$IF)nag72N)?UTV(*-+Y{5?*3?JL0k7 zX|-N6Sdw>HLrrPtWamz)QSxsRI1sWOoACaXBt!sCKN}p2hY8iQm#ADKC0T!FvB_*i zWoVe{bJ3*#B=bKLsIkq11n#%RL9Lqc(J})@&OE;90-1E5o!*2xNq_tFvk!dXk3!<} zMDQ{cQ3ZBGo@M54OZ~bB(@y)N_|nCT^1Uak@M~JKVn_!^iR{SU9=(qEtfUKHASzQ` z;-N2s@<(*q@pz4B=vYU`ey066`Yg0W;kTrB9zg?c;2qKv#dfg#P@jW6*V8#~afnCQ zAS(|2$3pGhjBS1jrrY9zE>!a(qpsiH1R@g8JZCHZ55)nb0pf>7ErI|jY|M}5USU9T zI6>;$oG*slHS|{3N3WvoT7S|(B2EkqKv8RGKp;(o-wbneRUZ8H=0&BEVvgCEWNaZ4 zIf$ED!th&^m25x4?gIWW53a56FQP+y87OLZPbQdf0Br++zOm;`dXi1!yWt&;^_dUD zS^v^0{#=y+hy_^Rw=8s|uv@2nt-~S953glYDP32=WzA`od#rh~ucJ%ju#eR_CuOgy z)x&T1vkS_S3-scp9IH-G;= zw3-PID(trPy1e`jF2`=h0s#e)_sYfCiXh5rxu?TjR+f+D9pH@q4`e673j{$sgUIrX zHcny`NyvPs?;NoDK*UE@SAZBH<9P14rx_2#Xd!lXtr?sG1`#unmrC@$Jk309n&wol z$_x)uOUR74{mPjUH;!+qDq51GM?#cVL^mikU97=ph-Kq%ut#Y4wDJVmm2eRO6D zV-ocpY=}SMiA%ZUo?Mfz(!jM8@=j#s^9)RgUZ(#qkf53Y{|6+fkWMHh$iF5fRYOBe z)%){ur(vq_5c`}?;VHQM5dc?qw%0$|7-f!FVs+GBVRe@)g|8xj{sZS}?cnDn0SFaf z^Ur>Z?M=Yu=jYdHW$SJT_Nb{B*8n5~KJPF+pwHkn5IYNYVE1fa=B&Tfs5WUl*#Pod zp^0ofohH{fSVTHH^#mj|PHt1*tpv+@yg^O=KvtUN{4)^?iXS;&fub_YaXv3n7y|N} z6oq^-(Qagy%EG^3i)^<4BGYN8+qa^V9FxbVt4wa6)-$?dl&F^QYV!PjgiAJzXvXx! zG8e$HA5L=<-)A=Es6R$->TlwhxP0KrY5nZ=?d$-w?h0uBR61`m6~e9P4$9lqf~^oG zP~J#VQ{2|+8 z2Ad9)h7#9g{smtw7!@p_kn(0a%hU*sU`)%5l~spa)^$pUIXa-*x4jkkEXLO_A!P#n8qtZm<~{jR z(PRhPFO;Xno3QT=R(aUyuL0|!h<2py`F#nUu)bY$dU*Uvl)!KB;4j7yTxT}-0Zp`Y z0&7tuBzihU5uI?BHXeZC2A-pUjxj#)SWhk3`RaKy%&_)K^ zP@0}laLV2^5GeLI8N5Tl0O0>6OQS8un5)Q#Nj-A^YJP#t8>hguuteOhAhs~g@w|-kytVzJ z@{u_VML0rCJR^=|APEk4p96{FrzB!z;YKYrKNQsX%F#SgQg$g!Upndy?w-C zE2H%qV-AB+jkO&t-+3QyF;VaA;ct75WJYqyJ0jb=j78c;ecU;%Y z0Z~uH>hVgQ(SFWAi=aHZd5QrcU6%fqjwHhV11o~}i$#aT$cXo8p$p#&>xIVBs}&$z z0tDZXt@r!yT3ycbsnA{c1YLHtggy?PKMTHrLE7^^_$9rfw;a=8y~WdV_vRZ_$SvyR zT-l=}MZ9Yh7f;s-9~--&;sftgvisE`?T4mVA%WGVbR*Z1vo3yHDZG{0q3gH*t>`h+ z&=9_>Bz++?G(Mi@Q`(_vv^w0JFVr=5$5ky^CXoTYa13q-%6lVqVS_iCYHOd?)V0g1 zHdeHQb7Kupc~L!JgMD)iGrfVKP5E^7uPd}C{hIFe)yI?J)%CHQTwK>gR7%Rq#zxc2 zk?w2I>sS7o4p^^kcd>L9P9teV{C=TtuL6C~I8KhJJ6K{G z8=`;psL>(8yGH3rI3>P{nR%fx?dTH_FGLM0CwnWhB?wVm9| z554u^(6_`fF&l4lsh^r%5*ssTbTg*UW{fRA)oe&Ov5;BG-{l zdt=vC3v=!d@3=c5Hepqaf50$_4%C2<&!wzhtjPy!0h#a7f!f_nl^+dm_NN?j?Drk3 z=nB5xJgiwfvp=fJNVm$!n@hXZn@4I{m%<3|jFiOOc4yx*pmq-6!TSVgY`!l)J=|RA zKA#_=3!tc;q_ideI}cfIklm7CeEV{_qC@|h%u*3vC>crL5xSb<%13_K!mlQwUK|qC za=UT)+_sb+nI6p6#$Kh_TA470cswp&R7=WM`U}0LraYCW_wdJCK@V}zTisf9-s2@xXNP8A@ zxs*Gfo%p?9iqS~>*~&6qHm1VLHe;it$&C(IeeA@T>lt2Wl)j@8Q>1IS2;?lPZ}9Uz z90VC@@OdlA^7sAVCQ^kkPU>{b z=QiZ$1)!vOaLrVz#q#rqS|J$7Y?fS=$OVBQ%lJmhQoCeMx?gc-e%a^=<%nz?hp9>x zvE5UVq-r!oG8g}m1Lc^e3l*M>hi2|-9e*`J0e%ZVmLNR5|DO?yr8cW?$!=AsN?sm! zlMCX`s41DqE%&jwR?>J4e(iO{)36=5KLuEe_8xfn6Y$|Z1K0L>aB}fXqTJK&7ON&+ zDYPy3>CQoxLbcs3%gU>fWPHi@PWBAMWOaX_Ev#7!XFBXiDlAh1NU&D(e!Ff2fX&xR zJG#Md%Cb=4m-=tTyP3V*SQfIAG6!h***NCpD56(XgaI+WaY|O>-s$B9bC2Nr89MW& zI&WV4-K=x*zT{gd0m0~T;-IXzLpP^X^f=wpnhd4!nbO3_xT8hc?Y!=$lW`oDj(GTg zxi)|U%TV|?g0I@=|B&F5mK>}2zu0>Vpt$#TO|S_N2ofN8AQ0T$A-KD{1%i8UZ`>ug zLvXj?9^BpC-Q8jTIp=)e-q|}-H8oSaTT^wbsHT$cq?_a?@B7I690TfMl1kpcnFc>F z1TnK9C_XUq#o}v`#ya#oz6@Plo-}-w6*T6%?5Pnc}4E+L%XNT zqxD!0m>|cerzp`jjv-~-NI@SHpM z4q#GP#ScdwXmFq65%sQqU;! zlu`TTqrnlJkHf@gL_XGKjBsM2ROubcyKx=*TSov|*sELK`3WUU2l%sPC-yg>Ba70a zsS?_o*` zcJ*WIB&HYf%JQ)G_%@keJlW?Z%@5ND%G&tJI94YEfX`JQ-g(-}4-0Bx)G+z-HUI-WjL;qkhZo`0>D5m$v8wGQ{O+2VLvj@+3C!8B5WlIO@5Kq; zfZUm+slKTpJTJOicYJVUf_r^**V01cSP7d1m$`iUzkRxaTYr|!Q)(`CP- zPTa&1u{Vrq_G_p7Hi(`1iPHL~i;PZBBMxL_U1a2irw)Bu5;x!{SK z%xfxM(Cla+@ko|W3>c@iudOi4Kl18CK+B%Oey*LQZGh0a{k1;xj`OPt`T8xTIyaJE!fC77fE zGlREJ+uYfYBmei`&OnM!fJq|Iz~NbUKlG)SaIyT!7Z3|)mt~{opLDewt@cp!liyE@Q$%(~xl&@N7*y}uw zsg}E5A$ROTlQPeO=>6i2a~V_J(}sH$15+@7)+~0Yqtcoe5K6+yWK7K*ot#YZRv+Dz z77f`KR)v0RgCH!XZ8JZ~Ap`Wx=h+VcIfE~-w^lhQ$+Kvd7^P?KEqaA4dW(k4r-smS z@Htn`G=qt&Av8l~Yh*dwNhg|~8DO1tIfC7s@~cCjL3=YITCC|@D1xu{A+=HK4Ws87 z(pwnE@n#zzsgW8C$>&rNZqSjm@?$Q4ghTHMAoW^J?)y(|>^9W&k|YVkf+&?9-UDkZ zL3XVJbq_J$L{qZ)E7ohf+Q}s32heXU&oAvyXOvu|a_p|5@r-99urEj23kE>f2nCUY zaMypnv6_2m{A-5ssU5HX*ZI<3x(|mbPk2;868%3lBxp?-&@r|{bX>F%Q%7P%ti4#{ zvVn`GhEw8IGX+8&#Ua*mkymDOjpBcNA4L&5v;83I7&wX~u7umnn+!g6@qAG!G!Yp{ z_>SXniLr{^f7&plC7lPzF5pGa;Ltg3(%v$;ch;AMeaq1X0dEwkv*swuIIBQT0U0B3 zXSmtmvZtfBhva4* zV4xi*>7={etkeFghG&GhlMu1FYaR28g{*LvU(D^iM$YpT{b9nRv8nFv#s&|>oN0*; zGk7D;*%-R%}g(h_HLS{mXG1H`uSX_SamUAq8k|LG7#=Ya#z| zshc-0o+9P{mZP8mSU_ZL?M|FTPfyRc4oP5KAkc344@mSZh$vE$qm!s+r#>JSxZaKR z0As5qvdi~RYK|wAgiBa#aZngZRV^2Vf|+oxVYMQBhR^=AE7O zcSe4%ISM<=4V$6}?_CqMuNKQqZTlrGdL=kohRk4tZAqeDRD3)NE z^Ua+u^Czw)dv}nU=H);_{d+657&=A3qL~rb%$?d4B@uo=0pbe}t`y+|sYVy3Dk$af ziMuGdpi2zoE^wwi2F-m9W+qoM5rvA;eVNOJ)eFuH!m1aIXDmaE`O}kuD&pHmVDp56 zL4Yr_N{@6gyVUs^!caj6#djx1z#n8*G@G+Kr{0mrBvttV;?Egkj|+%05m|_0y!0-p z27PJSO}a#CF>DCZd2GVpdIff0{RCC!M;5?$V&5XhG&1^}J3enEW&(9mX|SyY4^`CS zH>TZn*1v@K0rz$)3~xaEeixJl#~eMSV9T8E389S5BYVQqlW6Y#F$ zS$6Ni}q7!bF|Ekze`vJ_P%`=Dq?3Cw|6T>$|$dE z_Xo}j!ctW+og}63Gm^qfPEJoqj4tKqJb%**&n!K!ruo+1qRM2&?=nGOk?F#|E)suv zc7EKkTeYdAl*UZ;e_+Q1x)YBQJRX|Q|0ACyT^l<&Q}J2ga3FKws^yd0=B)Mg{`CFC zv>Ra$+30F2BhS=;LSAKe1wTJ*W`!LaGp3W-X*d1UdIgnPJfv3*4w7p<$H$RsON#&K zs!ZnfT-uHREKLBS@1gkuVE$bs8CEoZSw}4lLV*@g(Fn}qC=?VLvkZRy0twQk0Y3xo zQAPaR1XjhSf5`=js@?C9-#YrF##Ku{Cis@x2mo@v* zSYKrK%U<@$!V|AY?SOLD@3n|=qL}f@#a|#-VPnferre^0Mi&d^qIY$@?FhCw4MvZq zv$N@4pw(CtVIn7Z*6HH6s^ZmX5=ZVHkPkH#1}GKc3|j1O?Z{CC-5Pl60p_Oijdmfr zI=#546K;&m{u^M_VeDt0w!p;Hd`sF5p6TLGH5OmU>N@dHV~Xl~3$$X^J8TymPSo)X z0DpqnN9w2m35>9#S-qU8RmfBAf($cq{lsdSJTB%eL`4kd%w0;1UwAZ(iJBpQ^c`o{ zW~OY2?K!)#q?{^#)Sdv1hy_}l5Oz;P2wI=4jwM_r z%0CqLEkBRKcR&Yrv&79w>N`LoYzn;-pp$MJ*Js%ylzCTKa;4{1eMO#TSp9pPH^b}oJ>S*pddN z5X>iPJ{9OnzOJ(0Z2f>8OZhiP&1px4?B(#pL|>GpX(u4;U7q5Xc~p zpE1{=o1o&_SMAcg?7Bqjyh=dGw`s*)7$aZjy!35(l|J~RIN)lupZVQye*Kf@Z_0;i z`eA_{MCDa-!gsz2P|jwf$wJfN)%tB+^pA8^1sb4Y9vlv}byY$CbW33vb15Q_SM|YR zA@(_&J@D%2;v< zj#((6pGy-&<{wVsQlQ3?`~KiIcred6HT^yk@dI#k0D2Ljj+nWvMm-gBD%I8oleC@Z z-c#uoWEZjv4O|d;?DWzUU^cqw+<*DBNUhP@aKq^_YqhLf{lrFxl>eRR(L$%`EG@T9 ziM$9a`NiP8dYG#N&j|D5sc+NL_X&7Nz}HPdp`6;_ycnj#TUwEN(Ut9Cmw>KN~TYwY= zO8%CpsA2Knl#%#g;46qvjvQ!BL1SAW!jP=e68{jmXLMMOfU7?yH|_12V=i*VXa^F) z!6JMkm8H`7{Lck76f!c90wg!@g%djFI|QghWS-w9CO!B5dSW|7vRHA^e=6VsU<#Jg z>v1rCi~C&{IzYAIBH@hEHxcclEO+li`l-Ue_I}%oseGt;jWsjS>_wxZ>6S3~CKrON zCc&@nVF$8PX)6j>2ruL1dn{LGGWT!yWsq)9&0IRRr~EC6yP(YObSnM@$=oDSC_E=b zIGE$Q$2ZIb(631!%uD2f$Fh+7iUKJ=hH<2SOZ7Wyta}-g1FQi|(YKC34P*Slj)LFM zpXl!6JXqw9&L+`i(ah1($S12f{AIOxq~q)0)5LTq6ye$f zRB{SM_!P|03cgVaL}~=3FNWP0pPAUd(fEEenRz)}ln)!t@(px$QYtay%E8!8XRUOXdBLtKnTi=4d_p;`7-(kYq1mLM1uhN+TJAXW-H7YJ6Gq zFM$KSKr!rVp{*TtbMsn&<1{V2tgMiHk=Ao(Brs@TVW4sC$dpQ#H*m#c>fYrkd+oEP z+ULr;-GKpnOeV*hEQu5b0*5$&Q7XQ?tO*<$iM*CmR4H3(I9*DITo-(MllUaC2Xwo2 zFP^rinXuA-6Ouew(nx#6VTd0{{m;N!Mt~6?;xh#%wDbNZ+R2UcIhNHdiAWW%kr~gy zf{5+4 zLwG~I+&Q+xD-<>Nf9NAB3WQ@yv{<6fcPU2k{|z-6R3(WFx@**jYwy(er6yCnm=XPB zUxRWE?zI|Emi9y8b8Fye3N~RV{F|LTrnYfsCDE_X5G>^luN^g9KC0wcZ~J@dhSZBi zDu7J%K*2G-B}A=>vX5D)i1!YJoH=S(8bK%W8X|noAZLB6cjZ_%ol2XJ;KFriiME#w zwkGgKr?dUE)D`r26}_5!ef+e@St^ImSh)45T*aZ|e7mU|7stY+>SV29%X`1RYyLWg z8UwyfQIqg+H_mWcu{5X-U14>c-yITvKG%3jfC1-dujh;^j9} z$>X+L9|ZzC2VhRdKt~5dPI=#DbsV}r9^GC)e8)a~-45-G%Q>0m)Il-_uMaFH>K>rn zE_>3&vjcnbxkGnV;MvN^A9tc##On?E%>KAi(@6S8*GfmgWS9UV z*|)teT(fbL2rFpXuR?@~tQgKSpFIsC8!^im70hTl`CGHri({HtrM~_j%4ZV%R>Xh# zMjq79XICpWo1uRY`phD-((`o!?wSF(R{*2Mq9^b6{>jw%sN$t<^{mwo2lk_Z3S(bj zu03Z(iteh;OEIr!ngIF6fHvr>Iu8rC>a7G=4FtOP&rO!13dqd1CX-ICaUGTgN6q1b zj#j`cfKQy)uBYbQet*2%>0A@_G~Ym*4OWtZN*P7|R>!?HBcbWCl4(5P_N}A&?es+w zV2NuS{Ipmb)y8_=5Un6Aaj5oE&U1s;x_4mldj6=`1bv5qH}?EG-*j3}9?=T1);7P~ zlZ68C^;M|d>WI7NqvX`wV6iN7fA%<5cqMUimrzWin!dC}Wmiy0K@cn<1~SBc z(ACOmZ7X=m1^4(Q2lip;b`yVTWO+me^46(}$vj@Bq}CJxM4iNBHZjLD?;`AwmZM_z z2{&6`5uMk`(ee{^T2^|IWGhyH9`L2MX@*kuI=8Wb`)Zz-&RrV#eiu8BkfiV|)0T=` zNFKxEA+Ec(e_|3%^upKIqBo4~c&3H?i2*cr@51piE49pRDH4goE|VS;<1w93ELXN1 zgKs;Vl@R&7Won^r`d!Fb-92@B&FOeGBl`T-G~H!e*(hCz%v`OPj5IAsy!^>!&}DpT z<*2q0x0Bx)uJSQNtfopxpLM}eo_RUt(|B6U_}S-RcKehG4<6-UgyS~hmerU`)6v*I zIW5u)x2#n2m>=P+X{f_zdQP6d$Em*ze&*pNQ8|LnB=d>uoIi}($~Jfdg7V__oH|jz z+R{1FZ697jWR5NH#-;H`L@i>rm*T8ELCdURWv=@f;Hnb0w}5JUP2RI0BsMI#NE?Dx zQ(qZyVU^sahNJ4}pJ6l^a>TmhAFF4SpD$?g+fUz_=D<`vR?hVJgn8FX=})c_esZcZZA4l4alu-chX;ha?)&fk zrWv^XzHQ1f`&O9Gh@cTq+>t&N=vQXPBxaYl=2UHA znAyrwDfz^>LgGwH+Bl5BW-yUc0Ua45GcbpkGEk9#W7 z{s89oQ-kf(kTi(h<2)kOh&1dfoqZwDXzng2Y#Ik?@7Jl2xT(MXHx(%YRszf8_)h#` zELJ^(Q(Np$?!2z2?ZBuN!(s2i7rj->bo@^#$x06Q-Qco-k2u)WNn8Ux3sR)n+3DP` zccIVdj1SlGYtuP-+kj#S+`ly5R7Xuom9X55dOo;5cwk*oS5xtep5yyX{1H0*M1PHg zvqAL6uA}AAW--rD8H+ALCq3|0GM;&Yr*jda4^q1`-|pZGRMo|8XfCe~_dn>f4jpCg zoYtGeF_8h!6w|a_{ZM<*hIZV)TF~9)3R$gio#pwR7`mGMEnd z92X%*F#PrRSFkC;rxAL$$mqkhCM)e?J|e{g$2iF5n)Vq3FF5EOP`fjvJ;oH*aTQ8F zfLCmpcY6mF@eJ&mgO!HiVJ;N*o4`N2208~Y>X^iG+5*G{<)=`oagXZJ)@FB0(c)OHqq9F_D=69f0$vw z+cRT4nsPF%!gXg%@9>~=Ir}!RN!_C%o%X4cOGyJ_1Dc!?>`yS6lU)J^d9d<|K!aKL;rrWlCcFKvWD3Z9o~u<^pY8gN@lsW(`xm!Pa#rLx)W)28o+ zhhLFJ+%(vblU=)W$; z(*1SCVC9Vwc7S`V(&nN!o|>G{=v)Hg-(%C(R^(h>f(Z7gR`3?=DI3grtmjHD4J1}jl8{#+H zWSrp@A{n?%J*m72m3KMNq4vWT!jdd860}^dEePcIb{n{Ixqqp&}pd8YU^2@zmimn~I ztmNI<9~!ixD5K^SX|y$&4bX>0#-K#r9}aeFqkalZj}P?Nm38bZ_(3qa_?jn-jzN0iGeIFp+Jz! z^|veQ7E=ED*l?~QxNVXYZ{QoR5D^`6m9_6FojETRThvA2y*CLc!`ZR;4AJ*Lo||T& z6iK=q&SoId#p4`8fY>!`JDVuY^+s6^M9>Or&~--1;X_D1{2K4zx8P$&x%U~%Wrc$H zl6ueatj@(mz=HIAAlq$7$*XoezV_bn>85Zf9~`25xkK9A3H+BK5O-{%Z7g}%J~A6p z4*CY7^7e4G zI&)>=+V&QdASv8q)RZ5!cBiWY7!(P|3tv{d=Wo>AKZ368tzRSD9wSwbBP69@yoQ@z zaPO^gTnIGx$h+f z^%mVvm9#RoXu_WL8;?1$QlLb}*45CkrP3x@in6v6t=h*!|FUCTH11vLp}&tPgknkH zh!VH3pi)p&qT5zif72^&VY&bG1=+9pC*LB&W*ApvoRBC%boJT3=12VekmumilG3-p zaV9Z@^q!E|b*H6;1_oi^ys1c{#?whnUBG?36Nc|Y-U)X}U_{^3Zu4*YMy(ss_X9>IpqAan* z`M_o7V09NEEq+Lly=iwj&ZyQqGH|oVM{z&@(_gwW1}dLWsWv_`!zT&%O(xyi?~JaH zZC3q=!YdF!dgUQiv<;rlPSj2iE_4CLC>z9KF11JK@_N4uBDmEd3cvOz5uB6lp~o2w z0r4TP1yW7jGVPC_Eai&kB>JFts=bOSm;)Rs`};1mdNKd$-fp*@v49WjF{oJ%n@Lg2 z9NKyJ%sE2RLgtnxR{V(9KpBmsfU5Tsr|zXX$Y}gRZwTDW5Q-$WRdRC?KQLC5tq*cu z4Rxq3;*k`y8(nv{saOki@-H6=H9&Cx68$_%?syKtP~I6!H*7i89i_{V%Kbf|5mUCh zYCQqzo2231k;e!7J)Go22`0q*H(pahcNWxk)Io64pS#ecF7#)^jaBf*_oMdna551o z%8d?Xg2kb9r3~;$P+z|&sR-y$y!oWDUK7sPMs~u=6aOzQz!n1jOcQrG?5k-hB4jZA za0<6`XqGx~muquHXuHcvse;8?fO(Mj^B(gt1Y2pw2CAZ-^Kuvsd7B4ox zG^8=@6<~JdiW;a9j*~0mrxc^NF59Wd%9bR5>HdxjI%{T(Z*$pwS6Tl{MLhxr^kB$+ zvp-EiK_ZC)xP`(>o_xuk(0En*_6#$gk7nAxFZjK{c&cH)Fz;V=|8Bbd=2vHbKsR{) zp|U_wGth+!%zl1ICKB)fulibV`J8$y@_2N2&J1$4cbIS08@V4O&;^4By3}4bNlt;i z3_b}t#g2DF#>+_4WVZJTq>GTkFhZIbg=*pT`gt1xO087zR)Dvn{8~>)0LZyg>A5lMyaseR1Xy(RFus1WEw3s;%sla zct)>fw;lR-{WP5`?Dr}rC|Fw(#>n_9<*b#Oh`V<+l8P=LAsnqxOWm}+chJ<}V=n{iQe>T1wc4VrM%~xu1o` zNKusxY31ASE|rNI4K_~|-gtBqm!7bL@Xzwf_#Aa(h#2-!UXIIgPNbilro~A zK&WoE`}cbZhXV)89>UDUbmr!y#D;&2k-n02d~{?UKRsTiW+=k)nedZ;hH<0EXA)@F zTfd@1_bNvYqsL{J5Q4l)2y>Dxa~NA;5){L3bh4fKU3R2TnT{=>y4{mf^{Yp9t1ZYc zKL=xtr$Ks|A@!Jffdn>!!vtbgLpFW|ZNQ-=h*#H6U1LdTn;h zLHcku=s?+q0O4iL-r$J)&5*iaHcSYrdEtmR8Cuy!P7nwCu)Wme!h-xAi0+~v!phx= zOb0z+a*3^aChl$Wr9%`P+B;PuG2z&68Es-_lzVr$R+Hg!+5?(xFRAg7Ag|5L`Zs1b zxWlDmIoVR5dOI(_bhHc1&ah1fmSV;-y}Vz_s+G}etl8=OPy;3#AB~e75hfD^B3`LJ zrye(#Q7mHM8DcV~Q$xcabX-!4jNNF=@Y1 z*ZO&U}_zzKKPAu27Q34!@A8!uFejJ#QrE2Ni7ZA|>&RPC2Es0o@ zSqfb4J{TmY1OfV50yEzoXUtxkGw`iI zlP*Ll69dr_4Og_Fx8Xt}DztilsO#fHz)a%=pIvM4yjaig`2MT#e1khX!fa%c-Mx?)3C_)0!rbG=Pnkba~5G&UdrJE@mb{Y$CG3>~-+IvZ_SR^zq9 z-oKT@#E-^V`S#$jHPs2GDMF_n3LnMSDR-SO^xa&Di-A}hX(@2f#a987@gtkZx6XDC zyUZK&sy;4WS@GUpG4`>Gu!Qtm>oVF z8eu+Fzh=bjLONMJnCyWf>sX#(KpYLipwBUr0HOxsn+y}m!hNwi{$vd!TC{?Vewn%p zJ?r@1>4X~^r2AR{qyb*vp4e{pK!UIs`YvCx)w<_FpIHe?1w>w}Ezh?P^=|Qd^9;AT z$qbu!vZjlg1=3SgOLSz=K7RWhDcNkwOg`sJ57kjP#(1Q{!Oj(7!wqxBjQrz-g2tC8BaR05v-s#N~BiD1=bR|RE}>5cE@SN9Kb z1>Op_<8&`(Y0W+8Q_48WnsVmc3H?-~q@XceF*78zwvWJC+EI)tFe9>LCsN3GPDoZI z@lsaBNy3I@dbC~Eyfg}U6AS`H7nkMdWm@k|kR4`mtRCrC-_V_Rr5}ay9Cft+hz`A3 z{;5CV0LQ?#3~MRof>Yp4R9%TB4yF7$tARse)?{b%rB7X3Gz1TtG4G;E*uetZ%#twd zd=1F^3>lFBI&=uopq{02N?JGLMav->ysx8En*6dp0@*<@i}k6Ly&YeGbmPfS9 zeuc-CPPqdR<+lJAbhLP#w&y$a!DmunBhpEn7!qYBw2@z5cX#K;NxGl;$f?jWF^?%W zyGNztV8<|8{Me1dK}!8($yx0%SMi*9Fq||G4dhw7?BsaE8zH_r_xt&64V`*&+!Ax% zv&4!O@0xP3wDlQqL~J@&CJqcx;4<#prK5uQ2vPdC$}hUVhW>jM6#@qt=yzPaf=CbO zXCh<}tPeB&))d0ax?;08kxcjNn!7bw+`88{O6=g7lAh1N<|}6?=X9^tA7>J?fJ@>Ic`irh^7* zUI(`N)XGOS&!0=u9M z7m6GAMN9+-9x;&R#})uIqYT$x!(32Ti$g)|O_v!_uErRj)^#NMu#eDjkY2d%Wk}7d zYu#@4WDvf)u%5V(9j{N}yWaDnntKCVQmTBpYlZHvxwWR@OJTGclk4=MBOkLuG;s`! zIPS0@FcyR-L?j*VJiT7d?f4`c=rdD32&5GG6L=aJp{}8xT*Pw-RFemHo{;X1B0?k{ zHHYPEkK@BH&1QChhL)9qQLcp;nGskjLh|VD&z&>WX(pytU-T=C_2kvZTF9>>$#M-m zYYyprJ}+^ykEAo+HI?tkYE}@HuT4ARn8StaMwt)v4b)Xt0{l4xW+b6_VXnG{kq#gc z@xAE&8|*SG+U-mXmyA!!++F*boS$QC9SJKCukLdBZDBc$nSKZ!f!y`(cZo6Gz?@o~ z(C$u3qm3h31+zZ-6l+ivl;JjB-?V1T^>*FS)x!$UoIA0cYh(NiK@Jle#_ok7V8- zH7u4Wx6U6Wa=v~JV8GKVudNA8WzIMInfV6|pK)bH_HAjnuo#(nvysHWO*5k|OWqVB?Q zA`{1Z7`%2!F%;h)mMUx>5!%L1)R>_rc~ov#E1*rRKkk&W%S2swRh41h^Ahp=%jmeM zzbN6$XbdrbixwZz(RZyyR>z&Zfo*%5p>Ud^us>m`e7dsD#*fh%wKVblN%yb!-?w+$ zp#4RjM|)mCpJ@q}TS7w*3}OOHswcnhoZe@=#u^#G}iHmgYDXK+Fhm@m5w%S zc512ry|0?6WP87a+7<2NF-&#$py)B@!X?n1xIGsraA*PCBvX(w5%w==dk91l1^Nk8 z0A34pDmJvF8!im^(B7G(`a0XL;=)_r@Mqoa0QLYz4r;8fMlonID!um4c|Q?^b5vBS z11=Vl;of8T`1*e(M=BVxM0*Oc6=<(TGxM^nD`yvIj+oeums~`YZT{*t*KK)ho@T+w_ z=Rn?7TV$Z4-2%rP_@NTnyoPCnWpyIZy5k2tv|XY>s$8uZ=5Zyb-KfVFe)1=&Q$kov zNs3TD=;UuYGP0o`yWPs72`=k2kI1Fy^%N zZXk&^I(^???sPcZ#s2^c9Y8*-bqVhro1$9n(V3cla_k1onsYrqT6)s&p72By4&aVR zVYWWJ!Ivc?t_$)%NS>`^V*B)|F@U4vYS6y z(7d+b<-Mri(puJ*W=z(p8fzB19I8yrE3VRzQ%jp*557)sZ}~6I#OGyzztn`LO#bH4 zo~2GJXf+M%h~~&94L^=aQ@#3+i`V%sfrfVEyv^ufe$sW%CS8wGAsP;!vTi~U&2T(l z7vTJ;xuw4o^Ro9j4mnR+Er)jCLxR#W5;noZQ_GV^S6JSgTO1tosvZq++Y8(msYgpV zv(k-ClA>W~>^kvL>G)^`t#*A>E+5iL^gd-I+7pttTSQ^F-|Qi?cCQ;?ai zOwxGcr^Ovo$%>+IIaV7=C{j9UN`9Ir9#-?+Q1#A~wY2Xf236n0X0)(Qw@fiKup$tn z-%etzANj&H$K)Bx==IHg$MZr)$*hLz^MQUJV?0#h}U%qd>zup!K*a3kgwrwbLgr7`{ z#S>K2nvG5U1r4^>w6fFc67H9%_lNl^J`c}3X zIqy8LR(unjTL*~8{%5Gf5Lc^8g-P34i={S$lf7k4jJUW#pdG&3J~O;#n_JXSQ%_7~ zcaxkcfF4i&hjW= zx*2e5W@tT1O8s&RvpxCt(8 zC@*fLwURkbJsy30JDa>Pp6*opp-hO`lOCD?dFmuT{2Gd@itX{`p(bw61&SYFD)q|1U+=6%-KE12 zF^6zl?IuO562QIm)Bsd#*|Ztjknkh`<|>MBw7~4N1)aSl@<{GRyqqN1A$4qqBV1zu zf8_B{SP|2Lj+TOrbs~d(VYeghM@QB#RT}u407B#q-IosA@4ucMH=A+1*WHk`fb27^ zm+?gDjFCG)QxG1fipjRCi1`NMch}rc0hwZbuyoo#J6=T*sHw1<9P}kaE>BnFo42qv zI1TFOI-*BLA^ma$ML~SEtLWXIYP&QjKk+cKtr-a)*mXZ%A00_AbH_5l=;mMq_!o`h zPNf#XhY-VD12JJ*yt^~3Fp;WA646k1_G>+^HWBe1y6th-^W9Oezf$gcp+1}pb<8Oc%%cSXoXDl6P$6E*qTQpH$RPK% zPMM1h&|1p=fWlosOxtS7(Cl(>#z`lq<~!TBCuGrqZ-Ckf7?@gN$Os8Klnq6Dy*xD; z1#|n~??mtExF1XM6;(&UY37dsFXVWhPqg1C|7v?}5-(J7okh-|`H1KUDG3EqKwY18 zx!Oe2zvPe~zBCu3NyrY`t%;RMSQuOKahPTT4dM4Sa-10p6efA7QGFvQ1sz}JryG*X z7B@7LK6K!R#`Y>+$_)pCS*}te-~O^J@xMgsQ}RK~1%c_g0bfIO9J&V{5O$cnh08}gtWI+j` zHW80B^Pd*s`QdWC+x8ofvn48N<*aH*bJQTR97I@C+i{3($X_WWz^cI>gcw9hsEgb< z74X?Bkcl^+*W8#iXD3tlxv*Yp8>X21Bftf%^2FU=$yNf|7(b`_O1xBWA?H+q)FxT0 zj65krmou9UGMCD_Z+()HeX&amO+tef&>*$f^J@53g4P$k1DM|Tw*y18a}Uqr!fSc; zIqtW!@QXE-p%K#1UcuQO`lu6*C(oMmgxkM>+p&p#ktb9Z(yPo$=KDL-gff3hg~+s) zn%%UBk%)<)0)zhrIuU8wUJPgl%hAuij@FP~~OUtrXZ8eq(GWo43w~jpsvgHW$6-IZ;AtceIb&LPpaJm4s#dRX^1IzNERx8owNQF!I1-?|V~T zot=JTBuP-?4w-jQk4+8){S;DhY5#O|ca56pg=KrnGNGoc#_0EKfKYW_0t*-_J?jNL zcw_L6N3>mqLMYT2!mcBUYk-c?Ym-mPJ5)){L#x^Ny(KvcAPv)COUIs1n>0+86_l6~ zs-6kh`HTPfeeszEz_F`eVGv(Yw68eWpsU!pU!+LPEsp|ftG9eKv{ zQ9(nJtvJH5Omv+^@=G^?ku$xP#Y*7IaOKx>6$&8v5YBjA*`Tq$ z$ZdRm)m0>}iQ5YS8m0Pn4`c=)hf;}vn1%zpu9>7j%IAYfwMMIdUMr@lc! zo6U9P;>@Uvb@gZjKCn4ORTBW(J+%$@;`%mUD(sq9=VArmyh7w2_|o^9yJ-3-4zSd6t~I*yy(kg{YIc9nNkL?TqObr&FQd{+N^k5TR4N zvKBY{FRnXAQd&j9QT&cFnS|3Vp^{(4a*7%{Gf4Zl!bB5l5fM5$IMt39s`-cs5fQIs zj&CsBfYkPK8*T}ITwU#XX}wA}d5w%Y0~ zP31vG*M^qEemNFUpiMWMedxXI9Hi>ej0H~rbNd}Z)Y!`W4o2o2_}k;QthM@s%7!f4 zSY;r{OxaJVDqN}OcFi_qe8n7%j(oIv-y<(A{AVIv3_vd4hsGls;t`_|HQIsXj@#7` zk@>{FM9BwTNXTnp$ZL564bMz`;|k$SlMq}kBY;m0(0Xnw`9VdwqCkJusg2!P7+di9 zcz_K;Ah99-K}jwM0=_M91)j_#w`GW#(9qF&ZG0_6fKV#eDdp}J!uM>D*-jt;0q4hT z#J7?#=xqf4hFl0d8p^KkqQKRxLx?yyOI{D+dERq!TU zH-jn+vOT)Q6qknnl+kJVHz6~dF?oRkviX9h$~T;z-GH8KA6vNT?3^yjuC}-EkoQn= z?PJ@s3J5f3D5UCU+|{yfPKh5HZ2KGb3}B%_rqDT6HESm7+jTZtq?Lz^1S}{$&Njs& zjKbS{&dBI!nl3v))U?AobY`;vhh;RM$;?)}IE8xUyZsO%gh2*s(P+P@%vMbRbH|Xb zcOfI(cZnyw-bsV?;69H)w0rj^ia09KLu-roUs{0Z2L0o5M)hs#yS=%o@KxNpxMW$p zS*Ol}eQ5vOwofg#moi;c+UqHA2)Qfxkc+>=DJWH9i85$L<^f$UDgDc`6J(+Jx^696PTG>HvmkV@|F>@OBQy982?a_(Y^`Xi z;T>*Z!GK{LP()whYN#WAl)}a~)@`A#h>H>IQkl63W1jxRo0dib>occO1bb#GGyVJj zTb^-)hOi>JzkkYvoi@*KV278&jqRA38Vs}CI%F+iY@4!@6uG_2`4-d8HG${TO^#>iGYzLGeTF2It zP0vfS;4kQ)r#E;Wmy_z#=Rku498|BDT718k$?`}80L5|b_hFdVx)asBgIN`T+2K8Y z-QBwXotDD$k@NSaCE_atpNtg>sBg>OQ;z~P#!M7N9@wVoCfdz|4kynr>Y`&~xoZRP z-k`HqkVKfj45ke+7zO`H^iumj&#_gf4z(P(_ zTyIZfzk!8MCp#OnYyG(DS>lsX03TW;{@9DOhoQOquu7k_5j+^!{hsR)9nxV&7WiA1`!ai z(CBn?c13z}5OB6!0H)elH08bJCsqsIJXbqFb{H)SPUkRP^HJ~Q)nOzc;J9qk9pMg^ z@kAtlEYIUromZRG5--5VXTZcVfa2?_4*A$V|i zcXxsY2=49@++BjZySpT~ySux)&p+9FzxTa$Yi7vAB+$aMR!UK-+F+P1nd5!_zz)VKLoqO#k6IT#tGM!}y!<6l*T zo;!G;!W7p({w!!mQX^g+U$Bf3^Y$~w5T`WZ63*Sv)JAL>?cV1K{%7$iUR1{5;Q|J{ zoPThmNW~Q+#1Eg81W>Q@Bu2+SleFHH@}L};WWQpM03*m%%{*NO$nb#e&N-9nSH>|l z6)dCSTW7q7_259>v6cArt!{U=s4q668nStdQ~0&EIJjAA)bNNz2FHHu;a#E@NHQYpRyY9e@^*s-Zcnr$F$J1 z#l$HTxD@K@nw>50+e><8_{B{REu|Xl}%%|PuxME`upC(%}3a?eJp^pIbGK7eJaB8cv&<|%+fyB)yI1s&D%G| zD}yMc1e`tU)pl9Ajpu!4fNW<&?h|<}qr~9Ug_E!HB{v4U?iq)2JjtqOEdr?P;{>m^jmhgm zLs!jcJ)UvX--i(`?7=;)!Dh6+!5$lH!4CQU{34Neq`vaX(+>wnQdpSFH{ii|+_Z=D zA@t13#N&-@9~Bhx3Pi7E5WEul?Zl>w3L1|33b7Tq7hWziJs+=6+;-NQ3XvZQ5xNWx z7SK{aAl|9E$meR9sR0eqL6Kn3{reAZ$&`};IVFp!@!M5RG+elx9r$nNQtDk?Y5qv$ z7=b#W?`Mn6j|oYK3$cs}eoxzL(_p*4T9$DpSJ(0qI7O8+4~nTfA5Q$nek|bJ0G@HG zv=t|TR~>ur3vWPEkz*SG6D4!OgIYkN^nqL+u|M8mFE`o!;ess+K7Xm6Wf%}{wnc*S z){Tp@E3MTb1LI)WCa$eSL*O;h+D;%7Hqp6ao>3~}%>KZprmPj(tgt=m^6}0Es#>3( z$Z$MqbAx6i@Pu>*>CrT?R2Dr*^$J)}FoRUjN4rUt?RxDcUz$y-4v0rDKZ(iGppW$p zQCpc1E9I*Fz{bWBrLVg9PvDSK^(C!{7<>#R5ebW4+?wUfE`3F%>Jv?IHR_~>pf%?g zW66P=<$Pk@sMOMK)0QWd8`_VVw;Z@Z39pYA7e@q0QSf-Zh3$rWyb+C)0d)=)GtV{e zW$vC=o3uNLkn@LDnSn}c)6nwS;piatei5aT2lqdOQl{?zCsE41=pv%{%_j>kwT6fM zY3-wg!Q#>Tb*Bbg0gs1#CqNc8?VDk%ljGA^v8OpBL}6F6s{&&vb-6mV@w%>NckRXb0xie((g^*Px`7_yUhy z_25-+ahod3=HjU&t)Gpci0hoTqG$F|Wr`+L=S3fr{rHZEOJ@b-;8d?Rb2E`*LWanh z;(YCiYZiBVnPmE#O;KhDB8%zt<|_$Nw5mcvgVBW=kQFr17cwO)FD+dAN(fYD{z%_H z@|{=L23-un9OaxL`=%}XDXWzf$H~<1W#;g71}Mk zSaH$nh8c~&adR|x)`{-^o3(S(n>w^(%_G#;A*nd*Zvdqpb7EERJb(zG!2;8yrt3?1 zNZ&UCv8Juh&!?K{X%>^Da7Ox;)0LXV*RP35$=WzpEJz@-U>H{%7UPwfW1ci=Q42)q zX{K^LLko6x)#p`jYe0km*jKxiROZt zI#0f3`3TSt%#`L-=eMcLswR!m$G;X2_Cwc~Qc3Z=I+>ueD*M7N=RS$y2aMFE zuog)<%JNrU)Hr$hzW|^HwXV)~Gi&ScS0;tgv>AbbOBjWyXvI6( z#yGqzvJmd)fsM^?`^LdU06(`*uP52zPz&V+1GM+l@>>ddlE$3f`vC{Q(fivD29?NW zPsURoivCN|#SQy3OSz8%kCt+$w_9!t48-&(2|-V3WQNY4zGX}83NpCOrQ%yLLIXz? zKuAP&<=YGTx@~m>AbVtqAQ0Hs2>e=CG_FSUM-?juM{rnT69N$lolaj0c&_dop1n&YfMxe#pA|1==Ugx6TYXR0|(vucC&lol!MLfedQ zYW}$oBXIB&^E1_iHB0gzI=W?y$$?ytRisuRK$~^LR{=yQ=CW_Up=AM@OHw@yV3th$ z-n^MW{&@JA)sGclcm%tH8k>UCoe!r$$UJ!f!8b!y@>CgR--ulDaCl@7JoCIOieoAP z88ZnSL>AXs7>RbCUrM5>rgNUe#l$mLuR8;l!`e~oB!7tHM zU@&XsA0{)P+IsF~vKmCmf_K(JtM9hTHt8R$(npl7@M5{#R%L?;ij7q!V>akU0jmEQ z3$`bK(TFU3L!B`G^EUeyuchl!iR8Q14g90U2@;vmub`13}ls$j{lD+Mk^F5siyzB(Dul zi4lH_8N-TQcbQpE1=r^A|A@Ed)Ik9Tv>9LSj>=u6l}!JVMUvLPJ#P4vG?V;n0ii-6 z0(6s|_1df4I~X@SS?asY+Q(1Tjpc9t2P4eT*#L;dym ze?Es51FFZ@Bd9)^PD(Dle^)lnB_C4(*#%RREQkBVm6e2_T;2@EbB9p7JQXz3Ky@CR z4&Gwgg6nL{wbWoL`z3y-wIo=~jr&?c(}&sc^n=s-R_d9FJD=xpI$qmlZ}@P_Qi1a8 zKbpz*jNGM4QM2PAPEMhnoi~F@IovdER_Xc1%RCkb^8%xpO5>XWW^#yL9ZG#zheK48 zD{NP{ZCQ6E^@i>}d1T`Wrwq$D_a^V*-^-)pF}}iOstsZ%@$RvhD1Nh{v1npZKVD1! zIkoRPtd0HS#Nc|{rRl8qMArCSAbLi@6RN*tOn8+IR@yESOV$TK)ne2Iqn2Y}{ElW6 z4j>!ZTUV79Lv0R4f{Y>zaF;fXKj zvg?_=m~nTp7U)LVq^#}xuU=spseDk6;~hqMjQ@>Ch_tP$2_awV&s( zgLwlz@1Z3GR-H`$p#q|)3Eqv)l_IOlr+D6mZxD};O%Qf=qs7~K;1PVnWq$*zdM+b7 zsUV(_-#?3L1Y@C;PY3tvj5YREeFOTaz>s}|i(=RZ4@V&pIBR2&D)))4z;YHK{j=5A zu{pK4>_vX+$OmVc@v*Hzd4Vb`8Kn+?dcTqq5}Qzs5GRZSnL|ItPsO!x22eTxXfeqz zP;o;R6sMjgiK<|wo9MRNp0xo5R88jQ#IkQo*eN{H0zhlv_zuzN??J1QDkD1oM@tzi zal9>+JDfn>Qlek39itERiV34@A*!@X4dY!2rAO$IMiD)bw&!;3ge!4#$ zP9Ii$J&HYl*7~+(vFt96@D@b&4{R4;cy9c8(Al|ZB#C~GX6<|*`g;(0V(rYddUk8b z^9ruSEzX_cd<&gSRt!Ae?c>EHCW_dT;~;(|q;c@A6R;&#-UHg{qbbn{! z%+{mo^ksHd>EyB34tPocnM-ZMaJuyfJ&Tuq)@RfDh-PneNNc$bPwMg91waA-C|6&a zez{_lj?0q<0g5Q21{OV=C)?KHsZ1iqp=ZlhE3hL*HP5*^Z2(eBwQYz$+?lN3>yRX@ zt8)|H!=#LyCeX^1&$>6923mgYQwUOJbUj!DFvtVGj7z*dV_yRqLYVjPSLf<~u+Q?vqk_eHm^do_=`yuPruaLg=Mj<1Zq z_gr%4zQsI!=caXw=PI4jY?m+Xcvk}iJNmCm4E7E7Q-+7h0Jf@OK@!(DcH>8>%+^*W zYA5yT@TMys)!XG%+SDhL#gn6RJe`I5#qyk#!`$r;Q{*jd_x4HaQj!sz- z{<5MkaG)7WwyguRe4dTk+%5FjP<+e=ljRj?l@sN8{Td6-uvq}zBLF^3rY_cb1m!*u zepYm`>Gbx(SgsM*7YnkvWeyhzpo>?YCymfs@f{(|OKoJ1M>7EI*Po8dp?Qv>3RmEN z@%xL|p~9`^-1^cny=b3q2A31>rTrEgs`T37YOyy3s^A}-tn)z->l&4hy+a@P(x;MD zJpB<`*xv4^Tz@Qc26Cz}5X;yU3|~z_jeg^GFdeSO_FX}B6CO@fNds59Y#(r5MZH|A z=Ir~F$2~p8A%vy>8AG+avqMW;rNuMIq98Mn&?#H;afa6dgOZQvUSouRAw~>>0XmAn zyP2y^u2w-h6|UGAS;#w@^gf|BhU`r$~0qg<{|fi_N@kpLCM02yc6M1<`&c~pIt zd_57keW#HY=GVKXh$$Yh};~9Lbn#H9tdn(_C3HKq!#9&rWLUqFD9`8=YV`zZ&y}= z0$kez9Ni1t7w3C7pX*7$J916ODS1|NU&VlAMw+y_>CwaC+d89HX6FWu5oi6bmO?Sab$EVD@udUj?ZluFC@w-U1_;Jl(nT|@l>@2?MCHjbn~7+j2NJ;$uWfVPmD zuvDY_rNUwToB@&L$DoxOGrW_?Zk`@wSQb@P^E6j@7}IkL4|BHcd8fPA zE&9CTnQLOVgU3}Duy#t0*D4gCbogvY*g3EuV&6}k(T=W1W|%oZ|3^893o(@IWMrr# zOS-%~hBBw7$Ua%QIHWQ;KWA4|CLv=oS&+(ECfz$rBLo|p65;_fUA#4Yl2NxrtKltt z*{E2`ferEXUF0+lSs8XVQ~pDNm||n3GH&eN?TfG?IL$v?0EyvZl#Zg(P_yClVm|(e zx~$T7d59oh`R)5Dm?>C=gKy?A+APf&t*^~?@|GSwAdeSX(Gel_QTob#62BkGWq!<` zT4AR;&=yob>#M52hV2LktsLNZe=u2@ivMWH+r1x7Rixi9OKQrwqK&blL`ET?Q#oAO zXa6joSc)iyip`BHDooU9a_NRy4L4tTu_|^*jZ)94IAo!4_IPh&71rGj&7ljto_YEno=^%lJ8mf5>9Rk?@shA8Q=7Yc0xe zIstGwMA^)l$*Hd;F?;39l{~-vjz2#9a+sKjKMH1CbiBfIh~(s9kAkD-pQGhNRuix+ zz`QaP>Eo3_8T*9+WWmh!2;$;)>AZc^73CB}ng=-*Myq_)B_f+CjcA_-J!|(z0kaNC zjAg@@l5uD)?916oN)c&|>7nP|^NMYl>RWoQs$^EZzcLk3+%Li{PTJ*}s*2b_5~S@Y zUk;9iJ`Cl^sySPO6b#yn$`r#aIQid~t5D1!wZ7L&@^s);ir#Om74mKMNt zkdOsIVJN2|TzXCb-l)^?Hf*Q5QS!D!fG|=CPH8HcoT;~B?kXwaWvrky^o1c9$Wy}( zXRuC;CHNH`gQ-CwU)Idr9&(wiIh4&-VHJX%3`B>*IK1J2cv3Tv9n;}p9^8d zDno%mo-J1QB%r>&0Z03~UJTO*bvnjUs|u;XDfg(I-Ay|CUmZW6o0o&6pX{Db*I9Jc z+*(W|07+n79ph|UEq2^lt&@`l4{;k7 z7Qo9?a=9}?Dk80>QAlgfFH4=p-1Szcqy(7z_@kmGoN!w?3}7GD9X%O-U8R2SSd#KE z!F#;2VPkj3-ukuKP6cB*zxAxW@hAig6_;m&Au7F@T6$gAr`^Nvc%@EPLYMhqLb!f* zR4NCdOFv2NPjaG3Qr`<7go$3jgyz;W!>R7$@uZ$nv(bT3tg!aI(8Kr zMoDZ<@TgWvpZ7Ahda|)}Jxi-mOo(E{nM)D}&wp*)*6sBI>O5kq-P+vMwwD>lr1aO4 zCrA+U>D?}nE{w z?dI9%n>18)U77>nph!$=JbNC=MqbinHwfSUxvGSoI(~S#5}&r<;75;3>bzhJK?=90 z>q`}WynBsQY1zWA2*SGV=hh9D{e$*T3vhe^AB`M2TGpIb)W35y21$sVGaF|q`g~zQirxx{Cn@|Ui#a1T0vrs0I--+XG>;F{To;I4QGKyZ+SaXrG#;ai9=nV<>Sg` zuDVDae~4jI(!*c|mWrJzb!Eg0+uj}d3cB7)|8oksBdUs}8sntU9i`Ut#coXvw(rnp zH0)pW99=otWXV1Yh_R7u3s2U{Dom)KJ}e2pRWEmaHx+tg(Jn6V` zkRI&^?!xTY#_gTN#AkhxXX{hRAwRQ6egB}`bX6Om_}-ASEeUacOz;(fA(o`cH3@Pq>1!LS>}CtqomUv5@q4 zaB^4fE1ET(TpI=uD?DD9=0l}`R%<-)k zG-8UHW6nz(%S=y^GC{u$hVlJoRCja0^y@$%8uR(yQVUTP5AT~SK2D^`jCZ8OTB+Pq zqU+W1CnykYN8aR6PvX%Q_tWV*l?E(KPQiChoU@_?3Rv!7seEO;jL;qbbtJ~f(FK&$ zCJ7qsLAEcO0Gf4E;5!+DjV*e5@O7kLTm{wRN4E*}?Mb=3k=1ZvJ^m;ybigGCdI2D> zdnvw3bd84B-l!W^7+qC1@6%@zzAW;XrP5o!(p->-tA@CcR#xrib0evJpa}{po@dmA zHWzhCR#d2`m-f2iuX*M~Mk?eeU0?c2*7sS^@MQcnd>5zG$_7r&RWM64nS(x&9fuFt zbnR?!Ry`%nc}7c82joy@B=a1(lWwd!CLb;sAUXh^^ohg}X$-3}2qasy-Z8BVpjqq+ z;(QFc^}!k3shIv+?FMBpJwRn}#NjluC!{cGli=B6K7P2#>`|9dBWZs!k&5q#Ti$@L ze^1k%UTA5O#s`QDPCe@m!!U`ou_o&!yZu)K=UX;^kSQO$b>U>x?M!NJi6p=bgjj^&KFbaXtk@6AMk`C~_XZeLxk&!r2`Baq(8X(M61 zMP$^Of5GkE-fY-U{xjo8VC8W^HHWyc|pkANLn6(8@B8^ zSdvMf^kdLn;`JPy5<*8+eliQ2!6BF;*a&h=Q~qKl%Qus!Oes5+~r0IN6{mo zAO!B<4NP8shPM@*Ew`>*%|%RqJsU9F)W(aEJRG$AK}a@DM&Y+$UwJ=6M;hoE1Ypd@ zvyp^?pPobz!j2L`hG@{$A4ooId_g}C40aN1b0r8QVboinrE-V<>(>?BEO4g! zonDwnRVwkPDfp{3;6o5BR9AD4ho@bKyy1~_MO zGUcA_z((my@hp*KBrLT`$!$@!6?_0eZ&MsoHzqgR-=-m{s}I>8935pXvfM{UlZf(F zFK{M5GYeh-45388r&Io_e^A2_zH*>PQ)ehDnW~+?VEjr;CSwSTiGsc{g0>Z3TYuAX zeF%S6jhp4e3Vmzl%VuM4)FO~WsB+?j@x7jqeY3*+HWxYtR{9wx*L+MOS0vsxF zNzHcGFFUxs;<4L+s!pi7cf~W(hVBUuFfLk8bslUp{L+S5_V?SQI1A+TA*plZ>vRrA zBS8~c$l5fTm#@Hq2WvW|j)%g?+(>6IF0ix-aRjci0pelxC_U6p5wT<3xhGCuQ94~l(6@B%Oy^(mY~(1}JzH9=Drre? zKqm@$a`KoUQ8P0tjt8TWNPvycxK0RCbvPm;i@R5$HV#MqQmcDM7LS!CDs_pZMcRk%O^wM?YL!=ZrI ziuX}li3GCtUQ;JE>+|zjTX{~m1E+D8oCHr>I>@F$pbtL{W7t4`_ABofSWn>fh$FeIXoZH&06t-Z1E1<0bJoN2+H(n5{H>S zQO#CV{`m>wCcbbyH5NvKt{fHdmt{rqf(1gP4pmIB z4g?;Z4O{POSZd|WLHGi96$~v9ZK(xDA7!>lbD6ML0&6Y)sZPW@#H`5zT=X9$9~A)2 z-4wr+nC0`qWtpD|B^$^qz=yU-U5MI@y*ni=FD#z_vZiX#T9KbQi6^!lL15WcGBFw( z!>2ug)fM5?Bt+qIOeRy(&7k|cl0U>_L-1jq4W&nn$>VVARSNK?eWmV<>YvKQzG^Z? zb#+F3;_6=GebN2we#D%bqHY^!l$r|y;kPjyi}p=Nj(vCzqX~r?8lt}2SbR?Ekg^t= z?-|{7G}^^NPAGZ~O%2-)&i%P+c5gcDTAt+ec@+9PSQ|q=42L`6MFf#fL^OlxP_dz~ zh-Lk-HuXx)^C`=2XghXHjo#|MjPlS|qk~uOM`CJ1smnxQk0f0Ve6W;JVH#Wxb!jku zT>azG*=*Q*ZMxI;I!}{Oe%NNDQEyV05O8_*PFp7wEW?s!bv8fZ%;a$B@>l9!7k=Gy zYFgszNAl@tF=A49w}Iz@#!)87|P7?8XsvvVY$mQ>;3_2=jMEiYD+Ax&%#`q4ZIo zNiNF$iTuZ}hY*E8pz$ecT3}ZL5hAIqWk8`Q4XO(8Vh<_YT#1VD)J|TfuB$>-F zp?G1D)5w)IB2QXZYOBm?+b10(Cwovk`wv&s`kE9V&u~E)DA73(g*-ij>tfgMM=ybq zz{(2cbQhB<9({GC;Aad{( zs1`GzA~Boc_g{ThsPp?w=fbcdy~w=oSe{ z@~f~3aw_oF`(!V(ltX{I2kU-OtwMg!m5iUhO**XQFbr0jAX(MTTdlPlErT*rS$PO= z@cTIAhI)r&#&1pgYDNMRrgH<}K%k*WOv9qs)M`BiJ)ulchp)U*owCCNHCHyzYI$}6 zPcOYC6og0#9f0mzF?Ie5EV6$=Lm z%lh$zXflFIrP8vkz8jcuEJ*m&dEP2dJ$Lbh`)f8LhNO>|wYLxqPl|zUxd^Ad_%E*hcf2!aVDrOADeV_zd4%=VwAZeSCZwO>4=3=qMx? zRcss`kbl+6Us$!K*5KX_wjF`5{_p^pb#~bwS84#@*;hFfMU%3Mii1MB9vqc(EW*RG z<;E<2=GD6t5qa{NgW@QC1`lw)_Z>WUkmPDnHt-gQ{=RFG1MleIUN8;gHDATh(ps<^f?N26Z zNDi9X!+Qf##KbTKy=i!e93T^P7Dq`JLQTlNlPoIrDU1lbmX7k5_jB;0oA0(?2|S@hSu)ZXfQ3O1^nO1dpG6*9jXN6^Ap@JLajC54L8_%{3=;v`%i) zY2|?$2Nbr5!GoDD!*m)@ zQVRoDkRNllP6zaH!0mK!yq`7CVZN~j=;JKw@n%|{4Fa;ZO?gh) z67ODrgDQ6Y}zY@vj{{RM4qVYL;xQbyXuafcqabYb1U5zdb@p3ck zm+s)Fc=}$4u#>0>D!_8W_16!q*YaEI?pY+e(L_wYy<)v4I2v5du3~umLf1&XAdCe{ zyPtkGXcuvNCbfOua=4mSiuAd#dxH6L-XGe^Habg!2mQD*TxzqGrPokq?tH-IQB@8L zizctEbQ*)5|AY}Lq?;V1ehi~WgDIKS`C491xzaAkG9}xE&?1-TZc4xs8kZ5}Mr}xV z-2cfZ(N7fN=Q7@E!2)^yNa#$8w|q{}Z&@5r&&1(Wh1eU;H5W|KPSJ#m*JPrB>!aYw z>;vwbv3=@tg^N+&n{*hIVQ zysKH>`YRzr?bS4=k;tQoR!wCuB1ugwGaPEAS{QJ`_gOFv?Imf3I%s?iU&&ID0^$gf zu7EhgB9x=!61dX`*~hx-h~7s_DF`o#*(?>0Hi_356d@{Y&dTES+2m96@fY(8E60?} zfk2kk$0!!oQuDB0liwDb|8p2@{OOt9#b~B*EHGROQRry#=krAEBM1Qri_Z39jPJQA zjnx$yBn%JRV9guab9Z3zg%98Rghii<0@y$rsd%>6mW zC`4FiW2qj$sXrmSR|*5t!VBgY7fYJOJCTlDy-=eh6$<>^Mdn`lf5)^vdZo?6k;bU4Aw_`t3EdOXv)vD+X(u`_mv8>q+zG{7;DY1 zXWe5VQ4g)J;?sLWTrOttKUWnvT|(fBXC6oS{o`sQ4x%M^J!yJmNBHCPwI-otx!7TT zNSu$20A8C-&6(sCwFP#0di9CrdDXxuOEV#DDo>8XStB*PTss(xj1B!6qe!K{c$v@q zFDS&eP|cAUrUQzgm`zrLMc7pOS}vx*h7gFXA3y!=vq|oyq>eeCw5j6bi)SvR4*ffA zFlXSh5VHU~Z>oO*qs_vB&gIo{KWF7B6zu6qCo(0ZE=^x|QVQ_d{4+@cd^V|SDpdz6 z>Rzyi!;o61+1?^fwG>hbjd%r5;;JhSMrTUTIJLCXwiL@M`v1~R){8oPh~t;D-p97I zoN9SlYgZW6ZgahNVFZy$P%0@fG}>JUW47Tgwmh#ZA9K3HNO{(nJ??t zJ^AxLQfbxXZGHehut5}#Q`0z$*U3(CHU#6F=nv58a6a6E07V4rt>b%tWxWL-YanlOeGVaS(?V z4>Po%tR3^uxy2;V$tWe_MpPQ#1LmHIinH=YP&qDCx#AW4YWESSgFcd$A$~ALV?)og z_1PFP!tUrFgG6)GTt4IxdK2{U6k&uF%i987%mN@@QQpCx8H%GF^43qLueM*+bN=sP zXq~+#clS<`skByBuNID3$UK^_u6JQS(D2I_q@9BW~3FRh~3mxL8{Ybgre^@0CzPLR9!K6RuFS9G1e4A@<91@?48KI#mtlU;y z&DEnii5`(@?B`>yW{}$ctyFh}l1Lcdb!7bK!=lVCxR)Y-a&kYMnJdqW+`@b9Ba5bg zuinxr7z9pE;8-XPj-#6!34`VJ5AZz9T&{A4sciUVk^$?(o0II3kqrsS2T!c=n#oI| zO0u04ZgLwlO-mp)!S42Zb^D96&R)k!jP{2lHvPF9chXyYxXB|bYLWNllK~(1z_@=o zc@nZlz8*u_UZKH)&J+7aHPQ2}Dt`|4Si4fwC0)}YSIZ?ZH??|LeDouro}Bt#`33@% zL8j5F-tPl5)dOd0kPl=_tr-M_TtCc8?hkUP{>t|h^Ja&%4LBQ*D3pv*5jSUtkk8Sf z_S4Y>%D5b!uajM^5Ey;G`xVCY;auRUlh6o2SE2*;bJML=OS)%5JsDyq#pTi#{k2ib z6b(@rbc{+;o~FmIHT~FhaxHQoS>4q#4I@&4+gpHQ^L+MRv zoS)ldlTfnbQ+AjnChLqO_47jv3%k9U?GFFe(yK>00E9pk*Ja%KUZrWa)><~(-(->H zw0}FEui$u1yw!c>ZT|`0l*;ZY;aOw9$PA@!dI?-LS!62_Qd;%r5k#)ajZJg){%6|e z7RzFLj~e;IixI|e+P;HvBSE?|&}+Ri5c%KLTie65VkBOCyDF38@ZfR>t~OT*pV#IC zPY~^WHvx>**ER$)k+WK_Njz>@Gr<7oE zzn%bu0`_!QHL~4+Lnz@+szPJGY9XmFZWJumWc`)CDxc4}knyA!chZ4ao5 zKioI!*^#~KoY!tV<6_XhMT!^zp zIe`LDr@-AQH6IoPihLOG78QV2TSxOP4~ynqL?!{nyWvHWWxL=)s+-x!D`wwu`GR5Z z*&Zj?rlUE>f#L49?E2)L-jN<8kZQb)N32o%@;y%&H;U zX$d&W3#1KiF3$tQC8k?WHWqKRByBXy|DISgmMM=vM$k}?Kyv9Lk1ZN^kwt9|#2`ak zDt*ok!07Sl=$HsNFS=qJj(<8Eq~0W*vpbbT(RZ`?$NC?`D{F}A9T;#_>EHGO?5 zY5iY9_9h<{;tIyVO7lIS$jae6%%b_7Q}^BN3m8B;~qJ4s+zMGeG<06 z#27EPse;`Bb*tIu-EU#Q9C0BVNt(vOjG(pHhmDj{Q|V3zt+;Wixm`T-CsY242WRJJ zoRL$Fj1h9a$MOlo6ESYLphadGE>HDZ!h~sz;o=9)`cS_~M`H=LA*?=*FFL62fld$r z%}L4(Che$d2=~q9fmu5%7!V45b15mBb5i4P>yt@PjgZ zTl#qzy_I`rzF#?4Hyb~v<#N2vMkzG_moXpcHMj9C)vq2J!qTb8s1#D0?RI-Ld#`!c zc63jTET0e4ca`iVMGaT$pX+h_|K13n`@^s$l{@<1gH$4d zbsle{Z|xf2JR0XFSojb|n*v1?yNE89!TA_7F`?Tn_SOGtk_oUxhE2bSe}kbnH~yRB z^$(_|R+{Ac8m2|xu`phhXzBO&4;?1?Jnep7957ec2gk&oZf()yH` zq!bi{5j=1(_ARL0%_P1DyNn!)+K^pUSPEU8enW_ z|K`&GnS#=t{t3jyXu?YwTQ-YYt+)_y{lGE#fSCgarymp%TS-^z&U>=bvou-#{dRtO zwAv?OaXs=3>(;>rxfSO;h$9SNlJ7&s3Xs=})4agFJUTlZpB2klv&o+;4#e+W&?zWP zxN=sBW!ad*i3zq+0`YrE*h&L|v>9xdMLyL|-2N~5C)3%5>WOhUE-t|#{+DfKPM7=f zfL$iJ4;`0ZjAOyZMV`r#lp2)z5)P2P2PE)au{hiqKW-Pz3Up?9tl;XJ>(iZ1%dM7p z=*>jK;;4}4t)4bY3-~n6I_w;{0^6M=?9g+oYx-B#L{pxhlepZ6AQ=xGXV)gT=kt_m z9l7Ls{OZa&)r+3HX-b3N$ARJg->sFeaPf!NFZcF4fbC_u#zYG$n=+kS?9|HlVZinh zg-95j_huhCiPS7HhM&$v^2E;;STmqCZhb7`I54lSo|&rbh#eT9-jP7YGZ~fX-@b8w z+|`D4#9_?AtM47V8q2VV(Z<=Eo^kGNE8-JN@_ym|1^wb30RFn)LMnYYSa09;8QxJIV2u1&iVkj-hwyM$cpm17-mv+>@Wb*j5EE); zg-EJj0CXnq$;~PY@+!|$xeA8~%6fH_c3e(N+qimZNgz_Twc12%y?m3c2o556n=Z8j zTI=Nbl=RvGu%Oaq*4x-g8gD9g$O&o}$j{If=71NQ_qm(1JGixSnP*KCKI@k2&oR{gc9b^}O(p+b6PXSI>3ST#x3=i;T83J<9UN-w zHE(K|Vx1%9tkpXAYneOSKNrlUB?T10zc9(#u3-GCxBUgo#2DV6jWDwUR+y)skz=&T z?;RD$Gfe_SL3;XcK>|;{L8Fi(%nho3)I1qCnmSHk9W_ll1m&Sc$4yvZS{qXyEdg9; zf`QW6z~HDVSTgL6QSR@lXfs+-=@tlt2luPM0=2PXAH|kAiCGP5cyfTcR}OOC=tcI0 zAIAQaPG8*OE6j`5#t~mjF_rYN0FNL2c_Qk2WlXH2O^UMQfzmsvqGOiZ3ESUqS|f}I zi9&$I*kPX1(YDapEs78LeMse1<)WR9#jsMAef%4c+ucYF5uu6zKhYIS8!ZJbL-SgQ z<*n)ObUl4+p7hnsR8zq2?uNmEIi4~e!V{qIGo7}oaS1VYsAefK>CX?=n0OHkQChWulP=s10dho);!8kz*LZz7F_)k6^HA zpL621eFZ33VdduK1}m2KLD za~d`K3(BA%VMg;+9?^SREo30>>?nrND-viymG&bfbhDVS{i?*DNsb<2$=t7GQN#76+*wqax90NGt2ZVGmk_zgyfw@JN(gOdWsf73}J0ASk*5oN|HF#T*DA1Pi$LfGc@_G7(Ov*a+gOu4)tu;1T# zC4f8A^cJ=H!uqx*H#wHCnER%(38t`DB(qo-{MPcSdhwjt`_zAauUBm z7SEhlo8ND~3Vm^F56>cbGGt^K(sxqh@#uB--_{vHczH&@_v6Sho8~)U`d~&g0=03g zolC=&(d-;(8NLR_i@cz0U2)-+lO1xW>vc8^!~8&Jf5eP?9%vF<7D1&1rEU43K%HR1 zAp_|R0%fU^$G7VmTLFrMQPKj#pbz?Rm~4vUOA}x;6}J z4ryot=?lB^TOU*E+a9m;9jT~>c4!_wjsvPm!w+A#Z#_X?o-C*K#RmA?9@m$a@T%&G zt<^>w4@|b&+7DE{&@9<_2D@ls7)Rx>uk#D4SCD7R_lw3-4vl0-+}igGGs}bkq&Aon z{Y4?5RQ>v)gk`q=n>X0h=Y;sg+4=;_Rjt$;98PrXbQ5#qv{Z$H`NisD$GK_Og^lp0 z^a6R?7tKW$PMBHwf5~hn0g_FP-#cx!0adl8wyP=%A}-Ra8d>EYRaKK4sN4Z>)AgHY zh*%yEv;9M8kRRe~ZLbYboOlVz$rd*L`gK5Be|4U_ZQv}o8<_(qv-Tea*sfhafpz4p z@Vjt7oJ$JbSa1?1Vs6a)gwj3sS+C$MhqU3EHSG*swuK7pt|Wy+*8DA)k;f8Y`nEX>J&CMf`>I{7H8$EjD(2 ziB|2;uK4_|f+LiiOb7&gndeMCUQup252R1hLi91nmiGe{8I+GF>6t_Dr0HBpAcA}a z$4}e)*wl2MQ=-Ipd2~B2GGO7b$cQM}QX(>6Tcp7|Hr-q*(&suAru^4oW?{3P^}W^) zT_|YSis*pQV>vx2QkmD4O$Rgq-IFiv@^8$Js?8dthxxch(Y0JAcdEZ90`^Xo%Q(o>qi?vv@f1HsjP@p z;aNIc`Oz>H(Y~;oRgwIpJ65C*sM6v9(8?O1I-Q6wS-QC^Y zU3=o|yY?RYoOQ-t<9u1;jPr?s!~;10bKdj*UDtIRS1nio3C>MlL_>v3)o;l{iO7OE zY(^f({qZma{&fQ;ab;xU-C#6C4rkdDZ9uY--xDHKLh#l-at#l|k)*boukHl_>UPYtUJHGd@1f?PQP$-;|=1=|lHl;%JMy zAFz+M->Yu}+3YLtb42ye$#GsT)AWx-80*^o5)RXJU^Uo z?A>LS&sM#Q(OG^CtkI@%M?a=ZBE0%Nvx3iR-<=Hu{6k3?#(##`p+L0AeRg%`aL?&; zSdh>BQ_;<{+W2t2I%Kb{3b@FR738+<^pY$d%_7K}7t(ApR)y*dU2XMF>J*U>pDYN+>9uC#L1JN7~)UUfWVaajx3)E&`pEr z>$7!38C#PIVIU2t$tT|a|+hs0-b%6&w~xCGU^Uhj)-!1|vwywSStZ2Ts$7VRHGF?%-R z=USrScbN8bFjO-NFy`Iq(XGdpQb4b^%k`g-+-PlxJ_P&NCu?N+q2yGYt!cPG0X|edYUHad(goq0_v{b7B~%aX1~- z5TwrWWjV)SpEt;d&M>Mjaw}x66&J=+5e~j1bu-l7#`+;VCq(|)`#kE^$XB9ub?{%K zF+^w>P_(*t701GJh5|oqE}n_Bsia(JiOIgP#KDdhyQ=aBjtavA_KuSx;m0+zM(fv; z#Y&|2k{vlRX~=~wa*N1;61Jo}+0ThNeH{lYR^w!t7_U5h$X)n|2*shrih@2AT~LYX zSK;u@0Oau;8`{ZOO+JwLNC^v61&e%3p`T_`;)lPE*dT{fJ|<<0~mB&+;y{ zN}FP=93uugTSGJ(C|MNlb=!_#j?Czge5YoyemL8sY)_M3@cQ@)Jv)Nvo3Zap-=kn^ z;p3c9HQ<>(&yOe%zw&0aCPyySn#$RDvF}PzC0S4~k!0z?Cqmi=)p9NpzqoW+U$JEE^VYQnGfzD<%jg(ROsh@+sYyPh} zCY1w;u%wjaoUT;L?w5d#B(dNjK82E(I9OTM+x*gIzsyl{9W#tibR^(I0X-?r!q^m% zZr+xE$6#4=6C2&gD1)-<-oIzi_M9SfL0 z`s!+d<4oGn@QA$qA#vT`pDCDds6IVSrmd3^bcn)uC0IX))u${E&%yQIUvGFN!_-K+ zkr;vN+RR1#tovKB(#qcigtZdHeo1hb@Zc!}ip@%z-XT>MNUPy1UVHVp=C-E{_#Jyy ztw!S=V!guZ)0^klRX;Gk{T7pjYe&R^c%*zjvmi%hlEUZAi;hQxpn1d+(vRK zEri5-a)fQNUc%-Nycta58dT+5I3Gj2t1!H6pMUWSx%Uu%ae=4x9}DMOETIGgA7pXO zlL|KYN!4OlxQFp8>q%GOo!FNDGPxoQS! z+Oy`(y*O(5YA}9oDTyXEA)$KW3qoE@r|oB~7NWtPi%+JiSCzIA0_J)$U0~&KXgB~z znP?BSErQsm9!K-7%IQi4tYh&VEc5xJU$BIEHrtcyy^s2g^7t611XH)(sUkG^D<4 zG;A=L=f5}ZQv3gL*I(Qvu1VeEjO!ib8vq<=ZPf=4FTq8A()sXFLg~I}*)5 z@Bf5C$aF@S(RBL+XyQD_ z8SgaB&xxxxSG7)>IAv?D9Z_%x&4H(OgMRLlSl94FZ_1SJcTJq1@rt9p|8xE2Zr*iZ zV{dpSlpt*GvFL<#TCH{c@T#Pa7=Dke%d4fe)#4!U6k{L?7GdPk3$yAAN8)G~M>(zP@-#9X;(JkfN@slnu$uCBk{^y! z-uH4}+o3;!;Ao8)8?1=geIdTTIK(P=`V<^(;J6wS4S!19mLW@nDkc2K|JZ40?k6#q z9vQe6muF={1b&LxUcz~NT%Hq5PpotkAJp!g8>2;nJ+f&JFJ0`fCDa{`_)iEA7Qi@VNepF%L@cw z4|p>w5)H>C*2?bI`#+3;UqnR{c>5<3grWY`0{p)JIqmx6N)NpQ5e$Q4CHOr}eP1ST zC_-h_vAT`4Jrb?G2?X-nmb2A2t@YnG@N9Uyk2T$RM}9JM>HeQlEuLsM>6QNkYhljR zQf0;dpJ1)P7_`*?SWtx9OT=093{O3-c(*8?PM7a)Mv;S!^n99*mw{^%+WrHYWHRUl zH=Zvq$IGk`lf$Rfld-_RGHNclM%_7^A6^9NUSsUH6n{-( zGWlixutRZa$S!zlJJ>gszq0Pi?bD4rivi*}TQTx-cZuhA4d$_n1w#sCZ;mF=Dba68 zecQ)UMQf}h_kT_j|8a`7GJAL$zyoA@aN%SJ!V~{|b*SpMdM=9S@yLZY@1=62MxRUQ5I>ZtbGf@)OiKEv%{Fe;;L!)5%%M4gA?(ysNJjzq`nHLcM^|1Z(;lSMW zd3X21hM$ST22Ou}`@b)qIm66!K}^S9x<^Q9ZI_|NIvcSA392fNLxqjWBb&p(|x&V_*QQdEcjS`?&n1DWW<+*nr?{%g_ZeX_c^h$wjkr0l4T2vCr>k;CXVY^p z*bm++q|jRCg)+o&pWlBE^Iy6EQ!-EwdR5Q4dye})|9eTSR(O6NIyuep3||A7({QZ5 z|LQS!KC{DlOZNISY|HTHySHKFJTmR=atmv3j3{-Dqlw*wR+VBCpd?y^^$56OfAb7v zs^4*OI#dy&AQW0zzGffld7k)t#){Puhqa1q7g0qv~o?%VFlVkWd+702{IT0%b}V|^9l5t?pzyYN~7z09cFoS5w(nN zpmKjSyA~IWfxwx@kT;N`3MK}{(&l;u4e$P{(Uf!G{^u4I){gjNuN^#L#bv%jz`JBiU_^TVjr=x2+HT1#S8wfGd5m2G{ z_O~hQZTsHcv&SZ)Larj|Rmu+dKBcFqnE%&&ZFdj5@%AnlZ8MFDQ*$&_k@N3AQhxFs z_3*?>`xuViHkju75%V%RsS4nJ)cWfrAS&bOCBWMvQ%8)&jHvb2kN>&V%;2*-y> zhpMs~QWCO77v8HCJ3~W*M5d}4!^G&WG&^tRDW~KJjXh5)*QyE>rJkmE8xv<7St$*9 zYJIJPSA7!?AG@iw&tDeg!x&IHSVywtqd_&&+*K_?h#>WGD&d5<=t2)p1=R~g3C*MT zagi|NMW0E3%oDX!vOfCeGG8b|l+H2*Z`T&O#4pXzZ`K&Q$~~_mJw}K65enne=7`#R zor3)PQtp2XFyOZg!H*M#lda*pH(I0!LKgMB+!OKx#nO3r$g*eZzYZfls}5BNw#hJR zDSCoG3`W)A_Fh#X!4)u%z3#8TqD)cthLA5h{f_+gw}BB0Kweq5)oVM(501_xWPJ)SoaAhshJt z8UYJ7V}ZWV8bwVzf|;-!CDeEC1hKM?@U5}OmlB`IQqw)W@4u#zK_0)%keAE z0H!&_9g5N(_sH$zbq$vY;*EjWp3i#Jwwq7uEJWm~UVAiTq7gI#P7^$rA&aza|6?3< z1eDIs!~ViK$sl~(pP`Di=QFN4iy3*fIxU7L?<%Z8&envwuBmyI%csK$-uts7=aI7= z5Y%{QhI%luFpHKL_5?y)_~3eaQb$X-s=tOw&;fUP8qa9FxmDE38|?WyeSI`HH}mPi zxkYTfgYL?B19l&gGdqR;Ou`5;U1Iy-=!5IEs+vxQgLs1>XP=wCgR`V!U_>2mc#+l4 zLe&*HWU$8P;yQRE@A9-#bRt)ir9hRtr>Dhn`<8*R9Wq!Md0;B*<6LgL{`OwN<``A= zzQ3Ewu??v+Ofl3m6J+KL9T%BUD7s>Y$4IUQys}#dOBrjV`a*WXA zi#6jwkD6MUke7$mQu^n};uXyJ+iu;I=i)PGsB#po{-8qcluoX*iwb$0Ucy2>e2QNx69pHzeKQ>82A}-?LCtL=HUAnH7m*;ROHs=^O{F ziN&=RX6Z0j@KjK~h)7FW^ap-Aq(?tpCYDr>hSmgeWE%D>2xVcyCNfV! za}M@Yk&@F0m5R1EHpBzLWO3C9=6fMG)F_rP`4Y~>vy=B_QlYcH5H8oA{Py$|84?GPP;e+BZrGOm5%J#t zL(v0!f_jmB#!^5}u3Rd+{U@l!HAsI?vR%Ya?SZ8ypDSMo+j&W%h>p_Ma>qFDJh5m} z$kr0*j?~7tJwl5JSbcQMkxLQAd$p0iO~)gw<^PUQudSr$%{a&ad8@p1(Oz7`z&P=O ziVCI)YPS2O-IhdXh8X(-yt!Ykw{n%H2ta))HSVhEsHh>izc@0igU6vC-}{my~wNQ>gm9sRpSKj?`;iv z>0L2Kn>WjFWcR%NVznV5Bd|XyXfA{FSJsDL*OM{|mnJLxRcbk+)60%T5ZGj4TKB78 zi=ewRV;^cVMmV?;y*jGK_~^i_5}G+rz~WWRDfgeh83G2oZvO%EI}78{yH(y`Z_RpO zup1uL48qov`5_5+F(ukCb8}Jya_3GWTpzA-lo+24Rnm4W1c-FfP!jTVYGU65CCKK;i2cn$rjTvb+bOq-cwo{c>58+14IX>wy?cj%AL6?nXm2mfJz znKF!z2D|#a{{C)M?mk7iH&_umRE08Fnl(BTmVElc-sy!5kT5~Di7|aqQ78x!bm4dr zIeEE?ftlIluuL0sJe{jch+sDsR>W+z^hHVa$MoJ|M}E?$H->tc_tiVA(M2ww8F zFyf3UQ-hPf4Gupx89=!?4M8F1aAP z9=>}9!4*1v$(vl0nS|7Wd;To7n#p6yZH80Z+dI_Wh*71CLvC3wP(03O#)Whg!x4XD zAX*3>;sDi6om{YiQz1|q#N_G#HH=7Q&ncU4Y5vqSSWf@eoEyZLwAz`{2$JDG^1nz) zD!!Wn!2guRoH=w*Z}1+xR;;rC|9eftHJ<2h&7!LE^;}JdzD>Sz_FeuU8gx5mxV-Tr z|D!+=m*@)=?HyJ}W2r8z%hx&EsG+4@E#C&QYnghh;*LjEN!ZsaQC-Aj2KT@367}i? z8=`)nqBhK~EbDPvxh!t$%leCkHSE5#+Fy?Mscw1w#B;GZ+&Oy6>&i>N_-KnfJqLXL zc>=x8R#@cIbVDqcnyVs*%d=qjyUR9wS0~$QhrN1_qb}=8iYHHEV!H%Y!T6?sxM1yM z=J$WnBu&a_A$bo+fD7i|i_Ju&Wc_y8_4OFrvGY-{SIzBg>tbVXXl?7Vdx&_bapT;N zN3F!dh^T%$!&cmJ+WYO3H4ic8tH!4O?MmK$NaIGX#-0Aer}zn0*@k}}4|rIZvcK5y zgf(II%>ZeJlXALWm|m4Lz7NTJTv4HUfDM^RIA9?V${&I{y8e_oo7?&jy}3G{5nt)4 z52#2cq@S?CCb1$6dwZ`qIlw8fz0R-Hd3Z>F3(^1zK4;7?obeP~s_2}7QB(-m$4a)% z{+0fpS(8<&;ikeolE2H^eomG_5)!c~m(XjXoTqX=ijgNxyEIVTe{fC@UQ==Q75m?arNDu|cO%CKp_c}c+amK=-kS}7xd`4+q-bsVQk}zCYKQbxI zMfN>)AG?5fNafJISyV>A;0Fgq6D(T23>D3muND=Bm&t*IL2tCv%8Cp9#{6a_l7(&7 zb~GXsy(_#JAEPNXb>Qrl&wvlF8_}-9uZ2@#3nlUBNU7N76-2ETtM0gz@LEv@?M&ONWIMwLC6AA4c%*VLWcX$v~t`%Y?{9AcH2#(Rn79H;&G}H*&s$9T^DF z=X;xON7Jqlc!a{vK>K8AgeepxAz^X^+ znhI7fbIQ&d#lVQz>LG7_^yiuPnW@tCbfpEqRKeZnX8I;_&k`~-oe)d@y9hm< zi~&!=f(>9yF_y^gy>zXNdIXJG(f>qPaw~);X41L%-XRvh)XJ}Txf?fW#{a2!rYE#_ z#))c0=b55h4)r5&)HZwYNT|O-(S)x<%TBz@98Ll)C+zBz`-Ely93A3!d694x*a)=3 zMEM#pbhAbU^364v{iR9KA7d>$nQyJ*a}K;S8rxHZMLR5*H6_N{4WvK$tsoKkt*=$j4mNkEf*% z!$_vEMR+A4fgA}$o%WVAlS+@gF>O>$vd_aZYB}_o_V0ySrm|(!EzkMcGN{?wE0hrDDLQ_ot)~Py0c7Dy>b`^6r5>C-6(%9ESrGOI$W7u<6C*7pP7*MkEI*IOOs2 z<{@dSd~Mbx?x2_mEEO=0-acbz_f1@IH$A=*wP#QdehNv?@s0(!(KJHx8Z`2;C!E zYX5o5PMK7&xhY z8O{Vs^_Fi7*bc}Lrf9)@$0+RY&qfOJ{Wo>LM0;*LnG4QZ-~XRgG{Ds`5RGg-T1?2x zb;v8fls>x46zA}T*i@nkI*zQ06!lNz&Z0Jvqc>fJMe6`w8p%ZQxL_<=*G>3gVRvJqUjx zI=_rv5mQ5HLn?BBRiptdQrK1>l6secnevB4&eIEghCB?x+AY}`7kB^8iM8}(6PnM2 zAi$Ut9{$M6;Rl7M!SHs7fb7dLe5&$qn8Pue3MwdiPKLC4Qb<;N4fXQ^ViafUzGSbW zO}40_yuq}{O14Cmmi6_>O%z)ts@{exhagYwb%vR!P{lmXgR$|hO5NBs|L>6uDC6;J zr7kLBF74m!*`WC(+!!I78A%agIewzyDCgtV{&y~16mZW7MjLkGH&-RcAFS4d#ry{p z&8ZOmy7Opr-ASb2m7{uk!pG?G(eaM@@n7tkW2Sna(^AQ+S7YUCa&xe5gr2!eVXT1& zP3z%7OP*+E{eA4^d2BlWx5QQSptvpQx5Lq8e01`?c8=|h^Ks}>VJOwat3w5n3qZPm|kQzsE@L&vv^k z-k<-@R@sTlZN5J?pJ7idt^r1L4j&lX+C`Wj@Pw(+_n)Wt^%^lV7u5C|355(ZjrHFd zUJxPUGZoFWT76}vcs5tPv0C4;GysONnKeK*832ViXArY{~2!RMKRU4C|mPq5P(iTWOfxtKR zVMT7OO^9vxOsO`%cK-y;d1U?6dI(o8sEae5jrb`UI%8z>V84qS?r>s}u}(G=@?(c0 zaQiV%v*K+o4wOeEX$G1e#+E(@ZfGS*%qB-*`+)l81{l>r!Ci)70U7hqQ#cX@C9^e;RO0m1GjYE!l|& zv^m_iZW^+vP10a9^w%4zS5@bdn+58v=9_;joub`!uhxUliZ|-kr zVy=NedRK=Fd7SD(?PHm>LqJLh4`aH#7=W3}FG`(kj0-t;uLH1!NbcxN2fP=q7G{s` zU~Zp2+jQE#rMsINH=lVsr4+sJL*hB?lAGCf^)q*(sq*QuwgOhq2fXF+_}2OXyXmsC zD4fG*n_HwIgY2X$XN9;tlMR8n?>D_V%iIkQ@bqWqM}XR~T{% zZ^8=9um%ay>W})Vp&By(a75VclK zYw@yc-=C=I5c!F)j$u8~f2oBt^4+~%eRGKlc|!G{WKIxYxx}?woC%Zgz;!W3qf+CZ zIc@vp1;yz)d%Qsy-dkLu4t-h6AcHN1MQE`6io;f>zW)xH+g2X${+#`o%!zRA&O+{CXo@O&ddt72NrLtdgjCBB z-CygW#lIHjz8S0(o|r(-3hKY~BB~9=B$|%Cu+Bw!V8jqiPp(o;!U43qKi6_oiph-R*WH?ahw~2xt+SiEaQ`()4B6%R+}|>{cmq zgdhc-j~_H}SNSJyEJCR-IO;Z6`P=^*`EpjM{C3A{(F*1mQIt4GALu?df7^f|bqf_2 zIx@r+ntyK5@UYFP>GFCHR{Hu^$(ec1=XL}SQNQI*n_IG0p zV5nEEGO=}5t6mIKuK2&uP8i^{9$ue<-0;7DGhljha!l_PTW|f5ZofSZM+p#9f4{z* z``az;uGRY4jZnu3pDa0(7K9%bhCkA{POm`3r`v4Seys`;dua9^HqOXNcK4W3kK_8< zyoGd==XdN25NHuCn{tiNZq_6e2y@gM&yvXFyCrK$HDCkt?ScGz7Wm zh^189+fIjgKbVGY_vuqIlUGFN4rl(X6x?kF(li&ce_KjW?_kPo!J->>%{Ze*7?Yf?zH|D<^Q5EB; zx-C|f_gz|@wO|2}F0NiwwOf0guV4D>7;Wo@lTCxL^YQJcqdL8UfheV?r0S{dj__(5zB`xIa8=4HDxM`UJ# z*B)u}Wo@y#$*m0Z*FhyRmP2S?koh^^%&9w>jjwNeT#5iIu!754HsLJ#?RB4e=FDQ$ z-!AN-=dg$@8GP^yDwsrA_Dh`y1;p~gCApZ4QF1hR&-SIHM&7!Nzc7`pCG^H>0-XxL zR5T*GInGK>`y&((0s2qZiR;PFL1d^hg4&A@q_Sf%GLIE1-Uoh;>*Xjt5zYNr<64>T zDZ?P+jtI=6gKc*Aw1}T^Jw7{lv<3e2ym@|rAUr&W4~|-(f776Dv=#djEy3>9uPk4( zYaq;-F@ikGjoFLjEkp=Ca{h><`*+w-HWKFf=)hi zIb||64T{`#T;US+ zi{6HbD!wShw>I*$Av~5?RsHW41D*WDm`;+G30o2W;ZNk}QTUcIIlCpiO8(YZzVrF7 z9%u==f3*NLl~jSXZQ&8nTJ*&r)0 zajuqAFiA;~b(?zrD_VBIeyYTa;Yr~BO%ry_fM#_rCoUJy=i5#mbG5xOJm9JBj^NH* zMrS5If0}?7k#`wwu*t50Pa4W|Dw&iqY94>OJ8m`KD@{04mBYH*26(JFr$RxV*}eq3 z>yfY`?|{l{-aCVZ?2}5OPd7-0b)O&jr@d$ZqU}Jn98f>6Q&2rSGjt$`e%t-G6Ph5X z(srQuBQPJx!7-`2$e*)QR?TK8THbv9e)3*2dj8w!eElnqPq_H9JGJ_+d3Q7hKyNgq zt1BZ-CYOkt&?mT;$3<$;2+t}}{g#W<3DDXq8B62W>`gsTiN8-azf-|OjXNhObQb6K zh2FW`O_GVw2+lN{ymeHt>gf;@54mvAD-~P=%3PLAd}vyAM$CmmDMBg$`Vn z-RYc}CND`h>>?M-DD}qk9Ph9e4yfHe>lydmx^S)-`Ppbuj_2aI>jD0>Cw-DW7Q*C8 zDxDh=#8peBSD_mPG&F@^h^six$V2CkT+p!d3190Xr(-6D$mNJc%N@PlsX(Xn1bKJb zfb(2!w@ewfq_UZF8<^`CU!E0%d-UV();D)BeQ+Kf+hrs47=8nq;gg;LAI221c?<78 zG($CtXlrB-ZR@yucZB>%4fyA|TS7<&U>Cz};PaZkP$Yw&4|J|A9-EAbVIdtgtY@5e zFtkVQ$m>?%hD;3|=^T2Zy+J)P0%>#|#t@-~f&3^BOs@={6aW=sPAlw@PDK_te1Utm z!G6N5mGVb5Q(C(?_j|A1i;dN-Hw${$!P+^7bcQ6m__(Wse(Tqb`NJxj4V( zZ{fG0C})oA8vkR81OXxCwv8$%`)RT>Em7Z&b7ZJsoUx7T??1>WAe6#cnG?Qw-xOPu zX6ywI(byVz0(S^GTpP{V?fgl3Jz+g|cYcVGalTPYP~`1}|LOGnjc_&;gb$u4z7x7J zhnIYr;ZVY&*)VjHA^1}P`Dg=dT9BQD!yeh*`5ObURhVI&bznM8;RFd6ooA6z4S=Z9 z7v#{8*EF{$X6Aa-XGbKT=FXpmDHsjLmpP>|3*mdyP5w-P((2dhA5ee$IX;@l!&+*w zR|mAz9e=8i@SJ?$!9*R4)L;9!i48xN&2bdU@Sz8-o zC63Bpyn+gtf`>f4Ibz`M;Z$8=Vy!;)n6{2ya)6Auw0IN*3`;e<;;!@Tx_gTXY|Uu# zpUjbTzle$hN8|`RtI&M=)k)A3JTC%BV@m?@RJjNes1XfQjZ+mIxKejz2*RU$)}Jjk z>ts3OhEYv7;@sAGDi2r{{4r({bhmEE$>*`MPIkyEu}7=l*T_Y(Uo4m20*tHXO)8uH zo_*6+Hb;a5>XzGU5wb7vC#O_JszJ0&f(Fu7mAQ$AE;{QVAF9P<0}1J!HvBubYze4>IL*_+kuh?9rv7`c66fQlYW_jvDLBDd6b|Kw|K!2_P)o zTva4`j36y=Phuj`cx>~|ccJlh9$h`(&I>q>GCCsDln!T?j*c*y;Fa3n>(LM%JCL zhfz!(#)`hSo)yt1V#zrW)x9S1M7guR0`bG!hI*8%4ub63HugkN0Dce?r-W_iY={wK zFSQ`-PTidL`=fh%Z^8U#ZQ31<9~Pm5@I|sUcfULr#JIbonwIh2;2v;g`RZz2DHmXB z#L-D51XfalMspLsjR1W~*mwHVLrJoD6+SxWuj=kC(eqRAZ2F^~fS`QPY&7*n_!`x;oP68snPkpb# zT&a38lcw@Q#vJ+`JTpv{tKybF>Sh5^bIK9{#5dWT6^Z6Tv{v2oi2JHA(>RqmOSf{% zmux#Eji(|Evwqq^iav7tSEfS(9E3mXd5>m2*;_J}<@32T72B4AS=C~j;Zp8eOJVX( z{a+#Poj@O~m0TZ+FI}Z(vyK>av@&WhXU7jBgy$shPmC_t@QW`SVy<_3#D`GF4VIiRN4`hxaWMy7eE)Nlykffq8 z>o~N@%#&LEg~+fRF>nn%Ee8Xwi?tH@sPtqC@wwn0v zE5{0IqzqN5jm97td4;R&)v*80kz$uEH`0bo8|=dtQm=VxVE5_-H68eN41ojEbKXVa z`Sq4qxD$Ka&tCXdHM7rOh@d@^G+Y!Iui3X?%u)2mC3Kvf5GG#a>PV7Pv*aN?jPd@W zIV#t+PRFFI#DKi4#Mmkcw1GWaKIs|EkPYz2Rna(Mni<0Nzhb)5H?q#s8~S{1z{Hxv zi3%yFXvc#BxDuW&gP>ljlIa{K2FhMtIsTWj^7Utjp3zK$yg1r1G9S>?$p-_6DnCW|B{~IA{ z*hlSz-%;Lo=p9pG3aC3nTspYw#Uvha9H+iUgpL)d5uY)vtiZe9@jvj3if(FWO0)QAbeh;~MzTe8lmXFAAC!I|B_I zF?9KSAR#PrIY-qZUcm?+YQ?WRrwrta;=b?!f|Yi1gDrY^yt#>ah2rZIbu6r7725&z zT3w1rx8iG7KLHkT^7M6D<)cy+^}1>cF|}(S&3!AYlK8hgpU+CN+sL~$?c(2pd)#|q ziu@`G_fJ~rm=VxcJ*|ufO6{HQ@JYj+TeZv`S`Rn7pp!vU8b@L<;qyf;L-O_)yqag* z3^fuI53%DW4g|P_`hRask}RP6{~p5&t=T2;HGA)gZ?=X)_A7E_5VxV-Db+3$Vt@Rb z>WK3>D5%5_c-Dq4s7GX|qI+|kC2JZtjwRz8+ch>+RH6d$pC8_6YV#hzXU9G!ini1o zg7k!%=4dDPiJRZcra#68qNm6=dvwPT80P7l$2?88K(7JX8%1KQ$xDO|gKB8(UC5JE zhnmGKIT7r^AN^?#P%i3Tp0hdo&BRi5)6z8Gl|V6b@0K0o>f7Fij7_In-d`616WuzR z86zx8CX@UG=EfF>^3e&2r6|dY@dnm1OT)6$gDpUL&^Ljm{O8rdtM44-zF;p#S;&eH zI~l||i_^hG*L8_KF<=wHeu9Cr8x?7i_4YzG0i!K!~fK! zC942g>-Rz$SDL}n$dBpAJW&?v8rbyJSdb_Bw_Rq~!lLiV(_AA{3i3q9uJKT8N?qGf z&38Y0B9NvBy2|G%tlA;6rqF0!lmLE37w~9=yhGSh1;krJ5X};y6Innca|@m!Uo$0thZ{D7Erys z)ay;n^^dO0fI9A^`$6HpcihE1JXGI(B>Vdt*-RehlxXB)BBEwrZ zie+IvH3K_sfiLHvB*~MLGeJb`X?1;bs%;dL^0UIAB0pkHZ}`K{e6u7?SAg#LAsrG6 z4rJVZzAt9l28i~9aHFqm3$yJBqLoDcxkiJY02t9o3y-5KI1(oleRN~N_!u23bgR^g^cNyidn6F+Yh>4!}DK9RXfQ zt`^>j>}v}nL^E2GGMintlQlVWP$?IwN^a|o(h*%qo#DThe({NpkJoFP!!e%I=p(3$ zjpPQX#cfS-_peQ1S8Q5zU6K`JQ>sd?dsd&%dTiXo<9OoUEq2$Easp83XzwxTtU(x zbiJ`yj+lGh*0MSp_nuSX8N#lHX{0>%>lx6$Y!8B`q?b;pLNjhw=k;1$#bi*sUpZZD zvNjBGabEKOLB+9fYqMz~CSpBdZZXVsvg2L+Heth&pIZORiKzFJ28Kf%h#u1qm6JCN zPl@Zn`sjG#?J1l^EVP{%WM{69Lf8Ned4!H$D%uuCTK~%uS$$k0ki~G3b{Qy$4$7a% z&~FS3h4s)RGamKZyR_M+!F_ za*SrT9=%ok@L;=p9P&c3t^7d=1>}nD)(Zx2Qj6g?N)k5`2d7BRXKUO@rt|wvzbML~ zk|7bTlksd6L(GYZg^4P;mRFa2x956aszU!|EvvH#Gh{l z$DtB^bMS0HSCt~4h>GQjOkIc!jnT{eEB*8}z6|?zfv4qY6ZbnibggN4QTff=kv#lA$x85=qkExn?=5!WoCjp6No!X5d4$y)O$Kfnn|yYUb)zkYVN9?BuR z?nbgtI+SM{i)qF4MZLt@UoC#`O*{BMeLo^`&KAvqdi1N@>dx=d=Ci$B*>lplU{9dI zAZ9&PhI7ufTyZ1x}iY`0z=z-+KX!FnU?RPx*W5tW4C2^*}n>)Me1(1DiOgz zq%aC%6^>uxmlwA!Z_7bEAhTvx(Hd@jY4ve+R;;j9OQ-SAo2@`XheiEI^w7NNEk7?o%M3J z7+I!hhEMt)N>%eiPFqefaDQSBMYYRH42xXL;itGcS761!$U9YC*=F~DL^aX3Q*VG| zIdH3~2j@42BIYOdoM%Y5zo)gV2G;C?aG=t#6&eJKN0Cx1t@D;_rqVlG>ZgUdxpH}X z$cwJYD^g3*?mgTLgUgcR-BmL&@dPNsLCcyTVkNTv!9OQOSVT+3;67KhevFWsb`^hu zZnkVMQFJ0Fg&OD-63pXluqUUeX?yhnVSb#|=XN%<6JI+Mhu|M~GJf%cDqp8$dnI|^ zUFSbVhYIk8RJN*^mHTApt`#F0`5mnumVA=LKRoMkaSPr14x8@H)d&R`1T)6i{VS+W zT`OdT($_6)OzP4DH|_rumROC196*|y{%`H`t`9_odL2jI1XOvcKk$JXM_E;JhUbo2 zM%L!Wp_3pUBfbVpy^74Jy!*G3Ie-0}$A-y(u^D9TI}Y;&o1bCz8$_Dyx;piTuP*xf z`YO}!=ZHE^G6xnCDtCSKiOq(LOg3&0q1AlTBOmD1Pa*{)(QGKc*;TfkByLP|E`ff| zuaLNM+|A)ZlzFXLv!h-9xZG!81mimI0Qq`ymYl4)wA52*Z$|D*!&xt&$p2i2Sr{bv zMkC0fAFaQI$obvk)qu5TT}t_leUAETc5MMLEYVmv`)jDQjT+n8x>1D16M8MM+(bDN zxP9@b@)Pv)kt0<)iy9)69yV5FFe%>TJ!`>Y$uopV8EfsM0mON3(`fBe)JARdx=v;2 z>8fpU`@S8(f`8&dFQ3IoWddZB+G z+}zoczAqYi&FvkwLh93?L4lMOxQ_oQtv7PFK5Tu17!nm5+xYB*y-VD&(Y~oa2N{)Brt=v8&27~_a zVrqn{k1$50b_=PF*`A~-82Mfm23Z_zm6#7uv14-ro%?cPemObBs9f!fNzgt6D=9JL z7*WKAL(Topy2{-B^L4N;1upMqdqh|kx_dyou#-(!0 z!D0gfeDj(1uAyWT3BF+ZJswR~nj%ReN*?^5UAEu=C7p8o-u1WVN-t z(O++V&^GEzhlle)SoE*dBEd#Q=$u$lA%fVcyV}sQV~O-hM823feU+^&tpKtvyH*l4 ziAH=lRRUG@mo9y?pSG3z>C)}kuVu)|bpkNm;`6I*J7{ye26{Mc1+?(1x_jrMFkp5r zTMeX(mB$i!xoM+kBX3-o29D9fMa5>6c`5m;b6OViq zo;k-r?QHD9L0?3!vVz=eaD&1?Y(L+$YwS}nAt+;(Pb&}R(&8<7@aVzvlutk3y4(R+9 zltEiwnxu_^VgUPg*;W5sP*$=8!%3>DOiVA-CY4>m(cGtp% z`|~qwwFr>qj7rGiMb{3Z=!sWh-QuoMs)8xV53Rdvil~kqW=proeZ^h62-K{!F6O-; zwL6!3bc25W%DBVo4rx|xmtZ&VoQUheD01V4Raqr9C2ekH*3cc#$_(4KM#*KBE@!1@ zOz7sb;M9x&roTXK9Cdr2I4PSJ#>0_UkpaICcDw8&uv`UnLk1g>UV7`_I3pbdXlGi4jdcxBpuqZ{+(h)3|v&8NH_~eZHI$Cn3r_mMV^#2S$sM&b{FJ5_0ECs0)A=6B?S>=JCWj*QWUt_Ll(=V6{+~bHEw<7BBQyM8 zIpLg3eAOfe$+p{k-$Sf?>D5QxA(2>b-H>d*o;XLVZjXFyEzLSQf<4-NJJk zg_rqaLOWg8ve^RDQDnD>xSUNl1JGAmBetb%z}Y<<&MBTUDCCxtQsj>lp8wxcK9@=S zmt5gxVU}o#WPfYNUN9*r5yW6xZ5H{3t^V@G4^y)k$*sI=Yyyf5F-v|EOU;nDw17b4 z`dC;GdN2;K4vYg-%dRX7ja^*xkeqcq1%67%4!0x?7zeoC6GV$Dr+gtM{sr&Ey%ENe6{y1Y3TM%3J14ai^tA3bmgZ8cK12(kaC3QiwY=3- ztlhE^bt_fs*0MppUnR`RE2(60JlwZ1E#gax|EnX04FO(M*-P%0@JLMcdP*Y1 zym8~nkJ<5c6pPAc$K0Q5$QEZFNV-NM5WizLkmS}NGJi4 zPz;&FtMC8bJ8M4NHTTZUm)R>HPR=@K=VYI~pZ&Do^UJ!U^#IHfl&%CY*OBY=pa?yBy4!rY2+hK|r9&%0#i?Q|ri#|A47$oP*sm0l?^!1n+S zaE(+rya!8V{KR;GTP05i15pMLVrO8yPBU6iA&X#cE6x5*d`=iBVIn>XQ_~T$c2^o` zrQ1FI$WCwiNAipw!2Z`Sev(x0cHH#hFBMKoitVyhRWIo9j}HAl<(nUq{>cTHd^J_b zz>eT)yrv4Mo4yb0%C4_lo_`r3DSRF*WIgTyD5F3Yk5afOPR5YIQHz$~#xSmB3#hVV z%l*y!_kf6~RMG-43WKzMsQ6+%Z3?gsr*v@RB@n>xTEIBEbp<+dfud}EgI86#6mSWw zL0F|kZ{CIZY}6jLQD~U>wud2BJe^K>0O=?$(1_(#h$`}ySgPMWN88sW__WblspC3@ z0mC{iqIR?yK+)2|$9Kj!<21B4$xH8qypj@& z68ml-XHS>`jgtb>(o@fz4_u`F7d~4^4HRceL5 zw^ow~f2i+ZVGDpT3%?}0hgkMjoGOOIe)+U`85J^w@;!U$%42ZAd%fb4OtC=o5c<1i zc3UHILK@eZ^Xccu&sKuGHTbJ3C@iL^fRNHv-92K#E6|NhqC9cvETJEzCsO)l`DVd2 z{N5EmKWa+XLF)Q0J-UX$R;AcC4yUTI=e$U6>VBN3gWa1y4E%qb;{S1+V&(}>F$8S& z(&TAZLh<=&fHXO9f6RY>4#3s@iF;8}pZIhEr|=$7fpq7mhZ)?A*;DBNk+YEG+V-XRg0b4MR1fiIpt0n=4FnqCxjXP_yM*{sue-1N zArczoypoL<;1v#5>9t+CM%Y-Mt~D;Opd4uLC@?cgU0qIkpLagse_k%CW=~)9F}$!x zAO7rJ$A0}aI1=#q+zV^o#2vnuxN3ec(s=`0o2MtFe(G(W78W&C*C@(vZadJL>FJfc z)Zo+S;z~p{-nO)#Eq&KFMyp9hR{#Hi!~Y04jI*j{U3>fPyi@L{)`RPZ^PbEUq7iEk zf|R{=9x#Q8VOdVl5v`;e?;jUw?`{n~uL1&vZQaai()6_lDusZVJpd7lyhO2mI^Iy( z0wLlQ3aE02+oy9G^ftZnP3NXAj`(h;o?tV_jozz?9&10(5`yRj6D(d*+^kF{ zxVNzrB#vo1SD#>F^Z^L+S0(bwtD36QK=Cp2h!U6jlVtX@QT%)*!`!?fwwkP|>8UJ9 zPZKun?5$NsM#HyScAUIjL*`vH*L9}J0JSs|$RgdzV;5we?nHDwfMaSGXAfRGKCmu| ztb~^0{@;QRqmcgrd{|0a>@0MZ|L~9Db7?*A4~Jhe47U{K%Pun3%V(H-rx!IXeep)m zQ@$N-_Re(bZccz^J|)pK#VEtL%ee547a`xEs!Ete>ef6F{v&AivYr(E59P3M?*;m~ zT<=gZ{Bh~uJbon*u}aWjQfKj1OCXqELOnLD(3OAoB3pX#JDLPj9=+@m&s#JqIIr)z zMe%}JS2NUcrvZlxb-f`fROxRXzw_mQvIAK15=WP!BX0iIp>mjIyG z)6g~`OFQ1^GzHJnCu1YjkIhht{4b>nd_$q87bn&<0EqW0pFuW3TO$7w%l04qYuwJK z)KHzf4v5);oZ&+3mH5xD4r+P7C*%}_b|n7tA=Q>f|J%?$1B|2eU_XIDsj9s7GIvzG zB*C!UGV3Gb04TF9rfX1CI-3OHkn2t?4X7K3X`^tQ`{63snmm#7Ix45 zE==0nC#b155Y(4F26~*ApH-%5C3txxaIyOz;}ocoa8iu)ZSYAkQr?6c@GVAt?@JG9 zB(AQdeZ=# zc`yC^#K1;~0N_8u!}xLb;xL_)1@2cCJLN~qC7;aIb3lR6exVzTzgoV%I4Kb7 zptX^t1ni#Q7tcqrU4CMoE$_j(V;vIoW3a-I%;U+Dn*}E8rlzR(#*cvlsICM49L5!Q zobc_Ec}u7D_nZnk>A(5?z6Szn0u7PCq+=2!yZLR;*8@B>&D-s5@#(HXvH-u|vWS{Z zd{y7C1|d-`V)Wh8e*g-~7N&~8yleuP`}ipC_nmrl?Qxpf_VV(W@l6|+LF0@JF3&d= zYlFJA&~RPs`Lh~doi7N5+0$GoyE%bpdG?$D`QMJjUGRMu%~bR$&yrX98TUEE{{B;% zCZu9PKH7%Z5IS?1^|*U8<%`s}^$#bz40;e2*QZjH{K;qB+ETuAx!VAw^IiNbf~Fx_Zj>3onCEUPvKw94 zbydu~ZJm73E4i|C!!IVm>WQh5CHm*9+b8wh>t&~{quK-b!}2q*&Y~X0R=EcNdmkOM zbRifC7#@(XtI}FfmER(D9zI_bvB0BmwMwKC98xgqjH5hyDO+5U86x z4$DkW-R(Y9;`y7sPsV;t3euM%N{syR)BTlw+d-G7$U2M8tAA|&kOpyg(UqLX8F2#M z-pFO%-?c|Qih)>IBQdLA;6iJwhAXNHjRLa7HJwb>*K#$qN0*jAG?Fwnv?54ofTHLm zvNnvt1kD^HzO`h~JDJHGwExur(8#@+msJ3lyit`#6`UbsSU2`F4L@+;WV#T|vf2yJ zqv3v!r=iYcDNFLB2juC-t^L$Z#o$GFW5sRJ$5)+)&F!Yfm8BG4)X<3*dG(!=C%(N_3Gxab!q>XdQh8yL*Z`%0yE zvC%K+Mf+l$moIP7qIy-=x9=)dFeubmaWy{fjltVTu4*yQGD+BHw*$-}?9iwJOTnwP z9JZ#*@y7lhFBVc>)KFNVzVq+!m%Br?yFz$e-8XfT2aRo$FqnM$?ICK;H-2ss+KOP< zunMn6vX=(a>_v&Z=Fm5aApTU7@zTU`Bi@f8O8vR3lPn)=vTbk$hJ2W!BWikps2-VDSrKapIC^y0&LdgF~zqiE*IIxDB+$_Ji1Ds=VmB#&$EFC24H z6}TQjhv*<>!v33El`(!z$een&%6M2fNF;o1!l!j5W$^tW%s7@z7IJ!$p~08%bFbb} znaW=-?O-H4P|5j~X@l%ij&F{l*_)-dWw7(64wak0ts0|h_m2K`!``mtie6*a8*>Mk zt-*`c+|oQ*>aFYkbm>Dj4X*0;N-$=_UMkKvvZ%bWLbL~Rda6*vuaUtTzpM3gM%ZDp z%8Q&S06OwxbgQQTswF%XdVDB^>*30Kmt*$&wwaF5(eQC8o;ml?V`j*rH3q%R`joU# ze!C$mDtEgqYX8WEcCO1$>xE!dw|Y$`*AidC%)o#|VT*Q-uC#Pl{DIkS;gAE7U0Tiu zOMF4DyrZCiN#%fQvtH1TvT_vjjq9nq`D&Lp6jlrR)fnO z?le@&`jBtq78o4k0$k9hFnPeE-+4>%!P;K7g@Ci6m4yJCV&iRdPwt!Yqo!N(+KLU)rnoEVr>_WzzOERdf0b=- zaXZ}KUtN{W8DHJICQ2?@BJB~I-T>1q>x~i(=jW03EGdcQroChK!w0U#99+H1IS|jP zNB+$gdo3=0R#MG5P|KoAVaUw(O!mniVx3Ojkgn4Kcsj`+?GKa+Q@FJRW?1oF`KWwe zM2l$S%zZ0VfosXT9*le>IuNyodRrBvZxc(@T95vb_9edxiQS#N5~|S4Y%ogeT#BAn ztHd<@@ZoLd6?^$wOv^;486F;V|EgBNXY3EMUBp6cPc$Fqn!9d&ZmHYyYJ_5th2@u! z7H0vdu}EO$eJJN;r>ywM-CCP>i4%QuIZ+V^yij|u%!T?JJCkU#!r8L5UD|MsM5F*@T3)k{aM`)hpjN#PrPC|6-6*A7xRaxWR zTIIHsSp9YZzT6PKB5BV}Q{<^RO-QZct)09&T~uVXU+b}63;S(KPrxQF)UEEDSjd2> zw59jOCu(S`A018Pc~41h9d-Xymp92K;!wY+a791Xn*E%W1JSrH7jG52$vp9)A&4H2K+~Fj?hX zoJlM0IyRdB^8JX^axWdPCpWBCO*2kFCJU=)%wn#ak<8ubrCLM$F;XpgnP?LyRpLkK zXiFHjebqK5ePD-ix`=pNrvE_~Dsd#pH=xoJ3gla@Y#9!0qzyqcSCB7+_QgNFiVfAM z$0%*>&D;-f?@_Y2i>Q>!Reh2Ti58ghcpy>(ovKV$Jp9XPN-{xKfA(p1b6jJwLIxz` z!W^+z_!<$%%wmlLWFrTGH@s%>9?Rz-C0)X_QbZt=VVrDH(nSr9raRMINs~*H#w!VF zpE(JZPoyV51Vu|^4jhVC*LkTb0;{#HVDj4R#p>MV(Bd+?6jT1^9KAt`Su>uSlh%3u z4|$oq*?S2V1_3jc8w+1!8idkn3||IF66<*m67?faX^C@GjaoGbkPm|0P3f!6OQmKs zx4yl6%cN)v^afd5&< zQA2G-3T%4NDa1mc;Y@_0k`nV>rg!i`sIm`vJVDr-lJr`o$r|geK{MBsc>7cK~C9pWr%}PXAUT*S=PgxKM#s-gh78-0NIJX#f%?fl?aEuH1O z;;ak1OZ&K!{3oL<+U`noK&D=kHtC;wfG(m|e*ay`OQNOmIkrZULvn}j2%DYo_@Pu& z@YE?-7IALyYyy(j$~KNzO3|06M+McsK3wsg!EnSXCh0s^1uW_;DB~M8p$rDOfdC3CAJn_T;MvA`0g|Fl<;EvhI2sS$gLBb(B zt$63{rKRKGw}xV6*yGkOOUFPLUnz=iyuTQoyj_w48oPIJk0SZ5qAp>Mt=JpmXiFUD z&g&hR`wWvdc!Ln3JmzvZo0uW%u@PB%4!HFK1zIU9SU@S)JZSB)@#!+J0+%iw)I6Cz zSb5ZctyZW3sCY}kb6rNMSMOy>dXd!4eK6I;(#YQu%7+dle_ z*Z#RXa57t_fZPx;eydjwRtrm=UikB9P3d(+j^D@6s@2FqP2iJe6uI|bItj857(+^} zof|*%yTAK?y}yE{?O9#m8DXpl3vSWo)(;f(j)(lhX*OTo3*0TnC{8Aeal zVh`=ce`v{s9nUJ}WNDNuMq?=Rb90$Y+*pA0)7_Erd#>ygq849x_Gb2ep+TSw-uKKlzXXq<}2chETLUIwq8{LVMH7CTxg!%`@zJ0go+=Zd~dX9p8j zgysCX{RCDU9|atSfz}c>T<)m=qI+u794o{Gdt?E>FR?yc;zBm(VjHTb#SdIy`T zY_to#wJ3GgbGRv{coNVbC*w9N0QqL8y!?#;M(a{9W>NY#1{MBq4D6+@| zHIgIk9w+o1F)R9GmlEZ?f&akY+-N$Bx3%0YSNtMbJ&YAJ${kU#{n})E|a;R&8V1~^Bn0(J2Cy*C&v==#c z(9?`wb+$pQ%-hj=ibrj9U0vDzXf}=()|)6;?*4tEuf5-*3O^M+VQG^iy-c*b`jsWZLN8*|FT?vs%KL$u0eydQRrg#RQ2~q+L2$eAN0$@R6GDb{u#$HI0<&*SpCGCLxGY$F^LVW2ee; zPy8?U4k4?LTNX8Ms5wI-E8mqHU0F62^rvJO%e+_aK@djH+NBY zrQ#e2Q3()Rt$h$U>zDJOnje{6&~LGdb(*U+6MMLafFp!Vn*F={om0;n!&%vzZSb1` zV+ZQbdulAmPKTsIOW4+oqR;r!U+w8^A=EiHE%@#39x?28QgIqVkN9ti3WC094kl-H^x{1vX+!0^=NX%G z<=I-<=DqZS5BvfIZahHmYWU?}vjo=tJWcu++r|L=cry8(%XIeU_VI@3XzF8r@94uf zL&c#l*s#uzElKwjRBVZ1=GbDvq>cg(*ZpxiP0i*4*yxbl_}bLw z@mhZ|&JZv!OyLNTF6-UDpW}@<7E9pYC!i1WFa1Dt-o7R3(%*3=e^m1JLovIdap1cB z1D}hVM59RV>Pnl?T-65+M(*`9g9q*I2g_t>PWxZs778;F)SR-lG{-jUJAHu+Pl`sD zr~@}={Hubd7kI^x4UFE*Gh0yGXou8cLHpt53!H#N3MaZ64^Q{+R7B-=BC(gnKgq)G z*l8?O|7%eRtGvdCk@5l+I>8g|Wis}CjY@)ZzH)*sdNNkl%1WT9Sgz)Ujb&LEpH=kk zI3<#HqG>nz((}=*kK8qCeqO8HSVj}Q5@piB->)K>2P1lclG03v_*~1~G}@g@zCjzm z(~-a=QIcrLca5p_R9ICTaFob9G=bg+g{xhyZNI+s639y#v z@d5U!-QjluUgXgCQoB{|$vEc3PwZBes)P3rn?(Kd)%cryZF*t>`6H~d{rssx^fx=E zh{FS)0K7e1gUnGae`NAJDtCF3MId$5?{?i(NKvoUmOKI2G`+ke^Eu3)|1(Cn_J5Dj z5^uOFIR59O&mSo$X!_M3Juuk8_uwk}0R=S!oH-RZ{}sm;ZFIEl_SsE8&o4DvRI$dK>VNGOyHJ>-=z{!_FUp_1d1-gO`d%JYrhaHz>$ zs+s{v;zkZ-YDIu&a(%Nk;WL=*J9egL$(y>e4J#Sydm?flZ~qaw`gCX|v*~mWN-Wl9 zPPotk&fYHyNB?vhZnXp^I2U(5vy`V_pu0wD+>6@ud2uV5EHmpQEkY@r34bcb0@ihwWC8t#`+QR%U1Q|VW(20(dMCj`+(pEOA9K$ zFCs{O3!vAcvyBsXnE<#ftnTJLn z_%yjL^ye_iJ@i;ydUaPsFhAh-vZTuJ4we>bBz4r;|G<+k)bis@{g%x%qh~))yZlOZ z*Ok!2-~UrsS22k8yb%uWd6WrYcCoB`qhBz>0x9PY z`NVId4CwsEWy_50Xj7nD?9`Vp3!Djl&ByEb{+Iw$?LG$EJTK z02@0Os7^u>bKX~`H2gEW6^-hXw|kmy?iC0DIW?nq*nnYZhs*_8U%`dw@FIQpxa2TpS? z{n;-z5oS#rUQPjP%V}avE~Vz*Yh5-XI@mOP8hVy1qNiih;XaFPDcb<^CzD!zsA_|e zBG-(d`A1D{b^pCP=thoOVB>64(p1v|Nj(A-Am0rYECPqnH>-i{Gz3!we%PZ({61wW1PopNl&$2ySzfXwkW2R`pjil#g5R_^`hY=xwu8 zNu-Haq2-+DTba+9yoP-78fqE`yMr=NBX5y=4qM;Tw@P2BM20M}#(zu|1yr4`AD8=d zVS-w&?K5e>*!Vo^YvpR%M#Vt7){-Pa{hsWlopjZH17&==Qa2Sjls%d*~r4GtR)jb!>_qE{+m1pYe8@1eeWFvC{dEnT{`ViW%qO^YGSa;>#qX zqNc4q#GF-yA8{q4lNIa4G78;U_(s>!xJbikp3bI1kFIhcSphtMvU1auMuMm>owQjO_}4!FZ|lXz80K=1Skwy zvI(kmo6?7sk4>Xfc!SqZy)34T7kR0JHjU1#;@PF&Qf#%ozzIC6#SV%G-6|_L37@MB z^-W+>W>pNbAJ8$Qh0(J&zusOu$JzFmzz9RcEmQ8%Qp3TbUF1>BFKw#J1_i@kyE$*c zZ~_yT`!xOX&yE$1mVid;BWtzDK@XwdDss+yvl4J`vHUfCuVO!?zZ)J(@(44c=h@vz zI+Ij7DTiG=$Cpq6OgBi(hJC<*O6Hu}a33amv}&)Y5?SNQV6au)qfC{eDd}cV8^qCP zdW>lSyax=*982%^*3zJ#T0Bn78j6EkB_?t_3K9>fa74llp$5^v&jLoXp6(nqd)afq zzKFdF?h!Brx;x`neXr-RsUg>Y2XD%tlhK%Hwr7a70}Z7Aki$qrPIlIBvSt5f`yTl0 zQU2~O*q8>b^!xCegF@pz(eO^-clSlQ#mKMRe@=tmkAeSOJk}#avUAKat$&6MiAD7( zx@@d3(>Wix+A9gQu27ztf?C|EW<;RId^?4#_RxgvJ`>fT)0v9q&5k8flR zqlp5$yhgeRcrn-#3cM3vD(B2{&l0cdV5*{Pv^-cI$t0*sw>4MF zLv!Lb0NU4N(Id0w2Jz_T-Ph!WLF|{zjI=l4#`2tZD8R6Clw{p^TcLc{IY8gY==`35 z8EOI@Jp=Sk52jlLR_V0mO23R2%Zwh5i%wg{!wRB@o3%8O7Su5V6^L~_xIgvEl_y08 zgiF7FD)?7V!=Vm$+&LtzwENErZ5ZN;gMrZNi6iG)5>5w@It2rkX~GpHmy}D!n6vwt zkr&Y@2h@1$8I}G?|FxNKiqMLHnV}(<`>@10p;<}4;agB`rHx9Wle2*dLB@mgmJC<3 z^^>vFj(<$>ZJ|U7l5r2R5>PDHmb&S`^73M-ptc92vx4uYDR66jWKBc8EZnG4IWe%x6xCi<2&|TSj@N$w zFAC0z0`iPSM(8Dac5a)wF?hUjTfg+;3U0($Otm+j4+s%-)Y&)Pn&1(zaBj+#y7#MQ zA$St>0h~O)x#Hya$9F)n#O-*982YYF6&wFKG0<4fENyhpj{c1A-W^_#(b-vUnUR9e z`oon0ztoj0aMda$S|H=;$tfElE?$|jCx0d(1!GU@F-TjTL0Nh^J{W{}V%K5@smvjH z488GOsmh3~e3%$x_mS_KyBUk2gX#FSFPDCF4=KvXgfHFx*E>o*_TLuPrCL}?ZC$Pf zc9@<-LF<{XW{r9)YtZB)!2NJT$`Q!}Szgrs=+(l7qJ{A%?k03UT7ouCf^e_-3G=#i zNdO$|@74wTiiQIsM^)!D;IHa$!F+kaRAR7)nGu|r?yYu?L^>J>#K{~Y=T`aS@izub zUbed{T1-iOHJT*X)A!nZP%nBB-mG7qt|J590<^@_sQeEk4LJ7fBs z(Eir;lmrk|ZPEg)NO^@8y)W;Zcb|36#_4nKne3p{SQ4K9H0~X9&`P|uKFYJT_RM>! zE#b85-QWLiTjB+y*C^Q9Mt_4wHkS&4xX|Z+Eh5(R_3_7doE+n zdZrBxSq@@E%y+-$>k9UHWE$Euw3o_)Von)f^7T1;*Ln!|8?4xDvP4r{tsyDPxXbzU14 z`L{jd2mepIUb?Ct*m9JU7% diff --git a/src/assets/gdb-layout-src.png b/src/assets/gdb-layout-src.png index 8c374199ce4d0ed9a0d2d75080a2166430bba366..45dc23edbfd0efb53994bb6d0f6ac445bdac3737 100644 GIT binary patch literal 24977 zcmeFZbyVB!wl10qr9}%AXz@~{NRd)pQVCGJxI4uiLI_&JtrXW3FYc}_R=l_bcM^ho zko)VmzHhCwww$&1zUPkn&l#hPko1>V=lje#pZUB5y-}1V#G}Fkfk1>ZuOTWR(DioU z=j-k3z$fRQCHr+e#&3ZH3*9*FL4l7S*AxXCIO)A*H&VX|nXKPbK02ep7`n&! z?~=&)eK;IWrEsi`D|ea78|bWey__!{jH-jBj5OM0sU)bpnbR2g1{Zdl zWVc4<6lacBI8|Rm5#rDp7Pz}_byAc7Zl}~#r$+C4nQLUd9Gsb}u5aFMESe)N{KhxZ zx3bjP**kflYY&%{n@SpWyqLp}J@$RV>fo~2uJ-8;=pA-QyqAoCgk(dPC+p*DA@-+U z$Se+ZS!NQ2z#uxx8Q${D19Jl(V&A!H`k)l-zImY{>*{`>CEBk?C#8Q!s#f-GI`Uxg z%fTWkYtV!UJbk4H`I-Y2x@+ShYl_L{*SUH!g2Et0G|OCyDmI#;G#t1=pc-A9y-#Y7 z<7aa$Dk7>s_cW?%Q1~95PaeoKCeBumXQVKKrz?xgBgVh}x=Rv)?OQO#+A}##Zd!Oi zsqfM-Eju|-k{5&FN5H^ZiCA4R1!Gp#hItoDP&2a{oqYY8!(yr!RE+UQY`{&oqa!f{ zT)J&(BgjX@Td}x$UGv?*g4l4m?%e$II|zhLXB~L38DGev6 zLixpgU4uIaaE3#%{iTF01xJ3SYwJcE$E2{zd!vhrobsOTwabQtK=T6(f1Tk8 zVAE_$qjlbNh4`HDSqf+GoHObf^BA`{LrZMyhV5Jp$Hb+V) zT{Qe67YPTwp^IPkwPBk$AHJZd7}+%+2maJtJ(&#`r+m77pJmlW$Vr*Rx$>-a20N-_ zr>w3XiipVhSW%MK&GY?Je7|hiS#gghp%TXLI%A#+I|4oI@;c!2c0?)zqi5RZK!{lC z=N@nGw!5v-j~=xep1lpJt^bwMwmAFZ+5_gSq<$t+YuSXT^Vk3z!;kjd_)3aDw1g}u^|3SdmiGhN#1%EX!sfVE;Q*g}!y2$hbf)f~e2Q*4%e*)(g?sL{4}043Bq32KJkq8FY0C z$9m{y4Tgqo-CN!2zPl0hG*}M!r-#|}cqT+=HbMN5{PwKaiG@8JC#gMgs^0T+h>M9- ztTwWzZSOkhdC%zDojZuDW(yW7IzEUVQRfb;(W6}pZl=s>k38vKN;3MM;(~_6lAS%=1#df`ON8`qIH3tL>WLMU;F#P0>Pe5Qd#ywl;X-V zP^grQ%*R_Iaj99X7lv`gE3ujlPrV!|>5GB+`p<1p-a6Wk8Qr>Zvi!j!9c`4lGBuyl)S5~9hMv@G3WcuzU+qJm%0;o zxbOsZ>X~TQ%Nad_2pkq)H3EESe#tsEgB4AcA0vD0d$}w~m2RAVCDo&Q?9+Nh2;PA< zjusIz64fU>Qs_XP*CVrMX6)+__2XcYi&*#FSv$iUt$0>7!CBY8f0#%UX}rvs!Qyk| zOFRGrhyH$-ovd~0|l<_Q2M1LFHz*{wilvPgW*R34UN0IM1DFm z>n|R0`tQQN#EkuH7IzK}^!NAcr=4yNDTd8#D`edJqG^yex*?9iz-hu|GdAc+?@^LB z9eWI=W!@9ao%u|ZMy-gj5h^aDf-9?67WXQ*EscItQ%>E2MC-j-H(^Z>bbnr}XD<{! z|Hvh?+2t0=gIjb0U7u66e6JSY#>JoyJt%{i3QJyR3=7}(lX%*ho|pHdo1JkF>iSHc zViPq~LPmBWsAJIj!oyJ84(yK$lkEYpP(1SEYs(3cKgq+wZ^Juzip8vq6vIN%(=+zz z2$pehh_Tn(@MC){)e>gCWzErkb;YnLYKh@quY@NY znh^IKqU45F$yM8|n>vXY*VlBA-x#$!t&zs#$gjg<&7-3Y`ua!FheV9V(%i%rK{a|s z>s#CTW7fpe^7d8p2a76Qp0Kqqnyz9wtAsxpz?#JnC_;5YSPeKd;06)w2#Irz-HAbp_9|a9lgym%R zO0V)*ya8wFEHRMsqniAnR799Hbv1}bozliA#@Q`go@vVKGW}(tRav7Rm*ALE6nYL1 zSuNwIuI|BTn6UKq*Zg!S`vWJtQ=8E4> zj=x@}*>e@2S7EBUIKCv*H-JFDn9BD*Y2(D>}za zBX~a9Xxo`;1vP2R)Pc_A2#7 zO%l955|Zkpx|ei%lV+Y#Ks0luG0~*JUQnRpyV$#=FRHMOwAnumNnp+@j=2A{$!0C{ z`~&yR?+Y@&T4(ROK+k(o71hHudStH z>Fy=ZaHenK&}sq#%{Q5#&d5|#ufEk}eh7pxzOT;Nk6OY#+#(qahTq0%$W`h*!jQ9( z;B)KO9*$mBJ*e{&;iRyc6dj(`DN78TH59`%M);@*3hh+1bm_P9#7<|3v;Xjw*Fz9X z%$UhU;@I}*_tQZRT;J};T>$e9kmXzAMR@ru)u}B913N);I*ol-I)F;~S(t z!)9?CoQ<36i{RqlY*<_Kp`%&mUpwXfy-8fdz3y&mM(;Y)vuw6jfGqFbQK95Ga%{u3 z{x~H@c*^gVCv{SX49mslN8g#(-6t0j8O`TD?i2GxOH#*BSQIZo>uQO5-V}XKa4?nM z%LnV*L1M;tDNuYiQP0l~p2l2`SUGM{LOu&vB|6kOVNn@^O)1iqR=T6!FObX9bO z?Oo@NXMOBDn!&2MBUFV)ySx{(`j@8GI!BL6#SOg{N>wc!ET2uiMc5`^ZobyE2vBM| z@e6dVo4UY%ppA2+vWwr$B?^ zX4liPB~$F&>wdNTXJmBLElW-qrXN+hpN=r*&SM<-%@>Ycp|H4O&0PNB)F5&1t<}S% z2Q0Isl*s)g`l>a`XwpFk-H1@?8CDjN<>dr4B-)*~y@Y-p_|+wqereMT5oee_XkDoT z#4VZ^`#iFel3@l)D=VYTw!+gT-UIL37GBjo*n`Ns-cIThajKI%KDZ7Ty#^oLWa#9@ zM_dR;bRJreFV?qicNDHvQzvx)(sj(Tf<5M}YYj*zcE0OdD2C;G#^r~+a>t#M(;N;C z?haZ{Qb*3kgL!>0NZBmw&eUPxfG7}^yIYoOaZ-f5Jt-w7*qJ^+z91vpKh;afm_a&- z&6EuGRURF!;mZ@7vy8u*?~he=`Tk5UtM4)eMCkNhN8Ug1I~eb*bL zK4Ne{pzLQ+teI|%tqw7W2wi)FE?_mh&M)^3eFY){Nx8FWggrK5;ADZXAAg&1P}a|w zsNC*7qGf#>?xv(bGt><0#E2?UkjFKbp5Ugv%Nazuip;DlH->~b`A8Rbk=;7R(5|dA zoSwH*2L2pJt^g(mz)Gdh@GMKtIQqatys^^ta3$YLp~3p{P1n#+x6~6q$+v4irIC1o?{wKePFo{)bhL`^kg`UL&H5qAI@ zj;FJ;7Ztg133b?<%Q3amUKvGiKt>AX9>=vdMSjA*7(avWr=9MCZGCMw zi#V_QdHf}m`!}xd>}mK&*q7%-*q23}^abeY(@0$H7tP4LaJ&ZWjXA)c%2^sY*(=ZB z1(^>U4Vu?lYVRza(SzZYE5F?wap4*Ez0BWT-vZm#oD-Nw&jAZ%g(={335-^)Uf3k- z6kgaLB4Eu2@^2H8jS0q)9T%bi!Z|kYxxJTcV=UA8dKb_A!b6%6MSsy5zEo9s69k&V z=j$;2Q0S$XEUnQ%Kf&5#J}K9Z@I3@i9nW`x-!AoZb`*U62Es@E+>U%y|o3Rjj{)YP& zr$we#WQN0|kGEdDx0BaYcLBhPi8vwhnWIi$dr}n_E@=LmapS(|)3UuzvDyhoB>N3u z0o_`+x`yK-QV#lcbT<-2^Ebi=|>~h*0fJ%ijT9URAqf;p`LpmVwDV!G(Ti#idmxL(r`6H zL|`>AziS{dwE|wc@;q6ev*|v1-`VkXD!gU~u*dw4pI@=On_Jlxo6pq{vG2KgyIklf zcZDOkCe}~}GT?fcIE)cCwDk;1zyV;AZR{smR1P;W;gOH`W!o`$ z_E(Ab@oc#&3n8E0t~I3@69;jB6yqayYShDMr)z|ucLEk+OSHOzPkwuF$&3AL zI)47{BBW}3_yrd8OG%uJxvmq1 z1U~J#$Dx!tfZ9Ma%oi#3&LULI3g`s_^wpoN?Fu!`7G3G?Yj*y8o||F`coWFMOd^<= zu9oMU?^;jS|)$Gut?A9Ka&SMnaYze(Ygd7BLJ4w~dq28Gh5XR}s7V-d zJS-Bfu2i*IPn*WQ1#(oIQ3CqSz7_3B03m1XUnS>g*0GiFaB2cQv8@a;j<{) z6yKDpEF?udGb&x2Rnk+c7Fl}VKTy_s#4sWxd8P;EK}^qBmu!QQ*cM6galBgp3R0@n z;WK$1+FYzFW5rBzxJhxjH@ypZd~fG-h9E8X3v+)1Ah+}dA1{0~1>lt0&18aDSy_7@ z6`}+hvKg)a}J#m)wtUMsmS7LGS7(H$604JGMAAtMRCA9NoSO_ z^w;oAP@ox+8$|7qCe>6WxjKs!T}c+HU8P>}DDS4ZGb#m#!@7TD){1kdT1mM7>b~=L zCl^vFoN>LHTsBLeK(5v$o(2lq?Z+41hTnY!g(W02Bqia0Xi$_uKicd!T%Kj3uO4>#7c{C`_^N1YV+k*JgWVZ} zgNWe1yA`}njXfNB;PZ2fTD{R))A>c50i$zBk_*%+UKl!3tQ&srfC-mb!o{A}`0Pv$ z08uK<>~~mu_Z*rP6-TTh>+nGDa1|~tgYf)J#{Au>V}B>$7&Y(IyZi8vMX8CBd%%0k98?6X1aKw$I^>&JhjWIIz) zap@ED#1a=BUyqqOLgTn#Pb58M!E!Yh%l`Ol1}OC7Wgnir*3JO?EQ;|4KF$frUKi4R zok95AY$V`J#=H2&aSt#Mh)BxTn;-3y!_w7_FSZNBw<9blzSLqv9{3nlu#fy+$^#8Bxa&YWigN+ zw1xuw(3rx!*ahzuv}ejh`8U_$D4rjnreYkEx-Ru1=6LTTG_1>S)F0Q*nHE(;BA)Gl zttk06-9G69oXpl+FuQmL;eH|-ivWRmLJ(*g_cJS<%5|coKoQRg?|YOQc*BG_N0f5L+hM<;WgJm;!H@DXQ` zveL!MP#&MQl3g9oLe4&vGI=L1DA029{fN3nSuFkQLS-9W!QrC8hXk*veZ_gKfyjWH zyl^t{aE~=}RJ%kTAfcK|Ixd59%fYz?1s7>&?vi38?T~s(-~4$UN+Odd?VhZ)MWH)+P`$M+&;xxyS{Y?EnbkOPA}yXYG?_+(eGs=-G&_u#TP z`)8-s_SpojZA6$Rxb90J1qw3&LcT~Mbh}%$PT3ovbA*vUXQG)q6Zvfo#nhe6DMJ4o zfq71+k#dtmg&kETdi8?6q9-*c+n}Jxu=dk?_ z-B#E(Uyi0ta&~xa=jb)iyF&)0mPV7so67ZR;)UA4X`8k=T!X1SzE>{LhWzXF?|+IP z(7h-4!#@i^gXf~^z6~GyO3ux~B3xQ;%=egX^bloI(fJDHwf53UR2AZaKy+eb)Zoa- z81Qyw48CE?biMSaG|BwYLS)Sva5kuFpFVCe?I?FLPCLo9@oasSoC2Aik@55V5~6bw z+qStEnctt9FwXj%r7ov3A?sP;;*Tk6S~|iV)PgL;Bjs|eso-3$ohoar|J6MjG{@oh zEP-nv+g7Ua&Vsxz^g><>DR0paR_QU|E4Q8XAP!&v=Y7F(Uf$EQ7eItjn30F(hD zchs_RM@+_1r4U>zOfg^Sk|U)xzq#s=F#InqK!(=Y!sds3W@V*Y$MHzzP4>D2)iRCI zZnQfPs{0j``kL$=tfYOPEgnFeTy|1ZRmDYXNMJ_-e>(ayCi1==DQdKMT8=03$z3;% ziGi_Jr+)J}3a}x$71U!`{mLUz*ZnHi^gtytynJ=Y$WlHT>3nqpsJE)Voni&a&F{z?fWx%BpHdfo-&Fp@N&Bv$F^t)b!Wd zDe3Y(lcO|yc|xOl`|%FneV?`)uQKG0M|N^gsh(;7z_1GH2_35#NMY+ zi-~*eGC&DMkj0#|9@ce~lpeZ7QgYn)UyxIX`3*1O{Ckin?m_f{J#9*&mwLu8gG;ucJ`2C_BZrB8C%1Va(F!}j;TVO6 z?L(Yo+L7CZySr}Qn5-bNB1~&v>#G)HGGz|5h}I2z+99qTq-1 zXx|5R2kev+fvtbw>kJ#KA|N9?`svtw&0Ey_v@f>gjUg^>#+u#UdE@ywx6O#9$vI>a zBMZ;nn}&@ODDmSdptgGV3c3S#Us%_!{zyy$?2;(sVxuS`BD+K_MYC;x-$>4hlnvA( zZV@I1AV2B|k*`Ko`?B4<++D9<5PWXzz@2(F5|~JpwV*D6K(2cBd}sL47ar)-n9Q+Q zO8aSA`iCBn0@>Gn<~xj&d-XBJw>H{ExY`*xavvrtL2}vyVSU;iOZyr_&(Kq>)+EO8 zyp6w3KO9l#wxEKlHtemAx*Y+%bFrB8L~hn&Y@%&79N|dtxbT+CS69vquB@C{pGV z0m%8RHxHMbYarh@BTEGx^ZCI!4CR zn0p%~2DZ|CMRh=ZrLf~aiD0jn1XdM1g>Hsi=Ev=Q^tRK-2bjiduO{ZNF*PT1qNcAI z1JtASXV&>^nZNzW;(;j$g#ON!xC1Jq4ptTdfi%>cebZm2#aBL@{mWq>Slhg2(}na9 z_W|VT)j+UL5cDXqlp6y~9q5;$w!=9@O5?I&T!YIC>by-y;!okHQfWO?6lGD=wZd*l zKc1@;u|C8$VSV`9|LB%VrGCr%f{=jicOs7Y>#~ooG_GQtIj}Q+10oZ0m($QapdNF! zffZyXO(T~DBHDKXW?}o1tV)JWF54U9YfATSpAaI&r&A07uKt&i1Hk&rklo^lK{b3( z;TX9Aab2ERVa;hO*04e8XcW$!j(r*J$kxm@&u;6pIxzg+jR;dLRvSn>K8?jl6Dqkl zhtT_4cg5ILqgj4p&FqF+tn5l31IOHRYyw;g9UfxY?&jUyeeaJ;9>c$zM0|Q$cZyA{ z<7ci&YifLSRlQmaOp|yW859UG#c7{H09H~!Kzv|e*tgF!j7nj;Gh|?`>1e2}I<{bI zRQyM)e$^tnFCuhvlK1J?LKH3#zXtQ~ecI6V>NDI?021I|M%!;gau&>uG+K?zO#`4v zPlmzItN?LsSy?9`{wPerVavNA@bSM#$txkxpYSu&aHV-KMj$Krgei`w-5KrbnM>GW zisJHusYAnljID@47NrhqTn{yjm4!(#U{@cYuws|GmP!MDoiSK=YBf&1&nkdQUfOIS z-mykb0wG4>#K~E_N8@bY=wRO{Z=LF|17QT6h_e&GFjPI+SXfxx{8cEoe)%fMbnz@% z#M5-eVqadqK)&l|GS)al3Ojg1Z%zE|qCTEqU1r6X)`jI&3he2;5e2B_Mh}oB7mmrN zR-N~0vcS+(vJisS5^c^xMT>k7I>mnze1-(E!7mLi(Q*9N&v<=)%p*sUk6JHo9bgVt z1a*JB^rod@f^u8o$&JD##lXZ>Y9{GGAY(~c5XpK^HpajZtCcnMlbl@uP-QrKxZ>+p z`T!-e&%#p}uvb$_O@WPTAUW#2h9}N_S97;*;3-20%aY(HTbmV zRP5fK+%4k3RVU<0)cia{kVDB#yUBNdb$oQHbq~9`Hau0%z!I4N1V4rIJ}bme!!)qp zeFgYWhrW@Br!!vfPf-%_Iv5&9G+VCxSpFjz-TCNUC}s#q^`wDZ!;D4%n=`91O(8pmN}?Kzp|N zT3Yltxk`ukH{$sJQ})@(qtK8yXxXe?ssDIcHw~yEgK8-M4DLjaQvo6t^8RbkuN`F7 zr+(!ZMg2z_-vHJfw1s1{iI}Lf$}Ocf2H5FMaYuW=at%Duq8w_!v*_awKw5bppA2$< z$pZuBUXAH#J9Yqg=Y7l@cjIBAKBz>EeCmnfD8k<|P*Be#r_xXIyh>`fE6*`4UGm?b z*|nRGBb%HtZayzI&IOx!#R`jJi*=gO9xAIg+!Dt5Uw~)6LjRqGlFzVNVycVB74`w- zYQ5DNN;5ly_Xl($%cN4{MX*ub-Bhhh5t?me%JQy1u^~CaqN9jM-R9*?ChtcS&HR3| z{eSR4=VekKSZtC3c;ym%KWgu4omE7C=y%bt`5=#FfW%vi=8{q(&o~Tca zl+I;36F$|M>F0uOcDZWoIzpZJ!rBO?P5l}RBoccm#L%>ZFFW@`!n;mH?M}jP;DUxWFE~SgzLBu{Yy2s zXPPsroL3dkd`XWIv0SEed`1dm^%Ca)>~Ui0$1u}pYo&E}ZhFneD##UR476@|DYAqZ zr;9h}PWT841|97Fw7eF8{4zQEO}*JbhUWg=p}wAoK9k*vLzq8bKE3I1PRu>pf!8TR zS(^BwR&^Gl8@Q#W`Opzu=hFOXcW%sQb1@x&h|;h% zbh(Pn3fg{9!eX-=l*fL$;UegJ4ySKDFK^VL6HH$V&CMWCqHe^qQ_LCPR%#Sx zfA-~ctxjNUmI?QLNt}Zb{+gDSe%3Hr>Jy}73pH8b6RLnvRUX@;3pEZD;uJ`N&V?xu zIXPFB1PEw@iElj&;AlWgj}#ob(EBLrlzR7Fz$_?qy=UdU21W+S7ARK@$^ml`^M+fN z9HaMHo33{K{W0q5do3X1w09PMRPO@&XJyvPCR4Vi?id#|M%tVTqX!UA#SFxl`oj%9 z<4zrvSU=U*S;WP?jfq=7I2$xh5vld;8b!gbW*g5}w+j7n2V6HMyJmU{eB^s!3X4HW zIB>{8N6`}?5n92T<=8_qN6~I9`r?R`+)=bx7LjSIsOQ9}VNm5tg~!ZnG&QA5`LcCo z+=#KQy9G=%H|PlH@n(0j3rCL&dv^`zQG8%OZSoAt1B*eOmTPYyLOmKLPiG(h)K79B z+7TLQw==J9^6vypg^Iz2j*dnV;y;R&Z8qL=Q%NznTI=hHbJ_mMZw(8&nAlPD30Gtp zi{R}z zNT^f?FkJ3U%4Y4IZe8?VOecOb%pYzyl<;Kc?hGIBeO92FnZ)|w3T9?oPi?xjR@ilD zv~Ho)UFkBJ;9S`~+;8lvC4E)D>M6{PJ+@i9nsND@-&WLR0_3K1JN|G?v^tu#|0DETOkoBSYw7Mzj6qK}~TPI03OlnuRug@Sn_GJx@ zdhNYwZ>D?a3O0#G?X0$FT??=&0QzL*8&2Jx9gssgO0e#C?&nYt(lIY4(#EywO#_|F zL9i+gW}4B0q8F2*8yi{e`&c6K{S&~t7K@WTGp=s?8;2IsyI_CsyxSss6-Qo?^@NI= zz6^xRMAW6aXKUH!E#B#e_oaYrYQ+VLVHxv{LLjDoFu9Qw0eUJy*u{NQ+gi8j29+$8 zCSD^zjR)2jYUDUYkWkNI;%n}e)q7&eB4zVy6A^q5^YVjyLi@%c7Z&Aw9sV#M z&a$2~U72PB1+UK0l)n2Hf9!iwaV?^nW&8#SZEcE|Ft?|NJUwgV3B-H~j zp9&{&rNA4n0%y9$Nz3^fFtk%B?6R>@m^Vzp{|@8OhHE=B`G6wb<<<`ixLE%On1=fJ zbaTe1srq5qke{A`+Q;Sz`RchaA zVr1Fo9VNx+LX7J?&baR1wb2^r7>lUAh}W8}uYJ84Y@HXlK3|x5l`8aY7>%EopA0b} z3VFNb25iJkr{L=!OLFe#*RDMTb`@o{w{JSn3|-%*A1d*683({1)<)ia&5GB%O{^)f zC&cxzMpan~q9;%f$n$4f)_}F-^bd00e>NDw%Yq_ybVV(1wOhCV_1SK9lyhyauXIOM zJ@2Z|Y@!}9#FcYt>~jl|feP-m08t?ZS3O8Y(NUb|WS&|eOZaN)T2nNmILK=j@Vf1|eONJ>|jZLRipUzyM-cXq2!eVl> zuCuuLnN#;ZeTta3HxRT7aBjTF8r&e7tvl6%JR2@^a}ma~aaiv7Eke$+TUm$oV3lnu zWAG$reDpt(?hoLA)EUnP2mU z0O;Iu8{9aIVb79R!@|GmmS&@^@&}B2610k({k$~yMyI>+QVfbm@|dTmnj1_T&YMKN zGhgO@-osfd=gScH65@2z^|mL}yEFic2?QkS60iFB^rkd*9ey3MW+xA>6M5htw7Xa6 z8KRS;3%ks$hfK8(=e(7hz6sc08>GggB9o5sDZx0Pk-bh75IDgpl`&k2af8oKK2>_w zw&XOUM~felG*^#b=*Z637tYN?BV{L3U#rttT+@d&zR&1y4%?<*ULIm$9vw!*3$l+Y z_$?i3q+jHWyCfwy6$;}?79Z0)jxNu!O;LGP{14~N@aJH~F1kkkfa zWLNn8Mq|VT>O%rxD#iv0=^YA;{IL3U8HW?ZdyO1H39FBX4u>yhSYmB3r({h>Wtv#R zrLsxZr^DenIaE_OXj05x?q*Gk4${rCwfniedZchXjAw4=?E(g`D$Xr?@YpJvQdi0= zlWn1Vjubs)o!E{(w&mE~fWwtL0 zugY7ymJKL;vzWtf6FC@riaH-tf&$vz?%povKzAloZ>8gS2}u7~qg2zRO3kaBdg?bV z!^t~l@2;b#D(dlqFxUCGm63(3#NVsWX7Dgcr0GcV21xb2vR%^vt9mq}1W zV@8nA7WBdlIMiDQuyIpc@tsK;QHf^za@673M=Kd<(x@a8oRiVR%yPLnj%>vms>}J7 z@9_TcZ&!H7X8Dev3bW?7Wju0{+~52aP{|geaZ?D}%ipe=>Hpc&$8oxcEcBwQ)~t3r zN4m|4L?)~<-1D2OoEy-p=cP)~$QY(73j8{_@l2#pV=giOQ9LptXrtZB$4wG$41KE% z9W7j(`i|%A^)Y-}u~yX}dl)@1D|2 zRmo?I)U21uG`n;Qa@-e7P1Rv9W-BNH=BP1luOXhDQ0YUkb(#FER_{7jT&FR0c%LEn z&puA>NSl$f=4zkNI74%ISNk+)>o@t28eaB&d2+{4AbJd>5j8+vr)q9io-L>;hwh?!^kX|LaYQ_NPEe>b7T^srZHw-_rD}H zjc1EwbH%6VKA2{%dLmFQCywjC7j(kndA&ThBC*v}lx@J%JuMl;s4gFatxTRH06kyI$^K4N}I!6^(TK zRm00=A`@7SUh5?KHJ4LIcxUrXp^6W5@3T(+A@s9282s^n*6KbAD?esC0#jlBAJi1NB{3W`S!#acV~KiG~xUUAWpf*;9@Tm z|2couidm9*50&Hx2a?H`$xf0KAWQnKxP4I&osmw8kNZasu|!}eF5UP`<+cEj*K78$Svmx3jD?_sKe(-AxA+FXqOQT0u|(3x=Z`L~ zyYJk93^(w!LDK1wtrk$H07SWmouYq{n2r%%a8B>Z_fs}4-hULq3Y$OKajfTAJI|ih ztu87wYOL+ATvv9Tz;xlO3FFXEN*|Bs1bI@4D*#N)6bU*E@$+Xl;&`gtuUK(w=23dl z?&6B>T=4~mX!Xz5KC6xq<(Yc75*=~kh=qs!ii}%ZnLot4B*ze*lZJN^zwCW2G%RCc z^l!hb+|ogOsH5LCP>Y}oF4B8 zHO^H4IMv@Gt&4vvRlA6wWxaKXrV*{6CJCcQ`krfLv@R_eJdVky+?JV5()%`sYSm+Q zAiRU3XW$zv8d-0Mqd$P&ZJ?yCv(yA)W2_1ID(>u55KP_Jw1FhxA<%Z*wF20fVlC6F zwlM`cFm~QhdEqi_s#s4B(06F<^b;?!G>Za7MoouI-L(7P_+ZFNL#`Df0#}b*gs-*o zAsIMDi7iiHtbeWKe)^9nC)tEZG?AB)?IMk_&@4ZHZnDgP2j&DR?)o_dtD{U`$y zn{wj-L}p7YAYiw4Z4GFH_+djJ=N2r4E4wuoWKp(S1FzakH~_8Lk<>sMmkDE?zKUtV z%#K?3+RbhVl*}xqOQARY;Mm(r>B;8?+!CdP;kb@@)LnFocrZ)dZRrXwvrF}OL{PL7Af?vnZ$2d1BKLcp3WOssqWaCPr z=I$aVOFA`9<+c7DhBj^6-y<#>n_(|{n4b9oxB}&NH!=Q^9OD-v4D-f#<>Guoy`lPS zzec8cZNIPo8pix|2;hzFv`w!*z0A!Mg%qh+0d6*_Z_!COqT|p9+gPbD8sNG?bB?UK z$rUj3C|mMa{)0xKWBH?WL+-U;cU#$=#gEMz8UddOlxlm=WvX zBjX~DPRXW5QMi)xLX{1ib_PR1jKBK@FYu?9C`nMwCaVaX6Z}~g65@ZW(|r=@9QpfJ z%AXW%SmQG@qqv7R>b25t8S(a(P5gRWng)Fm{&H(PLUL9-tJDq1$*g2Gi`n!WbtDY^ z+lQ{iQH%5yBK~iCTJ3(bojZO+#}wn8`c8cMx*ECTC~GCc(TxLZg~Q2wfa%uN1bA+{ z*4Q;zOKXcnmC|8QNHrb8&=*{iLz(A{SF_bm=P*zPg#s-J0IGtlz1at2!7yI0)N$#3 z>Z+UPp`Pym^{gcz5&9Pt;Ge32r_`}~zv{%{@7Z(SEiJBJo;`CK2>-1C2DRA!d)2@S za4BF^KkkRo?gtB$fw%ij+w(WYqcP_V`SU%5*OGXu05G1S|6l1c!wjTG@zoqPbiA*( zm)+fBaw?Dq%rW9JXIy%pLhc@!!TB(viVFu|IQa{lE`k7w@%FUm+2JV}&Q(KA*}DNU zJnJjnYNr7}*vg@QdiyUe04B=>Xar$$f4UaCq3!%k zwsikM5)tor2;R0C*Ein5}Ok z#zt_6uN==Lz^xqmP@sbpzfmI5@MOkegKxGH`UIHeYU#xxFIl+Z#*nZUlgIkDZs9O_ z!AY0BQRrsE;2#re5v!U5J8ivGk&pYen&bJ4&B200rCe_f+`11m`nNVZE`hLBU6ASd zKdKpluZ!NStj63tsntyj#U?A}nh4p(&Zo^O-2kBQGyh&25L=DtSEH85cOm!4X!kA` ztsrW8DvFS&(xM#EzuDm9K;S8E^sKBwH^ z4BJ3QEsFQf#ccI~SwFX7P@0&<{F9OW9%JEqF=Nkb;3YaEz~Y)l=}U_LBkte+(?1;Z zZ)uvmd8-QW<*z9}?g0K9WW@GQ{50M_T`YT<3OoUldk6^mK<~0XvjQ(IDnH49m;cJw zM%Vt8SneP9&wwVU*h2rf-1a~1?q84czeBzQ1NxTSM~q z`vqd(&9!`em zvzYYfQyc$z(t%C=^ES%gM5;VKzX$f$?a}}9TbFw# zrkwVscj~UgKL!BYes^=6jKhvx1V*+%dtqaowl}iIXvnXsz$8hZO?b@>_N=NHPgEh|B zxwJ~to49J!;FYly(RCwXI&aA)tMA_a#JPN7`k0J~?{o4`o}+!Z7ai+ye==6oqMx?O z#l?jilm_?}hG@XEfBM1-diMq>f8J$}VeMvTmy(Z1orJr8%j1il~(_@9?uWT5Ad0k?FU88}D(L974CLu%|w(dufU@jSdC zfEZI4=o=4zPdbL6_mAdfSArm%26}4Ie~e`1{NIiBKWX)!C-nD+zNw`?&i{6w*Vu#t z$by}LzVA^iN3cKm`$U7Z8fv~h{$nKFr~k7F{iEw#F=Y*9UXvefoB+Zs|L&XfC%yVp zp1&qaTI%kkto+AFqWAw={J)R&zqytw@brRoleSMm+Q5~!pUObrTA#srW=4Nal*wdw zF+Kf{kvv}eZ|%@OCiL4l{um!%1KN`9fp^Ht0Vw;N0rXwM;H%cv^4COPw=K3yO8#vm z{Xn$vKQ)d&x&~~ZEI62ijOFk4XZ+&w+n4u$O*AsFJtQQ!?2nNo18(jghWtNROTgIQ zl9NATk^j5>{rGUM%zWdoi87LeP*Re={o^DE`~J7B{h!zJ{~om8NB;jFwErA0|NlH_ z?cp>~kG=n=w5yLwDqZ)^>7G1is&RF)JmuFkb4tsMDMu~8xRur^$H*x)Q)C=VGzF$n zR8(N5PC02xN@i+eVSc3fkqRg%RHpciUx0!_ej*YODj*adB{n|mozcP8-Q=3^ zsHhugHJoIhL-zkB7RN};w7%l$KLnnhBgw&&N~VG#Ob(y zw_@tpu6d9vS3QOkSD071BBW{9N;UX&R5awrIFvR{NP#MCD9EZ3e!`z ztRxl~Z&mN(46d2i44bn#)g+&tIo&rC5=v9tI)S(K5@(AgRV*)cnRE8muQpbqjatUd zb!@OTg1PHFqE&tyOu!)s4jIXELAy~5Gd#Nmf>AToEqENZ6e8;gG+;GHO){}~M&IBn zoi=<(+U3+4nd(y-ia;Q4grL!C4R2S?s1o(>K~*Ty6oW>=TQpK)dNQS%Xz%iCJkBv6 zl!D9$R>{u#ev>agT`HC2b|4n4?yc)CD3^xTSom4jZ8nX)f&aK?<-PO=%I--~(Gy?z z=#19S4KA9)!Hi=c=Jlw_OB9Z124!!U9NA?hq(rb2dVD^qKK>bV|2++2`^!c&ES}q} zdv$Uf9EdA}s7Oj#}n=J1lMu~>=bGv_r$y@leiyL}}8O8%!@X4;WN}*yu zOepCeV3j4xUnSu?YmhC|{DA%EHKd*C6{&JVW*7KS-VzkK7vmWa4>Sv4JqQbd&h5 zS0Cy_7^S8<+(w0vShw#AoUWU0!;p|ZUF?(je^_}Nm%k8y9ItKa{@9+Qzt@H+vpJegkYreamwp zqQH2p%TUlToNTP6k+!SFp9YjH!`r8%ZfJ&Pnf|=_=JZA%sza^ZuFUY-AxGWuo0SNmb(F{Igckh7TfyuD+}XUluEN>tMz5zvT+OZoGZGeS-Njxe8LMNJA!dA7 zS}DmjD97iUUD#?RO}SX~)MIWgf@9LWsmNPi10n+c^w;-%E0K0(biSzmDt7767G#@d zItiCHPFLGDFjUWzm~L@Jd2(cjJl{Fn137I?mmyfq&BwI+z4g7!RIy;(`x;nbVTfeA?L-4&_KzIo%+-jDZUX0oVeofW=bFkno0+ln2I@ui*1jvP zaMq6oR42AP*^I@U!?kc8&a)hHx=CqED1MarKLOx~{W2tJ2!xk$;Ok;or1=fG+a(XI`2frFGE8qn z9fd+k$jq@^_#HhZ`V4o72KHJiNvh&JX^&I&2Oj;qmrf^@zVo*Z*y{%w>wNJX?UBp~ zY6izn!p6A84KOv2_}sKoQZi4FrYG3$xg>#)ZKa4kLO%xk_s~awXMTJKrJAjXZd1aFeV)VW~(!~RTVId(QVEud8oa(B0i}nGz zrnz~gi?9T`*7370o9hz#*!5cLHyG;h>n$wJBoS{+o?YExTkD`7e-x`5(rcz%vdO@3 zN=kBV6B1nNYJt9xBlk|-KtKOg zxF@z}&^JR-lDPR0XYeyluP@Ma3$%vq^prz%{4~VUP9$MwnKDJaLXu%kRv5zV3z7D? z&ZM~6Wo6FG2?dUA<5A{kO*hu^>;(slIGdk{GThoo??BJNIn`@V*!S!2yY_jKqyhqY z`R%zV{VV~AiflO#xa`!tT_qkrKGaoJ>o${#oc4iul4j?9+7=$3b2JYCT*j{#e_Gzv zC{lwV>|OVrjTT#jt{?Q!3HDYbmKQ=_jpqya*tWl z)y8CWl`M>&e*A%u;W(&E)4X%ej>4(sfT4_9`Cj)-R$Lsu^wZi?yF#0Q(VowyOMn^J zgLIcKzbx5JL3QfV!hV!M1sqsYA_5a&9l&*WUzB_88&>)Q~IdxqWwlXvGUl{HKR#1Q!rI@%l_@3&(DryD`f*Pu&c!2ZN02)ufd5lfXcS8+cBqb z!3JKr3C^miuP<9IqLClWUsk475!+z|A5+mCJuZGD{La}Z9NUACSSbXJ#a}ARokS9q z1mPhlYm7^bp5EgsYIWrDcnyc4Lf~Pl1fT+{0yT~!5i5R_#57!^R4K9rxfBXzbT?nL zHk=U=`HYMz@1$yvJinUQb+6TuF-8%e*qety!dYZzx*p!;x4AsN2I}ktLcQ&jacj{! zAZPY;lby4>f9{i9H@yJZad7k&1S!)fJW6j~GR%(U;-kLV>3;pGr(w_#LC`NnuOblsWjna7 zYng@vmcQDTZE`Nl@;FqsTQ(V?uEU&aA#3XE>gpIN((h2FF~s-Wnki}-+T&+NP#f6e z9nW|n407*Q9pm6m0?N;ye)mB+rx?+>_!;Pj;~T-j;US%w-79p-e)w0VGMB@FVU06b z{>47WZC{q4S4L8z^{C<<2-eFNLL?A6GxX%N)ne8G{49;FC?h7+CEV=iJxY~!9O_LK zBZ?5npG8iANL$0C_Gnu?Kz4kn`zF0EOW7$=NJ&qTj2+zOfVb`Nq6aKlGe}M`-oWY73%#7zDt+St5>gvIb!Q)-sqeBHZR+orE&mK zZ*E1wg4==QtuXKHxq2jJ<$RWsnoxaDQ7yf$;9Cv*&Kir8@JWUWn{x0AC?hmi(VfS? zVu(qRO=W)A4*~^GFxA#zb@)U&kl;{EWALvb(iIAz+h*z`*hr|iT@#GcLlIvA{EWc_ zblQ|(+^qaC!*G7AEO3sol2^{{b`ibE} z7+fDR_bq`nMn?}s;IOz;xE3pL30Qy6(i0EHNf$j0Sao3PbmpxaAzXHg%|S`(86oPh zl$s%NB<;iTj#Ynka?=roJE8B%LfRRP;+f>xyI|AIMi$Aex?4Ssk@YURgo?3BH%o^R zm;6bQEISm~687DqW3Y9lxB4Z$s!Al!>&X1L%L>NO#m_70N3zLMx7a*p^yyCdU@0pZ zkVrfBWhJpLH|x?vwIUs{=4B(iu=S_eRhqciUGq4HY~GBIq^qpT`E)NYrEPd`!_tlGlMQ|I;f z#KgZ^OzJ9E-=AyQ^8?OA2?U+Ml-dNw|Eq$qEW~P|3hXD{LDXK0UiuZ%lkZfO-&!fh zm%ZO7jyH`H(oL0n2f|*No(e22ns1D&ogN>7y3Y?;fs@J&tLWXdTUhKBXNKZ47ecMW5$Ha;hW+HZgI6;xb{F{NQmdOzIT1EWAe&~rbAhFNsFUo zs73W*1SE%bV*b@QLGXqB7K@Z8L2M@x29*Njv+f8{;u6VF9?|rRPKmO`%!G8AH+mmA zXBY6Dn9Aoik6l5X@Q(!4z9i8A-Uy#5>e#@eG)fw0%RDY6&TPb^#~ocfuJE8jiA$## zm&%g}_gnmwC4A(xGz4otr#)I8t|ib+*y(3?yi?+YFJl;Q*_B9vLCY6`XD81HC%L@tXEPhDM-nG8q7YHTQr>sF`Y0m8H_!j$%2!^x;z0!kY9i77yJ^SN*3-&Xdnmv}zNbHUx>+-Iu+jevPsHw(8Pe|<){ z2GYqXtn{Cj?`H)BKk_+12I_~6QqPW6RBEjaey zLBua#o_IaO*W-X{ybAi=-1{LJ;7@mb?Q9==n@#;6np9= zVIPTJx9u@v@{+q051XmeB7wvbxBl26bE7+EtKG!Q7~!+@FMcb79EPkbC^8k>AyYPa zzEo++V~PFFWzN4DXtYaR(l)?4t}g<$9&dT5V|}&sGpP?ZoqDeRlZCgV*2U7|v~E1e v;_HcvshNMW=slVD|DC%2zb#X!&3AtP#ba*9@)58SXK_B@qJP8bpKkvbJeR&5 literal 34560 zcmb@u2UJr{+cm7Ww|Gkx6zQP!CcRhD0MdK!Ql&~u2vtStML;?P0qMP$P=wH1q<4^B zLx9j*{)5l=yzl$f^?(2RUAmHWGH1@roSC`ywf7!F)m7yQa4B)`+_^)b@CKxL=gvLE zojZU1^Uq(vl}@#yEa2ne`!@#eckU2&-2S^8&p}9a=gzY`3LqJ6ue9BHi2f73(+B(f z)`=$i<^{N{`5!`>6UmKs1t+E~6&yobLKOsLA8UC^G^In zMatXH?|kYC_in#vXR}E&qy>dtGv1u&hmsc9<3Th^zbBz!0 z-d@yxU6hMv9cgc9XqcXv+4c~__!r$JD3U0`{bju)JTPrLhG$AJN2fR75bTV;K3QG6 zUP?R-=FoOK6&qT^I_{U2-|I(C6~vb=s77;Sj7TWLqWk0OvC+aeK*`HiaqE)H+jb$# z`!5mU)%&`=*(0SiQRe!&2FME#W2ol-UU?5*1y1^KbE#5Z#fx#l-O$ZnY8%V#vA6;D zyNTDRv~M|`N6yQc>eC%T%w0ju@e_Gs`5;&UF9kf2n(kPZ?t!olbf#bX>_+-wH0>f{ zu|{?g$61Os8|dEe&?21pY7hvdJWYeC^bl5?cbcebiA+xmDWz@lyv}&Tq83cq!c{RD zM|kaZ60hb{MO}pCf22`mdYAK*Ctvy97GHWZHSpKH=3GZxA@R~X9C);>e>Q8?d?o#9 zYZLqBwQiK{2e|D|OB(L7-P8NGU2S5~SJPuydfQ!JUU&wal52ILehV3Q=z9-xf#+zXe|7B{h(dloSXA)cl|o8~f#C zWcdlJ8#ZI}l&TVKb5;O9p1u5>5w*vYHGQ4R_OMRNlzP6sH9h({(#v_L=2AHBew=zX ztUuh+P^^B2c(~?uT_9wUCwN<=5Sm@;53=eJE=_Ij9_%iVUHpkBUb^FU_{mYFvu_?wfCe=RYs)?4_g-VQ_Z?#24!WgY}d|eJx#e+xh^|1VAZ}5%xPbQ*32B58}@!K#*` zSJsdH*;7J>T;NYVc_+%8P(Y0x!Fg#5UenZV@KE{5hb4X~(5Ee;O%V)PyAK*Bv7vTz zgUBvjf1sCh1WKN_=w`_|>gd)$aHWD6_mK>YyRx1dp_udzBK?v{dxh#yY zZHD%A>C#p+2rRcZlgZaSqjw*6>=CB)%*}4SpX?Kq(I~zgXG)sR^R;L&x`i6OyEf%* z&Ojf_VI6>dzkbp{j_#tRc$j>`RSS#;ubFH+O1nQ(vB}g)5p1jJrj}0f+t&KNhLcVQ!#D*jVSD97Pt#+EKU7>L*@QJtF z@BQTU8)4ws zH;+J3+!p5W@ee`3gI}L4%pG(R`{;=yFPuN7ZznS(UBbt7$+)yCN8CU%9w%Z7mIn99i`ws2{~MVvckw-8IF0M4w94KpqnXSx~mFZfxd?)n2^y z^-?_Bg1@-HAsJ4VF0*2^Nr8K&PIa|oz$rgC>HFUF7)v_nEy=N{$er1g~;6(87 z-AB3=+mVF6u|b$&Sq|Gzc0MTxQ2b3#!W3E~64%|OYjeZpNJ9#;`ek4!+1zoZ)MTph zXmW7D>{ZB!Ki98+2ST4?7Z%`EuTQswtSnjRn#)}dq-=6@M`9UyIr(i|r7@-EPX+|l ziL;(n8b73XFVXK->_fAWRP<0m;~nt^TGk}O2kI;=oDp2qnLIgthW5w;<>>hXE0Rg? zFtYKSw3?OX3FrrsUubJ@@dq3`7ze?lgttzVem4q?@?1e^RreBcj6FD*8B4;))N0tW zqh_`i4ekQ5i#y6$;}>gPyfx_yFxKp@?Q{Q_9ltY++@8JGOYFiw8mwzk-{SMu)N}+? z4=&X}FD~y(HJ~SVm#$eo5!LR`^Dq@SNVS)M6tmyHorLt0g#iAc(tCLp7Gwn$c=T zj9o0!NdW|OK7Hnq8`j26Ca1Ia#%zJmnLWt5Qqx^o?qi}WgloPPdZ_w4{CFF(->Zm> z6bUE;w(~FU<(}$OqHKZ+$?FEgzz~8~O(#WJ7|^R1k8+wLp%b5>+T#<$T~}Y> zMR^6^`W<})%pxf*LSk~pKEW1K*Q*Em9;|wI>E&C&i;dC)YIi}URWlL5k_mt26Uak%&KFYO#af& z^7v0lX{FQhh=d=C+@+Zc1AN;mL#9!(H>;YASiZ79`@7^Pjq*yGK!dp85| za$mwrGhU#-!q2vuyqaIY3a!${2t?mzkw)1VPtq_u=`0Ff<)gK;ju7p{ne7uqidcOC zpH^iyS62<)MD-ab%BJ}q{(0Bz#@;(EH+$j2>35Dw7!lu$WST(mXJ)xv$@;Pq4P*{5 z>9$h{t(lGCu7k|;*9f&m$>xJfOM*+W!Dg{2`?g*Ycc~#*RP*BQ<`P;FWWrJ_S|N1i z+~b##3yXxGn=H_ACH0;8<$&gyYeid8-i~(5^N-rbjpo5`9>>SMAoipjJsj)$n#6H% zEPf`i3%pzoWuCD68SDWt(3t&8MDfwwrEu&@d#uh--+J>s&gw++yy{D{`-?82+C#Od zV8Lea*~z)nq|phU$KiXC3!`5E`fwO?7j2OG@!e>wvpzrE6^O?D#XtHBNG9=a(VPS` z5083@u7d!PEwM6yo0~HGhh0qGd$o_qO!N}~CGPyR4;w@LLD89?6((-4+)-!#pYXZ9 zLTt66)4@I5_`#_FvbaAm-fQ8T0TBitk*{62ySq#O03X_g;6M`j=7mG+&hQ;>Yx-cT z)`m+hyS=omPrrOlGSU86cKE9CQU1-g;G>l0usO;jBxI%rU!)llTBY^S1?arpao}sjP{UnbQD~}RQ8X6k;e3%kW9Sc(9t&Y5ivbOs(+{Rb=j`*Ua>f zwGLA&Wa%^acOI3n3!1<;%)c&}bE?^9idho^6{@T1lHd1m1)p6Mlq*FQgQYiKPNfZ#Xg2eIEb<2+2PXh5yuyaFc}`K9bpok@1J?!pE$KKSlecW$z7x9fjix;UEm7MMLsG;>h~4rgt%<4K;lii!*DPF3q@=BH z4+O-GWVd8GY$A7@=D@z#7^RFR;q@vPp$BH6yBJLe`V`)R*0>qx-3S*sRfD%h)yaqU zW*GS)6MQtU??RD_zuu59(B3;R%?V>QLkosf%=)juZmztXmEo>nXSoiQ0Ce}%*%%x8 zhUdDOPR*?TpmSul(JE8|z1-iF>bGl2tVKRht*pE>+zFK;$>s*@WGrlGc6 zK@ZNnlP|+#t$30mn6(bnnbvP-)c&{18GciC@wHer=C!q*#OuacLs4xt&i+bH2cD#m zo>tgo;|qB_I>7`V@B?C|9GfKJBb>*?(^Eb1xD7MITqpk9t*EZCBW2)C;K@|FABOf= zk+>gvfUHPB82!rBqLr}&Ki?g%a!v;ahwQzh_W1P<6&;{0~xC76oU6~w1zxr_vk6*uS6dV2}#M%Mv5 zb+k&V=ItlQQpIUvulDAc(D;^xUc3OEZZuF&3wV+aKxwoqQ zY8$%HkoX34bKFFq^*D?+zGG;aA#xclMK0iNM+OX7&a0b?`UN5ZgEl<|4oXHA`Mgnz z?Mo=JMt3a%6_9U~X;$LD2DyoHCt~!S=|MdeQ zKf_=3z`o%_h@<1mJg#ekrW~$d1Ff1NtGps--;juf<&HY8wbo15(m_1RuRXUosYK~$ zU9s5!vX&{fdldJ~x6bYK=%HUXK>*w4AqpBUTzux%wY}!jD&=!NOcRdV=yZ8~k6z4& z_bOXo?-S1x5SI%o_%|5qHty0 zlQA$GkG1Hqw+s4?v`ji4U!jQv?%$td50H#F<(C%Mr;mD5eAfRLH0tsy(3OdH#^>y< zdJjq-Imm}ujXso;e+U#;m;4^<5598lW6BCFcGS8b?5Xm}ymOii7F!SM5q6=owwh49 zozma4)D@)h-jahQTx?OC>}&_`RB3_BFxz5A1;disv%6pVY<8FZbu#`iOD{duZ6pWy z@Ut}w!svKbDTr=7_ClHd#0>S4cmtv;PzQ!-;NX!gFT-<;!rJ|49TSB)yFNiI6$52) z`cObx`mP$6D>=#Tgrg!+J16sF_$Rd?gpiKVTp0_)GT?4LOjKf6!9vNK(x9tWfD7|M^ zWfjH6lax*bbOrerhxUkz3dLCd$I}ORldWPHc##E!44GkIiCDq!vMB<>=(%D zt)eNnDkBMd%ijw?`g~ld9+26`e@m!&xfSz>k!Kv4BQwM6viBYj2RU`i6QPzh5TfFF zn+_Ejws%`hHHII8Rt-i)MP;?lzJ}(fm6;j*-vofC%jKNnn3}uX%~NATs>F{|4-se| zm$@*eET#~TARG4h3GY>*`$3PKt(qG6e+vMM$tOke_n^gePBIf z8&*C;5p4_L_MSc73bq7}h7HB+J7@zfoN*5=Tbs3G^z?*G&MMUZJgWfMO|AFL>E&3> zSmPAP1?w?$$Vt$g0{L7WV$J|DWZLvj;NfHW)6K(Iai>p>0-$O30W>r9pxJ2D4c`Y+} zL8;zHV`ZV6+0zVkD1Tsd?`WIpCe2%%?9}m}VjI3In5RR?uABwpt3-TH<|9H1Mi1-p;q}baQmFrTD zKLX-FKP5gh{bAYZ`xN=RmJPO$M;e;;LD1JOJj5}Pk;VmIi)c`9(Rnws&dMstqr>+V zk5)4t>&=;r!-WU1tMkL$p7vetXpomZc}E$RIri&!ne z-hz~`JCNF9?^eCK1UO+@og(x2;OCfmIM{K!ShwT~%uGC9fNF6ZuI9*u=sJm5?R`~? zsGYMM(S*|OS-#1u(@tZ_v+rs?a0+K`K^fj3@OApx?>Ezm>2>+^EP*5fde#a8RU_0Y<#L1HNG$`3Wem;gsaShKkOBQaga{i~?Ws!NbeZhIg8~#S zc!!pl&CzPFbo+CM2Oiy$Xp}|rR|k#flUWlkqP0EfK1nvoQ}yia=v9yh!ZKUYj&eBmI0F)8x(_ z6LPf!l?9QRjC81xh==FKO6i%xiEtumXFB^4vISpTrAkllsp#&Hzrnkf_IEil(t5x~ z>IU!^XOkrNd3n#5(g;*+q5>q%)Agn&6p_rj2lEW_~lowku@vjeC*_fbrMByw5MnL%NbHAS^5 z9=m)qGdCS+qR?JGFY zX8D|E7N_*uqPER0qCU=?HrTY`=66$_+4TO3cmWY#z?qZFj*Y*B*}04dFjyb#!yn?~ zYZr}E4kBd3^Gd0m7k>SpBMyio<@qLt+{TZzC7c+j;rmse8PQ7fAd)a4gn@+PhC~Lo zB84?K4h=q`Z6SZ+b~)_M&rhUcsVM;zmY@RSh`7J-8p*JXZf(IQL^HF@nceUOOWat1 z1e0s%SC<8;z8=`o7EtyMOh9`C`H4J;203dW9?r)oMWAr|e!tOiD8%X(z3_jTSxEt} zHyda9BVwr20NF^@pKN6LHWdk!PWO2m`Y)Bh!FmG0Nqpe@&|LzgG4Q6xR}=cLA6$SR zCVZ3K`*Z#CPPw2BE>ne~MlkfNVn? zVmIJASHVA7bTjs^vPwF7BZ^;qFFv;{URM@{A#ZcHJNsTb$FuFJ!lfG;i1W!D{y{i^ zDO2Mvgq?p^ZC;k>q}@ivJ3q7Yw-qnkHq-TTeRaw&ugSCsY2i)i{Z4k(+kNQHZ(O+QAb2?hEbE zTY7l3lQOLJ|8vdD3~ zsw%jQh&$0b$KjRQ116B%V`A==RL`Bw(O+hz+IE^F+;mdOu&<6wPpG?Fq-r4wJ_8X3B`UC8%6WrSsd&Q7sOo3pS~^2jskx z@}8M|s6dq9%UAGv2M6TgjV7TN^SC-(ww@hu%~ji-EpkvwjtFl`Jwv$opcj-hr+WO& zP9|;;ZARb><}6^daY$$uJjK*ZI)f6Eth#GIA|ABlk5Z-nec{{SJG+@_V(-&9cCjNZZsuIvY4yjDG*zsP0x`Zfx) zoSqi#h+eKNa&FwtIbExC#hJ3w4IndYgo`YMDqOuoeVn>1(8=i1%2Ua3Uif&Ena8!d zu`Xygn}rFvdl@kOH9gZwIzJKe9b1Bz$RDdo)jCx>oAlhr-`JsNGXAOU*jFwSaiQeP z>6DJui09YhzL>4mVXf8)t951S`Rsl?l?9`6F+me?R}Um&5rM3HV#Bc?>z7loFwirH61P-$(&h@Nl3C)EIbL& z!tu0U+&oL(0d-V5BDr4ppZS@sY2H%rg%)3qfo#Lm6RdyTy+ri1bd*Y2nlr`5wkZEX z048P+A=7xW%#e*XZFYT&DScfeykWaBHB;1d@0wqW?lY|M5gqijZ*+E+ z-PA!eiX|)Su{*{i6`W>#vO^nX8EU7F2B%`hNE5&2j#5oJi_s?@I2lc_&^zhE7-NL^ zZC6fK0G#mo$AfiOt+;0)S*)S4ZRULB%FHr6-E?zhh>Mc$SWUhNxzP-9)pC5xD0lJg zn*@_Qsk6lpi+kcT>`wmJmGZS6LGp;D7}tjiTkp1#0kpFcHln z3b8}?gA-X4zC;dm_WBRYmpyeZ-rBQTn5w@91q*%TXFl@rJ8{caU}gIm@ZYE86i9lo=xBY;e*S@h9tyDrtL&WAAuGs$= z=wERUZoG$ucOb7Q6JlP)X6YIo8KgHP!O?f%rV7QA+?Yr9hlk`H(4Gy=*D$s@XGq+XSE=+S9z8N}wUyy>)Pq#n@iy zxoAV%gD4c%8qs+zZxD5SblmIx{dwpvHHtrWbmVcYd$mEDvW>vE3jy1fp|6>}Ck59$ zoc%m2avqq{HCP$HUA5?a6fRXVfY_e%&b!ria=Zy_`<7B#g5ELsrOESN3FM;YC(3!X zK&DhTkS;o4VA6j10rm(lzVxv|4P(UsxPMY76q8_gx=3~bcA0N>ubS03U0KF1BJK?_ zjwk+2n$QTu|9sWL##o`0zr}L~(}aW1Cl2-txA-6vJn0MS!>v8i2#`#Va{uqOO7OkL zx~*B2f=VWO`XGxW=j;a%I$?qDDwsxUwZX(}2 z=eMiw!=hw)C4QPg$6s7zWu*ZkeS#jgL8zg{L<>Xm-GjSIjLx`? zYBr4DpLKE&yW0{3;GY)xDh`Y~CYhgU_Hf_C+%7u3wIQRd-$gMb3IH)P<-=OvlbQKx z>9vgQm+o8l#di+?n^x>!mS9u)?0^){je-u$ae_K;U0aWD{MF&kdWN<{JvcccJc@3i zrKKR5xyp&+M22R0y~XS$NQw!fW?*ae#!k9DnU4=*hCxru)K7Ye=uv7I8+&fSt34_Hmo;& zq)1-;V)u8&*C?lZlQ%rG<^n*@#)I^oYTT#}3`9-hF>{a#4OjHKOPQ4P4!G(Ttv8A8 z7SYvOTW=5#AL{rBUmXp7UqAr}^lZx6_&%mMpQLQ(0HzoF;~HyB$zR>yi^-HFy#HqT zeh`vgIUc}5`Ep4=f4;0SEE$$aGvoDKL&Ur?iSpn1gm|1l$cHXXX+elR{7XV@eSq3V zASL0tG4Fl0q=Izn;ktXDK2G+nokh*~9N;IUUH?Jy{|^Y5_)^;B8!q%xm%tnBEVUKT zA4ZM)_47YLuPK1UNqru0#T4;AY?lw8kZ!n9OUy_ZP#4dC`eHWW>(%$3=P)}mjw(f6 z-~r}$+24JzZ)>lF$l&{{0u#89K{uAMMAzu*!z*ikuxo3f+8;prU5vGg)`lWTqd-xA zp#Q6_#Mx*AO9DAi!=0Bkx&SjnLX1q@ue1CE$TGA|_lxZ&`F=da+clqab=SXLi(&+XN1$)|BG`et5gQ+_$_MtMX@mOE8+hx%Q3{br{Qr_=w zc4KN4Qw!DZ(T0zCpkRSA-hl_h?b^SYreYZ>@R{Q@Sp9nzCwGSb*oN}&a9p|ip8brH zS2GcRze+;vH0|l#UplIj@w&#^vWWU*Xl(aEieIbavn<`_#=onb{4J16N#(Nu{?nBd z_c^=RcQep!WIpmxe`O^=e8AUYP!}adaJ{DZg_-I4a4Bx^kC%x(t$8d zgiH~XJ|k>+G+eZv^e?_WwN5n3&(9GpHE&d~`vmef*5B0q+3tj7zuuth+!aL5bMKda z_TaheaSOmsyjayr`CoB4c-L#Vsk+T@?~9A~5*f4^Pki^iqL@doNWXbyaBO(WEXwjl zZlKs{ZZn+17aS1^!Y;<#qAHh&<#+O6PUGg?lHAB`T|Yv#Y$3nK@pp62=+6v$mb5s# zJ!yG=E*PkQP!EoA?PevIcTc`ZV)rE$odzB?Xj(jv>Se^=nzTTzXET^yx~}lqRE8*8OX3_KWa<#5n-7WyR7>Li_GTaR5@tmV3b$dbJ_1YT}0tVDO5n z`l|MB{l!eVA9{LJM%V{GW76`hsZ4LTdahLm&E=UYP!C~PUT;tm+=15ZEF$JiXZ&tc z9M*PR%MpM~$OJIu32GhAs{m}e28RbfhDQ_Z_}u|HCNF$uUiQhCvmQGqd+(8XA7GkX z?NS^0#6!LZ*lY*>(jp7#`92Dv;-4y$UD?MxfBMPzX$xM+R!D=j{|QIV`jDu`EP1L%x}a4@Ri@nQvN20ex`cG z>LQRcierVW_E#z|3-Sx`FzmHVS<7K8Jk|28ThJF@MJtlOHJ&hO@R5h*YG-}!N&4n< zP$A54KaQ>ZHAkHx?fMA`+8z2=f*lKsG~-eZJJ5F|Gr(y|bl><1Fdo_96PHYTSecjA zqKpJZJg(fvZ4W&fAPiaWCJC=|qXx%qayq&e8%S*UQj8tMAID_F`+JCNo*sF4c-B(0 zKi^t1Isz$pfA0lDJQ?YQ^|}jIF3%6hz2OKkgSnjeziosvNH3~CT6`rH{iWCZpWR=D)<}T9a^-`CkSD~0DmpHWx2kRt z)WAyrZBQyC2AZBc4NVDPX$s3qx_CBfU~(=v22;UKm~wWLR?mx2T|MK?0e{bc*XcFe z5bOvz?;{(XvH)T);>Xz6*DSc+lpfywOL)?Y-LA#ZN2f*Sj}Z(j%mY`dE{m89pO%Q62Xp?~8f(_xYL{=6&F*6bpFmX(@KNbkUg z2ph##B@n4QQmXmxn;?800K%a z>zP%qHLx+l@6OL2p>nmSNr;INJj!*$f$(T5%3rzA`P`2+fBA;KNlhv39}FiXsH@Nc z)ABw8U{Gnc>7Eogzgdeytw+$sxXjZ%AUPty`n|2pqtc-H!QSC5kDv>GQ_xt26C&u? zVYcX7ac6~fLmHsGP#_=27D?Pf6DN0ig-JXGAZ-iWyharpQba7R z^$9DLke+!=c$CP<#>e(PKKm>(b)Qi zF@3I)MUboQkc}|CUZNU{2M9QPA(tFLpU!0(FRn#RTh_rT-3jq-U)EIsMZSZLUZXm zL5~|r;*-^UUm5lsi{A#~M$2J@E>5dGzctrfuiowzIxrtnn4znnEB8|X#Dh-E8u z%7z|l@B+C4<#CW4&FmwMcc%?*e=#2Xg|H>gz14Um0{;zw>;^8i^azDrHrN`DvBI>UtO>a?xe2r{?nJdH1*Eg!J>{?y98IxZ)LiG1E5 zK)S9kZ7=_e0)&TQpvIiWlK{ebtnq;n#6#U@2fPehQucRV%gUe3OF1)mtxd_)s>3_q z-bQ#NCqVqAPt##-P)}fF0N7~(F2bz;KWR;vTdnDe!0<_D#ODE)crpnmZ?F@ut2Pl4 zge6Wvzv{dP$Y$yER>Mk}G$-@cFG#BfXMqsK-}?QQ-27d^QAJzZE-`c+!1cbo?!_FR z%Rvwjn~S6#v$8z!nI*D`oT|J$0!UZpxbLG>A)AAfI1#=LS~7J1;Z z#fQ6wy~Tn*De98{{ns2G1|m#z)BC4ImiE7M%>P zhKtsk?4wrJTloutOo!5GD7xr0Ikwb# z&x6$}s3)NvcYJIGiEHS_IKDe!0PIM1a)rS7*73exRs8ZrggK7K{{6-vTs1Q7a2y~; z0Af$M%N^wLAA}S`)-ub$l{3&|fMIZV!vJta__Rt;F8i0|nFOYFbi?IQOta#a-lRJq z$zu)0(K~9)=VM^uZnWgv8!Gnz!e$Ds8>OAaszHEGGNZ+w$7ty-Vi*5`t%TTh{kT!J zhga~oP>5QGmWNy!q1GYep%EWeb_5st7;)Z$*ny}DkE!ua8yZipZG6n{$rWV0zAM9xdo4;*qzLB zJv)Ddm-*>GRkMX;-l@THUS84HsqridKL!V;>#x0*h5$i~F=Rcm9mUz-C?f1hdgzOUeX*8T>6>bULpmrhX6_b6VquQ?=c$W zxjhD!e!m)9L*lhP2PYn`b_n*@>Rz|6(tNvuHh#!Yp;B6t9@;ZJZ@v0}AJ1E?QOt-i z{=Xm=7J2|$DTt9#n-2Nf{4eOH9zjVDY2Nv!m<$)zp6SGj{oHEu#Z1dN>4Fqd}>YW`Z?>S zq*p(R!w&xcir+~<7ti~hesG3rNbKf6`C-0{{U4$3y(E!4#HOE@$#=GoEe z`PO8oCkFSQNZKCbFc6O)7Z@~%0MuMs#AI$ROZS}cHo-by3fr~6u9$^w?6%UULi+Hp zx4YNqkeDT)i_8_}_RsqyBqVuBg?{>)oUpXHKIi-r(q^YwIDqHEkB{)6l1y5gqO$!& z;O$p($Bcd?H+RPkll2<0Bj_*%?fCEmUg4RFBR1(F-RLUse-dXx*l9j2(<3OT7orMzqJeN%J`ws3Hrk*86YxQitwLa`|$9!>=k(B|>@ zrtET1YYn+&fNj5ccKw()rVibdkxS4SG9A2+_2U$Oy+gCft)OZ3hLyNNf+cJ@bPz7s z`%VR3>oSXG@?q%)z%4)vhqA8z3eE4&Nvp0T4y4nCRIRMw$Po`pW ziI}y2Fg}Y3;75*6S6m+LJTbb)Px zNU_@IStEP4Yk&V2O2sRR=i|qZ_BDpt&@;$+0Y`AEFkm(=!6Q`D7wc()+g}jwF#e;C znvOfFVsnwoi?A+kC}sp+yTsGAvfz>iTv`bhum9e>!akIj#kqD?dG?SPKbQ~5 z6@Ehl6)9mNanF)ChVrzN&oLmlJ|{E4tnAOpFcIwMe-dx|_eYVo@{Mrn_OU)jF zg<=1#4Io{w<^BG(**vw~)5}w=7V9dnD;qkXMMzXf>pwdJBz*=AO8!%(#~Zh9hC5yn z#pU2X%IQ!3JMn)S^722Ak^S%Kuk~B{OM7~HT9%OfFaoeZ)19d%cBlSI_A`@S_@G|m zK`Amh!*=@w30gn{mbev%IlMx$l48D||E(1m#t-Ubx^W4G&brz`^4MONBt;zfE4Ggz z2WJWIiHo=Lj)e98xfu-HOsan$T~>oJHlYq-6;>l7%Q)$ddJHHWy7YOz={J+d57g3I zW76XmE0fUx&)m=;qDw!-ul%GF0Jtr_!`k%T8*z(?z7iVDm*~{P>0?QTl~8MT8tg^n zChBtN#nt<(Y(};slkA}zQqZ?r4@7py?WlVx>gJlIOugL8}Vg(8b#Gd~H}`RFQ&w^9a&@;Oxjy7TaiZIk}N zCMO2k${qL~zP@ZO2Qr*4!kxlOt*3-;hgXr4T_?EvMLPY6QLf4*y{s*6(O%-bZ2mB$@82 za;r=WujK|4KiNtt1w9C@$^?$uWR#sR)ivi01$$D8)Q$dZ2v2kptTQ8Q=6^qD3lImG zr!Jdb!^+D|o9!OE|G(qFyIhKR9tdeHrH` z&fZcjwMAjTzkV|&^6OTWT#;+?*gRW9`5+mYZQsNKBuvBA5|oB!_Zx01z}#fa?8P^I zvh5!Xsi)ILsi=)x`MtKp=k|W1MtZ=sZjBYeQ<8e_bhG0D zup4g4yU27Fd>k!Z)ddFQcK#f|4P2&V+aidbba~puoQUC=yq>QxK>+Wc)7J`U{DPll zK6KN2Zr^$KB<*1f$#j7q1HJtu-?N`(Pl*8?)D9qsM@IN19mFsa-Ie$Vp8KuHqyid8 zilDJ5@QN9<;Qi$XQzs(o2CLE^+@_&V{;mL!fAr<8SaSy^MOySj*jfAr*;}Oer3tWo zaZ8c60zL?~cPZ9*c>t&F?$+Rl&A7N7Ud}y|h;b!qD6`ftBv|)A2drM8lAZWHZ zJv=fpBE-Wx@QXTUKOa8kEj&ne#%yoYA2Y;Muw@9*JI=Ix?gy`nJvh5fRqwFtE7;m> zFy+~YumV!sD8d>Tkt!);(XA3|&{(bPHQ*88Hf@OvImFQEI)ZD%Wc+3b0Qpu%?gTIf ztEJ)5NR?*;HebN#-ZQxcjj}WVZ+yf!m+He;GChr=U=m~rnS-#Cy=agJ+P}|;?s^8; zTB`Lf6Q(k($%8V1(Wr6UHo9WfI>;jdWZ3ZPvG-a4$lE_1{N_AhL>C=8Y1PF{^l2B5>Ghftg<1sIy~O^5WFao#|-EcF_@+pjB_bZN;_> zo{vfuER_ezB)X(VyaMJ)vhx*0OGE<_ z_~6b%IXRZG(_{83?5`Vc$D1APj&v`XN5Iq)xQTQTOcqU$&eAOcN-mR(EI%GC`@HZ1 z^8^saZ9@#;=RJUQ)CawlK7r$~VUY?z?u-P6mpt;7reYW1<*eNkGkL$M{A;kC+dec@ z>2@Dt!b#?-ly@rx&%mWCIY+CM!jsDg@{PBVg-P|R-co|0tlQ47W)tpOpU{ccyW4;l z($pqbcaDyIC0eeJ1X<+c*P@Sh7A}0>p8@9&`mKFGn3a&&20iKG8Thc^B#e@nuCW|F zH2ag%SC#w~fAmWsoVK5B&Fy9dA}^Vsj{K36(d@jy+9XwXpSpV}Y09Om!(Vo0Q0-Gn z!R|NP6F-ykB5?KPK@mmg>-3qbAJtXBD>~Yq$?9>V`_s*;u))0#_A=kzJSvbtKl7zN z^t^a%`#L(UQS7aHURL+tF@INt9p0AAx8dnHI|`-YOAVn8218Q#s&Q3M*DqTEE`5EF z*5E8Y0c6#b5zBMzj;Tfb9Mbvv5V+}O%_qf+cl4*HrSAKA>{44PYfRieEI>@#j=Npj z`BMKKG?UF+_~S*AU;*?dXpn_AyABu(j=2{kv9c7u@t|dN?SOgg-S4?>sy}Yy-wJ@- zwSEiJl2)FFy_I{l{#coS6BnSE{da5>X18XHr z$3&oLEFz#T(rIp-eObH4NBJ#>9{Z#g$z`K_5TJ6^Z#lMuQleFgE?$dh!E%nL= zfSatN-|kOTJhm$Ldxp|5@7D=lY($|6xZRupwHufTh9$}MGPH&Q-yoXV?{kx44*Gq#DDK}=2vY|XVO zY@C3efG?I&;d=4|$*`Dj-Ybspi(u(aj--I8<8Q2AQ#Eib^N4x`{RKEX(mI!S4RAj- zP87cylNV1pAaqi$vetRN4%^?sFYc|n-K?YPb6T(BlhR~BmcfwJlWhc~?a9Did6f?J z+@V27`WeA&6w=q}dtfuFVstX$rV>E0^ltG#Y-0DH8@2Z@WK#$wSinQO(uekSv|Efh3xR*?1%bf!!9g^Jvc`?M z$jQqZ$K^G%x@r+-nQA>Y0vxT~>u*5t_CU#b*yt|&ZlMX;EMW`uhB!cvj%t9@HIAe0 z0eb^W>(R#b9yMw;StQ+J#5kZ7ntHilorcc&mi;w%B`8DNx=q;D|vwZbow$7YT% zXLfNAg5TK1a<9GyB_T!DaEH3|ND`6{yTWl!53fDjLCRlLd-bNNfC&c#gDQIfi)hospz8vWB*IS>=4$B_Majqe7{keFo|2ZW{2&AFU?;NTM0^SUi^ zHrjS$RhaO|f;=b$_?d_nFEmV*le$9!-Zk6?9zHdyLrcPU&=`>R;$**X_0!N(B{m=j zPOxy0G)$)KeBUqH*_{^4@UG(Pq#37A{dC9Ig1LKBd$Cimp6r=>-{uY4ik?t@JdDe6 zTx@Rh<2VqA4~DR_AjV0T5`V1(Iv0h47^~PUmq9kVrM;|gV!tJp2`B#zXqFoOEfz;# zx%kbfo~?*dQHdfR_49o)-!cW-3mC?pW#Ov`5l>IE4*)BskFD8p@Of7PV^sct%<}2q zCUF7sWsB=hf>>!AF~mYleNe=vaa1UImm6|#lZmoBJSaea`eAM3)f1q7KSy&K#~uOZ z4|)K;m(03(%qL`net^}JsWLyXK6#QuqA~rtY@K+mz4fbz*p%PFl9$GljTZ}F0eo2F zkE@dMlc=^VY?EV>bJepra(OYz;0srO!Vl-Hf<(J9z5y=Xrvu-vGaRIN zXAUD3GOW)XgOWKnxp}E|Q9v6$DCTp;dziLJaXl~5_z(>Rf1DXEjjFpW2@!GFI4%b4 z*`?(%U*^M_eXpac409^AG&80D(bgtJjKy}0L>0d2W5^vteEGqz9J7e`jgf#j(gdU> zg1G6J1|`5p2rTP)N{!PoAq_wG2gx(j8UOrmARiRVUoio>DP9L*r8{M`8m zfq(^pm?|R$79(o;77J{bOIWE7|3oXz72FVjd0fN;H)cMi(uAwqq5Q$-kwgx ziC=9RVhL?UYgnNQZ(k{px?3vzQ?je?B7wMR)3!Npl8gPNArRmC=RZlw7x?$dO-jto zSUk}rX!L*bWox^jaT_1tS4GvZjhO0@NRu8eE;-R@qZ45f!~}$~)v+8Y(mHvo} z*39RWhEBHT)b(m$ch@G)(fiF`A75@};^DIX_358aAz@Q5bM(sys7zNihQpV2%ED0F zI^`C3JO6t6GDitbL*o6<=P{L8$Jzmj0M3r2-CX?VsXsTamvi8@HpnX*@IQ+Ku=JI> z>2_EDGgBdx(#E&!5eY3Co}7vC-n7|Y9&NpHW*d8yNl|z}pbE^h$~Pk-9KlTIMAKen z+pJWtFAGa;QpDsZcY{24)eJmM{q4K=x#vE=-@WI#{~aG6eb&m#T64`g<~zoC$2(_+AWfv>W%xqy zZj7jpF6e&OqUz6X^yei*9uV0N#Nd5&#?z0?P<+u}4ZNQ=PFwDb&ik4i2w?7GjFThI zLBcW~?_RPt?tfQa=WEQqmur_Ejb)mh46JimUHctFpjvThRUt`xCy7b{Kp8RhSXv+XF>SmNleg0*B9Rx&{B z&2R<#YMxzt`IRsbq`hAPFHw%E)_%E_`JP2f)gYzr2ccD!sx)m>mSXrg?{17r*d)`pH`Fz zfzk5MdZeBH)K{cjKa1tEu)uuccjg3{=V}^3+;s^VbixUXYjCvWpYqwQ5 z@$53>&Law!QPr04s!yO;O%m!juvW7!8JitwGFs!4%CA_iNn+D6zcReW5P%uV^2|i% zQ1=MU-Mkbro3hN2i~w}4iNRXUB|yAhU{c|m8@IF0(^u#`rqe64U+J^%DWqj#sXN#l z-X+Ktu^Ua#<~s$9__-7%b0zpZGdUUqi5FktqLS9pn#EilsR&CWRFfN6Ppgwr@Q0 zw>d~E?(-NWM>uGrgxR#d9%D-J9fuconLPp@+^jco;5G?kiJF)y^EWp3?OE$IwpvM# z4G~?Ee;+rqn)9_SUe;sVQwdzVX_yfB({s`g0Ekn^+Z&txVx~oeMHlh&zv0W(`(Wt9CwT6D$S46S{aj*$K&u*x%&u{SCbBxUg676 zMq_g)ja9fU@k>UfEUJlFg=cGF&^OL9=k-{p_JaJ{N}g#W2SJ|L>4d0W23WK*|5V93 zRsL2lZ9sV|QHaiRbaU4f&$+#lq7j=KG*z?u)X|Wb1RE`LwC5VB6`HYE?=MX21{fs? zOBKJn=Kn%TYXnJ5BDdyP)!vca7Yv+w>8_GmIu!#|`C^p2~qO6C5=}#a$AM!-_&1k&eyc0qgzBdDW)BzKPUfQZ=K0q`|0$E zp;-#MSl2x*cGZ``3*5e5uUICA>6%YMO7*hDXpf6Ay6uOXlO+Xcb&nh0@tB^3pk-dr z9yd4T(l)rOVKYdM>Q;@E(oC~GAv~sOZ1`LQEt1q!j(}3S z_GcB#%U3C(qsYp>LFe6>=|xC{U{uk*$n7#Uy01M%J0DT$aN z4%YM6*Q38Cd|WtlS*t+shN+j8ZI@b0P-7uT>*Iz0I=_P%#=l&&D+uc&Y#TLMJU?C_9Od zYPawS%L6ZLwRz`SU}*GA_&cF2_OaE4ytiOijt3I~9V+D?+~5ab%{>I1r=UI_<>zNV zOWLocIJ-7Sdv6S%y!|8=nt+K0_^U1@hes6prHHAPu1lYtdhG%{-ryU8Rt za>VP?OQnny%zIUHWA}rg*4-Lq?8oSmm9(_(aaJhH9O4Es1>}zH3|~^?+$VzJHkV?# zonBip7nvxv_q!qUg%#@Zq%G~O5~lk7-4pt6Q`qBK#@uhFZ}8^dVrwf_k*$6=+H#cv zLbe*7n}{BM88REQcZ5I|p`-&2@3%`j*}NuaGK=|lHokP1(vu5=)w%jMH!$WJgs}`( zESUW+7gMh6|M(7|jQ+v?O$QWS66*M^R|mvZnJ4_i&bfv_R2W_uXUILASMjcl&CGo={mDWzlnu z!A&)?O4)K{7akXPz6aVcu~}hIspX$U<^E9M5|Gq5E%=&0A8Nz^d6BQ=dCkgP-X)qP zBQ>Wu;Z3mU-J`(JdHMPI!y_VQrl*6_#wZO}yN<#ieILn>21~xatZy_@W_ELL{`wex zVi7M&kbM3*6UCEr83aO%TAS{uNjU62t5|wSVF5Zm5mr2ZK$6W@f%9X{aRLHOooI3I`9hmw^D08GtxO6x zCsJo@lq>Ye(bz1<;2ZGn9QSK9!GopldGB~oe*YGws;|7*e(5|Yk64RNH(NjHc|Krn zWB($2awqBJ$!HQz6@2CWJw!Fb=zj#Ot(80~$<%r5*s_+UPS-c;UP|`#A-l<+-)hB{ zzxX+f6{{dO>$-OaJx!Q(tEW3$ws*|&H93gc(gcd6y8n*(80W*i3F;NkdR+R9ORqpT zK18y}A~!KxXk<||c~P(+#tMK!9xtL=Kqbv*DbLbk#)rrjPw`aZ3z)^Y4X8R z^&VPSuc8NKq}OoujYXpOT*0F13A04ZJhGc0iCn8dp!xK~|4M|GQ_`Xc))T?2F*P7a zp6OzQ_vkw6A%5rZAgr+NgCEQV0S)?@`5#bguuti_t?8b!s3k{h>Pt?*yfFXmgGJIp zCZ$6~SNZ1Bh=dELo*P49yOuVQ!rx(DTaGsO=HKy{XoDM0K?9B-JKnx$>phe`#-SZ8 zC7=i1gHf|SWzq|bj`HeAJJ7{&h!HPx+Hb++*k2us93)_19q{)vEj0Z=)Q4 z>NNE>X5kS%(?V}yqaNP>ZNk#n=` z+7Gugs&5ZsVS9;dy1CHB=6YuB^P?pp>(y@~SF0GKUNDV)N}y;C<}+HvOMi&L`~P^R zc2Up<_~qJ>^w!&6cr691VEq&ho=%(kM_vB_ETf@pUSe!6af`fp8j#I5v-iAof<`~) z-oCAC)A>%`rJTjKap3or+)i>A5|8&>_`#lzG33fj3$8pED-jX)Oai%zr7O)sb>?mUN-yiZ-DU}V0O^XTlTt2 zLX_6%-mex}m7S7U(k(NciOWcvlKye8evc}-fiF+upqbGQg%-+L^b;CJl5yt zq6eUUe!VLYo6ORuV+|d*iTB*Dbq1(KfN{+eGpWYZ+8Ct0Iv4wZuJ3ZvDUBK!=uhYS zcYD}bk_bvu*^SDa~vs*b&g1HUFXC|B&#lR^u^JUv4z3dD4;7L#sz#|3- z>(@3XQbECV)>LX??ZJ66IKNPZ<>wP<5~U)70L}=B&W~nqwjRS+%9g{3rU%7)qj(*(RyOdUDmq9 zfzn`@Y>oTtpm@;7^Dhjj8dL+_isA749`-_#MwvhAZ+TFqxqpBXi$dxQ5N_a^nN@5a z*n|C`4npuJOqCUT$&S!w;_p*5YjQ~r_B^>-*RjRbe$wFU!y zhm{zT+-NSV%1(u~TG19Loru~~8wziX@CpoGtM1%!9#Xj|i1Rk`>e+Qz; z%(JeVKsxZo@_7d|#*ZRg@Z>={;{4$5fiCt5H=oPFwajL{Qic8I-cN_lTz4dYsueq^ z3*|8vv^SRWX|hFUPaKKps9CA+pZRzleDL17P=Z-V^@Q504;}NLltr*|GWfD)5C7R9 zE;HX7cFXzm<)*C3=liFci=m_Yz52iNI@#OH`f%cqP!(RpZc9%v=#J(T#;nQF#1W+;F|%wX1R^ zN=0akQ!h`T?B~ACH=@mPGZ!`|q10DDU(Ijdr|-{pb*;xXy@g9{3&Zn@CVjcR5~|;A zt*w^iwB+JaugH&%p=tGnr;(|i348AjKQW();8Q|w`BDrFa_hyN$Zr(dDkB~oVdhlU zcf;iJNTeYr+<>=@n@9@Z3ouhh?L_h~zo z5xV&Mi{Y_rT82>)4E~&=nu+EcnlE*tjE}r|_VUY7EmU-Al%UIU02BswE#?Sq2 zExe1$GC6AAQXVb-*Htw$HM+!{-|629fA8#!*zizyhHWslV~Ev!r8yUi*rdvD$7_}| zsj?xs?nEo2%xyLL`@_sSC-ow|Smk1imDWsCadG&;^_E6s0~*>-CoWD5*C}iFzGU%N zz&QPBfP#ia-=nwX++yEm{oFFWQnb6Ap8b`Nk@WDC%;HXfg-#dY-h7h%HJ1kwvz0NN zYO$eh%=X##C&!R+!S&0^+f~nJQU)h~U6WW{O9aOG=1}(4vXx(JM+46(>$>;JUFYmv*0>W$=NXmrt6YtjqQALSZ`Rfdew%!Dd0 z&$2Z7Tc>X+;0e}V1@9{nX@_oj9)L#897k?jTZ=oe$AK3*$~#@R#q}T2F{M9Vj<<=; zF;S{LM812xW;a`eNmMJ}5w2!NS8CGvz=MflK@Mj+6rf|AVukv(YnrQBwG(iA*oo_# zPeL`gfO}LpqjxiPKiDbTNvFT4znrI|h-{7=j8m}K^yFf39i_AZUTtnFFU-25F(uq{ z*ET-$NB72rqm{b9)qb}*SEjjzW#Z2~_x9L8!s0Q05m9LO;=mF~*^(4c9b5q|xPa8} zT^#ceQZ!~EK#C{*QwI>AnjK&Re%PUiQq#@{OV>W1nVf2Cm}>I(;AG>eoLi6Sv~|*^ z{LFf!NpdP;M^BS>`pS81D5Q$B76JMX@7y0s{QT__fK?U>2o57V2HgY*#8 zbN+-%Q=h&1G!>_lWez;;f&}EBao;L**f(ju)cf3w6eb}5a`w$n%oI(HQfeU4UpTB* z<^Be@p2hOzq12r!m-79pkc5p%C^n&wXArO^{MWwYm-S}*>xi$mhUm(qjMq1}zYS8B zpjc_8u{v%#$el8+GZ!k{%h$17Uk4_3q9+u_e)?0}{dEa8>?W!cs>6&fRiu_woNYNv z1}a^$^5bR0_t)moOaqexp=}0yW&ki&jpK4$0c2H$)brQ6XEHS^c)YC;E%9vosT;$f zd#-Pw>+GQ!MwJo0VdzUX)o-PoTpaSG=&$iMd*w~zL6mIDv(R>bOG0?2_e`@WR#H-~ z483UWjGi~p%G5Li^AFtP1O&Y7x%e|IXD+n{vliDHb*1<2D-M3*u^~YVa$L|X=-l-l zWKD`+v`Xz(BtZ1qemhd!Jrr;k#+#$YKdPbeeK9R=ax(pM%BAE_Zm)RH@(Q`It3ELF zWzYk6*IiV~&NqKtw$d}ogi)?r?cMAj{$zrP6LdN$Q^V=uRAOjYVF>RT?6RDZ>%_(C z=}_|RkwT?YKc8Jb63#+jpR!#&X{(8Pb~!Yv=xc#R(Hx~GPseXKaZ)#>(Z%--^@th& z=3^CP7>g>aBP-|F8}rJ2G&he;@ZunV7%XmVyj(8zYv;mIv$WUD1zH^=>8DdzNrCQu zDeCB)z+#TUjU6Kc!&&Bd7&?4q_s?Kz>2%3rT5g>QI2))3n} zDc@|h^?3@I18@lr0#5S`;ntM=;6HTu3DQ0T)wXS9IS>yS%@mRQX8SIJRz!-^idQsMq3%8_4t_W_?{#?RzL&VTn-eH>J; z(9j-{(MP_IMMI2p>ziFkaAl{lxEvQ%0)ii zG^Lynw`QE-=?>n?VE?=|#0INzJPe;R0|KmVe@?;EEEisdToo!doQ#}4?X+8Op`pKP zV-qqk>CfCr1aqFzBqUj6B=3BV?ge6w!`ZIhL-8e=mPX`j2=jhrv)5;X1USvCXtYw? z@I}UEE=copG7_s{j2`im-r2 zgUx;vUD#h?Un4k<#>hvl-nS|Uo}S2%`;AFO*=wS*Mlx&Yl6ZdZtdf5SJW6~kS#>{8 zvvgxvZ;E2^+DYGXrWv52Pwe!a@<^$OWcQ&;G)@d%AQzqb)M0&7jfUBgoR3Hm|9A2C7!EUsBWGwbWut8xco^@SR4W@;OXgGAYe#qE-z{UE|lfW z#mnCf!22h?Tn4XZY?d%%Gw?a`eGg5~O$!>J`ON8##>A8eS9t6@Ub%#F2FRC`=NeLR zuNZyGqElQ75-l7fouZXrSb5^|HZB)3RdQN9F7x_+Nvo7~tlq^1-m?;0gmJUGej$tn z;tfF;Brrv-1O*4L_UrM3j?ZxgKIZLKiCjeK;(+Z}`|A;OY!2)%(0H*Sy3z@(tYk#; zQQl`0*sn>IgL!GKAgXj3p*=RvTrWaRdIghK!_qoLVuX)pLdH>HAOf&L;&sMXJ6fXG7u=6m z6b0j5v(~o13WXeuL(AUvTDyNHT9W2SNQK8KliM}aWKz$* zZD0@W{_)Z23(lr(Y_!c3D~1x@gl|Oki?u#5rIGi+;D0P|r}rby$IeW8{fw=B}Tx0{Mg;RP8ls zKGBUHil{GZBBy!a^-8;USLnHRcxyV07lX!N$!@RQ<Id6`u!R8J97GUnmD&~QSKRO zdF9;g;xB;{P`m-{=UAytVVJc@DYFA;>Wo@d0Dh-aOD3f9)`>@5Wi#pD7^0cSl=d;b z)-8b+RI<=6-01u6q;X8AtWJM0S41CU3kXHqbcn2F29FCKw$v@g`cMj8C~Qmh_HJEo zx5WFCr3&PO`+c3KZrO-+Z?FILIZ*rFy?ZB_nY%iasFK^G%<6&MKN+;9b*&V)HczMJ z@{Fo@Zk;ilL%RB`d&({M7{|%9bl9ME3?SB`=V!*r61o?v zy#TCO#90)c%{*6jW>k2D(=pbK<6H`B3fyR>8gK?)qJ6z^*r6Hmp-Vhg7Db)`7mn&I zJ}+lJA+8Y3gMxfh?Zk(x*3FOe{z61930_B6$oAKefa@mXj^q7MMIlNGy``=j%7c-Vz^? z*!^%BN=sBR**YH_F8;aPsiT=#C~NF=8@E!0=qY*kV$1PR-dmzFZ(o`z;s(#wZ?CdGFNLF!xz5}e=DKwQon$vE}2(Yqq1a(3KUm%RcEy0{Wtz9 zR2+P>SqUn`05SJ-HQhC_mD;@AAM%+ncvA1KLCLG*1-f4jShlP4-EQMiw`iMjItl?i zqNPJBw0T%W;}Dk4M*bu3hi*_Kt7~`b>aA<%gxtA%ry3K`W$5%G^HPgo*e6GOdWi7& zhXgmT%JFrPf=Paux5y$Whkvdyj+?&GF7#>2oIxqh*tp|c zXY?uNRZmTJH2%2t-Lzcusm0RZX<707d9Clr)_YI+bi9(>-khQcS|%ojpU+KnL%lgx zst=t#M+EaT$(X}RMu z8DT8DLp8WzB|S!Uem+6f6Q|j@u7zD~ZNu0{%Rq_}-gKaPwALl+u2>tUK`w zPK^i8Nmyx=b}1V&3Od|tZ*-(XpsGNgvv?V4MkO=AJb$9=0x3@k>RYfIR#4fcBFdU5 zOWNxBf0snuX&o1EKOl0lu&|<~3;Jii$r7#u^ z*cH`=9}k*wg^6%QfV`nIob$6VC!n906`Pn&VXYRv95Gj zEfw)MYd@ydTi$^$8rdV*$Swx35(YbMEWLGr(>bAuVsaz;KO_~;dzx;FXWV5&n^Ubp zFU}us&dSiuW~j-&e>=?ahVQns*$K7CPBi5&-mJriZiX6wveHwAhV)XO*M!aDHUS24 z;=@rP4r|{b+pt9btZhbZCcs8sID?Y%Ba^eM{Oei|)Kk7giC5mdH!lFp;d|Zh=p3`6 zfhdOd#3$mt5&a>zz+-QQ+;`wk#^{E1^KCIqSe)~~$*w4WHWzMCrd0OEU$3ViVx^!<=t^6+`5yB?8Lk^&>k*TGYomNjOI1N-K{_^OZz)&W-^4&Eiyp!Nq5oi9f51}jeFn%S6bCBz z1P#WWrI~(F*tx>gG2MS+K(RI`(DmtUdLX7nxy;L*+1A^ZFH)@{)BkM}m*AnG>z=T0 zdc-z94Z(VhBpTS4QLrp3@&B5e-D4HtS)ZeWU^=y?aRH>Ng>Be58apN*)V3vW->fxS z9_0lWoWNVg%cSUJ+aYxh0$QKKg2wSPs-Uj3>a9-~mo*q-ys7hqMHF(c!1`bV1KK#S zLC=BSY{^gmD#gYdI?+QesD;x*z(#f?+`&#U@6|!991$1TFqmQIl1x?KD-(^*ud@G; zPb)qc@`OCt*o`pZFyT8+9U;$7A8^r|=QV3b13L~JVB)J$*}rzMY~bw99aJ$a?f$bp ztApZXfdCZkSYa+Cdfnnkvo?>(_OUm_MdL}?;7WISOmD? zp^Zy_ekU&I6)^onhm`)46-NOuKJ=bW6DY3^{Rrg)LZm~pxBiGJ{~KS6_oLY$)&^MSs~Li*~ti5rXMy}Anj{71Ga0HXa=U8BVC z#0M9&Ti!x#Sr?yseYqcb{jO(LGgFlmI#5Al2boLB<}`LEb;r=R1IgW5QJ? zlf?#x!5s;J?C3=Lsrrz?51vSK>O?PWc4@q8 zm$>oMlBA@UE)E+_B^7K=JYu>o6YVMG*!KkJDbE4lzxB1wfq|{4>$`~a zYZl7q9cYlBdr>CRxL0}4Z5)Gi6D%D9JNT~l-OfZesST_t8{O{LH1CztEC_C0y`ZJ+ zHz5o^4`znx7D+H{XR0bjYk!1B#xH?hIUefd;yJ1g+xhG~#lPF4-CcDhTS2 zQRCu7P}a5Udi^vBrq6m?8cu83fiBfewU)M&Dd$atcRPd`rFk`^{q94mD2U$px!0+o zqO{%0zKkb7B)XAtCAT9iyNQlsX7!Jj889K|xYk7r^<5FbTHYFe@?`+aZU&VDkpp~(aeUrEPNsx4=_JGD@wb(5dhF^Ju- zlz8h9r_tu3?_UF226>eG%e~9nrV#tRHb@KH*Ezo`_j4+%qo<3^rK~|=wu*r!MfWyf zNl9X=I|WZed@U(&AI#Bp9<0r8n@Zd4_e6gDY4X6{lTh%z366mdDe9hB?ZYcuW4+T^ zGL|d!=poOohwNH2t4=`bww4Q*ShOBS^4~vk`ofv4^QUw!Y9v|B`_$ytD?3dMr=A{% zFpq_}gAydP7W`gU-4OzG8ByiXa@e?EbbiFA=XU1hMiJG>FBubalDMHd>P`DQ->NO> zjMr~M(Ul(Sv>KISML#|&5D*yoj0C&G`fG`X*5vkH!d_Q;%*zw2iU{X5O48=Sr~S{X z_22Ug3Y2d=+IiB3y&5%6u^9+nnG=&`!td37s26T{YHV`;wBw5tWk!l=))X--aw}ns zwnu3e#8{`3s72FQfbZdsyJ#yty}EoWQjTimwYbsX#YHhroet+w;T$FzcX}o_RxW`s z=TRv~Vopfmz|zuaO+WYn%h3S4{fn4I-Y{o^1nyJbPE-E79zLrMOGwy*PtHWED(1P- z>;#qxAV;co+*ntD@pug83|XCZvQ)?#ERx+gtIDbJS{g9{HeAr19mvnd)QtxeO5z{X zd413Mav4r)YGxjluJbjBo?p&P!u|qf8ngAN9O?{dt0)Blauyrpj*%NsC`?2LwpA|5 zZ;X?e_cy-!Dq*WaCTf!TnR0EfyN&tpbf(ZT@rNvgHX3PUwT>a~)mwqruz zum>w&eN8u)x0b7L{xu`gL@`JcC4JAfLuhY4GK{*dxB_Y=|f`3dWojQ7UifqaX z#Dyj=xrg>slH)yjq<aAysOhIl|*otNX7YFV7tKS%yd_d^x-hMdSnSSX<_7PpXOyn;hoqNy5_HUq}(~(r36(Y zd5~5LO9QuJ?093;r?&Ku8tD)|@td7^Byo*vm>*o!e^tIt-{?W`WF9B5BYEg2l;p#xD>POJU~R_QUxs7GI38nXY+8+KtIQ<8q};BNpk>-P5rh z$3>o^#1~_0VRJ(Zr0U(_Vqr~z_>A-QF9&A(>r-c79k_Z0wxNY&v%s`1UCj;~w9iaC z$5~>5{L;8Aj*JM$P0ke}Ax*&ecNd5)g}s_w3u0iyKP^;NG`5mPrX+-`ePxOu#bc1L z82m{KZps7VqB|FY9s-a5s zH}ZALEIeoT*DS``DJ~U7)xXmeinr>TOh?~V{pwQixbU;mA=v9xV0+%-I3lv1P-KE! zu6w!k%E1hk)du&!N^lnQcAQ~*gBV`&UNh2N&k;}4XN$7^^jESH^E+KwNqrOAv~Qx_ ziSDp~9gwgOe|NL--M`WI*sn|;pQGH z73z{1sk@lsIplqv*Kj7LQD{y@UP4M^o3X#vPU*8kxD}mKizlA|{+g zGzlx^OblHATyb%`et!;A=BO=|R%1(&_5_7{FdM>ha(y#}HBFfp^fN;?c4HcS@S@+q z#uB#8(c6K|nQzSTfk=ORRj$kQp?FL4SnoI1e;q=b z*jz`DB?T}0?G=Uk#a$^*z&H*x9Q1(C1+|EJ$}$qH#$XM-l{mGGFq`DSTGB1m1^xoS z0Z3%Q%Y6@Dg|no+d6yb*b0OlLZj#f>n=yC7EW%hoe&JokcmYPZ)HNA-J=)2og!no& z#nb4N%azhIT`xaxrIEs}47!ZU8Z!2$ij3GB*k&{uGG!-J8X?Y3-SoYAr+VtH>+_lX z`ljU94(>tICyV)7uY5pn*;oX-528)q1V~V(Plp`U2CU47%(=AeDBBYZjLNSOl-moRAQY!QRz}Qy2~%sxv3gYyqkLG4#=w>JF2Y_DQ|O{E+q9A-~6Ovt1@< z-_EY|{58QbS`*Ma+T#zDg-Dbw#9~$ z$rusqDk!}S5S&rz;us(_OT1GT&F)zE*8;F`Lv(rQ(WqWwucVJ*uLOSIK}Wjk%xT9O zX#1}~9zBj9Rit7`&tSC#x505QIZn}}UXd`b8&*sag(#s34zs|50>s`x6MOE+Q1)$G zf5G-Pv3p(LM6L=3)DY)5YWnwd90%B=Ta-7{{RcJ*D!0H-K{}(SciBhQb3f%hIN`mr z^4)ZdLIc9H+Zw%NshjJOh48>;3v$~}i{sR4FQV+aDVIEo2ExziBoB^&e*SE&!gQfx zo`AU)n|+)^OG96IyXk21AWp}yi=D;v<4}b+bS-n+3KocAs;{kr1MpJI4z%lAQMT!{ zK>S+K#1dCGVM4f_0JBK`JTlfWv~8}+a!d^KS!QlGE_#t)Bt@3iwGK*K|Z)UuUgy5pN?B>glc`o}EUk3vKaq^s5nX(z} znY4+krUJ<*<;U;sD~b}6y)bSpa^w^H*gJSjD5m93xK7=6tq#GUAbmw!U~0HQDV&8Q zyS!cdEaQBg2MiE@rlU8q{VGzHg3L~M1IHnzA~^t6c|l(SiUS$yE#viZNYT{fuf0gF z_I3k{10w~(RHc2}pb>yE@TKaY1(DDm$&cGgtHr`vrknWL57_6F5>-gk4>!SgF{?!Gk7rK0t1% zMrtf$mtyIxZ9X|u!AR}4`e%C9WxUVZS)EZ9yejNLkf3cMiHkJ~*3z2b&-nOwO--)Y z&4CD)MUV_VAvgP`zbbV_{t^a9UXcIHT>r@MQqTU`^nhLX+O=yS9cE=^-NBwY$gUu- z!@&v@s`caj^Rf%6Nz0h-V4v5gAwukR6UptnKuh})zbJ?)WUODC@N`n*Vm*I<{TWDz zp9D3`+?WF)+vxR$9wdHqaj52TggjYqKm;O2z1}U+Yo|BzTVDcD_>dz_PB&g$%IvfQ~73MSBMj z^I(PCXuRLHXpzsyV-azwf`pyVVNmo}jj`Py7xi>grD+4VP<$f=0%6tLorQK6!^Z-a z3z=!_)LT?BK?>>vFh$qJB@l&)+0?Ix=X%Jd=r|++E~O`vG=`D|xBqefv0e|bn~2z~ zBQK-8b`)#An+Hapr~}QVixth_|IEIBmBoh zN9uhGOSPCeKmK6*&aNWn~g z@02o8G^=-?T@~;hNYalG#}G(ZflE}w{gN49FX(~j@7KHaw5)Z8C=iUaRXU>+p(4(# z{$!JjMdlMrOn!$B9e<{I=a!MwmZDb;F>v=l7^$RZAw?lL$26DZ5@OV|_3dZ#+wnR% z=h@B}*_Ra-91uNEo;Sl$%6=P0ZEW6C7i8U4ycS=jHQbtB`Sc4!X(q$CpvE-)L2GXI zv)#hV(3vQienAvUa!0SPv| zZ8HQ3)&(>i6-BT0w+?V%y$gPsFIK^f^ z!}=E;U2NTSDM**$=CRohYU*K%KHC*`>Wo*fdDAGVfxE|KLM-Zo9>xh#{NdA6L%O-7 zkF5u0zt=P~3&hrdNP8u%Q)bR#d%#}xy%g3&eYIVMae#xHM|lqjq`wagi9=rwu6d*O z-{r6VU(;THN@VlP65uIMj;sIS<97bZ?Hr&_#@`2z-u>^;YX6O9{ei+ld>d%Up$q5# ztlFLUyIFuUiTeBCx%UC@_%99Y{}$W#ziif@u_>a79)O_pL!fmKW{dt;v%oZs`um{y z|1T2qpUwJDrtS3~68>M!0*jZg{(W%%za|&|sTltM*jxX{Nd9Lg`TrQn|HZrSGcVq( V6sPY@@jjTlnrgatid7#z`yWAO#-9KH From 01497e5f523ebc6886782f70a28417a4f2e76937 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Fri, 23 Jul 2021 09:28:49 +0200 Subject: [PATCH 226/313] Fix CI for rewrite --- .github/workflows/ci.yml | 28 +++++++++++++++++++++++----- 1 file changed, 23 insertions(+), 5 deletions(-) diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index b97083f6b..b0cba1327 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -7,16 +7,12 @@ on: jobs: # Check a build succeeds for each chapter that contains example code. - build-chapter: + build-chapter-microbit: runs-on: ubuntu-20.04 strategy: matrix: chapter: - 05-led-roulette - - 07-uart - - 08-i2c - - 15-led-compass - - 16-punch-o-meter steps: - uses: actions/checkout@v2 - uses: actions-rs/toolchain@v1 @@ -24,6 +20,28 @@ jobs: profile: minimal toolchain: stable target: thumbv6m-none-eabi + - uses: actions-rs/toolchain@v1 + with: + profile: minimal + toolchain: stable + target: thumbv7em-none-eabihf + - name: Build chapter micro:bit v1 + working-directory: src/${{ matrix.chapter }} + run: cargo build --features v1 --target thumbv6m-none-eabi + - name: Build chapter micro:bit v2 + working-directory: src/${{ matrix.chapter }} + run: cargo build --features v2 --target thumbv7em-none-eabihf + build-chapter-old: + runs-on: ubuntu-20.04 + strategy: + matrix: + chapter: + - 07-uart + - 08-i2c + - 15-led-compass + - 16-punch-o-meter + steps: + - uses: actions/checkout@v2 - uses: actions-rs/toolchain@v1 # Until everything has been rewritten with: profile: minimal From 4b11b99639d047d122228cacca64d3a3680974ed Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Fri, 23 Jul 2021 09:31:25 +0200 Subject: [PATCH 227/313] Install the proper toolchain for older variants --- .github/workflows/ci.yml | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index b0cba1327..cd522b052 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -31,6 +31,7 @@ jobs: - name: Build chapter micro:bit v2 working-directory: src/${{ matrix.chapter }} run: cargo build --features v2 --target thumbv7em-none-eabihf + # Until everything is microbit build-chapter-old: runs-on: ubuntu-20.04 strategy: @@ -42,11 +43,11 @@ jobs: - 16-punch-o-meter steps: - uses: actions/checkout@v2 - - uses: actions-rs/toolchain@v1 # Until everything has been rewritten + - uses: actions-rs/toolchain@v1 with: profile: minimal toolchain: stable - target: thumbv7em-none-eabihf + target: thumbv6m-none-eabi - name: Build chapter working-directory: src/${{ matrix.chapter }} run: cargo build From 2d64a49db839c5383acb5c653cf5b79d86fdcb3f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Fri, 23 Jul 2021 09:33:11 +0200 Subject: [PATCH 228/313] I just shouldn't have touched toolchains... --- .github/workflows/ci.yml | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index cd522b052..05bff50be 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -48,6 +48,11 @@ jobs: profile: minimal toolchain: stable target: thumbv6m-none-eabi + - uses: actions-rs/toolchain@v1 + with: + profile: minimal + toolchain: stable + target: thumbv7em-none-eabihf - name: Build chapter working-directory: src/${{ matrix.chapter }} run: cargo build From fe73a56ba1ca87277662dbc12236ed56a9fbf305 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Fri, 23 Jul 2021 10:32:55 +0200 Subject: [PATCH 229/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/05-led-roulette/build-it.md | 6 +++--- src/05-led-roulette/debug-it.md | 10 +++++----- src/05-led-roulette/flash-it.md | 4 ++-- src/05-led-roulette/light-it-up.md | 4 ++-- src/05-led-roulette/my-solution.md | 2 +- 5 files changed, 13 insertions(+), 13 deletions(-) diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index bd703955f..6215b4843 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -6,7 +6,7 @@ as passing an extra `--target` flag to `rustc`or Cargo. The complicated part is argument of that flag: the *name* of the target. As we already know the microcontroller on the micro:bit v2 has a Cortex-M4F processor in it, the one on v1 a Cortex-M0. -`rustc` knows how to cross compile to the Cortex-M architecture and provides several different targets that cover the different processor +`rustc` knows how to cross-compile to the Cortex-M architecture and provides several different targets that cover the different processors families within that architecture: - `thumbv6m-none-eabi`, for the Cortex-M0 and Cortex-M1 processors @@ -17,8 +17,8 @@ families within that architecture: - `thumbv8m.main-none-eabihf`, for the Cortex-M33**F** and Cortex-M35P**F** processors For the micro:bit v2, we'll use the `thumbv7em-none-eabihf` target, for v1 the `thumbv6m-none-eabi` one. -Before cross compiling you have to download pre-compiled version of the standard library -(a reduced version of it actually) for your target. That's done using `rustup`: +Before cross-compiling you have to download a pre-compiled version of the standard library +(a reduced version of it, actually) for your target. That's done using `rustup`: ``` console # For micro:bit v2 diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index 76cc6ad47..bf4335f2d 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -4,8 +4,8 @@ Before we debug our little program let's take a moment to quickly understand wha happening here. In the previous chapter we already discussed the purpose of the second chip on the board as well as how it talks to our computer, but how can we actually use it? -The little option `default.gb.enabled = true` in `Embed.toml` made `cargo-embed` open a so called "GDB stub" after flashing, -this is a server that our GDB can connect to and send commands like "set a breakpoint at address X" to, the server can then decide +The little option `default.gb.enabled = true` in `Embed.toml` made `cargo-embed` open a so-called "GDB stub" after flashing, +this is a server that our GDB can connect to and send commands like "set a breakpoint at address X" to. The server can then decide on its own how to handle this command. In the case of the `cargo-embed` GDB stub it will forward the command to the debugging probe on the board via USB which then does the job of actually talking to the MCU for us. @@ -30,7 +30,7 @@ $ gdb target/thumbv6m-none-eabi/debug/led-roulette > implement the GDB protocol and thus might not recognize all of the commands your GDB is sending to it, > as long as it does not crash, you are fine. -Next we will have to connect to the GDB stub, it runs on `localhost:1337` per default so in order to +Next we will have to connect to the GDB stub. It runs on `localhost:1337` per default so in order to connect to it run the following: ```shell @@ -40,8 +40,8 @@ Remote debugging using :1337 157 #[derive(Copy, Clone, Debug)] ``` -Next what we want to do is get to the main function of our program, -we will do this by first setting a breakpoint there and the continuing +Next what we want to do is get to the main function of our program. +We will do this by first setting a breakpoint there and the continuing program execution until we hit the breakpoint: ``` diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index 2a38c66c6..d837a1003 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -11,7 +11,7 @@ Flashing the binary itself is quite simple thanks to `cargo-embed`. Before executing that command though, lets look into what it actually does. If you look at the side of your micro:bit with the USB connector facing upwards you will notice, that there are actually 2 black squares on there -(on the micro:bit v2 is a third and biggest one, its a speaker), one is our MCU +(on the micro:bit v2 there is a third and biggest one, which is a speaker), one is our MCU we already talked about but what purpose does the other one serve? The other chip has 3 main purposes: 1. Provide power from the USB connector to our MCU @@ -42,7 +42,7 @@ $ cargo embed --features v1 --target thumbv6m-none-eabi You will notice that `cargo-embed` blocks after outputting the last line, this is inteded and you should not close it -since we need it in this state for the next step, debugging it! Furthermore you will have noticed that the `cargo build` +since we need it in this state for the next step: debugging it! Furthermore, you will have noticed that the `cargo build` and `cargo embed` are actually passed the same flags, this is because `cargo embed` actually executes the build and then flashes the resulting binary on to the chip, hence you can leave out the `cargo build` step in the future if you want to flash your code right away. diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md index 00aed0adc..7a0ab16db 100644 --- a/src/05-led-roulette/light-it-up.md +++ b/src/05-led-roulette/light-it-up.md @@ -5,7 +5,7 @@ In this chapter we are going to make one of the many LEDs on the back of the mic basically the "Hello World" of embedded programming. In order to get this task done we will use one of the traits provided by `embedded-hal`, specifically the [OutputPin trait] which allows us to turn a pin on or off. -[OutputPin trait]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/digital/v2/trait.OutputPin.html +[OutputPin trait]: https://docs.rs/embedded-hal/0.2.5/embedded_hal/digital/v2/trait.OutputPin.html ## The micro:bit LEDs @@ -75,7 +75,7 @@ that is highly unlikely in our case, we can just `unwrap()` the result. ## Testing it Testing our little program is quite simple. First put it into `src/mains.rs`. Afterwards we simply have to run the -`cargo-embed` command from the last section again, let it flash and just like before, open our GDB and connect +`cargo embed` command from the last section again, let it flash and just like before. Then open our GDB and connect to the GDB stub: ``` diff --git a/src/05-led-roulette/my-solution.md b/src/05-led-roulette/my-solution.md index 29721584b..a6369503d 100644 --- a/src/05-led-roulette/my-solution.md +++ b/src/05-led-roulette/my-solution.md @@ -183,7 +183,7 @@ Total 208617 Know how to read this output? The `text` section contains the program instructions. On the other hand, the `data` and `bss` sections contain variables statically allocated in RAM (`static` variables). -If you remember back to the specification of the microcontroller on your micro:bit, you should +If you remember back in the specification of the microcontroller on your micro:bit, you should notice that its flash memory is actually far too small to contain this binary, so how is this possible? As we can see from the size statistics most of the binary is actually made up of debugging related sections , those are however not flashed to the microcontroller at any time, after all they aren't From 3ff772ebde830894c0a87e0f1540f79ed918bf63 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Fri, 23 Jul 2021 10:33:17 +0200 Subject: [PATCH 230/313] Update src/05-led-roulette/flash-it.md Co-authored-by: Diego Barrios Romero --- src/05-led-roulette/flash-it.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/flash-it.md b/src/05-led-roulette/flash-it.md index d837a1003..8b456d9d2 100644 --- a/src/05-led-roulette/flash-it.md +++ b/src/05-led-roulette/flash-it.md @@ -7,7 +7,7 @@ In this case, our `led-roulette` program will be the *only* program in the micro By this I mean that there's nothing else running on the microcontroller: no OS, no "daemon", nothing. `led-roulette` has full control over the device. -Flashing the binary itself is quite simple thanks to `cargo-embed`. +Flashing the binary itself is quite simple thanks to `cargo embed`. Before executing that command though, lets look into what it actually does. If you look at the side of your micro:bit with the USB connector facing upwards you will notice, that there are actually 2 black squares on there From 9af3afe8cf57fdde5f28f2777ad8f3fea73dbe31 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Fri, 23 Jul 2021 12:53:39 +0200 Subject: [PATCH 231/313] Adopt bors to the new CI --- .github/bors.toml | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/.github/bors.toml b/.github/bors.toml index 9fed1a7f4..fb56de254 100644 --- a/.github/bors.toml +++ b/.github/bors.toml @@ -3,9 +3,9 @@ delete_merged_branches = true required_approvals = 1 status = [ "build-book", - "build-chapter (05-led-roulette)", - "build-chapter (07-uart)", - "build-chapter (08-i2c)", - "build-chapter (15-led-compass)", - "build-chapter (16-punch-o-meter)", + "build-chapter-microbit (05-led-roulette)", + "build-chapter-old (07-uart)", + "build-chapter-old (08-i2c)", + "build-chapter-old (15-led-compass)", + "build-chapter-old (16-punch-o-meter)", ] From 6d0ecc08127e719347a7a7aa93852804525a9270 Mon Sep 17 00:00:00 2001 From: Devon Kerkhove Date: Wed, 28 Jul 2021 16:40:24 +0200 Subject: [PATCH 232/313] Fix typo in openocd.gdb --- src/openocd.gdb | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/openocd.gdb b/src/openocd.gdb index 1ab63a618..7dc8dd77f 100644 --- a/src/openocd.gdb +++ b/src/openocd.gdb @@ -23,10 +23,10 @@ monitor itm port 0 on # Set a breakpoint at main, aka entry break main -# Set a breakpiont at DefaultHandler +# Set a breakpoint at DefaultHandler break DefaultHandler -# Set a breakpiont at HardFault +# Set a breakpoint at HardFault break HardFault # Continue running until we hit the main breakpoint From b554e785bd03c5b1644c83f0993a5ad809f0160a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 15:21:48 +0200 Subject: [PATCH 233/313] serial chapter first steps --- src/07-uart/.cargo/config | 3 - src/07-uart/Cargo.toml | 20 +++++- src/07-uart/Embed.toml | 5 +- src/07-uart/README.md | 12 ++++ src/07-uart/send-a-single-byte.md | 52 ++++++++------ src/07-uart/src/main.rs | 54 +++++++++++---- src/07-uart/src/serial_setup.rs | 46 +++++++++++++ src/07-uart/uprintln.md | 110 ------------------------------ 8 files changed, 150 insertions(+), 152 deletions(-) create mode 100644 src/07-uart/src/serial_setup.rs delete mode 100644 src/07-uart/uprintln.md diff --git a/src/07-uart/.cargo/config b/src/07-uart/.cargo/config index a0ec1777f..6260c5718 100644 --- a/src/07-uart/.cargo/config +++ b/src/07-uart/.cargo/config @@ -2,6 +2,3 @@ rustflags = [ "-C", "link-arg=-Tlink.x", ] - -[build] -target = "thumbv6m-none-eabi" diff --git a/src/07-uart/Cargo.toml b/src/07-uart/Cargo.toml index 16d2567a5..232d543da 100644 --- a/src/07-uart/Cargo.toml +++ b/src/07-uart/Cargo.toml @@ -4,12 +4,26 @@ version = "0.1.0" authors = ["Henrik Böving "] edition = "2018" +[dependencies.microbit-v2] +version = "0.10.1" +git = "https://github.com/hargonix/microbit/" +optional = true + + +[dependencies.microbit] +version = "0.10.1" +git = "https://github.com/hargonix/microbit/" +optional = true + [dependencies] -cortex-m = "0.6.0" -cortex-m-rt = "0.6.10" +cortex-m = "0.7.3" +cortex-m-rt = "0.6.12" panic-halt = "0.2.0" -nrf51-hal = "0.11.0" rtt-target = { version = "0.2.2", features = ["cortex-m"] } panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } nb = "1.0.0" heapless = "0.5.6" + +[features] +v2 = ["microbit-v2"] +v1 = ["microbit"] diff --git a/src/07-uart/Embed.toml b/src/07-uart/Embed.toml index 321db357b..6312a32fc 100644 --- a/src/07-uart/Embed.toml +++ b/src/07-uart/Embed.toml @@ -1,5 +1,8 @@ [default.general] -chip = "nrf51822_xxAA" +# v2 +# chip = "nrf52833" +# v1 +# chip = "nrf51822" [default.reset] halt_afterwards = false diff --git a/src/07-uart/README.md b/src/07-uart/README.md index eec87112d..843636ee6 100644 --- a/src/07-uart/README.md +++ b/src/07-uart/README.md @@ -4,5 +4,17 @@ The microcontroller has a peripheral called UART, which stands for Universal Asynchronous Receiver/Transmitter. This peripheral can be configured to work with several communication protocols like the serial communication protocol. + Throughout this chapter, we'll use serial communication to exchange information between the microcontroller and your computer. + +> **NOTE** that on the micro:bit v2 we will use the so called UARTE peripheral which behaves +> just like a regular UART, except that the HAL has to talk to it in a different way. +> However this will of course not be our concern. + +## Setup +As always from now on you will have to modify the Embed.toml to match your micro:bit version: + +```toml +{{#include Embed.toml}} +``` diff --git a/src/07-uart/send-a-single-byte.md b/src/07-uart/send-a-single-byte.md index 0a308110b..03fd2e0d0 100644 --- a/src/07-uart/send-a-single-byte.md +++ b/src/07-uart/send-a-single-byte.md @@ -9,27 +9,35 @@ In order to do that we will use the following snippet (this one is already in `0 {{#include src/main.rs}} ``` - -There are some parts we have already seen before but also lots of new stuff so lets focus on those. -First things first, how do we know that we have to interact with `P0_24` and `P0_25` here? If you take a look -at the micro:bit schematics again, in page 5 you will notice that those two pins are also referred to as `TGT_RX` -and `TGT_TX`. Not only that, they are also connected to our debug probe (see page 2) so those are most likely the two -pins we are looking for. - -Next up, we can observe a new pin configuration we haven't seen before `p0.p0_25.into_floating_input()`. This just -means that `P0_25` is now an input. What exactly floating means is none of our concern right now. But how do we know -we have to put our pins in this exact mode? If you look at the line below you can see that we construct an instance -of `Pins` which is later passed on to our UART peripheral constructor (`cts` and `rts` are for more advanced UART features -we do not use here, hence they are set to `None`) and the [type signature](https://docs.rs/nrf51-hal/0.11.0/nrf51_hal/uart/struct.Pins.html) -of `Pins` already tells us what to do. - -Next, we construct our UART peripheral with `hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200);`. -This function takes ownership of `p.UART0` and our `pins` so nobody else can mess with either the UART peripheral or our pins while +The most prevalent new thing here is obviously the `cfg` directives to conditionally include/exclude +parts of the code. This is mostly just because we want to work with a regular UART for the micro:bit v1 +and with the UARTE for micro:bit v2. + +You will also have noticed that this is the first time we are including some code that is not from a library, +namely the `serial_setup` module. Its only purpose is to provide a nice and usable wrapper around the UARTE +so we can use it the exact same way as the UART via the [embedded_hal::serial] traits. If you want you can +check out what exactly the module does, but it is not required to understand this chapter in general. + +[embedded_hal::serial]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/serial/index.html + +Apart from those differences the initilization procedures for the UART and the UARTE are quite similar so we'll +discuss the initilization of just UARTE. The UARTE is initialized with this piece of code: +```rs +uarte::Uarte::new( + board.UARTE0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, +); +``` +This function takes ownership of the UARTE peripheral representation in Rust (`board.UARTE0`) and the TX/RX pins +on the board (`board.uart.into()`) so nobody else can mess with either the UARTE peripheral or our pins while we are using them. After that we pass two configuration options two the constructor: the baudrate (that one should be familiar) as well as an option called "parity". Parity is a way that allows serial communication lines to check whether the data they received was corrupted during transmission or not but we don't want to use that here so we simply exclude it. +Then we wrap it up in the `UartePort` type so we can use it the same way as the micro:bit v1's `serial`. -Last but not least, we send our `X` via the newly created uart instance. The `block!` macro here is the `nb::block!` +After the initilization, we send our `X` via the newly created uart instance. The `block!` macro here is the `nb::block!` macro. `nb` is a (quoting from its description) "Minimal and reusable non-blocking I/O layer". It allows us to write code that can conduct hardware operations in the background while we go and do other work (non-blocking). However, in this and many other cases we have no interest in doing some other work so we just call `block!` which will wait until @@ -39,10 +47,14 @@ the I/O operation is done and has either succeeded or failed and then continue e Before flashing this you should make sure to start your minicom/PuTTY as the data we receive via our serial communication is not backed up or anything, we have to view it live. Once your serial monitor is up you can -do a simple - +flash the program just like in chapter 5: ``` -$ cargo embed --release +# For micro:bit v2 +$ cargo embed --features v2 --target thumbv7em-none-eabihf + (...) + +# For micro:bit v1 +$ cargo embed --features v1 --target thumbv6m-none-eabi ``` And after the flashing is finished, you should see the character `X` show up on your minicom/PuTTY terminal, congrats! diff --git a/src/07-uart/src/main.rs b/src/07-uart/src/main.rs index c12febbb9..af66a6070 100644 --- a/src/07-uart/src/main.rs +++ b/src/07-uart/src/main.rs @@ -5,29 +5,53 @@ use cortex_m_rt::entry; use rtt_target::rtt_init_print; use panic_rtt_target as _; -use nrf51_hal as hal; -use hal::prelude::*; -use nb::block; + +#[cfg(feature = "v1")] +use microbit::{ + hal::prelude::*, + hal::uart, + hal::uart::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::prelude::*, + hal::uarte, + hal::uarte::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +mod serial_setup; +#[cfg(feature = "v2")] +use serial_setup::UartePort; #[entry] fn main() -> ! { rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); + let board = microbit::Board::take().unwrap(); - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let rxd = p0.p0_25.into_floating_input().degrade(); - let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); - - let pins = hal::uart::Pins { - rxd, - txd, - cts: None, - rts: None + #[cfg(feature = "v1")] + let mut serial = { + uart::Uart::new( + board.UART0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ) }; - let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); + #[cfg(feature = "v2")] + let mut serial = { + let serial = uarte::Uarte::new( + board.UARTE0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ); + UartePort::new(serial) + }; - block!(uart.write(b'X')).ok(); + nb::block!(serial.write(b'X')).ok(); loop {} } diff --git a/src/07-uart/src/serial_setup.rs b/src/07-uart/src/serial_setup.rs new file mode 100644 index 000000000..eb3997aed --- /dev/null +++ b/src/07-uart/src/serial_setup.rs @@ -0,0 +1,46 @@ +use core::fmt; +use embedded_hal::blocking::serial as bserial; +use embedded_hal::serial; +use microbit::hal::uarte::{Error, Instance, Uarte, UarteRx, UarteTx}; + +static mut TX_BUF: [u8; 1] = [0; 1]; +static mut RX_BUF: [u8; 1] = [0; 1]; + +pub struct UartePort(UarteTx, UarteRx); + +impl UartePort { + pub fn new(serial: Uarte) -> UartePort { + let (tx, rx) = serial + .split(unsafe { &mut TX_BUF }, unsafe { &mut RX_BUF }) + .unwrap(); + UartePort(tx, rx) + } +} + +impl fmt::Write for UartePort { + fn write_str(&mut self, s: &str) -> fmt::Result { + self.0.write_str(s) + } +} + +impl serial::Write for UartePort { + type Error = Error; + + fn write(&mut self, b: u8) -> nb::Result<(), Self::Error> { + self.0.write(b) + } + + fn flush(&mut self) -> nb::Result<(), Self::Error> { + self.0.flush() + } +} + +impl bserial::write::Default for UartePort {} + +impl serial::Read for UartePort { + type Error = Error; + + fn read(&mut self) -> nb::Result { + self.1.read() + } +} diff --git a/src/07-uart/uprintln.md b/src/07-uart/uprintln.md deleted file mode 100644 index 8d152ad2e..000000000 --- a/src/07-uart/uprintln.md +++ /dev/null @@ -1,110 +0,0 @@ -# `uprintln!` - -For the next exercise, we'll implement the `uprint!` family of macros. Your goal is to make this -line of code work: - -``` rust - uprintln!(serial, "The answer is {}", 40 + 2); -``` - -Which must send the string `"The answer is 42"` through the serial interface. - -How do we go about that? It's informative to look into the `std` implementation of `println!`. - -``` rust -// src/libstd/macros.rs -macro_rules! print { - ($($arg:tt)*) => ($crate::io::_print(format_args!($($arg)*))); -} -``` - -Looks simple so far. We need the built-in `format_args!` macro (it's implemented in the compiler so we -can't see what it actually does). We'll have to use that macro in the exact same way. What does this -`_print` function do? - -``` rust -// src/libstd/io/stdio.rs -pub fn _print(args: fmt::Arguments) { - let result = match LOCAL_STDOUT.state() { - LocalKeyState::Uninitialized | - LocalKeyState::Destroyed => stdout().write_fmt(args), - LocalKeyState::Valid => { - LOCAL_STDOUT.with(|s| { - if s.borrow_state() == BorrowState::Unused { - if let Some(w) = s.borrow_mut().as_mut() { - return w.write_fmt(args); - } - } - stdout().write_fmt(args) - }) - } - }; - if let Err(e) = result { - panic!("failed printing to stdout: {}", e); - } -} -``` - -That *looks* complicated but the only part we are interested in is: `w.write_fmt(args)` and -`stdout().write_fmt(args)`. What `print!` ultimately does is call the `fmt::Write::write_fmt` method -with the output of `format_args!` as its argument. - -Luckily we don't have to implement the `fmt::Write::write_fmt` method either because it's a default -method. We only have to implement the `fmt::Write::write_str` method. - -Let's do that. - -This is what the macro side of the equation looks like. What's left to be done by you is provide the -implementation of the `write_str` method. - -Above we saw that `Write` is in `std::fmt`. We don't have access to `std` but `Write` is also -available in `core::fmt`. - -``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -use core::fmt::{self, Write}; - -#[allow(unused_imports)] -use aux11::{entry, iprint, iprintln, usart1}; - -macro_rules! uprint { - ($serial:expr, $($arg:tt)*) => { - $serial.write_fmt(format_args!($($arg)*)).ok() - }; -} - -macro_rules! uprintln { - ($serial:expr, $fmt:expr) => { - uprint!($serial, concat!($fmt, "\n")) - }; - ($serial:expr, $fmt:expr, $($arg:tt)*) => { - uprint!($serial, concat!($fmt, "\n"), $($arg)*) - }; -} - -struct SerialPort { - usart1: &'static mut usart1::RegisterBlock, -} - -impl fmt::Write for SerialPort { - fn write_str(&mut self, s: &str) -> fmt::Result { - // TODO implement this - // hint: this will look very similar to the previous program - Ok(()) - } -} - -#[entry] -fn main() -> ! { - let (usart1, mono_timer, itm) = aux11::init(); - - let mut serial = SerialPort { usart1 }; - - uprintln!(serial, "The answer is {}", 40 + 2); - - loop {} -} -``` From 256af47da6e5c3bc606e2730bce2609145e27459 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 15:48:27 +0200 Subject: [PATCH 234/313] Initial subchapter of ch07 --- src/07-uart/Cargo.toml | 1 + src/07-uart/send-a-single-byte.md | 4 ++++ src/07-uart/src/main.rs | 4 ++-- 3 files changed, 7 insertions(+), 2 deletions(-) diff --git a/src/07-uart/Cargo.toml b/src/07-uart/Cargo.toml index 232d543da..c77dd03c1 100644 --- a/src/07-uart/Cargo.toml +++ b/src/07-uart/Cargo.toml @@ -23,6 +23,7 @@ rtt-target = { version = "0.2.2", features = ["cortex-m"] } panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } nb = "1.0.0" heapless = "0.5.6" +embedded-hal = "0.2.6" [features] v2 = ["microbit-v2"] diff --git a/src/07-uart/send-a-single-byte.md b/src/07-uart/send-a-single-byte.md index 03fd2e0d0..3e2567bb9 100644 --- a/src/07-uart/send-a-single-byte.md +++ b/src/07-uart/send-a-single-byte.md @@ -43,6 +43,10 @@ code that can conduct hardware operations in the background while we go and do o in this and many other cases we have no interest in doing some other work so we just call `block!` which will wait until the I/O operation is done and has either succeeded or failed and then continue execution normally. +Last but not least we `flush()` the serial port. This is because an implementor of the `embedded-hal::serial` traits may +decide to buffer output until it has received a certain number of bytes to send (this is the case with the UARTE implementation). +Calling `flush()` forces it to write the bytes it currently has right now instead of waiting for more. + ## Testing it Before flashing this you should make sure to start your minicom/PuTTY as the data we receive via our serial diff --git a/src/07-uart/src/main.rs b/src/07-uart/src/main.rs index af66a6070..0434ff883 100644 --- a/src/07-uart/src/main.rs +++ b/src/07-uart/src/main.rs @@ -1,4 +1,3 @@ -#![deny(unsafe_code)] #![no_main] #![no_std] @@ -51,7 +50,8 @@ fn main() -> ! { UartePort::new(serial) }; - nb::block!(serial.write(b'X')).ok(); + nb::block!(serial.write(b'X')).unwrap(); + nb::block!(serial.flush()).unwrap(); loop {} } From 676960122fe281239e181c5addd2acffbd698f5a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 16:35:14 +0200 Subject: [PATCH 235/313] Rest of ch07 --- src/07-uart/my-solution.md | 63 ++++++++---- src/07-uart/naive-approch-write.md | 142 +++++++++++++++++++++++++++ src/07-uart/naive-approch-writeln.md | 89 ----------------- src/07-uart/receive-a-single-byte.md | 57 +++++++---- src/07-uart/reverse-a-string.md | 54 +++++++--- src/07-uart/send-a-string.md | 6 -- src/07-uart/src/main.rs | 28 +++++- src/SUMMARY.md | 2 +- 8 files changed, 292 insertions(+), 149 deletions(-) create mode 100644 src/07-uart/naive-approch-write.md delete mode 100644 src/07-uart/naive-approch-writeln.md diff --git a/src/07-uart/my-solution.md b/src/07-uart/my-solution.md index a5507a60a..4cf80ca17 100644 --- a/src/07-uart/my-solution.md +++ b/src/07-uart/my-solution.md @@ -5,31 +5,55 @@ #![no_std] use cortex_m_rt::entry; +use core::fmt::Write; +use heapless::{Vec, consts}; use rtt_target::rtt_init_print; use panic_rtt_target as _; -use nrf51_hal as hal; -use hal::prelude::*; -use heapless::{consts, Vec}; -use nb::block; -use core::fmt::Write; + +#[cfg(feature = "v1")] +use microbit::{ + hal::prelude::*, + hal::uart, + hal::uart::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::prelude::*, + hal::uarte, + hal::uarte::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +mod serial_setup; +#[cfg(feature = "v2")] +use serial_setup::UartePort; #[entry] fn main() -> ! { rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); - - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let rxd = p0.p0_25.into_floating_input().degrade(); - let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); + let board = microbit::Board::take().unwrap(); - let pins = hal::uart::Pins { - rxd, - txd, - cts: None, - rts: None + #[cfg(feature = "v1")] + let mut serial = { + uart::Uart::new( + board.UART0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ) }; - let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); + #[cfg(feature = "v2")] + let mut serial = { + let serial = uarte::Uarte::new( + board.UARTE0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ); + UartePort::new(serial) + }; // A buffer with 32 bytes of capacity let mut buffer: Vec = Vec::new(); @@ -39,20 +63,21 @@ fn main() -> ! { loop { // We assume that the receiving cannot fail - let byte = block!(uart.read()).unwrap(); + let byte = nb::block!(serial.read()).unwrap(); if buffer.push(byte).is_err() { - writeln!(&mut uart, "error: buffer full").unwrap(); + writeln!(serial, "error: buffer full").unwrap(); break; } if byte == 13 { for byte in buffer.iter().rev().chain(&[b'\n', b'\r']) { - block!(uart.write(*byte)).ok(); + nb::block!(serial.write(*byte)).unwrap(); } break; } } + nb::block!(serial.flush()).unwrap() } } ``` diff --git a/src/07-uart/naive-approch-write.md b/src/07-uart/naive-approch-write.md new file mode 100644 index 000000000..746dd3bd8 --- /dev/null +++ b/src/07-uart/naive-approch-write.md @@ -0,0 +1,142 @@ +# Naive approach and `write!` + +## Naive approach + +You probably came up with a program similar to the following: + +```rs +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; + +#[cfg(feature = "v1")] +use microbit::{ + hal::prelude::*, + hal::uart, + hal::uart::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::prelude::*, + hal::uarte, + hal::uarte::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +mod serial_setup; +#[cfg(feature = "v2")] +use serial_setup::UartePort; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let board = microbit::Board::take().unwrap(); + + #[cfg(feature = "v1")] + let mut serial = { + uart::Uart::new( + board.UART0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ) + }; + + #[cfg(feature = "v2")] + let mut serial = { + let serial = uarte::Uarte::new( + board.UARTE0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ); + UartePort::new(serial) + }; + + for byte in b"The quick brown fox jumps over the lazy dog.\r\n".iter() { + nb::block!(serial.write(*byte)).unwrap(); + } + nb::block!(serial.flush()).unwrap(); + + loop {} +} +``` + +While this is a perfectly valid implementation, at some point +you might want to have all the nice perks of `print!` such +as argument formatting and so on. If you are wondering how to do that, read on. + +## `write!` and `core::fmt::Write` +The `core::fmt::Write` trait allows us to use any struct that implements +it in basically the same way as we use `print!` in the `std` world. +In this case the `Uart` struct from the `nrf` HAL does implement `core::fmt::Write` +so we can refactor our previous program into this: + +```rs +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; +use core::fmt::Write; + +#[cfg(feature = "v1")] +use microbit::{ + hal::prelude::*, + hal::uart, + hal::uart::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::prelude::*, + hal::uarte, + hal::uarte::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +mod serial_setup; +#[cfg(feature = "v2")] +use serial_setup::UartePort; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let board = microbit::Board::take().unwrap(); + + #[cfg(feature = "v1")] + let mut serial = { + uart::Uart::new( + board.UART0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ) + }; + + #[cfg(feature = "v2")] + let mut serial = { + let serial = uarte::Uarte::new( + board.UARTE0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ); + UartePort::new(serial) + }; + + write!(serial, "The quick brown fox jumps over the lazy dog.\r\n").unwrap(); + nb::block!(serial.flush()).unwrap(); + + loop {} +} +``` + +If you were to flash this program onto your micro:bit, you'll +see that it is functionally equivalent to the iterator-based +program you came up with. diff --git a/src/07-uart/naive-approch-writeln.md b/src/07-uart/naive-approch-writeln.md deleted file mode 100644 index 3a0facf5a..000000000 --- a/src/07-uart/naive-approch-writeln.md +++ /dev/null @@ -1,89 +0,0 @@ -# Naive approach and `writeln!` - -## Naive approach - -You probably came up with a program similar to the following: - -```rs -#![no_main] -#![no_std] - -use cortex_m_rt::entry; -use rtt_target::rtt_init_print; -use panic_rtt_target as _; -use nrf51_hal as hal; -use hal::prelude::*; -use nb::block; - -#[entry] -fn main() -> ! { - rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); - - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let rxd = p0.p0_25.into_floating_input().degrade(); - let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); - - let pins = hal::uart::Pins { - rxd, - txd, - cts: None, - rts: None - }; - - let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); - - for byte in b"The quick brown fox jumps over the lazy dog.".iter() { - block!(uart.write(*byte)).ok(); - } - - loop {} -} -``` - -While this is a perfectly valid implementation, at some point -you might want to have all the nice perks of `println!` such -as argument formatting and so on. If you are wondering how to do that, read on. - -## `writeln!` and `core::fmt::Write` -The `core::fmt::Write` trait allows us to use any struct that implements -it in basically the same way as we use `println!` in the `std` world. -In this case the `Uart` struct from the `nrf` HAL does implement `core::fmt::Write` -so we can refactor our previous program into this: - -```rs -#![no_main] -#![no_std] - -use cortex_m_rt::entry; -use rtt_target::rtt_init_print; -use panic_rtt_target as _; -use nrf51_hal as hal; -use core::fmt::Write; - -#[entry] -fn main() -> ! { - rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); - - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let rxd = p0.p0_25.into_floating_input().degrade(); - let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); - - let pins = hal::uart::Pins { - rxd, - txd, - cts: None, - rts: None - }; - - let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); - writeln!(&mut uart, "The quick brown fox jumps over the lazy dog.").unwrap(); - - loop {} -} -``` - -If you were to flash this program onto your micro:bit, you'll -see that it is functionally equivalent to the iterator-based -program you came up with. diff --git a/src/07-uart/receive-a-single-byte.md b/src/07-uart/receive-a-single-byte.md index 6743ab761..dbd2a8451 100644 --- a/src/07-uart/receive-a-single-byte.md +++ b/src/07-uart/receive-a-single-byte.md @@ -8,33 +8,56 @@ data from your computer. Luckily `embedded-hal` again got us covered with this o #![no_std] use cortex_m_rt::entry; -use rtt_target::rtt_init_print; +use rtt_target::{rtt_init_print, rprintln}; use panic_rtt_target as _; -use rtt_target::rprintln; -use nrf51_hal as hal; -use hal::prelude::*; -use nb::block; + +#[cfg(feature = "v1")] +use microbit::{ + hal::prelude::*, + hal::uart, + hal::uart::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::prelude::*, + hal::uarte, + hal::uarte::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +mod serial_setup; +#[cfg(feature = "v2")] +use serial_setup::UartePort; #[entry] fn main() -> ! { rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); + let board = microbit::Board::take().unwrap(); - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let rxd = p0.p0_25.into_floating_input().degrade(); - let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); - - let pins = hal::uart::Pins { - rxd, - txd, - cts: None, - rts: None + #[cfg(feature = "v1")] + let mut serial = { + uart::Uart::new( + board.UART0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ) }; - let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); + #[cfg(feature = "v2")] + let mut serial = { + let serial = uarte::Uarte::new( + board.UARTE0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ); + UartePort::new(serial) + }; loop { - let byte = block!(uart.read()).unwrap(); + let byte = nb::block!(serial.read()).unwrap(); rprintln!("{}", byte); } } diff --git a/src/07-uart/reverse-a-string.md b/src/07-uart/reverse-a-string.md index a3dfda63a..9867737b2 100644 --- a/src/07-uart/reverse-a-string.md +++ b/src/07-uart/reverse-a-string.md @@ -13,29 +13,55 @@ This time you'll need a buffer; you can use [`heapless::Vec`]. Here's the starte #![no_std] use cortex_m_rt::entry; +use core::fmt::Write; +use heapless::{Vec, consts}; use rtt_target::rtt_init_print; use panic_rtt_target as _; -use rtt_target::rprintln; -use nrf51_hal as hal; -use heapless::{consts, Vec}; + +#[cfg(feature = "v1")] +use microbit::{ + hal::prelude::*, + hal::uart, + hal::uart::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::prelude::*, + hal::uarte, + hal::uarte::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +mod serial_setup; +#[cfg(feature = "v2")] +use serial_setup::UartePort; #[entry] fn main() -> ! { rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); + let board = microbit::Board::take().unwrap(); - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let rxd = p0.p0_25.into_floating_input().degrade(); - let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); - - let pins = hal::uart::Pins { - rxd, - txd, - cts: None, - rts: None + #[cfg(feature = "v1")] + let mut serial = { + uart::Uart::new( + board.UART0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ) }; - let mut uart = hal::Uart::new(p.UART0, pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); + #[cfg(feature = "v2")] + let mut serial = { + let serial = uarte::Uarte::new( + board.UARTE0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ); + UartePort::new(serial) + }; // A buffer with 32 bytes of capacity let mut buffer: Vec = Vec::new(); diff --git a/src/07-uart/send-a-string.md b/src/07-uart/send-a-string.md index e853c01e7..fbf808e46 100644 --- a/src/07-uart/send-a-string.md +++ b/src/07-uart/send-a-string.md @@ -6,9 +6,3 @@ I want you to send the string `"The quick brown fox jumps over the lazy dog."` f your computer. It's your turn to write the program. - -Execute your program inside the debugger, statement by statement. What do you see? - -Then execute the program again but in *one go* using the `continue` command. What happens this time? - -Finally, build the program in *release* mode and, again, run it one go. What happens this time? diff --git a/src/07-uart/src/main.rs b/src/07-uart/src/main.rs index 0434ff883..0b045e9d1 100644 --- a/src/07-uart/src/main.rs +++ b/src/07-uart/src/main.rs @@ -2,6 +2,8 @@ #![no_std] use cortex_m_rt::entry; +use core::fmt::Write; +use heapless::{Vec, consts}; use rtt_target::rtt_init_print; use panic_rtt_target as _; @@ -50,8 +52,28 @@ fn main() -> ! { UartePort::new(serial) }; - nb::block!(serial.write(b'X')).unwrap(); - nb::block!(serial.flush()).unwrap(); + // A buffer with 32 bytes of capacity + let mut buffer: Vec = Vec::new(); - loop {} + loop { + buffer.clear(); + + loop { + // We assume that the receiving cannot fail + let byte = nb::block!(serial.read()).unwrap(); + + if buffer.push(byte).is_err() { + writeln!(serial, "error: buffer full").unwrap(); + break; + } + + if byte == 13 { + for byte in buffer.iter().rev().chain(&[b'\n', b'\r']) { + nb::block!(serial.write(*byte)).unwrap(); + } + break; + } + } + nb::block!(serial.flush()).unwrap() + } } diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 50af7f546..5f4f14c2c 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -24,7 +24,7 @@ - [UART](07-uart/README.md) - [Send a single byte](07-uart/send-a-single-byte.md) - [Send a string](07-uart/send-a-string.md) - - [Naive approach and `writeln!`](07-uart/naive-approch-writeln.md) + - [Naive approach and `write!`](07-uart/naive-approch-write.md) - [Receive a single byte](07-uart/receive-a-single-byte.md) - [Echo server](07-uart/echo-server.md) - [Reverse a string](07-uart/reverse-a-string.md) From ea1cec2e2e8a469e651d4dfc8d561002e205a9cc Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 16:46:12 +0200 Subject: [PATCH 236/313] Restore the old main --- src/07-uart/src/main.rs | 28 +++------------------------- 1 file changed, 3 insertions(+), 25 deletions(-) diff --git a/src/07-uart/src/main.rs b/src/07-uart/src/main.rs index 0b045e9d1..af66a6070 100644 --- a/src/07-uart/src/main.rs +++ b/src/07-uart/src/main.rs @@ -1,9 +1,8 @@ +#![deny(unsafe_code)] #![no_main] #![no_std] use cortex_m_rt::entry; -use core::fmt::Write; -use heapless::{Vec, consts}; use rtt_target::rtt_init_print; use panic_rtt_target as _; @@ -52,28 +51,7 @@ fn main() -> ! { UartePort::new(serial) }; - // A buffer with 32 bytes of capacity - let mut buffer: Vec = Vec::new(); + nb::block!(serial.write(b'X')).ok(); - loop { - buffer.clear(); - - loop { - // We assume that the receiving cannot fail - let byte = nb::block!(serial.read()).unwrap(); - - if buffer.push(byte).is_err() { - writeln!(serial, "error: buffer full").unwrap(); - break; - } - - if byte == 13 { - for byte in buffer.iter().rev().chain(&[b'\n', b'\r']) { - nb::block!(serial.write(*byte)).unwrap(); - } - break; - } - } - nb::block!(serial.flush()).unwrap() - } + loop {} } From afeef71a25f2a8df60b5c5405ee251c5e3bb179c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 16:50:56 +0200 Subject: [PATCH 237/313] Unsafe is fine since we need it for statics --- src/07-uart/src/main.rs | 1 - 1 file changed, 1 deletion(-) diff --git a/src/07-uart/src/main.rs b/src/07-uart/src/main.rs index af66a6070..7cf2d10f8 100644 --- a/src/07-uart/src/main.rs +++ b/src/07-uart/src/main.rs @@ -1,4 +1,3 @@ -#![deny(unsafe_code)] #![no_main] #![no_std] From d9a7b8a13794e30e5d1c4a860a597880915d7452 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 16:51:42 +0200 Subject: [PATCH 238/313] Flush --- src/07-uart/src/main.rs | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/src/07-uart/src/main.rs b/src/07-uart/src/main.rs index 7cf2d10f8..0434ff883 100644 --- a/src/07-uart/src/main.rs +++ b/src/07-uart/src/main.rs @@ -50,7 +50,8 @@ fn main() -> ! { UartePort::new(serial) }; - nb::block!(serial.write(b'X')).ok(); + nb::block!(serial.write(b'X')).unwrap(); + nb::block!(serial.flush()).unwrap(); loop {} } From 8d3a16ade531dca2a6a003023410f4125d0f20c4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 16:57:31 +0200 Subject: [PATCH 239/313] Build ch07 the right way in CI --- .github/bors.toml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.github/bors.toml b/.github/bors.toml index fb56de254..98a830ac0 100644 --- a/.github/bors.toml +++ b/.github/bors.toml @@ -4,7 +4,7 @@ required_approvals = 1 status = [ "build-book", "build-chapter-microbit (05-led-roulette)", - "build-chapter-old (07-uart)", + "build-chapter-microbit (07-uart)", "build-chapter-old (08-i2c)", "build-chapter-old (15-led-compass)", "build-chapter-old (16-punch-o-meter)", From 1e0f483ad2edd47bd6a5dc6e52eb688519acc5cf Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 17:19:35 +0200 Subject: [PATCH 240/313] Initial ch08 code and documentation --- .github/bors.toml | 2 +- src/08-i2c/.cargo/config | 3 -- src/08-i2c/Cargo.toml | 18 +++++++++-- src/08-i2c/Embed.toml | 5 +++- src/08-i2c/read-a-single-register.md | 45 +++++++++++++--------------- src/08-i2c/src/main.rs | 32 ++++++++++++-------- 6 files changed, 62 insertions(+), 43 deletions(-) diff --git a/.github/bors.toml b/.github/bors.toml index fb56de254..9cfe0598b 100644 --- a/.github/bors.toml +++ b/.github/bors.toml @@ -5,7 +5,7 @@ status = [ "build-book", "build-chapter-microbit (05-led-roulette)", "build-chapter-old (07-uart)", - "build-chapter-old (08-i2c)", + "build-chapter-microbit (08-i2c)", "build-chapter-old (15-led-compass)", "build-chapter-old (16-punch-o-meter)", ] diff --git a/src/08-i2c/.cargo/config b/src/08-i2c/.cargo/config index a0ec1777f..6260c5718 100644 --- a/src/08-i2c/.cargo/config +++ b/src/08-i2c/.cargo/config @@ -2,6 +2,3 @@ rustflags = [ "-C", "link-arg=-Tlink.x", ] - -[build] -target = "thumbv6m-none-eabi" diff --git a/src/08-i2c/Cargo.toml b/src/08-i2c/Cargo.toml index e13c6548f..3199dafc3 100644 --- a/src/08-i2c/Cargo.toml +++ b/src/08-i2c/Cargo.toml @@ -4,13 +4,27 @@ edition = "2018" name = "i2c" version = "0.1.0" +[dependencies.microbit-v2] +version = "0.10.1" +git = "https://github.com/nrf-rs/microbit/" +optional = true + + +[dependencies.microbit] +version = "0.10.1" +git = "https://github.com/nrf-rs/microbit/" +optional = true + [dependencies] cortex-m = "0.6.0" cortex-m-rt = "0.6.10" panic-halt = "0.2.0" -nrf51-hal = "0.11.0" rtt-target = { version = "0.2.2", features = ["cortex-m"] } panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } nb = "1.0.0" heapless = "0.5.6" -lsm303agr = "0.1.1" \ No newline at end of file +lsm303agr = "0.1.1" + +[features] +v2 = ["microbit-v2"] +v1 = ["microbit"] diff --git a/src/08-i2c/Embed.toml b/src/08-i2c/Embed.toml index 321db357b..6312a32fc 100644 --- a/src/08-i2c/Embed.toml +++ b/src/08-i2c/Embed.toml @@ -1,5 +1,8 @@ [default.general] -chip = "nrf51822_xxAA" +# v2 +# chip = "nrf52833" +# v1 +# chip = "nrf51822" [default.reset] halt_afterwards = false diff --git a/src/08-i2c/read-a-single-register.md b/src/08-i2c/read-a-single-register.md index 3859a07b4..7cea0a90b 100644 --- a/src/08-i2c/read-a-single-register.md +++ b/src/08-i2c/read-a-single-register.md @@ -25,36 +25,33 @@ it does provide two registers called `WHO_AM_I_A` at address `0x0f` and `WHO_AM_ at address `0x4f` which contain some bit patterns that are unique to the device (The A is as in accelerometer and the M is as in magnetometer). -With these two things regarding the slave chip out of the way we'll now have -to take a look at the master. As always we'll have to figure out the way our -microcontroller is connected to the chip we want to communicate with. On page -3 of the [schematic] you'll find the LSM303AGR, as you already know from the -I2C protocol description we are particularly interested in where the SCL and SDA -lines are connected to. On page 5 of the schematic you'll see that SCL is connected -to P0.00 and SDA is connected to P0.30. +The only thing missing now is the software part, i.e. which API of the `microbit`/the HAL +crates we should use for this. However if you read through the datasheet of the nRF chip +you are using you will soon find out that they don't actually have an I2C peripheral. +Luckily for us though they have I2C compatible ones called TWI (Two Wire Interface) +and TWIM (depending on which chip you use, just like UART and UARTE). -[schematic]: https://github.com/bbcmicrobit/hardware/blob/master/V1.5/SCH_BBC-Microbit_V1.5.PDF - -The only thing missing now is the software part, i.e. which API of the `nrf51-hal` -we should use for this. However if you take a look at the index of the -[HAL's documentation] you'll notice that nothing named I2C is actually noted -there. This is because some manufacturers don't name their I2C peripheral -"I2C" but instead "TWI" (as in Two Wire Interface), meaning that the TWI module -is the one we are interested in. - -[HAL's documentation]: https://docs.rs/nrf51-hal/0.12.1/nrf51_hal/index.html - -Now if we put the documentation of this module together will all the other information -we have gathered so far we'll end up with this piece of code to read out and -print the two device IDs: +Now if we put the documentation of the `twi(m)` module from the `microbit` crate +together will all the other information we have gathered so far we'll end up with this +piece of code to read out and print the two device IDs: ``` rust {{#include src/main.rs}} ``` +Apart from the initilization this piece of code should be straight forward if you +understood the I2C protocol as described before. The initilization here works similarly +to the one from the UART chapter. First we pass the peripheral as well as the pins +that are used to communicate with the cip to the constructor. The finaly parameter is +the frequency we wish the bus to operate on, in this case 100 kHz (`K100`). + ## Testing it -As always you can just use -``` -$ cargo embed +As always you have to modify `Embed.toml` to fit your MCU and can then use: +```console +# For micro:bit v2 +$ cargo embed --features v2 --target thumbv7em-none-eabihf + +# For micro:bit v1 +$ cargo embed --features v1 --target thumbv6m-none-eabi ``` in order to test our little example program. diff --git a/src/08-i2c/src/main.rs b/src/08-i2c/src/main.rs index bec2c6cb9..b6fe6abef 100644 --- a/src/08-i2c/src/main.rs +++ b/src/08-i2c/src/main.rs @@ -5,8 +5,20 @@ use cortex_m_rt::entry; use rtt_target::{rtt_init_print, rprintln}; use panic_rtt_target as _; -use nrf51_hal as hal; -use hal::prelude::*; + +use microbit::hal::{prelude::*}; + +#[cfg(feature = "v1")] +use microbit::{ + hal::twi, + pac::twi0::frequency::FREQUENCY_A, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::twim, + pac::twim0::frequency::FREQUENCY_A, +}; const ACCELEROMETER_ADDR: u8 = 0b0011001; const MAGNETOMETER_ADDR: u8 = 0b0011110; @@ -17,19 +29,15 @@ const MAGNETOMETER_ID_REG: u8 = 0x4f; #[entry] fn main() -> ! { rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); + let board = microbit::Board::take().unwrap(); + - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let scl = p0.p0_00.into_floating_input().degrade(); - let sda = p0.p0_30.into_floating_input().degrade(); + #[cfg(feature = "v1")] + let mut i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; - let pins = hal::twi::Pins { - scl, - sda, - }; + #[cfg(feature = "v2")] + let mut i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; - // Use a frequency of 100 khz for the bus - let mut i2c = hal::twi::Twi::new(p.TWI1, pins, hal::pac::twi0::frequency::FREQUENCY_A::K100); let mut acc = [0]; let mut mag = [0]; From 6508d04568622bcc07bc51b46972d2d0767ec9d7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 17:46:25 +0200 Subject: [PATCH 241/313] First micro:bit v2 version of ch08 --- src/08-i2c/Cargo.toml | 5 ++- src/08-i2c/my-solution.md | 78 ++++++++++++++++++++++------------ src/08-i2c/src/serial_setup.rs | 46 ++++++++++++++++++++ src/08-i2c/using-a-driver.md | 48 ++++++++++++++------- 4 files changed, 134 insertions(+), 43 deletions(-) create mode 100644 src/08-i2c/src/serial_setup.rs diff --git a/src/08-i2c/Cargo.toml b/src/08-i2c/Cargo.toml index 3199dafc3..8b0ed3eca 100644 --- a/src/08-i2c/Cargo.toml +++ b/src/08-i2c/Cargo.toml @@ -6,13 +6,13 @@ version = "0.1.0" [dependencies.microbit-v2] version = "0.10.1" -git = "https://github.com/nrf-rs/microbit/" +git = "https://github.com/hargonix/microbit/" optional = true [dependencies.microbit] version = "0.10.1" -git = "https://github.com/nrf-rs/microbit/" +git = "https://github.com/hargonix/microbit/" optional = true [dependencies] @@ -24,6 +24,7 @@ panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } nb = "1.0.0" heapless = "0.5.6" lsm303agr = "0.1.1" +embedded-hal = "0.2.6" [features] v2 = ["microbit-v2"] diff --git a/src/08-i2c/my-solution.md b/src/08-i2c/my-solution.md index f56e3feda..4911b705c 100644 --- a/src/08-i2c/my-solution.md +++ b/src/08-i2c/my-solution.md @@ -6,41 +6,67 @@ #![no_std] use cortex_m_rt::entry; -use rtt_target::rtt_init_print; +use rtt_target::{rtt_init_print, rprintln}; use panic_rtt_target as _; -use nrf51_hal as hal; -use hal::prelude::*; + +#[cfg(feature = "v1")] +use microbit::{ + hal::twi, + pac::twi0::frequency::FREQUENCY_A, + hal::uart, + hal::uart::{Baudrate, Parity}, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::twim, + pac::twim0::frequency::FREQUENCY_A, + hal::uarte, + hal::uarte::{Baudrate, Parity}, +}; + +use microbit::hal::prelude::*; use lsm303agr::{AccelOutputDataRate, MagOutputDataRate, Lsm303agr}; use heapless::{consts, Vec, String}; use nb::block; use core::fmt::Write; +#[cfg(feature = "v2")] +mod serial_setup; +#[cfg(feature = "v2")] +use serial_setup::UartePort; + #[entry] fn main() -> ! { rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); - - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let scl = p0.p0_00.into_floating_input().degrade(); - let sda = p0.p0_30.into_floating_input().degrade(); - let rxd = p0.p0_25.into_floating_input().degrade(); - let txd = p0.p0_24.into_push_pull_output(hal::gpio::Level::Low).degrade(); + let board = microbit::Board::take().unwrap(); - let i2c_pins = hal::twi::Pins { - scl, - sda, + #[cfg(feature = "v1")] + let mut serial = { + uart::Uart::new( + board.UART0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ) }; - let uart_pins = hal::uart::Pins { - rxd, - txd, - cts: None, - rts: None + #[cfg(feature = "v2")] + let mut serial = { + let serial = uarte::Uarte::new( + board.UARTE0, + board.uart.into(), + Parity::EXCLUDED, + Baudrate::BAUD115200, + ); + UartePort::new(serial) }; - // Use a frequency of 100 khz for the bus - let i2c = hal::twi::Twi::new(p.TWI0, i2c_pins, hal::pac::twi0::frequency::FREQUENCY_A::K100); - let mut uart = hal::Uart::new(p.UART0, uart_pins, hal::uart::Parity::EXCLUDED, hal::uart::Baudrate::BAUD115200); + #[cfg(feature = "v1")] + let i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; + + #[cfg(feature = "v2")] + let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; let mut sensor = Lsm303agr::new_with_i2c(i2c); sensor.init().unwrap(); @@ -52,10 +78,10 @@ fn main() -> ! { let mut buffer: Vec = Vec::new(); loop { - let byte = block!(uart.read()).unwrap(); + let byte = block!(serial.read()).unwrap(); if buffer.push(byte).is_err() { - write!(&mut uart, "error: buffer full\r\n").unwrap(); + write!(serial, "error: buffer full\r\n").unwrap(); break; } @@ -70,15 +96,15 @@ fn main() -> ! { } let data = sensor.accel_data().unwrap(); - write!(&mut uart, "Accelerometer: x {} y {} z {}\r\n", data.x, data.y, data.z).unwrap(); + write!(serial, "Accelerometer: x {} y {} z {}\r\n", data.x, data.y, data.z).unwrap(); } else if command_string.as_str().trim() == "magnetometer" { while !sensor.mag_status().unwrap().xyz_new_data { } let data = sensor.mag_data().unwrap(); - write!(&mut uart, "Magnetometer: x {} y {} z {}\r\n", data.x, data.y, data.z).unwrap(); + write!(serial, "Magnetometer: x {} y {} z {}\r\n", data.x, data.y, data.z).unwrap(); } else { - write!(&mut uart, "error: command not detected\r\n").unwrap(); + write!(serial, "error: command not detected\r\n").unwrap(); } } } diff --git a/src/08-i2c/src/serial_setup.rs b/src/08-i2c/src/serial_setup.rs new file mode 100644 index 000000000..eb3997aed --- /dev/null +++ b/src/08-i2c/src/serial_setup.rs @@ -0,0 +1,46 @@ +use core::fmt; +use embedded_hal::blocking::serial as bserial; +use embedded_hal::serial; +use microbit::hal::uarte::{Error, Instance, Uarte, UarteRx, UarteTx}; + +static mut TX_BUF: [u8; 1] = [0; 1]; +static mut RX_BUF: [u8; 1] = [0; 1]; + +pub struct UartePort(UarteTx, UarteRx); + +impl UartePort { + pub fn new(serial: Uarte) -> UartePort { + let (tx, rx) = serial + .split(unsafe { &mut TX_BUF }, unsafe { &mut RX_BUF }) + .unwrap(); + UartePort(tx, rx) + } +} + +impl fmt::Write for UartePort { + fn write_str(&mut self, s: &str) -> fmt::Result { + self.0.write_str(s) + } +} + +impl serial::Write for UartePort { + type Error = Error; + + fn write(&mut self, b: u8) -> nb::Result<(), Self::Error> { + self.0.write(b) + } + + fn flush(&mut self) -> nb::Result<(), Self::Error> { + self.0.flush() + } +} + +impl bserial::write::Default for UartePort {} + +impl serial::Read for UartePort { + type Error = Error; + + fn read(&mut self) -> nb::Result { + self.1.read() + } +} diff --git a/src/08-i2c/using-a-driver.md b/src/08-i2c/using-a-driver.md index 4aec8b5bc..ae08d0e10 100644 --- a/src/08-i2c/using-a-driver.md +++ b/src/08-i2c/using-a-driver.md @@ -36,7 +36,9 @@ fn main() { ``` Because we already know how to create an instance of an object that implements -the `embedded-hal` I2C traits from the [last page](read-a-single-register.md) this is quite trivial: +the [embedded_hal::blocking::i2c] traits from the [last page](read-a-single-register.md) this is quite trivial: + +[embedded_hal::blocking::i2c]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/blocking/i2c/index.html ```rust #![deny(unsafe_code)] @@ -46,26 +48,34 @@ the `embedded-hal` I2C traits from the [last page](read-a-single-register.md) th use cortex_m_rt::entry; use rtt_target::{rtt_init_print, rprintln}; use panic_rtt_target as _; -use nrf51_hal as hal; -use hal::prelude::*; -use lsm303agr::{AccelOutputDataRate, Lsm303agr}; + +#[cfg(feature = "v1")] +use microbit::{ + hal::twi, + pac::twi0::frequency::FREQUENCY_A, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::twim, + pac::twim0::frequency::FREQUENCY_A, +}; + +use lsm303agr::{ + AccelOutputDataRate, Lsm303agr, +}; #[entry] fn main() -> ! { rtt_init_print!(); - let p = hal::pac::Peripherals::take().unwrap(); + let board = microbit::Board::take().unwrap(); - let p0 = hal::gpio::p0::Parts::new(p.GPIO); - let scl = p0.p0_00.into_floating_input().degrade(); - let sda = p0.p0_30.into_floating_input().degrade(); - let pins = hal::twi::Pins { - scl, - sda, - }; + #[cfg(feature = "v1")] + let i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; - // Use a frequency of 100 khz for the bus - let i2c = hal::twi::Twi::new(p.TWI0, pins, hal::pac::twi0::frequency::FREQUENCY_A::K100); + #[cfg(feature = "v2")] + let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; // Code from documentation let mut sensor = Lsm303agr::new_with_i2c(i2c); @@ -81,6 +91,14 @@ fn main() -> ! { } ``` -Just like the last snippet you should just be able to try this out with `cargo-embed`. +Just like the last snippet you should just be able to try this out like this: +```console +# For micro:bit v2 +$ cargo embed --features v2 --target thumbv7em-none-eabihf + +# For micro:bit v1 +$ cargo embed --features v1 --target thumbv6m-none-eabi +``` + Furthermore if you (physically) move around your micro:bit a little bit you should see the acceleration numbers that are being printed change. From ac6cc97ca984a69e5aadb713c039994f6b6ed7e7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 17:52:44 +0200 Subject: [PATCH 242/313] Unsafe code for the v2 wrapper --- src/08-i2c/my-solution.md | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/src/08-i2c/my-solution.md b/src/08-i2c/my-solution.md index 4911b705c..0a48da813 100644 --- a/src/08-i2c/my-solution.md +++ b/src/08-i2c/my-solution.md @@ -1,12 +1,11 @@ # My solution ```rust -#![deny(unsafe_code)] #![no_main] #![no_std] use cortex_m_rt::entry; -use rtt_target::{rtt_init_print, rprintln}; +use rtt_target::rtt_init_print; use panic_rtt_target as _; #[cfg(feature = "v1")] From ac7d7c8cca885c1d23dff3f2dd8be357fe4ccdc6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 17:56:42 +0200 Subject: [PATCH 243/313] Fix the CI for ch08 --- .github/workflows/ci.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index 05bff50be..8026e5b87 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -13,6 +13,7 @@ jobs: matrix: chapter: - 05-led-roulette + - 08-i2c steps: - uses: actions/checkout@v2 - uses: actions-rs/toolchain@v1 @@ -38,7 +39,6 @@ jobs: matrix: chapter: - 07-uart - - 08-i2c - 15-led-compass - 16-punch-o-meter steps: From e41cac9a4b9703470efd7429974001bb8dc24d2d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 14 Aug 2021 17:57:06 +0200 Subject: [PATCH 244/313] Fix the ci for ch07 --- .github/workflows/ci.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index 05bff50be..87b1f0ab2 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -13,6 +13,7 @@ jobs: matrix: chapter: - 05-led-roulette + - 07-uart steps: - uses: actions/checkout@v2 - uses: actions-rs/toolchain@v1 @@ -37,7 +38,6 @@ jobs: strategy: matrix: chapter: - - 07-uart - 08-i2c - 15-led-compass - 16-punch-o-meter From 090cb63d979d89092cc80b593a6605480f7e0a94 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 21 Aug 2021 14:06:30 +0200 Subject: [PATCH 245/313] WIP ch09 --- Cargo.toml | 2 +- src/09-led-compass/.cargo/config | 4 ++ src/09-led-compass/Cargo.toml | 29 ++++++++ src/09-led-compass/Embed.toml | 14 ++++ src/09-led-compass/README.md | 20 ++++++ src/09-led-compass/build.rs | 30 ++++++++ .../calibration.md | 0 .../magnitude.md | 0 src/09-led-compass/memory.x | 6 ++ .../openocd.gdb | 0 .../solution-1.md | 0 .../solution-2.md | 0 src/09-led-compass/src/main.rs | 70 +++++++++++++++++++ .../take-1.md | 9 --- .../take-2.md | 0 src/{15-led-compass => 09-led-compass}/target | 0 src/15-led-compass/.cargo/config | 8 --- src/15-led-compass/Cargo.toml | 9 --- src/15-led-compass/README.md | 43 ------------ src/15-led-compass/auxiliary/Cargo.toml | 14 ---- src/15-led-compass/auxiliary/src/lib.rs | 44 ------------ src/15-led-compass/src/main.rs | 16 ----- src/SUMMARY.md | 14 ++-- 23 files changed, 181 insertions(+), 151 deletions(-) create mode 100644 src/09-led-compass/.cargo/config create mode 100644 src/09-led-compass/Cargo.toml create mode 100644 src/09-led-compass/Embed.toml create mode 100644 src/09-led-compass/README.md create mode 100644 src/09-led-compass/build.rs rename src/{15-led-compass => 09-led-compass}/calibration.md (100%) rename src/{15-led-compass => 09-led-compass}/magnitude.md (100%) create mode 100644 src/09-led-compass/memory.x rename src/{15-led-compass => 09-led-compass}/openocd.gdb (100%) rename src/{15-led-compass => 09-led-compass}/solution-1.md (100%) rename src/{15-led-compass => 09-led-compass}/solution-2.md (100%) create mode 100644 src/09-led-compass/src/main.rs rename src/{15-led-compass => 09-led-compass}/take-1.md (88%) rename src/{15-led-compass => 09-led-compass}/take-2.md (100%) rename src/{15-led-compass => 09-led-compass}/target (100%) delete mode 100644 src/15-led-compass/.cargo/config delete mode 100644 src/15-led-compass/Cargo.toml delete mode 100644 src/15-led-compass/README.md delete mode 100644 src/15-led-compass/auxiliary/Cargo.toml delete mode 100644 src/15-led-compass/auxiliary/src/lib.rs delete mode 100644 src/15-led-compass/src/main.rs diff --git a/Cargo.toml b/Cargo.toml index 5f74a4a05..fecd36bcf 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -4,7 +4,7 @@ members = [ "src/05-led-roulette", "src/07-uart", "src/08-i2c", - "src/15-led-compass", + "src/09-led-compass", "src/16-punch-o-meter", ] diff --git a/src/09-led-compass/.cargo/config b/src/09-led-compass/.cargo/config new file mode 100644 index 000000000..6260c5718 --- /dev/null +++ b/src/09-led-compass/.cargo/config @@ -0,0 +1,4 @@ +[target.'cfg(all(target_arch = "arm", target_os = "none"))'] +rustflags = [ + "-C", "link-arg=-Tlink.x", +] diff --git a/src/09-led-compass/Cargo.toml b/src/09-led-compass/Cargo.toml new file mode 100644 index 000000000..526db1a87 --- /dev/null +++ b/src/09-led-compass/Cargo.toml @@ -0,0 +1,29 @@ +[package] +name = "led-compass" +version = "0.1.0" +authors = ["Henrik Böving "] +edition = "2018" + +[dependencies.microbit-v2] +version = "0.10.1" +git = "https://github.com/nrf-rs/microbit/" +optional = true + + +[dependencies.microbit] +version = "0.10.1" +git = "https://github.com/nrf-rs/microbit/" +optional = true + +[dependencies] +cortex-m = "0.6.0" +cortex-m-rt = "0.6.10" +panic-halt = "0.2.0" +rtt-target = { version = "0.2.2", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } +lsm303agr = "0.2.0" +libm = "0.2.1" + +[features] +v2 = ["microbit-v2"] +v1 = ["microbit"] diff --git a/src/09-led-compass/Embed.toml b/src/09-led-compass/Embed.toml new file mode 100644 index 000000000..06814e534 --- /dev/null +++ b/src/09-led-compass/Embed.toml @@ -0,0 +1,14 @@ +[default.general] +# v2 +chip = "nrf52833" +# v1 +# chip = "nrf51822" + +[default.reset] +halt_afterwards = false + +[default.rtt] +enabled = true + +[default.gdb] +enabled = false diff --git a/src/09-led-compass/README.md b/src/09-led-compass/README.md new file mode 100644 index 000000000..63b45c582 --- /dev/null +++ b/src/09-led-compass/README.md @@ -0,0 +1,20 @@ +# LED compass + +In this section, we'll implement a compass using the LEDs on the micro:bit. Like proper compasses, our LED +compass must point north somehow. It will do that by turning on one of its outer LEDs; the on LED +should point towards north. + +Magnetic fields have both a magnitude, measured in Gauss or Teslas, and a *direction*. The +magnetometer on the micro:bit measures both the magnitude and the direction of an external magnetic field +but it reports back the *decomposition* of said field along *its axes*. + +The magnetometer has three axes associated to it. The X and Y axes basically span the plane that is the floor. +The Z axis is pointing "out" of the floor, so upwards. + +You should already be able to write a program that continiously prints the magnetometer +data on the RTT console from the [I2C chapter](./08-i2c/README.md). After you wrote that +program locate where north is at your current location. Then line up your micro:bit with +that direction and observe how the sensor's measurements look. + +Now rotate the board 90 degrees while keeping it parallel to the ground. What X, Y and Z values do +you see this time? Then rotate it 90 degrees again. What values do you see? diff --git a/src/09-led-compass/build.rs b/src/09-led-compass/build.rs new file mode 100644 index 000000000..c8d8c9141 --- /dev/null +++ b/src/09-led-compass/build.rs @@ -0,0 +1,30 @@ +//! This build script copies the `memory.x` file from the crate root into +//! a directory where the linker can always find it at build time. +//! For many projects this is optional, as the linker always searches the +//! project root directory (wherever `Cargo.toml` is). However, if you +//! are using a workspace or have a more complicated build setup, this +//! build script becomes required. Additionally, by requesting that +//! Cargo re-run the build script whenever `memory.x` is changed, +//! a rebuild of the application with new memory settings is ensured after updating `memory.x`. + +use std::env; +use std::fs::File; +use std::io::Write; +use std::path::PathBuf; + +fn main() { + // Put `memory.x` in our output directory and ensure it's + // on the linker search path. + let out = &PathBuf::from(env::var_os("OUT_DIR").unwrap()); + File::create(out.join("memory.x")) + .unwrap() + .write_all(include_bytes!("memory.x")) + .unwrap(); + println!("cargo:rustc-link-search={}", out.display()); + + // By default, Cargo will re-run a build script whenever + // any file in the project changes. By specifying `memory.x` + // here, we ensure the build script is only re-run when + // `memory.x` is changed. + println!("cargo:rerun-if-changed=memory.x"); +} diff --git a/src/15-led-compass/calibration.md b/src/09-led-compass/calibration.md similarity index 100% rename from src/15-led-compass/calibration.md rename to src/09-led-compass/calibration.md diff --git a/src/15-led-compass/magnitude.md b/src/09-led-compass/magnitude.md similarity index 100% rename from src/15-led-compass/magnitude.md rename to src/09-led-compass/magnitude.md diff --git a/src/09-led-compass/memory.x b/src/09-led-compass/memory.x new file mode 100644 index 000000000..9e2ab65f6 --- /dev/null +++ b/src/09-led-compass/memory.x @@ -0,0 +1,6 @@ +MEMORY +{ + /* NOTE K = KiBi = 1024 bytes */ + FLASH : ORIGIN = 0x00000000, LENGTH = 256K + RAM : ORIGIN = 0x20000000, LENGTH = 16K +} diff --git a/src/15-led-compass/openocd.gdb b/src/09-led-compass/openocd.gdb similarity index 100% rename from src/15-led-compass/openocd.gdb rename to src/09-led-compass/openocd.gdb diff --git a/src/15-led-compass/solution-1.md b/src/09-led-compass/solution-1.md similarity index 100% rename from src/15-led-compass/solution-1.md rename to src/09-led-compass/solution-1.md diff --git a/src/15-led-compass/solution-2.md b/src/09-led-compass/solution-2.md similarity index 100% rename from src/15-led-compass/solution-2.md rename to src/09-led-compass/solution-2.md diff --git a/src/09-led-compass/src/main.rs b/src/09-led-compass/src/main.rs new file mode 100644 index 000000000..71332a2e4 --- /dev/null +++ b/src/09-led-compass/src/main.rs @@ -0,0 +1,70 @@ +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::{rtt_init_print, rprintln}; +use panic_rtt_target as _; +use core::f32::consts::PI; +use libm::atan2f; + +#[cfg(feature = "v1")] +use microbit::{ + hal::twi, + pac::twi0::frequency::FREQUENCY_A, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::twim, + pac::twim0::frequency::FREQUENCY_A, +}; + +use lsm303agr::{ + MagOutputDataRate, Lsm303agr, +}; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let board = microbit::Board::take().unwrap(); + + + #[cfg(feature = "v1")] + let i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; + + #[cfg(feature = "v2")] + let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; + + // Code from documentation + let mut sensor = Lsm303agr::new_with_i2c(i2c); + sensor.init().unwrap(); + sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + let mut sensor = sensor.into_mag_continuous().ok().unwrap(); + loop { + while !sensor.mag_status().unwrap().xyz_new_data {} + let data = sensor.mag_data().unwrap(); + let theta = atan2f(data.y as f32, data.x as f32); // in radians + + let dir = if theta < -7. * PI / 8. { + "North" + } else if theta < -5. * PI / 8. { + "Northwest" + } else if theta < -3. * PI / 8. { + "West" + } else if theta < -PI / 8. { + "Southwest" + } else if theta < PI / 8. { + "South" + } else if theta < 3. * PI / 8. { + "Southeast" + } else if theta < 5. * PI / 8. { + "East" + } else if theta < 7. * PI / 8. { + "Northeast" + } else { + "North" + }; + rprintln!("Magnetometer: {}", dir); + } +} diff --git a/src/15-led-compass/take-1.md b/src/09-led-compass/take-1.md similarity index 88% rename from src/15-led-compass/take-1.md rename to src/09-led-compass/take-1.md index a2ce6f8d7..bacf87efb 100644 --- a/src/15-led-compass/take-1.md +++ b/src/09-led-compass/take-1.md @@ -5,15 +5,6 @@ What's the simplest way in which we can implement the LED compass? Even if it's For starters, we'd only care about the X and Y components of the magnetic field because when you look at a compass you always hold it in horizontal position thus the compass is in the XY plane. -For example, what LED would you turn on in the following case. EMF stands for Earth's Magnetic Field -and green arrow has the direction of the EMF (it points north). - -

      - -

      "] -edition = "2018" -name = "led-compass" -version = "0.1.0" - -[dependencies] -m = "0.1.1" -aux15 = { path = "auxiliary" } diff --git a/src/15-led-compass/README.md b/src/15-led-compass/README.md deleted file mode 100644 index e73b6db0e..000000000 --- a/src/15-led-compass/README.md +++ /dev/null @@ -1,43 +0,0 @@ -# LED compass - -In this section, we'll implement a compass using the LEDs on the F3. Like proper compasses, our LED -compass must point north somehow. It will do that by turning on one of its eight LEDs; the on LED -should point towards north. - -Magnetic fields have both a magnitude, measured in Gauss or Teslas, and a *direction*. The -magnetometer on the F3 measures both the magnitude and the direction of an external magnetic field -but it reports back the *decomposition* of said field along *its axes*. - -See below, the magnetometer has three axes associated to it. - -

      - -

      - -Only the X and Y axes are shown above. The Z axis is pointing "out" of your screen. - -Let's get familiar with the readings of the magnetometer by running the following starter code: - -``` rust -{{#include src/main.rs}} -``` - -This `lsm303dlhc` module provides high level API over the LSM303DLHC. Under the hood it does the -same I2C routine that you implemented in the last section but it reports the X, Y and Z values in a -`I16x3` struct instead of a tuple. - -Locate where north is at your current location. Then rotate the board such that it's aligned -"towards north": the North LED (LD3) should be pointing towards north. - -Now run the starter code and observe the output. What X, Y and Z values do you see? - -``` console -$ # itmdump terminal -(..) -I16x3 { x: 45, y: 194, z: -3 } -I16x3 { x: 46, y: 195, z: -8 } -I16x3 { x: 47, y: 197, z: -2 } -``` - -Now rotate the board 90 degrees while keeping it parallel to the ground. What X, Y and Z values do -you see this time? Then rotate it 90 degrees again. What values do you see? diff --git a/src/15-led-compass/auxiliary/Cargo.toml b/src/15-led-compass/auxiliary/Cargo.toml deleted file mode 100644 index 8a7f25acd..000000000 --- a/src/15-led-compass/auxiliary/Cargo.toml +++ /dev/null @@ -1,14 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "aux15" -version = "0.1.0" - -[dependencies] -cortex-m = "0.6.3" -cortex-m-rt = "0.6.3" -panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" diff --git a/src/15-led-compass/auxiliary/src/lib.rs b/src/15-led-compass/auxiliary/src/lib.rs deleted file mode 100644 index efa6bcbe4..000000000 --- a/src/15-led-compass/auxiliary/src/lib.rs +++ /dev/null @@ -1,44 +0,0 @@ -//! Initialization code - -#![no_std] - -#[allow(unused_extern_crates)] // NOTE(allow) bug rust-lang/rust#53964 -extern crate panic_itm; // panic handler - -pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; -pub use cortex_m_rt::entry; -pub use f3::{ - hal::{delay::Delay, prelude, stm32f30x::i2c1}, - led::{Direction, Leds}, - lsm303dlhc::I16x3, -}; - -use f3::{ - hal::{i2c::I2c, prelude::*, stm32f30x}, - Lsm303dlhc, -}; - -pub fn init() -> (Leds, Lsm303dlhc, Delay, ITM) { - let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); - - let mut flash = dp.FLASH.constrain(); - let mut rcc = dp.RCC.constrain(); - - let clocks = rcc.cfgr.freeze(&mut flash.acr); - - let gpioe = dp.GPIOE.split(&mut rcc.ahb); - let leds = Leds::new(gpioe); - - let mut gpiob = dp.GPIOB.split(&mut rcc.ahb); - let scl = gpiob.pb6.into_af4(&mut gpiob.moder, &mut gpiob.afrl); - let sda = gpiob.pb7.into_af4(&mut gpiob.moder, &mut gpiob.afrl); - - let i2c = I2c::i2c1(dp.I2C1, (scl, sda), 400.khz(), clocks, &mut rcc.apb1); - - let lsm303dlhc = Lsm303dlhc::new(i2c).unwrap(); - - let delay = Delay::new(cp.SYST, clocks); - - (leds, lsm303dlhc, delay, cp.ITM) -} diff --git a/src/15-led-compass/src/main.rs b/src/15-led-compass/src/main.rs deleted file mode 100644 index e4fc1a44b..000000000 --- a/src/15-led-compass/src/main.rs +++ /dev/null @@ -1,16 +0,0 @@ -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*}; - -#[entry] -fn main() -> ! { - let (_leds, mut lsm303dlhc, mut delay, mut itm) = aux15::init(); - - loop { - iprintln!(&mut itm.stim[0], "{:?}", lsm303dlhc.mag().unwrap()); - delay.delay_ms(1_000_u16); - } -} diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 50af7f546..877769444 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -36,13 +36,13 @@ - [Using a driver](08-i2c/using-a-driver.md) - [The challenge](08-i2c/the-challenge.md) - [My solution](08-i2c/my-solution.md) -- [LED compass](15-led-compass/README.md) - - [Take 1](15-led-compass/take-1.md) - - [Solution 1](15-led-compass/solution-1.md) - - [Take 2](15-led-compass/take-2.md) - - [Solution 2](15-led-compass/solution-2.md) - - [Magnitude](15-led-compass/magnitude.md) - - [Calibration](15-led-compass/calibration.md) +- [LED compass](09-led-compass/README.md) + - [Take 1](09-led-compass/take-1.md) + - [Solution 1](09-led-compass/solution-1.md) + - [Take 2](09-led-compass/take-2.md) + - [Solution 2](09-led-compass/solution-2.md) + - [Magnitude](09-led-compass/magnitude.md) + - [Calibration](09-led-compass/calibration.md) - [Punch-o-meter](16-punch-o-meter/README.md) - [Gravity is up?](16-punch-o-meter/gravity-is-up.md) - [The challenge](16-punch-o-meter/the-challenge.md) From be2bf7443f3e24f01c84f29f5946e86772170563 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 21 Aug 2021 14:55:28 +0200 Subject: [PATCH 246/313] Add a ton of new links to what's left to explore --- src/explore.md | 114 +++++++++++++++++++++++++++++++++---------------- 1 file changed, 78 insertions(+), 36 deletions(-) diff --git a/src/explore.md b/src/explore.md index d4a8c4c3a..515427337 100644 --- a/src/explore.md +++ b/src/explore.md @@ -46,13 +46,13 @@ At those times, the microcontroller should "sleep". When the processor sleeps, it stops executing instructions and this saves power. It's almost always a good idea to save power so your microcontroller should be sleeping as much as possible. But, how does it know when it has to wake up to -perform some action? "Interrupts" are one of the events that wake up the -microcontroller but there are others and the `wfi` and `wfe` are the -instructions that make the processor "sleep". +perform some action? "Interrupts" (see below for what exactly those are) +are one of the events that wake up the microcontroller but there are others +and the `wfi` and `wfe` are the instructions that make the processor "sleep". ## Topics related to microcontroller capabilities -Microcontrollers (like our STM32F3) have many different capabilities. However, many share similar +Microcontrollers (like our nRF52/nRF51) have many different capabilities. However, many share similar capabilities that can be used to solve all sorts of different problems. These topics discuss some of those capabilities, and how they can be used effectively @@ -60,13 +60,18 @@ in embedded development. ### Direct Memory Access (DMA). -This peripheral is a kind of *asynchronous* `memcpy`. So far our programs have -been pumping data, byte by byte, into peripherals like UART and I2C. This DMA -peripheral can be used to perform bulk transfers of data. Either from RAM to -RAM, from a peripheral, like a UART, to RAM or from RAM to a peripheral. You can -schedule a DMA transfer, like read 256 bytes from USART1 into this buffer, leave -it running in the background and then poll some register to see if it has -completed so you can do other stuff while the transfer is ongoing. +This peripheral is a kind of *asynchronous* `memcpy`. If you are working with +a micro:bit v2 you have actually already used this, the HAL does this for you +with the UARTE and TWIM peripherals. A DMA peripheral can be used to perform bulk +transfers of data. Either from RAM to RAM, from a peripheral, like a UARTE, to RAM +or from RAM to a peripheral. You can schedule a DMA transfer, like read 256 bytes +from UARTE into this buffer, leave it running in the background and then poll some +register to see if it has completed so you can do other stuff while the transfer +is ongoing. For more information as to how this is implemented you can checkout the +`serial_setup` module from the UART chapter. If that isn't enough yet you could even +try and dive into the code of the [`nrf52-hal`]. + +[`nrf52-hal`]: https://github.com/nrf-rs/nrf-hal ### Interrupts @@ -103,6 +108,11 @@ motor thus it can be used to control its torque and speed. Then you can add an angular position sensor and you got yourself a closed loop controller that can control the position of the motor at different loads. +PWM is already abstracted within the [`embedded-hal` `Pwm` trait] and you will +again find implementations of this in the [`nrf52-hal`]. + +[`embedded-hal` `Pwm` trait]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/trait.Pwm.html + ### Digital inputs We have used the microcontroller pins as digital outputs, to drive LEDs. But @@ -110,9 +120,14 @@ these pins can also be configured as digital inputs. As digital inputs, these pins can read the binary state of switches (on/off) or buttons (pressed/not pressed). +Again digital inputs are abstracted within the [`embedded-hal` `InputPin` trait] +and of course the [`nrf52-hal`] does have an implementation for them. + (*spoilers* reading the binary state of switches / buttons is not as straightforward as it sounds ;-) +[`embedded-hal` `InputPin` trait]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/digital/v2/trait.InputPin.html + ### Analog-to-Digital Converters (ADC) There are a lots of digital sensors out there. You can use a protocol like I2C @@ -123,6 +138,10 @@ The ADC peripheral can be use to convert that "analog" voltage level, say `1.25` Volts,into a "digital" number, say in the `[0, 65535]` range, that the processor can use in its calculations. +Again the [`embedded-hal` `adc` module] as well as the [`nrf52-hal`] got you covered. + +[`embedded-hal` `adc` module]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/adc/index.html + ### Digital-to-Analog Converters (DAC) As you might expect a DAC is exactly the opposite of ADC. You can write some @@ -141,13 +160,31 @@ Daylight Save Time for you! ### Other communication protocols -SPI, I2S, SMBUS, CAN, IrDA, Ethernet, USB, Bluetooth, etc. +- SPI, abstracted within the [`embedded-hal` `spi` module] and implemented by the [`nrf52-hal`] +- I2S, currently not abstracted within the `embedded-hal` but implemented by the [`nrf52-hal`] +- Ethernet, there does exist a small TCP/IP stack named [`smoltcp`] which is implemented for some + chips but the ones on the micro:bit don't feature an Ethernet peripheral +- USB, there is some experimental work on this, for example with the [`usb-device`] crate +- Bluetooth, there does exist an incomplete BLE stack named [`rubble`] which does support nrf chips. +- SMBUS, neither abstracted in `embedded-hal` nor implemented by the [`nrf52-hal`] at the moment. +- CAN, neither abstracted in `embedded-hal` nor implemented by the [`nrf52-hal`] at the moment +- IrDA, neither abstracted in `embedded-hal` nor implemented by the [`nrf52-hal`] at the moment + +[`embedded-hal` `spi` module]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/spi/index.html +[`smoltcp`]: https://github.com/smoltcp-rs/smoltcp +[`usb-device`]: https://github.com/mvirkkunen/usb-device +[`rubble`]: https://github.com/jonas-schievink/rubble Different applications use different communication protocols. User facing applications usually have an USB connector because USB is an ubiquitous protocol in PCs and smartphones. Whereas inside cars you'll find plenty of CAN "buses". Some digital sensors use SPI, others use I2C and others, SMBUS. +If you happen to be interested in developing abstractions in the `embedded-hal` or +implementations of peripherals in general, don't be shy to open an issue in the HAL +repositories. Alternatively you could also join the [Rust Embedded matrix channel] +and get into contact with most of the people who built the stuff from above. + ## General Embedded-Relevant Topics These topics cover items that are not specific to our device, or the hardware on @@ -157,8 +194,8 @@ systems. ### Gyroscopes As part of our Punch-o-meter exercise, we used the Accelerometer to measure -changes in acceleration in three dimensions. Our board also features a sensor -called a Gyroscope, which allows us to measure changes in "spin" in three +changes in acceleration in three dimensions. But there are also other motion +sensors such as gryoscopes, which allows us to measure changes in "spin" in three dimensions. This can be very useful when trying to build certain systems, such as a robot @@ -179,12 +216,11 @@ move the arms of a clock to a particular direction. ### Sensor fusion -The STM32F3DISCOVERY contains three motion sensors: an accelerometer, a -gyroscope and a magnetometer. On their own these measure: (proper) acceleration, -angular speed and (the Earth's) magnetic field. But these magnitudes can be -"fused" into something more useful: a "robust" measurement of the orientation of -the board. Where robust means with less measurement error than a single sensor -would be capable of. +The micro:bit contains two motion sensors: an accelerometer and a magnetometer. +On their own these measure: (proper) acceleration and (the Earth's) magnetic field. +But these magnitudes can be "fused" into something more useful: a "robust" measurement +of the orientation of the board. Where robust means with less measurement error than +a single sensor would be capable of. This idea of deriving more reliable data from different sources is known as sensor fusion. @@ -193,40 +229,46 @@ sensor fusion. So where to next? There are several options: -- You could check out the examples in the [`f3`] board support crate. All those examples work for - the STM32F3DISCOVERY board you have. +- You could check out the examples in the [`microbit`] board support crate. All those examples work for + the micro:bit board you have. -[`f3`]: https://docs.rs/f3 +[`microbit`]: https://github.com/nrf-rs/microbit/ -- You could try out [this motion sensors demo][madgwick]. Details about the implementation and - source code are available in [this blog post][wd-1-2]. +- You could join the [Rust Embedded matrix channel], lots of people who contribute or work on embedded software + hang out there. Including for example the people who wrote the `microbit` BSP, the `nrf52-hal`, `embedded-hal` etc. -[madgwick]: https://mobile.twitter.com/japaricious/status/962770003325005824 -[wd-1-2]: http://blog.japaric.io/wd-1-2-l3gd20-lsm303dlhc-madgwick/ +[Rust Embedded matrix channel]: https://matrix.to/#/#rust-embedded:matrix.org -- You could check out [Real Time for The Masses]. A very efficient preemptive multitasking framework +- You could check out [Real-Time Interrupt-driven Concurrency]. A very efficient preemptive multitasking framework that supports task prioritization and dead lock free execution. -[Real Time for The Masses]: https://docs.rs/cortex-m-rtfm +[Real-Time Interrupt-driven Concurrency]: https://rtic.rs + +- You could check out more abstractions of the [`embedded-hal`] project and maybe even try and write your own + platform agnostic driver based on it. + +[`embedded-hal`]: https://github.com/rust-embedded/embedded-hal - You could try running Rust on a different development board. The easiest way to get started is to use the [`cortex-m-quickstart`] Cargo project template. -[`cortex-m-quickstart`]: https://docs.rs/cortex-m-quickstart/0.2.4/cortex_m_quickstart +[`cortex-m-quickstart`]: https://docs.rs/cortex-m-quickstart/0.3.1/cortex_m_quickstart/ + +- You could try out [this motion sensors demo][madgwick]. Details about the implementation and + source code are available in [this blog post][wd-1-2]. + +[madgwick]: https://mobile.twitter.com/japaricious/status/962770003325005824 +[wd-1-2]: http://blog.japaric.io/wd-1-2-l3gd20-lsm303dlhc-madgwick/ - You could check out [this blog post][brave-new-io] which describes how Rust type system can prevent bugs in I/O configuration. [brave-new-io]: http://blog.japaric.io/brave-new-io/ -- You could check out my [blog] for miscellaneous topics about embedded development with Rust. +- You could check out [japaric's blog] for miscellaneous topics about embedded development with Rust. -[blog]: http://blog.japaric.io +[japaric's blog]: http://blog.japaric.io -- You could check out the [`embedded-hal`] project which aims to build abstractions (traits) for all - the embedded I/O functionality commonly found on microcontrollers. - -[`embedded-hal`]: https://github.com/rust-embedded/embedded-hal - You could join the [Weekly driver initiative] and help us write generic drivers on top of the `embedded-hal` traits and that work for all sorts of platforms (ARM Cortex-M, AVR, MSP430, RISCV, From 0401d2a4948d5018ddf0b739905509f116976c9e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 21 Aug 2021 15:06:13 +0200 Subject: [PATCH 247/313] Update the troubleshooting section for micro:bit --- .../1-general-troubleshooting/README.md | 144 +++--------------- src/appendix/2-how-to-use-gdb/README.md | 8 +- 2 files changed, 21 insertions(+), 131 deletions(-) diff --git a/src/appendix/1-general-troubleshooting/README.md b/src/appendix/1-general-troubleshooting/README.md index 59793461f..1d5bd3173 100644 --- a/src/appendix/1-general-troubleshooting/README.md +++ b/src/appendix/1-general-troubleshooting/README.md @@ -1,129 +1,17 @@ # General troubleshooting -## OpenOCD problems +## `cargo-embed` problems +Most `cargo-embed` problems are either related to not having installed the `udev` +rules properly or having selected the wrong chip configuration in `Embed.toml` so +make sure you got both of those right. -### can't connect to OpenOCD - "Error: open failed" +If the above does not work out for you, you can open an issue in the [`discovery` issue tracker]. +Alternatively you can also visit the [Rust Embedded matrix channel] or the [probe-rs matrix channel] +and ask for help there. -#### Symptoms - -Upon trying to establish a *new connection* with the device you get an error -that looks like this: - -``` -$ openocd -f (..) -(..) -Error: open failed -in procedure 'init' -in procedure 'ocd_bouncer' -``` - -#### Cause + Fix - -- All: The device is not (properly) connected. Check the USB connection using - `lsusb` or the Device Manager. -- Linux: You may not have enough permission to open the device. Try again with - `sudo`. If that works, you can use [these instructions] to make OpenOCD work - without root privilege. -- Windows: You are probably missing the ST-LINK USB driver. Installation - instructions [here]. - -[these instructions]: ../../03-setup/linux.md#udev-rules -[here]: ../../03-setup/windows.md#st-link-usb-driver - -### can't connect to OpenOCD - "Polling again in X00ms" - -#### Symptoms - -Upon trying to establish a *new connection* with the device you get an error -that looks like this: - -``` -$ openocd -f (..) -(..) -Error: jtag status contains invalid mode value - communication failure -Polling target stm32f3x.cpu failed, trying to reexamine -Examination failed, GDB will be halted. Polling again in 100ms -Info : Previous state query failed, trying to reconnect -Error: jtag status contains invalid mode value - communication failure -Polling target stm32f3x.cpu failed, trying to reexamine -Examination failed, GDB will be halted. Polling again in 300ms -Info : Previous state query failed, trying to reconnect -``` - -#### Cause - -The microcontroller may have get stuck in some tight infinite loop or it may be -continuously raising an exception, e.g. the exception handler is raising an -exception. - -#### Fix - -- Close OpenOCD, if running -- Press and hold the reset (black) button -- Launch the OpenOCD command -- Now, release the reset button - - -### OpenOCD connection lost - "Polling again in X00ms" - -#### Symptoms - -A *running* OpenOCD session suddenly errors with: - -``` -# openocd -f (..) -Error: jtag status contains invalid mode value - communication failure -Polling target stm32f3x.cpu failed, trying to reexamine -Examination failed, GDB will be halted. Polling again in 100ms -Info : Previous state query failed, trying to reconnect -Error: jtag status contains invalid mode value - communication failure -Polling target stm32f3x.cpu failed, trying to reexamine -Examination failed, GDB will be halted. Polling again in 300ms -Info : Previous state query failed, trying to reconnect -``` - -#### Cause - -The USB connection was lost. - -#### Fix - -- Close OpenOCD -- Disconnect and re-connect the USB cable. -- Re-launch OpenOCD - -### Can't flash the device - "Ignoring packet error, continuing..." - -#### Symptoms - -While flashing the device, you get: - -``` -$ arm-none-eabi-gdb $file -Start address 0x8000194, load size 31588 -Transfer rate: 22 KB/sec, 5264 bytes/write. -Ignoring packet error, continuing... -Ignoring packet error, continuing... -``` - -#### Cause - -Closed `itmdump` while a program that "printed" to the ITM was running. The -current GDB session will appear to work normally, just without ITM output but -the next GDB session will error with the message that was shown in the previous -section. - -Or, `itmdump` was called **after** the `monitor tpiu` was issued thus making -`itmdump` delete the file / named-pipe that OpenOCD was writing to. - -#### Fix - -- Close/kill GDB, OpenOCD and `itmdump` -- Remove the file / named-pipe that `itmdump` was using (for example, - `itm.txt`). -- Launch OpenOCD -- Then, launch `itmdump` -- Then, launch the GDB session that executes the `monitor tpiu` command. +[`discovery` issue tracker]: https://github.com/rust-embedded/discovery/issues +[Rust Embedded matrix channel]: https://matrix.to/#/#rust-embedded:matrix.org +[probe-rs matrix channel]: https://matrix.to/#/#probe-rs:matrix.org ## Cargo problems @@ -156,15 +44,17 @@ To learn more, run the command again with --verbose. #### Cause -You are using a toolchain older than `nightly-2018-04-08` and forgot to call `rustup target add -thumbv7em-none-eabihf`. +You forgot to install the proper target for your microcontroller (`thumbv7em-none-eabihf` for v2 +and `thumbv6m-none-eabi` for v1). #### Fix -Update your nightly and install the `thumbv7em-none-eabihf` target. +Install the proper target. ``` console -$ rustup update nightly - +# micro:bit v2 $ rustup target add thumbv7em-none-eabihf + +# micro:bit v1 +$ rustup target add thumbv6m-none-eabi ``` diff --git a/src/appendix/2-how-to-use-gdb/README.md b/src/appendix/2-how-to-use-gdb/README.md index cdc8d6a65..843b38e22 100644 --- a/src/appendix/2-how-to-use-gdb/README.md +++ b/src/appendix/2-how-to-use-gdb/README.md @@ -1,6 +1,6 @@ # How to use GDB -Below are some useful GDB commands that can help us debug our programs. This assumes you have [flashed a program](../../05-led-roulette/flash-it.md) onto your microcontroller and attached to an OpenOCD session. +Below are some useful GDB commands that can help us debug our programs. This assumes you have [flashed a program](../../05-led-roulette/flash-it.md) onto your microcontroller and attached GDB to a `cargo-embed` session. ## General Debugging @@ -42,7 +42,7 @@ Below are some useful GDB commands that can help us debug our programs. This ass * `print /$f $data` - Print the value contained by the variable `$data`. Optionally format the output with `$f`, which can include: ```txt - x: hexadecimal + x: hexadecimal d: signed decimal u: unsigned decimal o: octal @@ -67,7 +67,7 @@ Below are some useful GDB commands that can help us debug our programs. This ass * `info address GPIOC`: Print the memory address of the variable `GPIOC` * `info variables $regex`: Print names and types of global variables matched by `$regex`, omit `$regex` to print all global variables * `ptype $data`: Print more detailed information about `$data` - * `ptype cp`: Print detailed type information about the variable `cp` + * `ptype cp`: Print detailed type information about the variable `cp` ### Poking around the Program Stack @@ -81,6 +81,6 @@ Below are some useful GDB commands that can help us debug our programs. This ass * `info registers $r`: Print the value of register `$r` in selected frame, omit `$r` for all registers * `info registers $sp`: Print the value of the stack pointer register `$sp` in the current frame -### Controlling OpenOCD Remotely +### Controlling `cargo-embed` Remotely * `monitor reset`: Reset the CPU, starting execution over again From b39790bdb01f09c63e3173aed9a7a47bf4db769b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 21 Aug 2021 16:20:01 +0200 Subject: [PATCH 248/313] Add remark about Awesome Rust Embedded --- src/explore.md | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/src/explore.md b/src/explore.md index 515427337..dae5b8eec 100644 --- a/src/explore.md +++ b/src/explore.md @@ -239,6 +239,11 @@ So where to next? There are several options: [Rust Embedded matrix channel]: https://matrix.to/#/#rust-embedded:matrix.org +- If you are looking for a general overview of what is available in Rust Embedded right now check out the [Awesome Rust Embedded] + list + +[Awesome Rust Embedded]: https://github.com/rust-embedded/awesome-embedded-rust/ + - You could check out [Real-Time Interrupt-driven Concurrency]. A very efficient preemptive multitasking framework that supports task prioritization and dead lock free execution. From c0fe393d55ad13093ed69811f074d04be7a23c39 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 22 Aug 2021 14:14:16 +0200 Subject: [PATCH 249/313] Update microbit to upstream git version --- src/07-uart/Cargo.toml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/07-uart/Cargo.toml b/src/07-uart/Cargo.toml index c77dd03c1..42c3faaae 100644 --- a/src/07-uart/Cargo.toml +++ b/src/07-uart/Cargo.toml @@ -6,13 +6,13 @@ edition = "2018" [dependencies.microbit-v2] version = "0.10.1" -git = "https://github.com/hargonix/microbit/" +git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] version = "0.10.1" -git = "https://github.com/hargonix/microbit/" +git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies] From 0a5a40a249e6e5a14d784e4655a14c8741491601 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 22 Aug 2021 14:16:05 +0200 Subject: [PATCH 250/313] Update to upstream git version of microbit --- src/08-i2c/Cargo.toml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/08-i2c/Cargo.toml b/src/08-i2c/Cargo.toml index 8b0ed3eca..4cb830d10 100644 --- a/src/08-i2c/Cargo.toml +++ b/src/08-i2c/Cargo.toml @@ -6,13 +6,13 @@ version = "0.1.0" [dependencies.microbit-v2] version = "0.10.1" -git = "https://github.com/hargonix/microbit/" +git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] version = "0.10.1" -git = "https://github.com/hargonix/microbit/" +git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies] From bbc265a5de4d157d0c5a0a63ae92b70264353827 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 22 Aug 2021 18:07:07 +0200 Subject: [PATCH 251/313] Next compass attempt --- src/09-led-compass/Embed.toml | 2 +- src/09-led-compass/solution-1.md | 70 +++++++++++++++++++------ src/09-led-compass/solution-2.md | 79 +++++++++++++++++++++------- src/09-led-compass/src/led.rs | 88 ++++++++++++++++++++++++++++++++ src/09-led-compass/src/main.rs | 43 +++++++--------- src/09-led-compass/take-1.md | 85 ++++++++++++++++++++---------- src/09-led-compass/take-2.md | 70 +++++++++++++++++++------ 7 files changed, 334 insertions(+), 103 deletions(-) create mode 100644 src/09-led-compass/src/led.rs diff --git a/src/09-led-compass/Embed.toml b/src/09-led-compass/Embed.toml index 06814e534..6312a32fc 100644 --- a/src/09-led-compass/Embed.toml +++ b/src/09-led-compass/Embed.toml @@ -1,6 +1,6 @@ [default.general] # v2 -chip = "nrf52833" +# chip = "nrf52833" # v1 # chip = "nrf51822" diff --git a/src/09-led-compass/solution-1.md b/src/09-led-compass/solution-1.md index 45ff8b7f1..0f7e40b23 100644 --- a/src/09-led-compass/solution-1.md +++ b/src/09-led-compass/solution-1.md @@ -5,33 +5,73 @@ #![no_main] #![no_std] -#[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*, Direction, I16x3}; +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use rtt_target::rprintln; +use panic_rtt_target as _; + +use microbit::{ + display::blocking::Display, + hal::Timer, +}; + +#[cfg(feature = "v1")] +use microbit::{ + hal::twi, + pac::twi0::frequency::FREQUENCY_A, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::twim, + pac::twim0::frequency::FREQUENCY_A, +}; + +use lsm303agr::{ + MagOutputDataRate, Lsm303agr, +}; + +mod led; +use led::{Direction, direction_to_led}; #[entry] fn main() -> ! { - let (mut leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + rtt_init_print!(); + let board = microbit::Board::take().unwrap(); + + #[cfg(feature = "v1")] + let i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; + + #[cfg(feature = "v2")] + let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; + + let mut timer = Timer::new(board.TIMER0); + let mut display = Display::new(board.display_pins); + + let mut sensor = Lsm303agr::new_with_i2c(i2c); + sensor.init().unwrap(); + sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + let mut sensor = sensor.into_mag_continuous().ok().unwrap(); loop { - let I16x3 { x, y, .. } = lsm303dlhc.mag().unwrap(); + while !sensor.mag_status().unwrap().xyz_new_data {} + let data = sensor.mag_data().unwrap(); - // Look at the signs of the X and Y components to determine in which - // quadrant the magnetic field is - let dir = match (x > 0, y > 0) { + let dir = match (data.x > 0, data.y > 0) { // Quadrant I - (true, true) => Direction::Southeast, + (true, true) => Direction::SouthEast, // Quadrant II - (false, true) => Direction::Northeast, + (false, true) => Direction::SouthWest, // Quadrant III - (false, false) => Direction::Northwest, + (false, false) => Direction::NorthWest, // Quadrant IV - (true, false) => Direction::Southwest, + (true, false) => Direction::NorthEast, }; - leds.iter_mut().for_each(|led| led.off()); - leds[dir].on(); - - delay.delay_ms(1_000_u16); + // use the led module to turn the direction into an LED arrow + // and the led display functions from chapter 5 to display the + // arrow + display.show(&mut timer, direction_to_led(dir), 100); } } ``` diff --git a/src/09-led-compass/solution-2.md b/src/09-led-compass/solution-2.md index 523e61a7b..a2b9b4bb4 100644 --- a/src/09-led-compass/solution-2.md +++ b/src/09-led-compass/solution-2.md @@ -5,46 +5,89 @@ #![no_main] #![no_std] +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use rtt_target::rprintln; +use panic_rtt_target as _; + // You'll find this useful ;-) use core::f32::consts::PI; +use libm::atan2f; + +use microbit::{ + display::blocking::Display, + hal::Timer, +}; + +#[cfg(feature = "v1")] +use microbit::{ + hal::twi, + pac::twi0::frequency::FREQUENCY_A, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::twim, + pac::twim0::frequency::FREQUENCY_A, +}; + +use lsm303agr::{ + MagOutputDataRate, Lsm303agr, +}; -#[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*, Direction, I16x3}; -use m::Float; +mod led; +use led::{Direction, direction_to_led}; #[entry] fn main() -> ! { - let (mut leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + rtt_init_print!(); + let board = microbit::Board::take().unwrap(); + + #[cfg(feature = "v1")] + let i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; + + #[cfg(feature = "v2")] + let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; + + let mut timer = Timer::new(board.TIMER0); + let mut display = Display::new(board.display_pins); + + let mut sensor = Lsm303agr::new_with_i2c(i2c); + sensor.init().unwrap(); + sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + let mut sensor = sensor.into_mag_continuous().ok().unwrap(); loop { - let I16x3 { x, y, .. } = lsm303dlhc.mag().unwrap(); + while !sensor.mag_status().unwrap().xyz_new_data {} + let data = sensor.mag_data().unwrap(); - let theta = (y as f32).atan2(x as f32); // in radians + // use libm's atan2f since this isn't in core yet + let theta = atan2f(data.y as f32, data.x as f32); + // Figure out the direction based on theta let dir = if theta < -7. * PI / 8. { - Direction::North + Direction::West } else if theta < -5. * PI / 8. { - Direction::Northwest + Direction::NorthWest } else if theta < -3. * PI / 8. { - Direction::West + Direction::North } else if theta < -PI / 8. { - Direction::Southwest + Direction::NorthEast } else if theta < PI / 8. { - Direction::South + Direction::East } else if theta < 3. * PI / 8. { - Direction::Southeast + Direction::SouthEast } else if theta < 5. * PI / 8. { - Direction::East + Direction::South } else if theta < 7. * PI / 8. { - Direction::Northeast + Direction::SouthWest } else { - Direction::North + Direction::West }; - leds.iter_mut().for_each(|led| led.off()); - leds[dir].on(); + rprintln!("x: {}, y: {}, dir: {:?}", data.x, data.y, dir); - delay.delay_ms(100_u8); + display.show(&mut timer, direction_to_led(dir), 100); } } ``` diff --git a/src/09-led-compass/src/led.rs b/src/09-led-compass/src/led.rs new file mode 100644 index 000000000..00e5fea5e --- /dev/null +++ b/src/09-led-compass/src/led.rs @@ -0,0 +1,88 @@ +#[derive(Debug)] +pub enum Direction{ + North, + NorthEast, + East, + SouthEast, + South, + SouthWest, + West, + NorthWest, +} + +const NORTH: [[u8; 5]; 5] = [ + [0, 0, 1, 0, 0], + [0, 1, 1, 1, 0], + [1, 0, 1, 0, 1], + [0, 0, 1, 0, 0], + [0, 0, 1, 0, 0], +]; + +const NORTH_EAST: [[u8; 5]; 5] = [ + [1, 1, 1, 0, 0], + [1, 1, 0, 0, 0], + [1, 0, 1, 0, 0], + [0, 0, 0, 1, 0], + [0, 0, 0, 0, 1], +]; + +const EAST: [[u8; 5]; 5] = [ + [0, 0, 1, 0, 0], + [0, 1, 0, 0, 0], + [1, 1, 1, 1, 1], + [0, 1, 0, 0, 0], + [0, 0, 1, 0, 0], +]; + +const SOUTH_EAST: [[u8; 5]; 5] = [ + [0, 0, 0, 0, 1], + [0, 0, 0, 1, 0], + [1, 0, 1, 0, 0], + [1, 1, 0, 0, 0], + [1, 1, 1, 0, 0], +]; + +const SOUTH: [[u8; 5]; 5] = [ + [0, 0, 1, 0, 0], + [0, 0, 1, 0, 0], + [1, 0, 1, 0, 1], + [0, 1, 1, 1, 0], + [0, 0, 1, 0, 0], +]; + +const SOUTH_WEST: [[u8; 5]; 5] = [ + [1, 0, 0, 0, 0], + [0, 1, 0, 0, 0], + [0, 0, 1, 0, 1], + [0, 0, 0, 1, 1], + [0, 0, 1, 1, 1], +]; + +const WEST: [[u8; 5]; 5] = [ + [0, 0, 1, 0, 0], + [0, 0, 0, 1, 0], + [1, 1, 1, 1, 1], + [0, 0, 0, 1, 0], + [0, 0, 1, 0, 0], +]; + +const NORTH_WEST: [[u8; 5]; 5] = [ + [0, 0, 1, 1, 1], + [0, 0, 0, 1, 1], + [0, 0, 1, 0, 1], + [0, 1, 0, 0, 0], + [1, 0, 0, 0, 0], +]; + +pub fn direction_to_led(direction: Direction) -> [[u8; 5]; 5] { + match direction { + Direction::North => NORTH, + Direction::NorthEast => NORTH_EAST, + Direction::East => EAST, + Direction::SouthEast => SOUTH_EAST, + Direction::South => SOUTH, + Direction::SouthWest => SOUTH_WEST, + Direction::West => WEST, + Direction::NorthWest => NORTH_WEST, + } +} diff --git a/src/09-led-compass/src/main.rs b/src/09-led-compass/src/main.rs index 71332a2e4..ab544c394 100644 --- a/src/09-led-compass/src/main.rs +++ b/src/09-led-compass/src/main.rs @@ -3,10 +3,8 @@ #![no_std] use cortex_m_rt::entry; -use rtt_target::{rtt_init_print, rprintln}; +use rtt_target::rtt_init_print; use panic_rtt_target as _; -use core::f32::consts::PI; -use libm::atan2f; #[cfg(feature = "v1")] use microbit::{ @@ -24,47 +22,42 @@ use lsm303agr::{ MagOutputDataRate, Lsm303agr, }; +mod led; +use led::Direction; + #[entry] fn main() -> ! { rtt_init_print!(); let board = microbit::Board::take().unwrap(); - #[cfg(feature = "v1")] let i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; #[cfg(feature = "v2")] let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; - // Code from documentation let mut sensor = Lsm303agr::new_with_i2c(i2c); sensor.init().unwrap(); sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); let mut sensor = sensor.into_mag_continuous().ok().unwrap(); + loop { while !sensor.mag_status().unwrap().xyz_new_data {} let data = sensor.mag_data().unwrap(); - let theta = atan2f(data.y as f32, data.x as f32); // in radians - let dir = if theta < -7. * PI / 8. { - "North" - } else if theta < -5. * PI / 8. { - "Northwest" - } else if theta < -3. * PI / 8. { - "West" - } else if theta < -PI / 8. { - "Southwest" - } else if theta < PI / 8. { - "South" - } else if theta < 3. * PI / 8. { - "Southeast" - } else if theta < 5. * PI / 8. { - "East" - } else if theta < 7. * PI / 8. { - "Northeast" - } else { - "North" + let dir = match (data.x > 0, data.y > 0) { + // Quadrant ??? + (true, true) => Direction::NorthEast, + // Quadrant ??? + (false, true) => panic!("TODO"), + // Quadrant ??? + (false, false) => panic!("TODO"), + // Quadrant ??? + (true, false) => panic!("TODO"), }; - rprintln!("Magnetometer: {}", dir); + + // use the led module to turn the direction into an LED arrow + // and the led display functions from chapter 5 to display the + // arrow } } diff --git a/src/09-led-compass/take-1.md b/src/09-led-compass/take-1.md index bacf87efb..7620ad5ac 100644 --- a/src/09-led-compass/take-1.md +++ b/src/09-led-compass/take-1.md @@ -5,42 +5,77 @@ What's the simplest way in which we can implement the LED compass? Even if it's For starters, we'd only care about the X and Y components of the magnetic field because when you look at a compass you always hold it in horizontal position thus the compass is in the XY plane. -What *signs* do the X and Y components of the magnetic field have in that scenario? Both are -positive. - -If we only looked at the signs of the X and Y components we could determine to which quadrant the -magnetic field belongs to. -

      -In the previous example, the magnetic field was in the first quadrant (x and y were positive) and it -made sense to turn on the `SouthEast` LED. Similarly, we could turn a different LED if the magnetic -field was in a different quadrant. +If we only looked at the signs of the X and Y components we could determine to which quadrant the +magnetic field belongs to. Now the question of course is which direction (north, north east, etc.) +do the 4 quadrants represent. In order to figure this out we can just rotate the micro:bit and observe +how the quadrant changes whenever we point in another direction. + +> **NOTE** The LED matrix is pointing to me during these measurements since it + is going to face us later on as well. If you are holding the micro:bit the other way + around your measurements will of course have different signs. + +After experimenting a bit we can find out that if we point the micro:bit in e.g. south east direction, +both the X and the Y component are always positive. Based on this information you should be able to +figure out which direction the other quadrants represent. -Let's try that logic. Here's the starter code: +Once you figured out the relation between quadrant and direction you should be able to +complete the template from below. ``` rust #![deny(unsafe_code)] #![no_main] #![no_std] -#[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*, Direction, I16x3}; +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; + +#[cfg(feature = "v1")] +use microbit::{ + hal::twi, + pac::twi0::frequency::FREQUENCY_A, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::twim, + pac::twim0::frequency::FREQUENCY_A, +}; + +use lsm303agr::{ + MagOutputDataRate, Lsm303agr, +}; + +mod led; +use led::Direction; #[entry] fn main() -> ! { - let (mut leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + rtt_init_print!(); + let board = microbit::Board::take().unwrap(); + + #[cfg(feature = "v1")] + let i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; + + #[cfg(feature = "v2")] + let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; + + let mut sensor = Lsm303agr::new_with_i2c(i2c); + sensor.init().unwrap(); + sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + let mut sensor = sensor.into_mag_continuous().ok().unwrap(); loop { - let I16x3 { x, y, .. } = lsm303dlhc.mag().unwrap(); + while !sensor.mag_status().unwrap().xyz_new_data {} + let data = sensor.mag_data().unwrap(); - // Look at the signs of the X and Y components to determine in which - // quadrant the magnetic field is - let dir = match (x > 0, y > 0) { + let dir = match (data.x > 0, data.y > 0) { // Quadrant ??? - (true, true) => Direction::Southeast, + (true, true) => Direction::NorthEast, // Quadrant ??? (false, true) => panic!("TODO"), // Quadrant ??? @@ -49,15 +84,9 @@ fn main() -> ! { (true, false) => panic!("TODO"), }; - leds.iter_mut().for_each(|led| led.off()); - leds[dir].on(); - - delay.delay_ms(1_000_u16); + // use the led module to turn the direction into an LED arrow + // and the led display functions from chapter 5 to display the + // arrow } } -``` - -There's a `Direction` enum in the `led` module that has 8 variants named after the cardinal points: -`North`, `East`, `Southwest`, etc. Each of these variants represent one of the 8 LEDs in the -compass. The `Leds` value can be indexed using the `Direction` `enum`; the result of indexing is the -LED that points in that `Direction`. +``` \ No newline at end of file diff --git a/src/09-led-compass/take-2.md b/src/09-led-compass/take-2.md index 51e7c6dd3..e759bfb05 100644 --- a/src/09-led-compass/take-2.md +++ b/src/09-led-compass/take-2.md @@ -20,30 +20,68 @@ to turn on based on the value of `theta`. #![no_main] #![no_std] +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; + // You'll find this useful ;-) use core::f32::consts::PI; +use libm::atan2f; + +use microbit::{ + display::blocking::Display, + hal::Timer, +}; + +#[cfg(feature = "v1")] +use microbit::{ + hal::twi, + pac::twi0::frequency::FREQUENCY_A, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::twim, + pac::twim0::frequency::FREQUENCY_A, +}; + +use lsm303agr::{ + MagOutputDataRate, Lsm303agr, +}; -#[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*, Direction, I16x3}; -// this trait provides the `atan2` method -use m::Float; +mod led; +use led::{Direction, direction_to_led}; #[entry] fn main() -> ! { - let (mut leds, mut lsm303dlhc, mut delay, _itm) = aux15::init(); + rtt_init_print!(); + let board = microbit::Board::take().unwrap(); - loop { - let I16x3 { x, y, .. } = lsm303dlhc.mag().unwrap(); + #[cfg(feature = "v1")] + let i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; + + #[cfg(feature = "v2")] + let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; + + let mut timer = Timer::new(board.TIMER0); + let mut display = Display::new(board.display_pins); - let _theta = (y as f32).atan2(x as f32); // in radians + let mut sensor = Lsm303agr::new_with_i2c(i2c); + sensor.init().unwrap(); + sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + let mut sensor = sensor.into_mag_continuous().ok().unwrap(); + + loop { + while !sensor.mag_status().unwrap().xyz_new_data {} + let data = sensor.mag_data().unwrap(); - // FIXME pick a direction to point to based on `theta` - let dir = Direction::Southeast; + // use libm's atan2f since this isn't in core yet + let theta = atan2f(data.y as f32, data.x as f32); - leds.iter_mut().for_each(|led| led.off()); - leds[dir].on(); + // Figure out the direction based on theta + let dir = Direction::NorthEast; - delay.delay_ms(100_u8); + display.show(&mut timer, direction_to_led(dir), 100); } } ``` @@ -52,6 +90,6 @@ Suggestions/tips: - A whole circle rotation equals 360 degrees. - `PI` radians is equivalent to 180 degrees. -- If `theta` was zero, what LED would you turn on? -- If `theta` was, instead, very close to zero, what LED would you turn on? -- If `theta` kept increasing, at what value would you turn on a different LED? +- If `theta` was zero, which direction are you pointing at? +- If `theta` was, instead, very close to zero, which direction are you pointing at? +- If `theta` kept increasing, at what value would you change the direction From a56ae9854234e2a2e491b324f17236b946332af4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 22 Aug 2021 18:10:22 +0200 Subject: [PATCH 252/313] Fix the CI --- .github/bors.toml | 2 +- .github/workflows/ci.yml | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/.github/bors.toml b/.github/bors.toml index fb56de254..c4451928f 100644 --- a/.github/bors.toml +++ b/.github/bors.toml @@ -6,6 +6,6 @@ status = [ "build-chapter-microbit (05-led-roulette)", "build-chapter-old (07-uart)", "build-chapter-old (08-i2c)", - "build-chapter-old (15-led-compass)", + "build-chapter-microbit (09-led-compass)", "build-chapter-old (16-punch-o-meter)", ] diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index 05bff50be..27dfc7dcb 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -13,6 +13,7 @@ jobs: matrix: chapter: - 05-led-roulette + - 09-led-compass steps: - uses: actions/checkout@v2 - uses: actions-rs/toolchain@v1 @@ -39,7 +40,6 @@ jobs: chapter: - 07-uart - 08-i2c - - 15-led-compass - 16-punch-o-meter steps: - uses: actions/checkout@v2 From ca5d5fb22d70d21e31b715f4e25cbf771e26d49e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 22 Aug 2021 18:14:12 +0200 Subject: [PATCH 253/313] Fix i2c link --- src/09-led-compass/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/09-led-compass/README.md b/src/09-led-compass/README.md index 63b45c582..84f1845a2 100644 --- a/src/09-led-compass/README.md +++ b/src/09-led-compass/README.md @@ -12,7 +12,7 @@ The magnetometer has three axes associated to it. The X and Y axes basically spa The Z axis is pointing "out" of the floor, so upwards. You should already be able to write a program that continiously prints the magnetometer -data on the RTT console from the [I2C chapter](./08-i2c/README.md). After you wrote that +data on the RTT console from the [I2C chapter](../08-i2c/index.md). After you wrote that program locate where north is at your current location. Then line up your micro:bit with that direction and observe how the sensor's measurements look. From 8560d27e9d9d7647db192431fd1b2cd77122482d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 22 Aug 2021 18:24:35 +0200 Subject: [PATCH 254/313] This note is wrong --- src/09-led-compass/take-1.md | 4 ---- 1 file changed, 4 deletions(-) diff --git a/src/09-led-compass/take-1.md b/src/09-led-compass/take-1.md index 7620ad5ac..331c43c7f 100644 --- a/src/09-led-compass/take-1.md +++ b/src/09-led-compass/take-1.md @@ -14,10 +14,6 @@ magnetic field belongs to. Now the question of course is which direction (north, do the 4 quadrants represent. In order to figure this out we can just rotate the micro:bit and observe how the quadrant changes whenever we point in another direction. -> **NOTE** The LED matrix is pointing to me during these measurements since it - is going to face us later on as well. If you are holding the micro:bit the other way - around your measurements will of course have different signs. - After experimenting a bit we can find out that if we point the micro:bit in e.g. south east direction, both the X and the Y component are always positive. Based on this information you should be able to figure out which direction the other quadrants represent. From 1832d5704f7dca17f4b6d63720b871eb5a99b09b Mon Sep 17 00:00:00 2001 From: Diego Barrios Romero Date: Tue, 24 Aug 2021 11:30:17 +0200 Subject: [PATCH 255/313] Update src/appendix/1-general-troubleshooting/README.md --- src/appendix/1-general-troubleshooting/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/appendix/1-general-troubleshooting/README.md b/src/appendix/1-general-troubleshooting/README.md index 1d5bd3173..8b3f5309b 100644 --- a/src/appendix/1-general-troubleshooting/README.md +++ b/src/appendix/1-general-troubleshooting/README.md @@ -2,7 +2,7 @@ ## `cargo-embed` problems Most `cargo-embed` problems are either related to not having installed the `udev` -rules properly or having selected the wrong chip configuration in `Embed.toml` so +rules properly (on Linux) or having selected the wrong chip configuration in `Embed.toml` so make sure you got both of those right. If the above does not work out for you, you can open an issue in the [`discovery` issue tracker]. From 01d4e945e44ebd95b7599f4c8410b48c88c1870d Mon Sep 17 00:00:00 2001 From: Jeff Shen <22137276+al-jshen@users.noreply.github.com> Date: Tue, 24 Aug 2021 13:39:19 -0400 Subject: [PATCH 256/313] Update the-general-protocol.md fix grammar --- src/14-i2c/the-general-protocol.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/14-i2c/the-general-protocol.md b/src/14-i2c/the-general-protocol.md index a017d2faa..471228b41 100644 --- a/src/14-i2c/the-general-protocol.md +++ b/src/14-i2c/the-general-protocol.md @@ -1,6 +1,6 @@ # General protocol -The I2C protocol is more elaborated than the serial communication protocol because it has to support +The I2C protocol is more elaborate than the serial communication protocol because it has to support communication between several devices. Let's see how it works using examples: ## Master -> Slave From 8d9be9745eabd9630afdf69d450abcae2edf5a10 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Tue, 24 Aug 2021 21:12:20 +0200 Subject: [PATCH 257/313] Chapter 10 --- .github/bors.toml | 2 +- .github/workflows/ci.yml | 2 +- Cargo.toml | 2 +- src/10-punch-o-meter/.cargo/config | 4 + src/10-punch-o-meter/Cargo.toml | 28 +++++ src/10-punch-o-meter/Embed.toml | 14 +++ src/10-punch-o-meter/README.md | 12 +++ src/10-punch-o-meter/build.rs | 30 ++++++ .../gravity-is-up.md | 33 ++---- src/10-punch-o-meter/memory.x | 6 ++ src/10-punch-o-meter/my-solution.md | 102 ++++++++++++++++++ src/10-punch-o-meter/src/main.rs | 15 +++ .../target | 0 .../the-challenge.md | 13 ++- src/16-punch-o-meter/.cargo/config | 8 -- src/16-punch-o-meter/Cargo.toml | 11 -- src/16-punch-o-meter/README.md | 18 ---- src/16-punch-o-meter/auxiliary/Cargo.toml | 14 --- src/16-punch-o-meter/auxiliary/src/lib.rs | 45 -------- src/16-punch-o-meter/my-solution.md | 58 ---------- src/16-punch-o-meter/openocd.gdb | 10 -- src/16-punch-o-meter/src/main.rs | 27 ----- src/SUMMARY.md | 8 +- 23 files changed, 239 insertions(+), 223 deletions(-) create mode 100644 src/10-punch-o-meter/.cargo/config create mode 100644 src/10-punch-o-meter/Cargo.toml create mode 100644 src/10-punch-o-meter/Embed.toml create mode 100644 src/10-punch-o-meter/README.md create mode 100644 src/10-punch-o-meter/build.rs rename src/{16-punch-o-meter => 10-punch-o-meter}/gravity-is-up.md (54%) create mode 100644 src/10-punch-o-meter/memory.x create mode 100644 src/10-punch-o-meter/my-solution.md create mode 100644 src/10-punch-o-meter/src/main.rs rename src/{16-punch-o-meter => 10-punch-o-meter}/target (100%) rename src/{16-punch-o-meter => 10-punch-o-meter}/the-challenge.md (52%) delete mode 100644 src/16-punch-o-meter/.cargo/config delete mode 100644 src/16-punch-o-meter/Cargo.toml delete mode 100644 src/16-punch-o-meter/README.md delete mode 100644 src/16-punch-o-meter/auxiliary/Cargo.toml delete mode 100644 src/16-punch-o-meter/auxiliary/src/lib.rs delete mode 100644 src/16-punch-o-meter/my-solution.md delete mode 100644 src/16-punch-o-meter/openocd.gdb delete mode 100644 src/16-punch-o-meter/src/main.rs diff --git a/.github/bors.toml b/.github/bors.toml index fb56de254..6ee246f11 100644 --- a/.github/bors.toml +++ b/.github/bors.toml @@ -7,5 +7,5 @@ status = [ "build-chapter-old (07-uart)", "build-chapter-old (08-i2c)", "build-chapter-old (15-led-compass)", - "build-chapter-old (16-punch-o-meter)", + "build-chapter-microbit (10-punch-o-meter)", ] diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index 05bff50be..b5b58483c 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -13,6 +13,7 @@ jobs: matrix: chapter: - 05-led-roulette + - 10-punch-o-meter steps: - uses: actions/checkout@v2 - uses: actions-rs/toolchain@v1 @@ -40,7 +41,6 @@ jobs: - 07-uart - 08-i2c - 15-led-compass - - 16-punch-o-meter steps: - uses: actions/checkout@v2 - uses: actions-rs/toolchain@v1 diff --git a/Cargo.toml b/Cargo.toml index 5f74a4a05..68431fedf 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -5,7 +5,7 @@ members = [ "src/07-uart", "src/08-i2c", "src/15-led-compass", - "src/16-punch-o-meter", + "src/10-punch-o-meter", ] [profile.release] diff --git a/src/10-punch-o-meter/.cargo/config b/src/10-punch-o-meter/.cargo/config new file mode 100644 index 000000000..6260c5718 --- /dev/null +++ b/src/10-punch-o-meter/.cargo/config @@ -0,0 +1,4 @@ +[target.'cfg(all(target_arch = "arm", target_os = "none"))'] +rustflags = [ + "-C", "link-arg=-Tlink.x", +] diff --git a/src/10-punch-o-meter/Cargo.toml b/src/10-punch-o-meter/Cargo.toml new file mode 100644 index 000000000..d56ad927d --- /dev/null +++ b/src/10-punch-o-meter/Cargo.toml @@ -0,0 +1,28 @@ +[package] +name = "punch-o-meter" +version = "0.1.0" +authors = ["Henrik Böving "] +edition = "2018" + +[dependencies.microbit-v2] +version = "0.10.1" +git = "https://github.com/nrf-rs/microbit/" +optional = true + + +[dependencies.microbit] +version = "0.10.1" +git = "https://github.com/nrf-rs/microbit/" +optional = true + +[dependencies] +cortex-m = "0.6.0" +cortex-m-rt = "0.6.10" +rtt-target = { version = "0.2.2", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } +lsm303agr = "0.2.0" +nb = "1.0.0" + +[features] +v2 = ["microbit-v2"] +v1 = ["microbit"] \ No newline at end of file diff --git a/src/10-punch-o-meter/Embed.toml b/src/10-punch-o-meter/Embed.toml new file mode 100644 index 000000000..6312a32fc --- /dev/null +++ b/src/10-punch-o-meter/Embed.toml @@ -0,0 +1,14 @@ +[default.general] +# v2 +# chip = "nrf52833" +# v1 +# chip = "nrf51822" + +[default.reset] +halt_afterwards = false + +[default.rtt] +enabled = true + +[default.gdb] +enabled = false diff --git a/src/10-punch-o-meter/README.md b/src/10-punch-o-meter/README.md new file mode 100644 index 000000000..d5edee39a --- /dev/null +++ b/src/10-punch-o-meter/README.md @@ -0,0 +1,12 @@ +# Punch-o-meter + +In this section we'll be playing with the accelerometer that's in the board. + +What are we building this time? A punch-o-meter! We'll be measuring the power of your jabs. Well, +actually the maximum acceleration that you can reach because acceleration is what accelerometers +measure. Strength and acceleration are proportional though so it's a good approximation. + +As we already know from previous chapters the accelerometer is built inside the LSM303AGR package. +And just like the magnetometer, it is accessible using the I2C bus. It also has the same coordinate +system as the magnetometer. + diff --git a/src/10-punch-o-meter/build.rs b/src/10-punch-o-meter/build.rs new file mode 100644 index 000000000..c8d8c9141 --- /dev/null +++ b/src/10-punch-o-meter/build.rs @@ -0,0 +1,30 @@ +//! This build script copies the `memory.x` file from the crate root into +//! a directory where the linker can always find it at build time. +//! For many projects this is optional, as the linker always searches the +//! project root directory (wherever `Cargo.toml` is). However, if you +//! are using a workspace or have a more complicated build setup, this +//! build script becomes required. Additionally, by requesting that +//! Cargo re-run the build script whenever `memory.x` is changed, +//! a rebuild of the application with new memory settings is ensured after updating `memory.x`. + +use std::env; +use std::fs::File; +use std::io::Write; +use std::path::PathBuf; + +fn main() { + // Put `memory.x` in our output directory and ensure it's + // on the linker search path. + let out = &PathBuf::from(env::var_os("OUT_DIR").unwrap()); + File::create(out.join("memory.x")) + .unwrap() + .write_all(include_bytes!("memory.x")) + .unwrap(); + println!("cargo:rustc-link-search={}", out.display()); + + // By default, Cargo will re-run a build script whenever + // any file in the project changes. By specifying `memory.x` + // here, we ensure the build script is only re-run when + // `memory.x` is changed. + println!("cargo:rerun-if-changed=memory.x"); +} diff --git a/src/16-punch-o-meter/gravity-is-up.md b/src/10-punch-o-meter/gravity-is-up.md similarity index 54% rename from src/16-punch-o-meter/gravity-is-up.md rename to src/10-punch-o-meter/gravity-is-up.md index 9ca4c52a5..674265958 100644 --- a/src/16-punch-o-meter/gravity-is-up.md +++ b/src/10-punch-o-meter/gravity-is-up.md @@ -4,30 +4,15 @@ What's the first thing we'll do? Perform a sanity check! -The starter code prints the X, Y and Z components of the acceleration measured by the accelerometer. -The values have already been "scaled" and have units of `g`s. Where `1 g` is equal to the -acceleration of the gravity, about `9.8` meters per second squared. - -``` rust -{{#include src/main.rs}} -``` - -The output of this program with the board sitting still will be something like: - -``` console -$ # itmdump console -(..) -(0.0, 0.0, 1.078125) -(0.0, 0.0, 1.078125) -(0.0, 0.0, 1.171875) -(0.0, 0.0, 1.03125) -(0.0, 0.0, 1.078125) -``` - -Which is weird because the board is not moving yet its acceleration is non-zero. What's going on? -This must be related to the gravity, right? Because the acceleration of gravity is `1 g`. But the -gravity pulls objects downwards so the acceleration along the Z axis should be negative not positive -... +You should already be able to write a program that continiously prints the accelerometer +data on the RTT console from the [I2C chapter](../08-i2c/index.md). Do you observe something +interesting even when holding the board parallel to the floor with the LED side facing down? + +What you should see like this is that both the X and Y values are rather close to 0, while the +Z value is at around 1000. Which is weird because the board is not moving yet its acceleration is +non-zero. What's going on? This must be related to the gravity, right? Because the acceleration of +gravity is `1 g` (aha, `1 g` = 1000 from the accelerometer). But the gravity pulls objects downwards +so the acceleration along the Z axis should be negative not positive Did the program get the Z axis backwards? Nope, you can test rotating the board to align the gravity to the X or Y axis but the acceleration measured by the accelerometer is always pointing up. diff --git a/src/10-punch-o-meter/memory.x b/src/10-punch-o-meter/memory.x new file mode 100644 index 000000000..9e2ab65f6 --- /dev/null +++ b/src/10-punch-o-meter/memory.x @@ -0,0 +1,6 @@ +MEMORY +{ + /* NOTE K = KiBi = 1024 bytes */ + FLASH : ORIGIN = 0x00000000, LENGTH = 256K + RAM : ORIGIN = 0x20000000, LENGTH = 16K +} diff --git a/src/10-punch-o-meter/my-solution.md b/src/10-punch-o-meter/my-solution.md new file mode 100644 index 000000000..f10705132 --- /dev/null +++ b/src/10-punch-o-meter/my-solution.md @@ -0,0 +1,102 @@ +# My solution + +``` rust +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::{rtt_init_print, rprintln}; +use panic_rtt_target as _; + +#[cfg(feature = "v1")] +use microbit::{ + hal::twi, + pac::twi0::frequency::FREQUENCY_A, +}; + +#[cfg(feature = "v2")] +use microbit::{ + hal::twim, + pac::twim0::frequency::FREQUENCY_A, +}; + +use lsm303agr::{ + AccelScale, AccelOutputDataRate, Lsm303agr, +}; + +use microbit::hal::timer::Timer; +use microbit::hal::prelude::*; +use nb::Error; + +#[entry] +fn main() -> ! { + const THRESHOLD: f32 = 0.5; + + rtt_init_print!(); + let board = microbit::Board::take().unwrap(); + + #[cfg(feature = "v1")] + let i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; + + #[cfg(feature = "v2")] + let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; + + let mut countdown = Timer::new(board.TIMER0); + let mut delay = Timer::new(board.TIMER1); + let mut sensor = Lsm303agr::new_with_i2c(i2c); + sensor.init().unwrap(); + sensor.set_accel_odr(AccelOutputDataRate::Hz50).unwrap(); + // Allow the sensor to measure up to 16 G since human punches + // can actually be quite fast + sensor.set_accel_scale(AccelScale::G16).unwrap(); + + let mut max_g = 0.; + let mut measuring = false; + + loop { + while !sensor.accel_status().unwrap().xyz_new_data {} + // x acceleration in g + let g_x = sensor.accel_data().unwrap().x as f32 / 1000.0; + + if measuring { + // Check the status of our contdown + match countdown.wait() { + // countdown isn't done yet + Err(Error::WouldBlock) => { + if g_x > max_g { + max_g = g_x; + } + }, + // Countdown is done + Ok(_) => { + // Report max value + rprintln!("Max acceleration: {}g", max_g); + + // Reset + max_g = 0.; + measuring = false; + }, + // Since the nrf52 and nrf51 HAL have Void as an error type + // this path cannot occur, as Void is an empty type + Err(Error::Other(_)) => { + unreachable!() + } + } + } else { + // If acceleration goes above a threshold, we start measuring + if g_x > THRESHOLD { + rprintln!("START!"); + + measuring = true; + max_g = g_x; + // The documentation notes that the timer works at a frequency + // of 1 Mhz, so in order to wait for 1 second we have to + // set it to 1_000_000 ticks. + countdown.start(1_000_000_u32); + } + } + delay.delay_ms(20_u8); + } +} +``` diff --git a/src/10-punch-o-meter/src/main.rs b/src/10-punch-o-meter/src/main.rs new file mode 100644 index 000000000..bc1ce25c5 --- /dev/null +++ b/src/10-punch-o-meter/src/main.rs @@ -0,0 +1,15 @@ +#![deny(unsafe_code)] +#![no_main] +#![no_std] + +use cortex_m_rt::entry; +use rtt_target::rtt_init_print; +use panic_rtt_target as _; + +#[entry] +fn main() -> ! { + rtt_init_print!(); + let board = microbit::Board::take().unwrap(); + + loop {} +} diff --git a/src/16-punch-o-meter/target b/src/10-punch-o-meter/target similarity index 100% rename from src/16-punch-o-meter/target rename to src/10-punch-o-meter/target diff --git a/src/16-punch-o-meter/the-challenge.md b/src/10-punch-o-meter/the-challenge.md similarity index 52% rename from src/16-punch-o-meter/the-challenge.md rename to src/10-punch-o-meter/the-challenge.md index d11252ce5..02eb35b1a 100644 --- a/src/16-punch-o-meter/the-challenge.md +++ b/src/10-punch-o-meter/the-challenge.md @@ -12,6 +12,17 @@ Here's what the punch-o-meter must do: the app should start a new measurement. - During that measurement interval, the app should keep track of the maximum acceleration observed - After the measurement interval ends, the app must report the maximum acceleration observed. You - can report the value using the `iprintln` macro. + can report the value using the `rprintln!` macro. Give it a try and let me know how hard you can punch `;-)`. + +> **NOTE** There are two additional APIs that should be useful for this task we haven't discussed yet. +> First the [`set_accel_scale`] one which you need to measure high g values. +> Secondly the [`Countdown`] trait from `embedded_hal`. If you decide to use this to keep your measurement +> intervals you will have to pattern match on the [`nb::Result`] type instead of using the `block!` macro + we have seen in previous chapters. + + +[`set_accel_scale`]: https://docs.rs/lsm303agr/0.2.0/lsm303agr/struct.Lsm303agr.html#method.set_accel_scale +[`Countdown`]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/timer/trait.CountDown.html +[`nb::Result`]: https://docs.rs/nb/1.0.0/nb/type.Result.html \ No newline at end of file diff --git a/src/16-punch-o-meter/.cargo/config b/src/16-punch-o-meter/.cargo/config deleted file mode 100644 index f27a4a749..000000000 --- a/src/16-punch-o-meter/.cargo/config +++ /dev/null @@ -1,8 +0,0 @@ -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] - -[build] -target = "thumbv7em-none-eabihf" diff --git a/src/16-punch-o-meter/Cargo.toml b/src/16-punch-o-meter/Cargo.toml deleted file mode 100644 index 69b3008df..000000000 --- a/src/16-punch-o-meter/Cargo.toml +++ /dev/null @@ -1,11 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "punch-o-meter" -version = "0.1.0" - -[dependencies] -m = "0.1.1" -aux16 = { path = "auxiliary" } -cortex-m = "0.5.6" -cortex-m-rt = "0.6.3" diff --git a/src/16-punch-o-meter/README.md b/src/16-punch-o-meter/README.md deleted file mode 100644 index de17f8fd5..000000000 --- a/src/16-punch-o-meter/README.md +++ /dev/null @@ -1,18 +0,0 @@ -# Punch-o-meter - -In this section we'll be playing with the accelerometer that's in the board. - -What are we building this time? A punch-o-meter! We'll be measuring the power of your jabs. Well, -actually the maximum acceleration that you can reach because acceleration is what accelerometers -measure. Strength and acceleration are proportional though so it's a good approximation. - -The accelerometer is also built inside the LSM303DLHC package. And just like the magnetometer, it -can also be accessed using the I2C bus. It also has the same coordinate system as the magnetometer. -Here's the coordinate system again: - -

      - -

      - -Just like in the previous unit, we'll be using a high level API to directly get the sensor readings -in a nicely packaged `struct`. diff --git a/src/16-punch-o-meter/auxiliary/Cargo.toml b/src/16-punch-o-meter/auxiliary/Cargo.toml deleted file mode 100644 index 543848543..000000000 --- a/src/16-punch-o-meter/auxiliary/Cargo.toml +++ /dev/null @@ -1,14 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "aux16" -version = "0.1.0" - -[dependencies] -cortex-m = "0.5.6" -cortex-m-rt = "0.6.3" -panic-itm = "0.4.0" - -[dependencies.f3] -features = ["rt"] -version = "0.6.1" diff --git a/src/16-punch-o-meter/auxiliary/src/lib.rs b/src/16-punch-o-meter/auxiliary/src/lib.rs deleted file mode 100644 index 1aad1f3e8..000000000 --- a/src/16-punch-o-meter/auxiliary/src/lib.rs +++ /dev/null @@ -1,45 +0,0 @@ -//! Initialization code - -#![no_std] - -#[allow(unused_extern_crates)] // NOTE(allow) bug rust-lang/rust#53964 -extern crate panic_itm; // panic handler - -pub use cortex_m::{asm::bkpt, iprint, iprintln, peripheral::ITM}; -pub use cortex_m_rt::entry; -pub use f3::{ - hal::{delay::Delay, prelude, time::MonoTimer}, - lsm303dlhc::{I16x3, Sensitivity}, - Lsm303dlhc, -}; - -use f3::hal::{i2c::I2c, prelude::*, stm32f30x}; - -pub fn init() -> (Lsm303dlhc, Delay, MonoTimer, ITM) { - let cp = cortex_m::Peripherals::take().unwrap(); - let dp = stm32f30x::Peripherals::take().unwrap(); - - let mut flash = dp.FLASH.constrain(); - let mut rcc = dp.RCC.constrain(); - - let clocks = rcc.cfgr.freeze(&mut flash.acr); - - let mut gpioe = dp.GPIOE.split(&mut rcc.ahb); - let mut nss = gpioe - .pe3 - .into_push_pull_output(&mut gpioe.moder, &mut gpioe.otyper); - nss.set_high(); - - let mut gpiob = dp.GPIOB.split(&mut rcc.ahb); - let scl = gpiob.pb6.into_af4(&mut gpiob.moder, &mut gpiob.afrl); - let sda = gpiob.pb7.into_af4(&mut gpiob.moder, &mut gpiob.afrl); - - let i2c = I2c::i2c1(dp.I2C1, (scl, sda), 400.khz(), clocks, &mut rcc.apb1); - - let lsm303dlhc = Lsm303dlhc::new(i2c).unwrap(); - - let delay = Delay::new(cp.SYST, clocks); - let mono_timer = MonoTimer::new(cp.DWT, clocks); - - (lsm303dlhc, delay, mono_timer, cp.ITM) -} diff --git a/src/16-punch-o-meter/my-solution.md b/src/16-punch-o-meter/my-solution.md deleted file mode 100644 index 928f3370c..000000000 --- a/src/16-punch-o-meter/my-solution.md +++ /dev/null @@ -1,58 +0,0 @@ -# My solution - -``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux16::{entry, iprint, iprintln, prelude::*, I16x3, Sensitivity}; -use m::Float; - -#[entry] -fn main() -> ! { - const SENSITIVITY: f32 = 12. / (1 << 14) as f32; - const THRESHOLD: f32 = 0.5; - - let (mut lsm303dlhc, mut delay, mono_timer, mut itm) = aux16::init(); - - lsm303dlhc.set_accel_sensitivity(Sensitivity::G12).unwrap(); - - let measurement_time = mono_timer.frequency().0; // 1 second in ticks - let mut instant = None; - let mut max_g = 0.; - loop { - let g_x = f32::from(lsm303dlhc.accel().unwrap().x).abs() * SENSITIVITY; - - match instant { - None => { - // If acceleration goes above a threshold, we start measuring - if g_x > THRESHOLD { - iprintln!(&mut itm.stim[0], "START!"); - - max_g = g_x; - instant = Some(mono_timer.now()); - } - } - // Still measuring - Some(ref instant) if instant.elapsed() < measurement_time => { - if g_x > max_g { - max_g = g_x; - } - } - _ => { - // Report max value - iprintln!(&mut itm.stim[0], "Max acceleration: {}g", max_g); - - // Measurement done - instant = None; - - // Reset - max_g = 0.; - } - } - - delay.delay_ms(50_u8); - } -} -``` diff --git a/src/16-punch-o-meter/openocd.gdb b/src/16-punch-o-meter/openocd.gdb deleted file mode 100644 index cafe49b1b..000000000 --- a/src/16-punch-o-meter/openocd.gdb +++ /dev/null @@ -1,10 +0,0 @@ -target remote :3333 -set print asm-demangle on -set print pretty on -monitor tpiu config internal itm.txt uart off 8000000 -monitor itm port 0 on -load -break DefaultHandler -break UserHardFault -break main -continue diff --git a/src/16-punch-o-meter/src/main.rs b/src/16-punch-o-meter/src/main.rs deleted file mode 100644 index 7ade4369f..000000000 --- a/src/16-punch-o-meter/src/main.rs +++ /dev/null @@ -1,27 +0,0 @@ -#![deny(unsafe_code)] -#![no_main] -#![no_std] - -#[allow(unused_imports)] -use aux16::{entry, iprint, iprintln, prelude::*, I16x3, Sensitivity}; - -#[entry] -fn main() -> ! { - let (mut lsm303dlhc, mut delay, _mono_timer, mut itm) = aux16::init(); - - // extend sensing range to `[-12g, +12g]` - lsm303dlhc.set_accel_sensitivity(Sensitivity::G12).unwrap(); - loop { - const SENSITIVITY: f32 = 12. / (1 << 14) as f32; - - let I16x3 { x, y, z } = lsm303dlhc.accel().unwrap(); - - let x = f32::from(x) * SENSITIVITY; - let y = f32::from(y) * SENSITIVITY; - let z = f32::from(z) * SENSITIVITY; - - iprintln!(&mut itm.stim[0], "{:?}", (x, y, z)); - - delay.delay_ms(1_000_u16); - } -} diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 50af7f546..95f044589 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -43,10 +43,10 @@ - [Solution 2](15-led-compass/solution-2.md) - [Magnitude](15-led-compass/magnitude.md) - [Calibration](15-led-compass/calibration.md) -- [Punch-o-meter](16-punch-o-meter/README.md) - - [Gravity is up?](16-punch-o-meter/gravity-is-up.md) - - [The challenge](16-punch-o-meter/the-challenge.md) - - [My solution](16-punch-o-meter/my-solution.md) +- [Punch-o-meter](10-punch-o-meter/README.md) + - [Gravity is up?](10-punch-o-meter/gravity-is-up.md) + - [The challenge](10-punch-o-meter/the-challenge.md) + - [My solution](10-punch-o-meter/my-solution.md) - [What's left for you to explore](explore.md) --- From 63895831ba6bd61c454b5d5e9b075d7da39a411b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 25 Aug 2021 11:31:42 +0200 Subject: [PATCH 258/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/07-uart/README.md | 2 +- src/07-uart/send-a-single-byte.md | 8 ++++---- 2 files changed, 5 insertions(+), 5 deletions(-) diff --git a/src/07-uart/README.md b/src/07-uart/README.md index 843636ee6..971bb5b64 100644 --- a/src/07-uart/README.md +++ b/src/07-uart/README.md @@ -13,7 +13,7 @@ microcontroller and your computer. > However this will of course not be our concern. ## Setup -As always from now on you will have to modify the Embed.toml to match your micro:bit version: +As always from now on you will have to modify the `Embed.toml` to match your micro:bit version: ```toml {{#include Embed.toml}} diff --git a/src/07-uart/send-a-single-byte.md b/src/07-uart/send-a-single-byte.md index 3e2567bb9..2b2c5dad2 100644 --- a/src/07-uart/send-a-single-byte.md +++ b/src/07-uart/send-a-single-byte.md @@ -14,13 +14,13 @@ parts of the code. This is mostly just because we want to work with a regular UA and with the UARTE for micro:bit v2. You will also have noticed that this is the first time we are including some code that is not from a library, -namely the `serial_setup` module. Its only purpose is to provide a nice and usable wrapper around the UARTE -so we can use it the exact same way as the UART via the [embedded_hal::serial] traits. If you want you can +namely the `serial_setup` module. Its only purpose is to provide a nice wrapper around the UARTE +so we can use it the exact same way as the UART via the [embedded_hal::serial] traits. If you want, you can check out what exactly the module does, but it is not required to understand this chapter in general. [embedded_hal::serial]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/serial/index.html -Apart from those differences the initilization procedures for the UART and the UARTE are quite similar so we'll +Apart from those differences, the initialization procedures for the UART and the UARTE are quite similar so we'll discuss the initilization of just UARTE. The UARTE is initialized with this piece of code: ```rs uarte::Uarte::new( @@ -43,7 +43,7 @@ code that can conduct hardware operations in the background while we go and do o in this and many other cases we have no interest in doing some other work so we just call `block!` which will wait until the I/O operation is done and has either succeeded or failed and then continue execution normally. -Last but not least we `flush()` the serial port. This is because an implementor of the `embedded-hal::serial` traits may +Last but not least, we `flush()` the serial port. This is because an implementor of the `embedded-hal::serial` traits may decide to buffer output until it has received a certain number of bytes to send (this is the case with the UARTE implementation). Calling `flush()` forces it to write the bytes it currently has right now instead of waiting for more. From c955778d8d3f56a4250a22ca67442bb7cb6bc39f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 25 Aug 2021 11:32:21 +0200 Subject: [PATCH 259/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/07-uart/README.md | 2 +- src/07-uart/naive-approch-write.md | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/src/07-uart/README.md b/src/07-uart/README.md index 971bb5b64..b5bf416c5 100644 --- a/src/07-uart/README.md +++ b/src/07-uart/README.md @@ -10,7 +10,7 @@ microcontroller and your computer. > **NOTE** that on the micro:bit v2 we will use the so called UARTE peripheral which behaves > just like a regular UART, except that the HAL has to talk to it in a different way. -> However this will of course not be our concern. +> However, this will of course not be our concern. ## Setup As always from now on you will have to modify the `Embed.toml` to match your micro:bit version: diff --git a/src/07-uart/naive-approch-write.md b/src/07-uart/naive-approch-write.md index 746dd3bd8..9fda52bc4 100644 --- a/src/07-uart/naive-approch-write.md +++ b/src/07-uart/naive-approch-write.md @@ -73,7 +73,7 @@ as argument formatting and so on. If you are wondering how to do that, read on. ## `write!` and `core::fmt::Write` The `core::fmt::Write` trait allows us to use any struct that implements it in basically the same way as we use `print!` in the `std` world. -In this case the `Uart` struct from the `nrf` HAL does implement `core::fmt::Write` +In this case, the `Uart` struct from the `nrf` HAL does implement `core::fmt::Write` so we can refactor our previous program into this: ```rs From 840689ec1ff990dbacb061e28697df775eb1f28b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 25 Aug 2021 11:33:43 +0200 Subject: [PATCH 260/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/09-led-compass/Cargo.toml | 4 ++-- src/09-led-compass/README.md | 4 ++-- src/09-led-compass/take-1.md | 4 ++-- 3 files changed, 6 insertions(+), 6 deletions(-) diff --git a/src/09-led-compass/Cargo.toml b/src/09-led-compass/Cargo.toml index 526db1a87..b623d7941 100644 --- a/src/09-led-compass/Cargo.toml +++ b/src/09-led-compass/Cargo.toml @@ -16,8 +16,8 @@ git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies] -cortex-m = "0.6.0" -cortex-m-rt = "0.6.10" +cortex-m = "0.7.0" +cortex-m-rt = "0.6.12" panic-halt = "0.2.0" rtt-target = { version = "0.2.2", features = ["cortex-m"] } panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } diff --git a/src/09-led-compass/README.md b/src/09-led-compass/README.md index 84f1845a2..e7ef6b88e 100644 --- a/src/09-led-compass/README.md +++ b/src/09-led-compass/README.md @@ -1,7 +1,7 @@ # LED compass In this section, we'll implement a compass using the LEDs on the micro:bit. Like proper compasses, our LED -compass must point north somehow. It will do that by turning on one of its outer LEDs; the on LED +compass must point north somehow. It will do that by turning on one of its outer LEDs; the LED turned on should point towards north. Magnetic fields have both a magnitude, measured in Gauss or Teslas, and a *direction*. The @@ -13,7 +13,7 @@ The Z axis is pointing "out" of the floor, so upwards. You should already be able to write a program that continiously prints the magnetometer data on the RTT console from the [I2C chapter](../08-i2c/index.md). After you wrote that -program locate where north is at your current location. Then line up your micro:bit with +program, locate where north is at your current location. Then line up your micro:bit with that direction and observe how the sensor's measurements look. Now rotate the board 90 degrees while keeping it parallel to the ground. What X, Y and Z values do diff --git a/src/09-led-compass/take-1.md b/src/09-led-compass/take-1.md index 331c43c7f..2888c6e49 100644 --- a/src/09-led-compass/take-1.md +++ b/src/09-led-compass/take-1.md @@ -1,9 +1,9 @@ # Take 1 -What's the simplest way in which we can implement the LED compass? Even if it's not perfect. +What's the simplest way in which we can implement the LED compass, even if it's not perfect? For starters, we'd only care about the X and Y components of the magnetic field because when you -look at a compass you always hold it in horizontal position thus the compass is in the XY plane. +look at a compass you always hold it in horizontal position and thus the compass is in the XY plane.

      From f45c7ec691c649f1b6b8b7e1e812d4c80f7d5175 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 25 Aug 2021 11:35:12 +0200 Subject: [PATCH 261/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/10-punch-o-meter/Cargo.toml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/10-punch-o-meter/Cargo.toml b/src/10-punch-o-meter/Cargo.toml index d56ad927d..3f67c6e1a 100644 --- a/src/10-punch-o-meter/Cargo.toml +++ b/src/10-punch-o-meter/Cargo.toml @@ -16,8 +16,8 @@ git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies] -cortex-m = "0.6.0" -cortex-m-rt = "0.6.10" +cortex-m = "0.7.0" +cortex-m-rt = "0.6.12" rtt-target = { version = "0.2.2", features = ["cortex-m"] } panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } lsm303agr = "0.2.0" @@ -25,4 +25,4 @@ nb = "1.0.0" [features] v2 = ["microbit-v2"] -v1 = ["microbit"] \ No newline at end of file +v1 = ["microbit"] From 00d4a432d6e7141ae86ac6af4b66616c13b79da8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 25 Aug 2021 11:40:41 +0200 Subject: [PATCH 262/313] Apply suggestions from code review Co-authored-by: Diego Barrios Romero --- src/08-i2c/read-a-single-register.md | 11 +++++------ src/08-i2c/src/main.rs | 2 +- src/08-i2c/using-a-driver.md | 2 +- 3 files changed, 7 insertions(+), 8 deletions(-) diff --git a/src/08-i2c/read-a-single-register.md b/src/08-i2c/read-a-single-register.md index 7cea0a90b..7b5a10b65 100644 --- a/src/08-i2c/read-a-single-register.md +++ b/src/08-i2c/read-a-single-register.md @@ -26,9 +26,9 @@ at address `0x4f` which contain some bit patterns that are unique to the device (The A is as in accelerometer and the M is as in magnetometer). The only thing missing now is the software part, i.e. which API of the `microbit`/the HAL -crates we should use for this. However if you read through the datasheet of the nRF chip +crates we should use for this. However, if you read through the datasheet of the nRF chip you are using you will soon find out that they don't actually have an I2C peripheral. -Luckily for us though they have I2C compatible ones called TWI (Two Wire Interface) +Luckily for us though, they have I2C-compatible ones called TWI (Two Wire Interface) and TWIM (depending on which chip you use, just like UART and UARTE). Now if we put the documentation of the `twi(m)` module from the `microbit` crate @@ -39,11 +39,10 @@ piece of code to read out and print the two device IDs: {{#include src/main.rs}} ``` -Apart from the initilization this piece of code should be straight forward if you +Apart from the initialization, this piece of code should be straight forward if you understood the I2C protocol as described before. The initilization here works similarly -to the one from the UART chapter. First we pass the peripheral as well as the pins -that are used to communicate with the cip to the constructor. The finaly parameter is -the frequency we wish the bus to operate on, in this case 100 kHz (`K100`). +to the one from the UART chapter. +We pass the peripheral as well as the pins that are used to communicate with the chip to the constructor; and then the frequency we wish the bus to operate on, in this case 100 kHz (`K100`). ## Testing it As always you have to modify `Embed.toml` to fit your MCU and can then use: diff --git a/src/08-i2c/src/main.rs b/src/08-i2c/src/main.rs index b6fe6abef..1ad7c8aca 100644 --- a/src/08-i2c/src/main.rs +++ b/src/08-i2c/src/main.rs @@ -6,7 +6,7 @@ use cortex_m_rt::entry; use rtt_target::{rtt_init_print, rprintln}; use panic_rtt_target as _; -use microbit::hal::{prelude::*}; +use microbit::hal::prelude::*; #[cfg(feature = "v1")] use microbit::{ diff --git a/src/08-i2c/using-a-driver.md b/src/08-i2c/using-a-driver.md index ae08d0e10..a0998d9ad 100644 --- a/src/08-i2c/using-a-driver.md +++ b/src/08-i2c/using-a-driver.md @@ -36,7 +36,7 @@ fn main() { ``` Because we already know how to create an instance of an object that implements -the [embedded_hal::blocking::i2c] traits from the [last page](read-a-single-register.md) this is quite trivial: +the [embedded_hal::blocking::i2c] traits from the [last page](read-a-single-register.md), this is quite trivial: [embedded_hal::blocking::i2c]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/blocking/i2c/index.html From 853a67b02b0f9e62dc80187435b9973467e43c07 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Wed, 25 Aug 2021 11:55:12 +0200 Subject: [PATCH 263/313] Add link to the twim module --- src/08-i2c/read-a-single-register.md | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/src/08-i2c/read-a-single-register.md b/src/08-i2c/read-a-single-register.md index 7b5a10b65..fcad352aa 100644 --- a/src/08-i2c/read-a-single-register.md +++ b/src/08-i2c/read-a-single-register.md @@ -31,10 +31,12 @@ you are using you will soon find out that they don't actually have an I2C periph Luckily for us though, they have I2C-compatible ones called TWI (Two Wire Interface) and TWIM (depending on which chip you use, just like UART and UARTE). -Now if we put the documentation of the `twi(m)` module from the `microbit` crate +Now if we put the documentation of the [`twi(m)` module] from the `microbit` crate together will all the other information we have gathered so far we'll end up with this piece of code to read out and print the two device IDs: +[`twi(m)` module]: https://docs.rs/microbit-v2/0.10.1/microbit/hal/twim/index.html + ``` rust {{#include src/main.rs}} ``` From 917595f8c19ed0549e99cb641f997a12510c4921 Mon Sep 17 00:00:00 2001 From: Chris <11088935+caemor@users.noreply.github.com> Date: Tue, 31 Aug 2021 15:17:13 +0200 Subject: [PATCH 264/313] Use `cargo embed` instead of `cargo-embed` Replaces dash with whitespace since the version if the dash is not working. --- src/03-setup/verify.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index d362f3b15..abce10ecc 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -64,10 +64,10 @@ Next run one of these commands: ``` $ # make sure you are in src/03-setup of the books source code $ # If you are working with micro:bit v2 -$ cargo-embed --target thumbv7em-none-eabihf +$ cargo embed --target thumbv7em-none-eabihf $ # If you are working with micro:bit v1 -$ cargo-embed --target thumbv6m-none-eabi +$ cargo embed --target thumbv6m-none-eabi ``` If everything works correctly cargo-embed should first compile the small example program From 09eccbc6e1b5b2694a3fd1870c991f4e8560f8d0 Mon Sep 17 00:00:00 2001 From: Christoph Date: Wed, 1 Sep 2021 13:59:34 +0200 Subject: [PATCH 265/313] Add rustup target add to verification step and modify c5 slightly --- src/03-setup/verify.md | 2 ++ src/05-led-roulette/build-it.md | 6 +++++- 2 files changed, 7 insertions(+), 1 deletion(-) diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index abce10ecc..144199790 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -64,9 +64,11 @@ Next run one of these commands: ``` $ # make sure you are in src/03-setup of the books source code $ # If you are working with micro:bit v2 +$ rustup target add thumbv7em-none-eabihf $ cargo embed --target thumbv7em-none-eabihf $ # If you are working with micro:bit v1 +$ rustup target add thumbv6m-none-eabi $ cargo embed --target thumbv6m-none-eabi ``` diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index 6215b4843..594195b19 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -28,7 +28,11 @@ $ rustup target add thumbv6m-none-eabi ``` You only need to do the above step once; `rustup` will re-install a new standard library -(`rust-std` component) whenever you update your toolchain. +(`rust-std` component) whenever you update your toolchain. Therefore you can skip this step, if you have already added the necessary target +while [veryfing your setup]. + +[veryfing your setup]: ../03-setup/verify.html#verifying-cargo-embed + With the `rust-std` component in place you can now cross compile the program using Cargo: From 63ff549a95a5dced619cac58968ee9ebceb793bc Mon Sep 17 00:00:00 2001 From: Rob Young Date: Sat, 11 Sep 2021 15:10:52 +0100 Subject: [PATCH 266/313] Typos and grammar changes --- src/04-meet-your-hardware/terminology.md | 10 +++++----- src/05-led-roulette/build-it.md | 4 ++-- src/05-led-roulette/debug-it.md | 2 +- src/07-uart/echo-server.md | 2 +- src/07-uart/receive-a-single-byte.md | 4 ++-- src/07-uart/send-a-single-byte.md | 6 +++--- src/08-i2c/read-a-single-register.md | 2 +- src/08-i2c/the-general-protocol.md | 2 +- src/08-i2c/using-a-driver.md | 18 +++++++++--------- 9 files changed, 25 insertions(+), 25 deletions(-) diff --git a/src/04-meet-your-hardware/terminology.md b/src/04-meet-your-hardware/terminology.md index 0d657aeca..282c49774 100644 --- a/src/04-meet-your-hardware/terminology.md +++ b/src/04-meet-your-hardware/terminology.md @@ -39,21 +39,21 @@ of abstraction: ## Unifying the layers Next we are going to have a look at a very central piece of software -in the Rust Embedded world: `[embedded-hal]`. As its name suggests it +in the Rust Embedded world: [`embedded-hal`]. As its name suggests it relates to the 2nd level of abstraction we got to know: the HALs. -The idea behind `[embedded-hal]` is to provide a set of traits that +The idea behind [`embedded-hal`] is to provide a set of traits that describe behaviour which is usually shared across all implementations of a specific peripheral in all the HALs. For example one would always expect to have functions that are capable of turning the power on a pin either on or off. For example to switch an LED on and off on the board. This allows us to write a driver for, say a temperature sensor, that -can be used on any chip for which an implementation of the `[embedded-hal]` traits exists, +can be used on any chip for which an implementation of the [`embedded-hal`] traits exists, simply by writing the driver in such a way that it only relies on the -`[embedded-hal]` traits. Drivers that are written in such a way are called +[`embedded-hal`] traits. Drivers that are written in such a way are called platform agnostic and luckily for us most of the drivers on crates.io are actually platform agnostic. -[embedded-hal]: https://crates.io/crates/embedded-hal +[`embedded-hal`]: https://crates.io/crates/embedded-hal ## Further reading diff --git a/src/05-led-roulette/build-it.md b/src/05-led-roulette/build-it.md index 594195b19..08a083e65 100644 --- a/src/05-led-roulette/build-it.md +++ b/src/05-led-roulette/build-it.md @@ -68,7 +68,7 @@ As a sanity check, let's verify that the produced executable is actually an ARM ``` console # For micro:bit v2 # equivalent to `readelf -h target/thumbv7em-none-eabihf/debug/led-roulette` -$ cargo readobj --features v2 --target thumbv7em-none-eabihf --bin led-roulette -- -file-headers +$ cargo readobj --features v2 --target thumbv7em-none-eabihf --bin led-roulette -- --file-headers Finished dev [unoptimized + debuginfo] target(s) in 0.01s ELF Header: Magic: 7f 45 4c 46 01 01 01 00 00 00 00 00 00 00 00 00 @@ -93,7 +93,7 @@ ELF Header: # For micro:bit v1 # equivalent to `readelf -h target/thumbv6m-none-eabi/debug/led-roulette` -$ cargo readobj --features v1 --target thumbv6m-none-eabi --bin led-roulette -- -file-headers +$ cargo readobj --features v1 --target thumbv6m-none-eabi --bin led-roulette -- --file-headers Finished dev [unoptimized + debuginfo] target(s) in 0.01s ELF Header: Magic: 7f 45 4c 46 01 01 01 00 00 00 00 00 00 00 00 00 diff --git a/src/05-led-roulette/debug-it.md b/src/05-led-roulette/debug-it.md index bf4335f2d..6f638b9da 100644 --- a/src/05-led-roulette/debug-it.md +++ b/src/05-led-roulette/debug-it.md @@ -24,7 +24,7 @@ $ gdb target/thumbv6m-none-eabi/debug/led-roulette ``` > **NOTE** Depending on which GDB you installed you will have to use a different command to launch it, -> check out chapter 3 if you forgot which one it was. +> check out [chapter 3](/03-setup/README.md#tools) if you forgot which one it was. > **NOTE**: If `cargo-embed` prints a lot of warnings here don't worry about it. As of now it does not fully > implement the GDB protocol and thus might not recognize all of the commands your GDB is sending to it, diff --git a/src/07-uart/echo-server.md b/src/07-uart/echo-server.md index ed78914fd..6ffd260d9 100644 --- a/src/07-uart/echo-server.md +++ b/src/07-uart/echo-server.md @@ -1,7 +1,7 @@ # Echo server Let's merge transmission and reception into a single program and write an echo server. An echo -server sends back to the client the same text it sent. For this application, the microcontroller +server sends back to the client the same text it receives. For this application, the microcontroller will be the server and you and your computer will be the client. This should be straightforward to implement. (hint: do it byte by byte) diff --git a/src/07-uart/receive-a-single-byte.md b/src/07-uart/receive-a-single-byte.md index dbd2a8451..c6571c82e 100644 --- a/src/07-uart/receive-a-single-byte.md +++ b/src/07-uart/receive-a-single-byte.md @@ -1,7 +1,7 @@ # Receive a single byte -So far we have sending of data from the microcontroller to your computer working. It's time to try the opposite: receiving -data from your computer. Luckily `embedded-hal` again got us covered with this one: +So far we can send data from the microcontroller to your computer. It's time to try the opposite: receiving +data from your computer. Luckily `embedded-hal` has again got us covered with this one: ``` rust #![no_main] diff --git a/src/07-uart/send-a-single-byte.md b/src/07-uart/send-a-single-byte.md index 2b2c5dad2..3a530e264 100644 --- a/src/07-uart/send-a-single-byte.md +++ b/src/07-uart/send-a-single-byte.md @@ -32,9 +32,9 @@ uarte::Uarte::new( ``` This function takes ownership of the UARTE peripheral representation in Rust (`board.UARTE0`) and the TX/RX pins on the board (`board.uart.into()`) so nobody else can mess with either the UARTE peripheral or our pins while -we are using them. After that we pass two configuration options two the constructor: the baudrate (that one should be -familiar) as well as an option called "parity". Parity is a way that allows serial communication lines to check whether -the data they received was corrupted during transmission or not but we don't want to use that here so we simply exclude it. +we are using them. After that we pass two configuration options to the constructor: the baudrate (that one should be +familiar) as well as an option called "parity". Parity is a way to allow serial communication lines to check whether +the data they received was corrupted during transmission. We don't want to use that here so we simply exclude it. Then we wrap it up in the `UartePort` type so we can use it the same way as the micro:bit v1's `serial`. After the initilization, we send our `X` via the newly created uart instance. The `block!` macro here is the `nb::block!` diff --git a/src/08-i2c/read-a-single-register.md b/src/08-i2c/read-a-single-register.md index fcad352aa..f1f8dd283 100644 --- a/src/08-i2c/read-a-single-register.md +++ b/src/08-i2c/read-a-single-register.md @@ -32,7 +32,7 @@ Luckily for us though, they have I2C-compatible ones called TWI (Two Wire Interf and TWIM (depending on which chip you use, just like UART and UARTE). Now if we put the documentation of the [`twi(m)` module] from the `microbit` crate -together will all the other information we have gathered so far we'll end up with this +together with all the other information we have gathered so far we'll end up with this piece of code to read out and print the two device IDs: [`twi(m)` module]: https://docs.rs/microbit-v2/0.10.1/microbit/hal/twim/index.html diff --git a/src/08-i2c/the-general-protocol.md b/src/08-i2c/the-general-protocol.md index a017d2faa..471228b41 100644 --- a/src/08-i2c/the-general-protocol.md +++ b/src/08-i2c/the-general-protocol.md @@ -1,6 +1,6 @@ # General protocol -The I2C protocol is more elaborated than the serial communication protocol because it has to support +The I2C protocol is more elaborate than the serial communication protocol because it has to support communication between several devices. Let's see how it works using examples: ## Master -> Slave diff --git a/src/08-i2c/using-a-driver.md b/src/08-i2c/using-a-driver.md index a0998d9ad..e1665aabb 100644 --- a/src/08-i2c/using-a-driver.md +++ b/src/08-i2c/using-a-driver.md @@ -4,18 +4,18 @@ As we already discussed in chapter 5 `embedded-hal` provides abstractions which can be used to write platform independent code that can interact with hardware. In fact all the methods we have used to interact with hardware in chapter 7 and up until now in chapter 8 were from traits, defined by `embedded-hal`. -Now we'll make actual use of the traits defined by `embedded-hal` provides for the first time. +Now we'll make actual use of the traits `embedded-hal` provides for the first time. -Since it would be pointless to just implement a driver for our LSM303AGR for every platform -embedded Rust supports (and new ones that might eventually pop up) one can write a driver -that simply consume generic types that implement `embedded-hal` traits in order to provide +It would be pointless to implement a driver for our LSM303AGR for every platform +embedded Rust supports (and new ones that might eventually pop up). To avoid this a driver +can be written that consumes generic types that implement `embedded-hal` traits in order to provide a platform agnostic version of a driver. Luckily for us this has already been done in the -[lsm303agr] crate. Hence reading the actual accelerometer and magnetometer values will now -be basically a plug and play experience + reading a bit of documentation. In fact the `crates.io` +[`lsm303agr`] crate. Hence reading the actual accelerometer and magnetometer values will now +be basically a plug and play experience (plus reading a bit of documentation). In fact the `crates.io` page already provides us with everything we need to know in order to read accelerometer data but using a Raspberry Pi. We'll just have to adapt it to our chip: -[lsm303agr]: https://crates.io/crates/lsm303agr +[`lsm303agr`]: https://crates.io/crates/lsm303agr ```rust use linux_embedded_hal::I2cdev; @@ -36,9 +36,9 @@ fn main() { ``` Because we already know how to create an instance of an object that implements -the [embedded_hal::blocking::i2c] traits from the [last page](read-a-single-register.md), this is quite trivial: +the [`embedded_hal::blocking::i2c`] traits from the [previous page](read-a-single-register.md), this is quite trivial: -[embedded_hal::blocking::i2c]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/blocking/i2c/index.html +[`embedded_hal::blocking::i2c`]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/blocking/i2c/index.html ```rust #![deny(unsafe_code)] From 090bab70d8c729ce9b24b60be6763a47de8c24e3 Mon Sep 17 00:00:00 2001 From: Rob Young Date: Sat, 11 Sep 2021 15:24:19 +0100 Subject: [PATCH 267/313] Make terminology parts sub-sections This allows us to deep link to them from other parts of the discovery book. --- src/04-meet-your-hardware/terminology.md | 50 +++++++++++++----------- 1 file changed, 28 insertions(+), 22 deletions(-) diff --git a/src/04-meet-your-hardware/terminology.md b/src/04-meet-your-hardware/terminology.md index 0d657aeca..631519670 100644 --- a/src/04-meet-your-hardware/terminology.md +++ b/src/04-meet-your-hardware/terminology.md @@ -7,28 +7,34 @@ future chapters. For any fully supported microcontroller/board with a microcontroller you will usually hear the following terms being used for their levels of abstraction: -1. The Peripheral Access Crate (PAC), its job is to provide a safe (ish) - direct interface to the peripherals of the chip, allowing you to configure - every last bit however you want (of course also in wrong ways). Usually - you only ever have to deal with the PAC if either the layers that are - higher up don't fulfill your needs or when you are developing them. - The PAC we are (implicitly) going to use is either the one for the [nRF52] - or for the [nRF51]. -2. The Hardware Abstraction Layer (HAL), its job is to build up on top of - the chip's PAC and provide an abstraction that is actually usable for - someone who does not know about all the special behaviour of this chip. - Usually they abstract whole peripherals away into single structs that can - for example be used to send data around via the peripheral. We are - going to use the [nRF52-hal] or the [nRF51-hal] respectively. -3. The Board Support Crate (historically called Board Support Package, or BSP), its job is to abstract a whole board - (such as the micro:bit) away at once. That means it has to provide - abstractions to use both the microcontroller as well als the sensors, - LEDs etc. that might be present on the board. Quite often (especially - with custom made boards) you will just be working with a HAL for the - chip and build the drivers for the sensors either yourself or - search for them on crates.io. Luckily for us though, the micro:bit - does actually have a [BSP] so we are going to use that on top of our - HAL as well. + +### Peripheral Access Crate (PAC) +The job of the PAC is to provide a safe (ish) direct interface to the +peripherals of the chip, allowing you to configure +every last bit however you want (of course also in wrong ways). Usually +you only ever have to deal with the PAC if either the layers that are +higher up don't fulfill your needs or when you are developing them. +The PAC we are (implicitly) going to use is either the one for the [nRF52] +or for the [nRF51]. + +### The Hardware Abstraction Layer (HAL) +The job of the HAL is to build up on top of +the chip's PAC and provide an abstraction that is actually usable for +someone who does not know about all the special behaviour of this chip. +Usually they abstract whole peripherals away into single structs that can +for example be used to send data around via the peripheral. We are +going to use the [nRF52-hal] or the [nRF51-hal] respectively. + +### The Board Support Crate (historically called Board Support Package, or BSP) +The job of the BSP is to abstract a whole board +(such as the micro:bit) away at once. That means it has to provide +abstractions to use both the microcontroller as well als the sensors, +LEDs etc. that might be present on the board. Quite often (especially +with custom made boards) you will just be working with a HAL for the +chip and build the drivers for the sensors either yourself or +search for them on crates.io. Luckily for us though, the micro:bit +does actually have a [BSP] so we are going to use that on top of our +HAL as well. [nrF52]: https://crates.io/crates/nrf52833-pac [nrF51]: https://crates.io/crates/nrf51 From 5a7bc728e659f82a8f29ed8229e0a87988178b8c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 29 Aug 2021 15:45:01 +0200 Subject: [PATCH 268/313] Calibration implementation and the rest of ch09 --- src/09-led-compass/Cargo.toml | 4 +- src/09-led-compass/calibration.md | 123 +++--------- src/09-led-compass/magnitude.md | 90 +++++---- src/09-led-compass/openocd.gdb | 10 - src/09-led-compass/solution-1.md | 50 +++-- src/09-led-compass/solution-2.md | 64 +++---- src/09-led-compass/src/calibration.rs | 259 ++++++++++++++++++++++++++ src/09-led-compass/src/main.rs | 53 +++--- src/09-led-compass/take-1.md | 46 +++-- src/09-led-compass/take-2.md | 45 +++-- src/SUMMARY.md | 2 +- 11 files changed, 462 insertions(+), 284 deletions(-) delete mode 100644 src/09-led-compass/openocd.gdb create mode 100644 src/09-led-compass/src/calibration.rs diff --git a/src/09-led-compass/Cargo.toml b/src/09-led-compass/Cargo.toml index b623d7941..c821b9002 100644 --- a/src/09-led-compass/Cargo.toml +++ b/src/09-led-compass/Cargo.toml @@ -18,11 +18,11 @@ optional = true [dependencies] cortex-m = "0.7.0" cortex-m-rt = "0.6.12" -panic-halt = "0.2.0" rtt-target = { version = "0.2.2", features = ["cortex-m"] } panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } -lsm303agr = "0.2.0" +lsm303agr = "0.2.1" libm = "0.2.1" +embedded-hal = "0.2.6" [features] v2 = ["microbit-v2"] diff --git a/src/09-led-compass/calibration.md b/src/09-led-compass/calibration.md index 1b09027a5..5e7383cf2 100644 --- a/src/09-led-compass/calibration.md +++ b/src/09-led-compass/calibration.md @@ -1,114 +1,37 @@ # Calibration -If we rotate the board, the direction of the Earth's magnetic field with respect to the magnetometer -should change but its magnitude should not! Yet, the magnetometer indicates that the magnitude of -the magnetic field changes as the board rotates. +One very important thing to do before using a sensor and trying to develop +an application using it is verifying that it's output is actually correct. +If this does not happen to be the case we need to calibrate the sensor +(alternatively it could also be broken but that's rather unlikely in this case). -Why's that the case? Turns out the magnetometer needs to be calibrated to return the correct answer. +In my case on two different micro:bit's the magnetometer, without calibration, +was quite a bit off of what it is supposed to measure. Hence for the purposes +of this chapter we will just assume that the sensor has to be calibrated. The calibration involves quite a bit of math (matrices) so we won't cover it here but this -[Application Note] describes the procedure if you are interested. Instead, what we'll do in this -section is *visualize* how off we are. +[Design Note] describes the procedure if you are interested. -[Application Note]: https://www.nxp.com/docs/en/application-note/AN4246.pdf +[Design Note]: https://www.st.com/resource/en/design_tip/dt0103-compensating-for-magnetometer-installation-error-and-hardiron-effects-using-accelerometerassisted-2d-calibration-stmicroelectronics.pdf -Let's try this experiment: Let's record the readings of the magnetometer while we slowly rotate the -board in different directions. We'll use the `iprintln` macro to format the readings as Tab -Separated Values (TSV). +Luckily for us though the group that built the original software for the +micro:bit already implemented a calibration mechanism in C++ over [here]. -``` rust -#![deny(unsafe_code)] -#![no_main] -#![no_std] +[here]: https://github.com/lancaster-university/codal-microbit-v2/blob/006abf5566774fbcf674c0c7df27e8a9d20013de/source/MicroBitCompassCalibrator.cpp -#[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*, I16x3}; - -#[entry] -fn main() -> ! { - let (_leds, mut lsm303dlhc, mut delay, mut itm) = aux15::init(); - - loop { - let I16x3 { x, y, z } = lsm303dlhc.mag().unwrap(); - - iprintln!(&mut itm.stim[0], "{}\t{}\t{}", x, y, z); - - delay.delay_ms(100_u8); - } -} -``` - -You should get an output in the console that looks like this: - -``` console -$ # itmdump console --76 213 -54 --76 213 -54 --76 213 -54 --76 213 -54 --73 213 -55 -``` - -You can pipe that to a file using: - -``` console -$ # Careful! Exit any running other `itmdump` instance that may be running -$ itmdump -F -f itm.txt > emf.txt -``` - -Rotate the board in many different direction while you log data for a several seconds. - -Then import that TSV file into a spreadsheet program (or use the Python script shown below) and plot -the first two columns as a scatter plot. - -``` python -#!/usr/bin/python - -import csv -import math -import matplotlib.pyplot as plt -import numpy as np -import seaborn as sns -import sys - -# apply plot style -sns.set() - -x = [] -y = [] - -with open(sys.argv[1], 'r') as f: - rows = csv.reader(f, delimiter='\t') - - for row in rows: - # discard rows that are missing data - if len(row) != 3 or not row[0] or not row[1]: - continue - - x.append(int(row[0])) - y.append(int(row[1])) - -r = math.ceil(max(max(np.abs(x)), max(np.abs(y))) / 100) * 100 - -plt.plot(x, y, '.') -plt.xlim(-r, r) -plt.ylim(-r, r) -plt.gca().set_aspect(1) -plt.tight_layout() - -plt.savefig('emf.svg') -plt.close -``` +You can find a translation of it to Rust in `src/calibration.rs`. The usage +is demonstrated in the default `src/main.rs` file. The way the calibration +works is illustrated in this video:

      - +

      -If you rotated the board on a flat horizontal surface, the Z component of the magnetic field should -have remained relatively constant and this plot should have been a circumference (not a ellipse) -centered at the origin. If you rotated the board in random directions, which was the case of plot -above, then you should have gotten a circle made of a bunch of points centered at the origin. -Deviations from the circle shape indicate that the magnetometer needs to be calibrated. +You have to basically tilt the micro:bit until all of the LEDs on the LED matrix light up. + +If you do not want to play the game every time you restart your application during development +feel free to modify the `src/main.rs` template to just use the same static calibration +once you got the first one. -Take home message: Don't just trust the reading of a sensor. Verify it's outputting sensible values. -If it's not, then calibrate it. +Now where we got the sensor calibration out of the way let's look into +actually building this application! diff --git a/src/09-led-compass/magnitude.md b/src/09-led-compass/magnitude.md index 2b757efcd..f2929c202 100644 --- a/src/09-led-compass/magnitude.md +++ b/src/09-led-compass/magnitude.md @@ -1,29 +1,18 @@ # Magnitude -We have been working with the direction of the magnetic field but what's its real magnitude? The -number that the `magnetic_field` function reports are unit-less. How can we convert those values to -Gauss? - -The documentation will answer that question. - -> Section 2.1 Sensor characteristics - Page 10 - LSM303DLHC Data Sheet - -The table in that page shows a *magnetic gain setting* that has different values according to the -values of the GN bits. By default, those GN bits are set to `001`. That means that magnetic gain of -the X and Y axes is `1100 LSB / Gauss` and the magnetic gain of the Z axis is `980 LSB / Gauss`. LSB -stands for Least Significant Bits and the `1100 LSB / Gauss` number indicates that a reading of -`1100` is equivalent to `1 Gauss`, a reading of `2200` is equivalent to 2 Gauss and so on. - -So, what we need to do is divide the X, Y and Z values that the sensor outputs by its corresponding -*gain*. Then, we'll have the X, Y and Z components of the magnetic field in Gauss. - -With some extra math we can retrieve the magnitude of the magnetic field from its X, Y and Z -components: +We have been working with the direction of the magnetic field but what's its real magnitude? +According to the documentation about the [`mag_data()`] function the x y z values we are +getting are already in milli-gauss. That means the only thing we have to compute in order +to get the magnitude of the magnetic field is the magnitude of the 3D vector that our x y z +values describe. As you might remember from school this is simply: ``` rust -let magnitude = (x * x + y * y + z * z).sqrt(); +let magnitude = sqrtf(x * x + y * y + z * z); ``` +[`mag_data()`]: https://docs.rs/lsm303agr/0.2.1/lsm303agr/struct.Lsm303agr.html#method.mag_data + + Putting all this together in a program: ``` rust @@ -31,29 +20,58 @@ Putting all this together in a program: #![no_main] #![no_std] -#[allow(unused_imports)] -use aux15::{entry, iprint, iprintln, prelude::*, I16x3}; -use m::Float; +use cortex_m_rt::entry; +use panic_rtt_target as _; +use rtt_target::{rprintln, rtt_init_print}; + +mod calibration; +use crate::calibration::calc_calibration; +use crate::calibration::calibrated_measurement; + +use libm::sqrtf; + +use microbit::{display::blocking::Display, hal::Timer}; + +#[cfg(feature = "v1")] +use microbit::{hal::twi, pac::twi0::frequency::FREQUENCY_A}; + +#[cfg(feature = "v2")] +use microbit::{hal::twim, pac::twim0::frequency::FREQUENCY_A}; + +use lsm303agr::{AccelOutputDataRate, Lsm303agr, MagOutputDataRate}; #[entry] fn main() -> ! { - const XY_GAIN: f32 = 1100.; // LSB / G - const Z_GAIN: f32 = 980.; // LSB / G - - let (_leds, mut lsm303dlhc, mut delay, mut itm) = aux15::init(); + rtt_init_print!(); + let board = microbit::Board::take().unwrap(); - loop { - let I16x3 { x, y, z } = lsm303dlhc.mag().unwrap(); + #[cfg(feature = "v1")] + let i2c = { twi::Twi::new(board.TWI0, board.i2c.into(), FREQUENCY_A::K100) }; - let x = f32::from(x) / XY_GAIN; - let y = f32::from(y) / XY_GAIN; - let z = f32::from(z) / Z_GAIN; + #[cfg(feature = "v2")] + let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; - let mag = (x * x + y * y + z * z).sqrt(); + let mut timer = Timer::new(board.TIMER0); + let mut display = Display::new(board.display_pins); - iprintln!(&mut itm.stim[0], "{} mG", mag * 1_000.); + let mut sensor = Lsm303agr::new_with_i2c(i2c); + sensor.init().unwrap(); + sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + sensor.set_accel_odr(AccelOutputDataRate::Hz10).unwrap(); + let mut sensor = sensor.into_mag_continuous().ok().unwrap(); - delay.delay_ms(500_u16); + let calibration = calc_calibration(&mut sensor, &mut display, &mut timer); + rprintln!("Calibration: {:?}", calibration); + rprintln!("Calibration done, entering busy loop"); + loop { + while !sensor.mag_status().unwrap().xyz_new_data {} + let mut data = sensor.mag_data().unwrap(); + data = calibrated_measurement(data, &calibration); + let x = data.x as f32; + let y = data.y as f32; + let z = data.z as f32; + let magnitude = sqrtf(x * x + y * y + z * z); + rprintln!("{} nT, {} mG", magnitude, magnitude/100.0); } } ``` @@ -61,7 +79,7 @@ fn main() -> ! { This program will report the magnitude (strength) of the magnetic field in milligauss (`mG`). The magnitude of the Earth's magnetic field is in the range of `250 mG` to `650 mG` (the magnitude varies depending on your geographical location) so you should see a value in that range or close to -that range -- I see a magnitude of around 210 mG. +that range -- I see a magnitude of around 340 mG. Some questions: diff --git a/src/09-led-compass/openocd.gdb b/src/09-led-compass/openocd.gdb deleted file mode 100644 index cafe49b1b..000000000 --- a/src/09-led-compass/openocd.gdb +++ /dev/null @@ -1,10 +0,0 @@ -target remote :3333 -set print asm-demangle on -set print pretty on -monitor tpiu config internal itm.txt uart off 8000000 -monitor itm port 0 on -load -break DefaultHandler -break UserHardFault -break main -continue diff --git a/src/09-led-compass/solution-1.md b/src/09-led-compass/solution-1.md index 0f7e40b23..45e543c70 100644 --- a/src/09-led-compass/solution-1.md +++ b/src/09-led-compass/solution-1.md @@ -6,33 +6,26 @@ #![no_std] use cortex_m_rt::entry; -use rtt_target::rtt_init_print; -use rtt_target::rprintln; use panic_rtt_target as _; +use rtt_target::{rprintln, rtt_init_print}; -use microbit::{ - display::blocking::Display, - hal::Timer, -}; +mod calibration; +use crate::calibration::calc_calibration; +use crate::calibration::calibrated_measurement; + +mod led; +use crate::led::Direction; +use crate::led::direction_to_led; + +use microbit::{display::blocking::Display, hal::Timer}; #[cfg(feature = "v1")] -use microbit::{ - hal::twi, - pac::twi0::frequency::FREQUENCY_A, -}; +use microbit::{hal::twi, pac::twi0::frequency::FREQUENCY_A}; #[cfg(feature = "v2")] -use microbit::{ - hal::twim, - pac::twim0::frequency::FREQUENCY_A, -}; +use microbit::{hal::twim, pac::twim0::frequency::FREQUENCY_A}; -use lsm303agr::{ - MagOutputDataRate, Lsm303agr, -}; - -mod led; -use led::{Direction, direction_to_led}; +use lsm303agr::{AccelOutputDataRate, Lsm303agr, MagOutputDataRate}; #[entry] fn main() -> ! { @@ -51,21 +44,26 @@ fn main() -> ! { let mut sensor = Lsm303agr::new_with_i2c(i2c); sensor.init().unwrap(); sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + sensor.set_accel_odr(AccelOutputDataRate::Hz10).unwrap(); let mut sensor = sensor.into_mag_continuous().ok().unwrap(); + let calibration = calc_calibration(&mut sensor, &mut display, &mut timer); + rprintln!("Calibration: {:?}", calibration); + rprintln!("Calibration done, entering busy loop"); loop { - while !sensor.mag_status().unwrap().xyz_new_data {} - let data = sensor.mag_data().unwrap(); + while !sensor.mag_status().unwrap().xyz_new_data {} + let mut data = sensor.mag_data().unwrap(); + data = calibrated_measurement(data, &calibration); let dir = match (data.x > 0, data.y > 0) { // Quadrant I - (true, true) => Direction::SouthEast, + (true, true) => Direction::NorthEast, // Quadrant II - (false, true) => Direction::SouthWest, + (false, true) => Direction::NorthWest, // Quadrant III - (false, false) => Direction::NorthWest, + (false, false) => Direction::SouthWest, // Quadrant IV - (true, false) => Direction::NorthEast, + (true, false) => Direction::SouthEast, }; // use the led module to turn the direction into an LED arrow diff --git a/src/09-led-compass/solution-2.md b/src/09-led-compass/solution-2.md index a2b9b4bb4..243910e68 100644 --- a/src/09-led-compass/solution-2.md +++ b/src/09-led-compass/solution-2.md @@ -6,37 +6,30 @@ #![no_std] use cortex_m_rt::entry; -use rtt_target::rtt_init_print; -use rtt_target::rprintln; use panic_rtt_target as _; +use rtt_target::{rprintln, rtt_init_print}; + +mod calibration; +use crate::calibration::calc_calibration; +use crate::calibration::calibrated_measurement; + +mod led; +use crate::led::Direction; +use crate::led::direction_to_led; // You'll find this useful ;-) use core::f32::consts::PI; use libm::atan2f; -use microbit::{ - display::blocking::Display, - hal::Timer, -}; +use microbit::{display::blocking::Display, hal::Timer}; #[cfg(feature = "v1")] -use microbit::{ - hal::twi, - pac::twi0::frequency::FREQUENCY_A, -}; +use microbit::{hal::twi, pac::twi0::frequency::FREQUENCY_A}; #[cfg(feature = "v2")] -use microbit::{ - hal::twim, - pac::twim0::frequency::FREQUENCY_A, -}; +use microbit::{hal::twim, pac::twim0::frequency::FREQUENCY_A}; -use lsm303agr::{ - MagOutputDataRate, Lsm303agr, -}; - -mod led; -use led::{Direction, direction_to_led}; +use lsm303agr::{AccelOutputDataRate, Lsm303agr, MagOutputDataRate}; #[entry] fn main() -> ! { @@ -55,38 +48,41 @@ fn main() -> ! { let mut sensor = Lsm303agr::new_with_i2c(i2c); sensor.init().unwrap(); sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + sensor.set_accel_odr(AccelOutputDataRate::Hz10).unwrap(); let mut sensor = sensor.into_mag_continuous().ok().unwrap(); + let calibration = calc_calibration(&mut sensor, &mut display, &mut timer); + rprintln!("Calibration: {:?}", calibration); + rprintln!("Calibration done, entering busy loop"); loop { - while !sensor.mag_status().unwrap().xyz_new_data {} - let data = sensor.mag_data().unwrap(); + while !sensor.mag_status().unwrap().xyz_new_data {} + let mut data = sensor.mag_data().unwrap(); + data = calibrated_measurement(data, &calibration); // use libm's atan2f since this isn't in core yet - let theta = atan2f(data.y as f32, data.x as f32); + let theta = atan2f(data.x as f32, data.y as f32); // Figure out the direction based on theta let dir = if theta < -7. * PI / 8. { - Direction::West + Direction::South } else if theta < -5. * PI / 8. { - Direction::NorthWest + Direction::SouthWest } else if theta < -3. * PI / 8. { - Direction::North + Direction::West } else if theta < -PI / 8. { - Direction::NorthEast + Direction::NorthWest } else if theta < PI / 8. { - Direction::East + Direction::North } else if theta < 3. * PI / 8. { - Direction::SouthEast + Direction::NorthEast } else if theta < 5. * PI / 8. { - Direction::South + Direction::East } else if theta < 7. * PI / 8. { - Direction::SouthWest + Direction::SouthEast } else { - Direction::West + Direction::South }; - rprintln!("x: {}, y: {}, dir: {:?}", data.x, data.y, dir); - display.show(&mut timer, direction_to_led(dir), 100); } } diff --git a/src/09-led-compass/src/calibration.rs b/src/09-led-compass/src/calibration.rs new file mode 100644 index 000000000..b33faac76 --- /dev/null +++ b/src/09-led-compass/src/calibration.rs @@ -0,0 +1,259 @@ +//! Translated from https://github.com/lancaster-university/codal-microbit-v2/blob/006abf5566774fbcf674c0c7df27e8a9d20013de/source/MicroBitCompassCalibrator.cpp + +use core::fmt::Debug; +use embedded_hal::blocking::delay::DelayUs; +use embedded_hal::blocking::i2c::{Write, WriteRead}; +use libm::{fabsf, sqrtf}; +use lsm303agr::interface::I2cInterface; +use lsm303agr::mode::MagContinuous; +use lsm303agr::Lsm303agr; +use lsm303agr::Measurement; +use microbit::display::blocking::Display; + +const PERIMETER_POINTS: usize = 25; +const PIXEL1_THRESHOLD: i32 = 200; +const PIXEL2_THRESHOLD: i32 = 600; +const CALIBRATION_INCREMENT: i32 = 200; + +#[derive(Debug)] +pub struct Calibration { + center: Measurement, + scale: Measurement, + radius: u32, +} + +impl Default for Calibration { + fn default() -> Calibration { + Calibration { + center: Measurement { x: 0, y: 0, z: 0 }, + scale: Measurement { + x: 1024, + y: 1024, + z: 1024, + }, + radius: 0, + } + } +} + +pub fn calc_calibration( + sensor: &mut Lsm303agr, MagContinuous>, + display: &mut Display, + timer: &mut T, +) -> Calibration +where + T: DelayUs, + I: Write + WriteRead, + E: Debug, +{ + let data = get_data(sensor, display, timer); + return calibrate(&data); +} + +fn get_data( + sensor: &mut Lsm303agr, MagContinuous>, + display: &mut Display, + timer: &mut T, +) -> [Measurement; 25] +where + T: DelayUs, + I: Write + WriteRead, + E: Debug, +{ + let mut leds = [ + [0, 0, 0, 0, 0], + [0, 0, 0, 0, 0], + [0, 0, 0, 0, 0], + [0, 0, 0, 0, 0], + [0, 0, 0, 0, 0], + ]; + let mut cursor = (2, 2); + let mut data = [Measurement { x: 0, y: 0, z: 0 }; PERIMETER_POINTS]; + let mut samples = 0; + + while samples < PERIMETER_POINTS { + while !sensor.accel_status().unwrap().xyz_new_data {} + let accel_data = sensor.accel_data().unwrap(); + let x = accel_data.x; + let y = accel_data.y; + if x < -PIXEL2_THRESHOLD { + cursor.1 = 0; + } else if x < -PIXEL1_THRESHOLD { + cursor.1 = 1; + } else if x > PIXEL2_THRESHOLD { + cursor.1 = 4; + } else if x > PIXEL1_THRESHOLD { + cursor.1 = 3; + } else { + cursor.1 = 2; + } + + if y < -PIXEL2_THRESHOLD { + cursor.0 = 0; + } else if y < -PIXEL1_THRESHOLD { + cursor.0 = 1; + } else if y > PIXEL2_THRESHOLD { + cursor.0 = 4; + } else if y > PIXEL1_THRESHOLD { + cursor.0 = 3; + } else { + cursor.0 = 2; + } + + // Turn the y axis properly + cursor.0 = 4 - cursor.0; + + if leds[cursor.0][cursor.1] != 1 { + leds[cursor.0][cursor.1] = 1; + while !sensor.mag_status().unwrap().xyz_new_data {} + let mag_data = measurement_to_enu(sensor.mag_data().unwrap()); + data[samples] = mag_data; + samples += 1; + } + display.show(timer, leds, 200); + } + return data; +} + +fn difference_square(a: Measurement, b: Measurement) -> f32 { + let dx = (a.x - b.x) as f32; + let dy = (a.y - b.y) as f32; + let dz = (a.z - b.z) as f32; + + (dx * dx) + (dy * dy) + (dz * dz) +} + +fn measure_score(center: Measurement, data: &[Measurement]) -> f32 { + let mut min_d = difference_square(center, data[0]); + let mut max_d = min_d; + + for point in data[1..].iter() { + let d = difference_square(center, *point); + if d < min_d { + min_d = d; + } + + if d > max_d { + max_d = d; + } + } + + max_d - min_d +} + +fn calibrate(data: &[Measurement]) -> Calibration { + // Approximate a center for the data + let mut center = Measurement { x: 0, y: 0, z: 0 }; + let mut best = center; + + for point in data { + center.x += point.x; + center.y += point.y; + center.z += point.z; + } + + center.x = center.x / data.len() as i32; + center.y = center.y / data.len() as i32; + center.z = center.z / data.len() as i32; + + let mut current = center; + let mut score = measure_score(current, data); + + // Calculate a fixpoint position + loop { + for x in [-CALIBRATION_INCREMENT, 0, CALIBRATION_INCREMENT] { + for y in [-CALIBRATION_INCREMENT, 0, CALIBRATION_INCREMENT] { + for z in [-CALIBRATION_INCREMENT, 0, CALIBRATION_INCREMENT] { + let mut attempt = current; + attempt.x += x; + attempt.y += y; + attempt.z += z; + + let attempt_score = measure_score(attempt, data); + if attempt_score < score { + score = attempt_score; + best = attempt; + } + } + } + } + + if best == current { + break; + } + + current = best; + } + + spherify(current, data) +} + +fn spherify(center: Measurement, data: &[Measurement]) -> Calibration { + let mut radius = 0; + for point in data { + let d = sqrtf(difference_square(center, *point)) as u32; + if d > radius { + radius = d; + } + } + + let mut scale: f32 = 0.0; + let mut weight_x = 0.0; + let mut weight_y = 0.0; + let mut weight_z = 0.0; + + for point in data { + let d = sqrtf(difference_square(center, *point)); + let s = (radius as f32 / d) - 1.0; + scale = scale.max(s); + + let dx = point.x - center.x; + let dy = point.y - center.y; + let dz = point.z - center.z; + + weight_x += s * fabsf(dx as f32 / d); + weight_y += s * fabsf(dy as f32 / d); + weight_z += s * fabsf(dz as f32 / d); + } + + let wmag = sqrtf((weight_x * weight_x) + (weight_y * weight_y) + (weight_z * weight_z)); + let scale_x = 1.0 + scale * (weight_x / wmag); + let scale_y = 1.0 + scale * (weight_y / wmag); + let scale_z = 1.0 + scale * (weight_z / wmag); + + Calibration { + center, + radius, + scale: Measurement { + x: (1024.0 * scale_x) as i32, + y: (1024.0 * scale_y) as i32, + z: (1024.0 * scale_z) as i32, + }, + } +} + +pub fn calibrated_measurement(measurement: Measurement, calibration: &Calibration) -> Measurement { + let mut out = measurement_to_enu(measurement); + out = Measurement { + x: ((out.x - calibration.center.x) * calibration.scale.x) >> 10, + y: ((out.y - calibration.center.y) * calibration.scale.y) >> 10, + z: ((out.z - calibration.center.z) * calibration.scale.z) >> 10, + }; + enu_to_cartesian(out) +} + +fn measurement_to_enu(measurement: Measurement) -> Measurement { + Measurement { + x: -measurement.y, + y: -measurement.x, + z: measurement.z, + } +} + +fn enu_to_cartesian(measurement: Measurement) -> Measurement { + Measurement { + x: -measurement.y, + y: measurement.x, + z: measurement.z, + } +} diff --git a/src/09-led-compass/src/main.rs b/src/09-led-compass/src/main.rs index ab544c394..944e66461 100644 --- a/src/09-led-compass/src/main.rs +++ b/src/09-led-compass/src/main.rs @@ -3,27 +3,22 @@ #![no_std] use cortex_m_rt::entry; -use rtt_target::rtt_init_print; use panic_rtt_target as _; +use rtt_target::{rprintln, rtt_init_print}; + +mod calibration; +use crate::calibration::calc_calibration; +use crate::calibration::calibrated_measurement; + +use microbit::{display::blocking::Display, hal::Timer}; #[cfg(feature = "v1")] -use microbit::{ - hal::twi, - pac::twi0::frequency::FREQUENCY_A, -}; +use microbit::{hal::twi, pac::twi0::frequency::FREQUENCY_A}; #[cfg(feature = "v2")] -use microbit::{ - hal::twim, - pac::twim0::frequency::FREQUENCY_A, -}; +use microbit::{hal::twim, pac::twim0::frequency::FREQUENCY_A}; -use lsm303agr::{ - MagOutputDataRate, Lsm303agr, -}; - -mod led; -use led::Direction; +use lsm303agr::{AccelOutputDataRate, Lsm303agr, MagOutputDataRate}; #[entry] fn main() -> ! { @@ -36,28 +31,22 @@ fn main() -> ! { #[cfg(feature = "v2")] let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; + let mut timer = Timer::new(board.TIMER0); + let mut display = Display::new(board.display_pins); + let mut sensor = Lsm303agr::new_with_i2c(i2c); sensor.init().unwrap(); sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + sensor.set_accel_odr(AccelOutputDataRate::Hz10).unwrap(); let mut sensor = sensor.into_mag_continuous().ok().unwrap(); + let calibration = calc_calibration(&mut sensor, &mut display, &mut timer); + rprintln!("Calibration: {:?}", calibration); + rprintln!("Calibration done, entering busy loop"); loop { - while !sensor.mag_status().unwrap().xyz_new_data {} - let data = sensor.mag_data().unwrap(); - - let dir = match (data.x > 0, data.y > 0) { - // Quadrant ??? - (true, true) => Direction::NorthEast, - // Quadrant ??? - (false, true) => panic!("TODO"), - // Quadrant ??? - (false, false) => panic!("TODO"), - // Quadrant ??? - (true, false) => panic!("TODO"), - }; - - // use the led module to turn the direction into an LED arrow - // and the led display functions from chapter 5 to display the - // arrow + while !sensor.mag_status().unwrap().xyz_new_data {} + let mut data = sensor.mag_data().unwrap(); + data = calibrated_measurement(data, &calibration); + rprintln!("x: {}, y: {}, z: {}", data.x, data.y, data.z); } } diff --git a/src/09-led-compass/take-1.md b/src/09-led-compass/take-1.md index 2888c6e49..b97423e84 100644 --- a/src/09-led-compass/take-1.md +++ b/src/09-led-compass/take-1.md @@ -14,40 +14,38 @@ magnetic field belongs to. Now the question of course is which direction (north, do the 4 quadrants represent. In order to figure this out we can just rotate the micro:bit and observe how the quadrant changes whenever we point in another direction. -After experimenting a bit we can find out that if we point the micro:bit in e.g. south east direction, +After experimenting a bit we can find out that if we point the micro:bit in e.g. north east direction, both the X and the Y component are always positive. Based on this information you should be able to figure out which direction the other quadrants represent. Once you figured out the relation between quadrant and direction you should be able to complete the template from below. -``` rust +```rust #![deny(unsafe_code)] #![no_main] #![no_std] use cortex_m_rt::entry; -use rtt_target::rtt_init_print; use panic_rtt_target as _; +use rtt_target::{rprintln, rtt_init_print}; + +mod calibration; +use crate::calibration::calc_calibration; +use crate::calibration::calibrated_measurement; + +mod led; +use led::Direction; + +use microbit::{display::blocking::Display, hal::Timer}; #[cfg(feature = "v1")] -use microbit::{ - hal::twi, - pac::twi0::frequency::FREQUENCY_A, -}; +use microbit::{hal::twi, pac::twi0::frequency::FREQUENCY_A}; #[cfg(feature = "v2")] -use microbit::{ - hal::twim, - pac::twim0::frequency::FREQUENCY_A, -}; +use microbit::{hal::twim, pac::twim0::frequency::FREQUENCY_A}; -use lsm303agr::{ - MagOutputDataRate, Lsm303agr, -}; - -mod led; -use led::Direction; +use lsm303agr::{AccelOutputDataRate, Lsm303agr, MagOutputDataRate}; #[entry] fn main() -> ! { @@ -60,14 +58,22 @@ fn main() -> ! { #[cfg(feature = "v2")] let i2c = { twim::Twim::new(board.TWIM0, board.i2c_internal.into(), FREQUENCY_A::K100) }; + let mut timer = Timer::new(board.TIMER0); + let mut display = Display::new(board.display_pins); + let mut sensor = Lsm303agr::new_with_i2c(i2c); sensor.init().unwrap(); sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + sensor.set_accel_odr(AccelOutputDataRate::Hz10).unwrap(); let mut sensor = sensor.into_mag_continuous().ok().unwrap(); + let calibration = calc_calibration(&mut sensor, &mut display, &mut timer); + rprintln!("Calibration: {:?}", calibration); + rprintln!("Calibration done, entering busy loop"); loop { - while !sensor.mag_status().unwrap().xyz_new_data {} - let data = sensor.mag_data().unwrap(); + while !sensor.mag_status().unwrap().xyz_new_data {} + let mut data = sensor.mag_data().unwrap(); + data = calibrated_measurement(data, &calibration); let dir = match (data.x > 0, data.y > 0) { // Quadrant ??? @@ -85,4 +91,4 @@ fn main() -> ! { // arrow } } -``` \ No newline at end of file +``` diff --git a/src/09-led-compass/take-2.md b/src/09-led-compass/take-2.md index e759bfb05..87f07ddf0 100644 --- a/src/09-led-compass/take-2.md +++ b/src/09-led-compass/take-2.md @@ -15,42 +15,36 @@ Although not explicitly shown in this graph the X axis points to the right and t Here's the starter code. `theta`, in radians, has already been computed. You need to pick which LED to turn on based on the value of `theta`. -``` rust +```rs #![deny(unsafe_code)] #![no_main] #![no_std] use cortex_m_rt::entry; -use rtt_target::rtt_init_print; use panic_rtt_target as _; +use rtt_target::{rprintln, rtt_init_print}; + +mod calibration; +use crate::calibration::calc_calibration; +use crate::calibration::calibrated_measurement; + +mod led; +use crate::led::Direction; +use crate::led::direction_to_led; // You'll find this useful ;-) use core::f32::consts::PI; use libm::atan2f; -use microbit::{ - display::blocking::Display, - hal::Timer, -}; +use microbit::{display::blocking::Display, hal::Timer}; #[cfg(feature = "v1")] -use microbit::{ - hal::twi, - pac::twi0::frequency::FREQUENCY_A, -}; +use microbit::{hal::twi, pac::twi0::frequency::FREQUENCY_A}; #[cfg(feature = "v2")] -use microbit::{ - hal::twim, - pac::twim0::frequency::FREQUENCY_A, -}; +use microbit::{hal::twim, pac::twim0::frequency::FREQUENCY_A}; -use lsm303agr::{ - MagOutputDataRate, Lsm303agr, -}; - -mod led; -use led::{Direction, direction_to_led}; +use lsm303agr::{AccelOutputDataRate, Lsm303agr, MagOutputDataRate}; #[entry] fn main() -> ! { @@ -69,14 +63,19 @@ fn main() -> ! { let mut sensor = Lsm303agr::new_with_i2c(i2c); sensor.init().unwrap(); sensor.set_mag_odr(MagOutputDataRate::Hz10).unwrap(); + sensor.set_accel_odr(AccelOutputDataRate::Hz10).unwrap(); let mut sensor = sensor.into_mag_continuous().ok().unwrap(); + let calibration = calc_calibration(&mut sensor, &mut display, &mut timer); + rprintln!("Calibration: {:?}", calibration); + rprintln!("Calibration done, entering busy loop"); loop { - while !sensor.mag_status().unwrap().xyz_new_data {} - let data = sensor.mag_data().unwrap(); + while !sensor.mag_status().unwrap().xyz_new_data {} + let mut data = sensor.mag_data().unwrap(); + data = calibrated_measurement(data, &calibration); // use libm's atan2f since this isn't in core yet - let theta = atan2f(data.y as f32, data.x as f32); + let theta = atan2f(data.x as f32, data.y as f32); // Figure out the direction based on theta let dir = Direction::NorthEast; diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 877769444..41702b06a 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -37,12 +37,12 @@ - [The challenge](08-i2c/the-challenge.md) - [My solution](08-i2c/my-solution.md) - [LED compass](09-led-compass/README.md) + - [Calibration](09-led-compass/calibration.md) - [Take 1](09-led-compass/take-1.md) - [Solution 1](09-led-compass/solution-1.md) - [Take 2](09-led-compass/take-2.md) - [Solution 2](09-led-compass/solution-2.md) - [Magnitude](09-led-compass/magnitude.md) - - [Calibration](09-led-compass/calibration.md) - [Punch-o-meter](16-punch-o-meter/README.md) - [Gravity is up?](16-punch-o-meter/gravity-is-up.md) - [The challenge](16-punch-o-meter/the-challenge.md) From 26b3583d22d7c24dcac6a3370fba70e6f6684cd8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Mon, 13 Sep 2021 13:55:04 +0200 Subject: [PATCH 269/313] Update src/09-led-compass/magnitude.md Co-authored-by: Diego Barrios Romero --- src/09-led-compass/magnitude.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/09-led-compass/magnitude.md b/src/09-led-compass/magnitude.md index f2929c202..c30c160c3 100644 --- a/src/09-led-compass/magnitude.md +++ b/src/09-led-compass/magnitude.md @@ -1,9 +1,9 @@ # Magnitude We have been working with the direction of the magnetic field but what's its real magnitude? -According to the documentation about the [`mag_data()`] function the x y z values we are +According to the documentation about the [`mag_data()`] function the `x` `y` `z` values we are getting are already in milli-gauss. That means the only thing we have to compute in order -to get the magnitude of the magnetic field is the magnitude of the 3D vector that our x y z +to get the magnitude of the magnetic field is the magnitude of the 3D vector that our `x` `y` `z` values describe. As you might remember from school this is simply: ``` rust From 023318a198d4c1bd809d538aa7646c5d3670eca8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Mon, 13 Sep 2021 14:06:43 +0200 Subject: [PATCH 270/313] Add note about libm sqrtf function. --- src/09-led-compass/magnitude.md | 3 +++ 1 file changed, 3 insertions(+) diff --git a/src/09-led-compass/magnitude.md b/src/09-led-compass/magnitude.md index c30c160c3..69837465b 100644 --- a/src/09-led-compass/magnitude.md +++ b/src/09-led-compass/magnitude.md @@ -7,6 +7,9 @@ to get the magnitude of the magnetic field is the magnitude of the 3D vector tha values describe. As you might remember from school this is simply: ``` rust +// core doesn't have this function yet so we use libm, just like with +// atan2f from before. +use libm::sqrtf; let magnitude = sqrtf(x * x + y * y + z * z); ``` From 3d99ca6b8be58aa0b0b822de704df09bfec932d0 Mon Sep 17 00:00:00 2001 From: Stephen Kaiser Date: Tue, 14 Sep 2021 00:09:49 -0700 Subject: [PATCH 271/313] Fix minor typo in Ch 6 documentation --- src/06-hello-world/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index 1ebbbb33e..cfef9051a 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -113,7 +113,7 @@ hello_world::__cortex_m_rt_main () at ~/embedded-discovery/src/06-hello-world/sr (gdb) ``` -Now issue a `next` command which will exectue `aux6::init()` and +Now issue a `next` command which will execute `aux6::init()` and stop at the next executable statement in `main.rs`, which positions us at line 12: From 757d2fba548eb06112d6f03d6504c1a74974ae2d Mon Sep 17 00:00:00 2001 From: Stephen Kaiser Date: Thu, 16 Sep 2021 23:21:50 -0700 Subject: [PATCH 272/313] Fix typo in Chapter 8 text --- src/08-leds-again/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/08-leds-again/README.md b/src/08-leds-again/README.md index 89acde05e..4c51bbfce 100644 --- a/src/08-leds-again/README.md +++ b/src/08-leds-again/README.md @@ -5,7 +5,7 @@ In the last section, I gave you *initialized* (configured) peripherals (I initia are not *initialized* right after the microcontroller boots. In this section, you'll have more fun with registers. I won't do any initialization and you'll have -to initialize configure `GPIOE` pins as digital outputs pins so that you'll be able to drive LEDs +to initialize and configure `GPIOE` pins as digital outputs pins so that you'll be able to drive LEDs again. This is the starter code. From d92317cba019897d86ab2c62e93f565d278ac986 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 19 Sep 2021 22:53:15 +0200 Subject: [PATCH 273/313] Clarify the units --- src/09-led-compass/magnitude.md | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/src/09-led-compass/magnitude.md b/src/09-led-compass/magnitude.md index 69837465b..07a7a9a4b 100644 --- a/src/09-led-compass/magnitude.md +++ b/src/09-led-compass/magnitude.md @@ -2,8 +2,8 @@ We have been working with the direction of the magnetic field but what's its real magnitude? According to the documentation about the [`mag_data()`] function the `x` `y` `z` values we are -getting are already in milli-gauss. That means the only thing we have to compute in order -to get the magnitude of the magnetic field is the magnitude of the 3D vector that our `x` `y` `z` +getting are in nanotesla. That means the only thing we have to compute in order to get the +magnitude of the magnetic field in nanotesla is the magnitude of the 3D vector that our `x` `y` `z` values describe. As you might remember from school this is simply: ``` rust @@ -79,10 +79,10 @@ fn main() -> ! { } ``` -This program will report the magnitude (strength) of the magnetic field in milligauss (`mG`). The +This program will report the magnitude (strength) of the magnetic field in nanotesla (`nT`) and milligauss (`mG`). The magnitude of the Earth's magnetic field is in the range of `250 mG` to `650 mG` (the magnitude varies depending on your geographical location) so you should see a value in that range or close to -that range -- I see a magnitude of around 340 mG. +that range -- I see a magnitude of around `340 mG`. Some questions: From 339116862a41b78b13bc26296fdd2f6acc1ba180 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 19 Sep 2021 23:01:28 +0200 Subject: [PATCH 274/313] update the git microbit versions to 0.11 --- src/05-led-roulette/Cargo.toml | 4 ++-- src/07-uart/Cargo.toml | 4 ++-- src/08-i2c/Cargo.toml | 4 ++-- src/09-led-compass/Cargo.toml | 4 ++-- src/10-punch-o-meter/Cargo.toml | 4 ++-- 5 files changed, 10 insertions(+), 10 deletions(-) diff --git a/src/05-led-roulette/Cargo.toml b/src/05-led-roulette/Cargo.toml index 0dedefeae..2e66fc0ea 100644 --- a/src/05-led-roulette/Cargo.toml +++ b/src/05-led-roulette/Cargo.toml @@ -5,13 +5,13 @@ authors = ["Henrik Böving "] edition = "2018" [dependencies.microbit-v2] -version = "0.10.1" +version = "0.11.0" git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] -version = "0.10.1" +version = "0.11.0" git = "https://github.com/nrf-rs/microbit/" optional = true diff --git a/src/07-uart/Cargo.toml b/src/07-uart/Cargo.toml index 42c3faaae..0db57a60f 100644 --- a/src/07-uart/Cargo.toml +++ b/src/07-uart/Cargo.toml @@ -5,13 +5,13 @@ authors = ["Henrik Böving "] edition = "2018" [dependencies.microbit-v2] -version = "0.10.1" +version = "0.11.0" git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] -version = "0.10.1" +version = "0.11.0" git = "https://github.com/nrf-rs/microbit/" optional = true diff --git a/src/08-i2c/Cargo.toml b/src/08-i2c/Cargo.toml index 4cb830d10..54b0ff6f5 100644 --- a/src/08-i2c/Cargo.toml +++ b/src/08-i2c/Cargo.toml @@ -5,13 +5,13 @@ name = "i2c" version = "0.1.0" [dependencies.microbit-v2] -version = "0.10.1" +version = "0.11.0" git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] -version = "0.10.1" +version = "0.11.0" git = "https://github.com/nrf-rs/microbit/" optional = true diff --git a/src/09-led-compass/Cargo.toml b/src/09-led-compass/Cargo.toml index c821b9002..ab28082c4 100644 --- a/src/09-led-compass/Cargo.toml +++ b/src/09-led-compass/Cargo.toml @@ -5,13 +5,13 @@ authors = ["Henrik Böving "] edition = "2018" [dependencies.microbit-v2] -version = "0.10.1" +version = "0.11.0" git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] -version = "0.10.1" +version = "0.11.0" git = "https://github.com/nrf-rs/microbit/" optional = true diff --git a/src/10-punch-o-meter/Cargo.toml b/src/10-punch-o-meter/Cargo.toml index 3f67c6e1a..6b77b48ca 100644 --- a/src/10-punch-o-meter/Cargo.toml +++ b/src/10-punch-o-meter/Cargo.toml @@ -5,13 +5,13 @@ authors = ["Henrik Böving "] edition = "2018" [dependencies.microbit-v2] -version = "0.10.1" +version = "0.11.0" git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] -version = "0.10.1" +version = "0.11.0" git = "https://github.com/nrf-rs/microbit/" optional = true From 8b043924f6752012fa0053c57d896c7a9be4c139 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Tue, 21 Sep 2021 23:10:41 +0200 Subject: [PATCH 275/313] Update the lsm303agr version --- src/09-led-compass/Cargo.toml | 2 +- src/09-led-compass/magnitude.md | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/src/09-led-compass/Cargo.toml b/src/09-led-compass/Cargo.toml index ab28082c4..92e339f46 100644 --- a/src/09-led-compass/Cargo.toml +++ b/src/09-led-compass/Cargo.toml @@ -20,7 +20,7 @@ cortex-m = "0.7.0" cortex-m-rt = "0.6.12" rtt-target = { version = "0.2.2", features = ["cortex-m"] } panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } -lsm303agr = "0.2.1" +lsm303agr = "0.2.2" libm = "0.2.1" embedded-hal = "0.2.6" diff --git a/src/09-led-compass/magnitude.md b/src/09-led-compass/magnitude.md index 07a7a9a4b..ffa8b7796 100644 --- a/src/09-led-compass/magnitude.md +++ b/src/09-led-compass/magnitude.md @@ -13,7 +13,7 @@ use libm::sqrtf; let magnitude = sqrtf(x * x + y * y + z * z); ``` -[`mag_data()`]: https://docs.rs/lsm303agr/0.2.1/lsm303agr/struct.Lsm303agr.html#method.mag_data +[`mag_data()`]: https://docs.rs/lsm303agr/0.2.2/lsm303agr/struct.Lsm303agr.html#method.mag_data Putting all this together in a program: From 00d51c1e69c06bb67ded5a97d1d8c3c60bb114d4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Mon, 27 Sep 2021 11:33:49 +0200 Subject: [PATCH 276/313] Update dependencies and remove unused ones --- src/03-setup/Cargo.toml | 8 ++++---- src/05-led-roulette/Cargo.toml | 8 ++++---- src/07-uart/Cargo.toml | 9 ++++----- src/08-i2c/Cargo.toml | 13 ++++++------- src/09-led-compass/Cargo.toml | 8 ++++---- src/10-punch-o-meter/Cargo.toml | 10 +++++----- 6 files changed, 27 insertions(+), 29 deletions(-) diff --git a/src/03-setup/Cargo.toml b/src/03-setup/Cargo.toml index e16d2ad78..45466cf5b 100644 --- a/src/03-setup/Cargo.toml +++ b/src/03-setup/Cargo.toml @@ -5,7 +5,7 @@ authors = ["Henrik Böving "] edition = "2018" [dependencies] -cortex-m = "0.6.0" -cortex-m-rt = "0.6.10" -panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } -rtt-target = { version = "0.2.2", features = ["cortex-m"] } +cortex-m = "0.7.3" +cortex-m-rt = "0.7.0" +rtt-target = { version = "0.3.1", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.2", features = ["cortex-m"] } diff --git a/src/05-led-roulette/Cargo.toml b/src/05-led-roulette/Cargo.toml index 2e66fc0ea..4e064d2e2 100644 --- a/src/05-led-roulette/Cargo.toml +++ b/src/05-led-roulette/Cargo.toml @@ -16,11 +16,11 @@ git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies] -cortex-m = "0.6.0" -cortex-m-rt = "0.6.10" +cortex-m = "0.7.3" +cortex-m-rt = "0.7.0" panic-halt = "0.2.0" -rtt-target = { version = "0.2.2", features = ["cortex-m"] } -panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } +rtt-target = { version = "0.3.1", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.2", features = ["cortex-m"] } [features] v2 = ["microbit-v2"] diff --git a/src/07-uart/Cargo.toml b/src/07-uart/Cargo.toml index 0db57a60f..0bd1278bf 100644 --- a/src/07-uart/Cargo.toml +++ b/src/07-uart/Cargo.toml @@ -17,12 +17,11 @@ optional = true [dependencies] cortex-m = "0.7.3" -cortex-m-rt = "0.6.12" -panic-halt = "0.2.0" -rtt-target = { version = "0.2.2", features = ["cortex-m"] } -panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } +cortex-m-rt = "0.7.0" +rtt-target = { version = "0.3.1", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.2", features = ["cortex-m"] } nb = "1.0.0" -heapless = "0.5.6" +heapless = "0.7.7" embedded-hal = "0.2.6" [features] diff --git a/src/08-i2c/Cargo.toml b/src/08-i2c/Cargo.toml index 54b0ff6f5..e895b263e 100644 --- a/src/08-i2c/Cargo.toml +++ b/src/08-i2c/Cargo.toml @@ -16,14 +16,13 @@ git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies] -cortex-m = "0.6.0" -cortex-m-rt = "0.6.10" -panic-halt = "0.2.0" -rtt-target = { version = "0.2.2", features = ["cortex-m"] } -panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } +cortex-m = "0.7.3" +cortex-m-rt = "0.7.0" +rtt-target = { version = "0.3.1", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.2", features = ["cortex-m"] } nb = "1.0.0" -heapless = "0.5.6" -lsm303agr = "0.1.1" +heapless = "0.7.7" +lsm303agr = "0.2.2" embedded-hal = "0.2.6" [features] diff --git a/src/09-led-compass/Cargo.toml b/src/09-led-compass/Cargo.toml index 92e339f46..7b9204033 100644 --- a/src/09-led-compass/Cargo.toml +++ b/src/09-led-compass/Cargo.toml @@ -16,10 +16,10 @@ git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies] -cortex-m = "0.7.0" -cortex-m-rt = "0.6.12" -rtt-target = { version = "0.2.2", features = ["cortex-m"] } -panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } +cortex-m = "0.7.3" +cortex-m-rt = "0.7.0" +rtt-target = { version = "0.3.1", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.2", features = ["cortex-m"] } lsm303agr = "0.2.2" libm = "0.2.1" embedded-hal = "0.2.6" diff --git a/src/10-punch-o-meter/Cargo.toml b/src/10-punch-o-meter/Cargo.toml index 6b77b48ca..67fc6d1ef 100644 --- a/src/10-punch-o-meter/Cargo.toml +++ b/src/10-punch-o-meter/Cargo.toml @@ -16,11 +16,11 @@ git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies] -cortex-m = "0.7.0" -cortex-m-rt = "0.6.12" -rtt-target = { version = "0.2.2", features = ["cortex-m"] } -panic-rtt-target = { version = "0.1.1", features = ["cortex-m"] } -lsm303agr = "0.2.0" +cortex-m = "0.7.3" +cortex-m-rt = "0.7.0" +rtt-target = { version = "0.3.1", features = ["cortex-m"] } +panic-rtt-target = { version = "0.1.2", features = ["cortex-m"] } +lsm303agr = "0.2.2" nb = "1.0.0" [features] From ce7dd674356127a48d0a618b85e3565e5c989302 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Mon, 27 Sep 2021 11:38:25 +0200 Subject: [PATCH 277/313] Update versions of links --- src/05-led-roulette/it-blinks.md | 4 ++-- src/05-led-roulette/light-it-up.md | 2 +- src/07-uart/reverse-a-string.md | 2 +- src/08-i2c/read-a-single-register.md | 2 +- src/10-punch-o-meter/the-challenge.md | 4 ++-- 5 files changed, 7 insertions(+), 7 deletions(-) diff --git a/src/05-led-roulette/it-blinks.md b/src/05-led-roulette/it-blinks.md index fcdbdb252..22c802663 100644 --- a/src/05-led-roulette/it-blinks.md +++ b/src/05-led-roulette/it-blinks.md @@ -9,8 +9,8 @@ finally make an LED blink. [DelayUs] and [DelayMs]. Both of them essentially work the exact same way except that they accept different units for their delay function. -[DelayUs]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/blocking/delay/trait.DelayUs.html -[DelayMs]: https://docs.rs/embedded-hal/0.2.4/embedded_hal/blocking/delay/trait.DelayMs.html +[DelayUs]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/blocking/delay/trait.DelayUs.html +[DelayMs]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/blocking/delay/trait.DelayMs.html Inside of our MCU, several so-called "timers" exist. They can do various things regarding time for us, including simply pausing the execution of our program for a fixed amount of time. A very diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md index 7a0ab16db..91f91675b 100644 --- a/src/05-led-roulette/light-it-up.md +++ b/src/05-led-roulette/light-it-up.md @@ -5,7 +5,7 @@ In this chapter we are going to make one of the many LEDs on the back of the mic basically the "Hello World" of embedded programming. In order to get this task done we will use one of the traits provided by `embedded-hal`, specifically the [OutputPin trait] which allows us to turn a pin on or off. -[OutputPin trait]: https://docs.rs/embedded-hal/0.2.5/embedded_hal/digital/v2/trait.OutputPin.html +[OutputPin trait]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/digital/v2/trait.OutputPin.html ## The micro:bit LEDs diff --git a/src/07-uart/reverse-a-string.md b/src/07-uart/reverse-a-string.md index 9867737b2..ed48c56a2 100644 --- a/src/07-uart/reverse-a-string.md +++ b/src/07-uart/reverse-a-string.md @@ -6,7 +6,7 @@ ENTER key. Each server response will be in a new line. This time you'll need a buffer; you can use [`heapless::Vec`]. Here's the starter code: -[`heapless::Vec`]: https://docs.rs/heapless/0.5.6/heapless/struct.Vec.html +[`heapless::Vec`]: https://docs.rs/heapless/0.7.7/heapless/struct.Vec.html ``` rust #![no_main] diff --git a/src/08-i2c/read-a-single-register.md b/src/08-i2c/read-a-single-register.md index f1f8dd283..795e1cd57 100644 --- a/src/08-i2c/read-a-single-register.md +++ b/src/08-i2c/read-a-single-register.md @@ -35,7 +35,7 @@ Now if we put the documentation of the [`twi(m)` module] from the `microbit` cra together with all the other information we have gathered so far we'll end up with this piece of code to read out and print the two device IDs: -[`twi(m)` module]: https://docs.rs/microbit-v2/0.10.1/microbit/hal/twim/index.html +[`twi(m)` module]: https://docs.rs/microbit-v2/0.11.0/microbit/hal/twim/index.html ``` rust {{#include src/main.rs}} diff --git a/src/10-punch-o-meter/the-challenge.md b/src/10-punch-o-meter/the-challenge.md index 02eb35b1a..10b1c101e 100644 --- a/src/10-punch-o-meter/the-challenge.md +++ b/src/10-punch-o-meter/the-challenge.md @@ -23,6 +23,6 @@ Give it a try and let me know how hard you can punch `;-)`. we have seen in previous chapters. -[`set_accel_scale`]: https://docs.rs/lsm303agr/0.2.0/lsm303agr/struct.Lsm303agr.html#method.set_accel_scale +[`set_accel_scale`]: https://docs.rs/lsm303agr/0.2.2/lsm303agr/struct.Lsm303agr.html#method.set_accel_scale [`Countdown`]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/timer/trait.CountDown.html -[`nb::Result`]: https://docs.rs/nb/1.0.0/nb/type.Result.html \ No newline at end of file +[`nb::Result`]: https://docs.rs/nb/1.0.0/nb/type.Result.html From 88490c1dc8f211447244a8c072af5300b18d907e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Mon, 27 Sep 2021 11:48:35 +0200 Subject: [PATCH 278/313] Quote all modules --- src/02-requirements/README.md | 4 ++-- src/05-led-roulette/it-blinks.md | 6 +++--- src/05-led-roulette/light-it-up.md | 4 ++-- src/07-uart/send-a-single-byte.md | 4 ++-- 4 files changed, 9 insertions(+), 9 deletions(-) diff --git a/src/02-requirements/README.md b/src/02-requirements/README.md index 290653498..1466d83d0 100644 --- a/src/02-requirements/README.md +++ b/src/02-requirements/README.md @@ -48,10 +48,10 @@ Trust me on this one. > **FAQ**: Can I follow this material with a different development board? Maybe? It depends mainly on two things: your previous experience with microcontrollers and/or -whether a high level crate already exists, like the [nrf52-hal], for your development board +whether a high level crate already exists, like the [`nrf52-hal`], for your development board somewhere. -[nrf52-hal]: https://docs.rs/nrf52-hal +[`nrf52-hal`]: https://docs.rs/nrf52-hal With a different development board, this text would lose most if not all its beginner friendliness and "easy to follow"-ness, IMO. diff --git a/src/05-led-roulette/it-blinks.md b/src/05-led-roulette/it-blinks.md index 22c802663..b3b49f353 100644 --- a/src/05-led-roulette/it-blinks.md +++ b/src/05-led-roulette/it-blinks.md @@ -6,11 +6,11 @@ before combining this with the GPIO abstractions from the previous chapter in or finally make an LED blink. `embedded-hal` provides us with two abstractions to delay the execution of our program: -[DelayUs] and [DelayMs]. Both of them essentially work the exact same way except +[`DelayUs`] and [`DelayMs`]. Both of them essentially work the exact same way except that they accept different units for their delay function. -[DelayUs]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/blocking/delay/trait.DelayUs.html -[DelayMs]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/blocking/delay/trait.DelayMs.html +[`DelayUs`]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/blocking/delay/trait.DelayUs.html +[`DelayMs`]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/blocking/delay/trait.DelayMs.html Inside of our MCU, several so-called "timers" exist. They can do various things regarding time for us, including simply pausing the execution of our program for a fixed amount of time. A very diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md index 91f91675b..a666bffea 100644 --- a/src/05-led-roulette/light-it-up.md +++ b/src/05-led-roulette/light-it-up.md @@ -3,9 +3,9 @@ In this chapter we are going to make one of the many LEDs on the back of the micro:bit light up since this is basically the "Hello World" of embedded programming. In order to get this task done we will use one of the traits -provided by `embedded-hal`, specifically the [OutputPin trait] which allows us to turn a pin on or off. +provided by `embedded-hal`, specifically the [`OutputPin`] trait which allows us to turn a pin on or off. -[OutputPin trait]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/digital/v2/trait.OutputPin.html +[`OutputPin`]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/digital/v2/trait.OutputPin.html ## The micro:bit LEDs diff --git a/src/07-uart/send-a-single-byte.md b/src/07-uart/send-a-single-byte.md index 3a530e264..884528333 100644 --- a/src/07-uart/send-a-single-byte.md +++ b/src/07-uart/send-a-single-byte.md @@ -15,10 +15,10 @@ and with the UARTE for micro:bit v2. You will also have noticed that this is the first time we are including some code that is not from a library, namely the `serial_setup` module. Its only purpose is to provide a nice wrapper around the UARTE -so we can use it the exact same way as the UART via the [embedded_hal::serial] traits. If you want, you can +so we can use it the exact same way as the UART via the [`embedded_hal::serial`] traits. If you want, you can check out what exactly the module does, but it is not required to understand this chapter in general. -[embedded_hal::serial]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/serial/index.html +[`embedded_hal::serial`]: https://docs.rs/embedded-hal/0.2.6/embedded_hal/serial/index.html Apart from those differences, the initialization procedures for the UART and the UARTE are quite similar so we'll discuss the initilization of just UARTE. The UARTE is initialized with this piece of code: From a8d6b49bc19d9d1db12d6194014275ee25e5d760 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Mon, 27 Sep 2021 11:51:36 +0200 Subject: [PATCH 279/313] Fix the ls command in 03-setup/verify.md --- src/03-setup/verify.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/03-setup/verify.md b/src/03-setup/verify.md index 144199790..8452e145f 100644 --- a/src/03-setup/verify.md +++ b/src/03-setup/verify.md @@ -21,7 +21,7 @@ In my case, the micro:bit got connected to the bus #1 and got enumerated as the file `/dev/bus/usb/001/065` *is* the micro:bit. Let's check its permissions: ``` console -$ ls -l /dev/bus/usb/003/004 +$ ls -l /dev/bus/usb/001/065 crw-rw-rw-. 1 root root 189, 64 Sep 5 14:27 /dev/bus/usb/001/065 ``` From f83fedb025c6fda633de885ec01a5a3172c7b3a8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Mon, 27 Sep 2021 11:53:29 +0200 Subject: [PATCH 280/313] Uniform delay types --- src/05-led-roulette/it-blinks.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/05-led-roulette/it-blinks.md b/src/05-led-roulette/it-blinks.md index b3b49f353..91dd44a3a 100644 --- a/src/05-led-roulette/it-blinks.md +++ b/src/05-led-roulette/it-blinks.md @@ -36,7 +36,7 @@ fn main() -> ! { let mut timer = Timer::new(board.TIMER0); loop { - timer.delay_ms(1000u32); + timer.delay_ms(1000u16); rprintln!("1000 ms passed"); } } From fd71ba10f1290086f0b823ee266d8ef383144cb5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Fri, 12 Nov 2021 19:47:27 +0100 Subject: [PATCH 281/313] fixing dependency changes --- src/05-led-roulette/Cargo.toml | 4 ++-- src/07-uart/Cargo.toml | 4 ++-- src/08-i2c/Cargo.toml | 4 ++-- src/09-led-compass/Cargo.toml | 4 ++-- src/10-punch-o-meter/Cargo.toml | 4 ++-- 5 files changed, 10 insertions(+), 10 deletions(-) diff --git a/src/05-led-roulette/Cargo.toml b/src/05-led-roulette/Cargo.toml index 4e064d2e2..f87a48911 100644 --- a/src/05-led-roulette/Cargo.toml +++ b/src/05-led-roulette/Cargo.toml @@ -5,13 +5,13 @@ authors = ["Henrik Böving "] edition = "2018" [dependencies.microbit-v2] -version = "0.11.0" +version = "0.12.0" git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] -version = "0.11.0" +version = "0.12.0" git = "https://github.com/nrf-rs/microbit/" optional = true diff --git a/src/07-uart/Cargo.toml b/src/07-uart/Cargo.toml index 0bd1278bf..4da2b15a2 100644 --- a/src/07-uart/Cargo.toml +++ b/src/07-uart/Cargo.toml @@ -5,13 +5,13 @@ authors = ["Henrik Böving "] edition = "2018" [dependencies.microbit-v2] -version = "0.11.0" +version = "0.12.0" git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] -version = "0.11.0" +version = "0.12.0" git = "https://github.com/nrf-rs/microbit/" optional = true diff --git a/src/08-i2c/Cargo.toml b/src/08-i2c/Cargo.toml index e895b263e..f4e9b771e 100644 --- a/src/08-i2c/Cargo.toml +++ b/src/08-i2c/Cargo.toml @@ -5,13 +5,13 @@ name = "i2c" version = "0.1.0" [dependencies.microbit-v2] -version = "0.11.0" +version = "0.12.0" git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] -version = "0.11.0" +version = "0.12.0" git = "https://github.com/nrf-rs/microbit/" optional = true diff --git a/src/09-led-compass/Cargo.toml b/src/09-led-compass/Cargo.toml index 7b9204033..beab33610 100644 --- a/src/09-led-compass/Cargo.toml +++ b/src/09-led-compass/Cargo.toml @@ -5,13 +5,13 @@ authors = ["Henrik Böving "] edition = "2018" [dependencies.microbit-v2] -version = "0.11.0" +version = "0.12.0" git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] -version = "0.11.0" +version = "0.12.0" git = "https://github.com/nrf-rs/microbit/" optional = true diff --git a/src/10-punch-o-meter/Cargo.toml b/src/10-punch-o-meter/Cargo.toml index 67fc6d1ef..7131c45a6 100644 --- a/src/10-punch-o-meter/Cargo.toml +++ b/src/10-punch-o-meter/Cargo.toml @@ -5,13 +5,13 @@ authors = ["Henrik Böving "] edition = "2018" [dependencies.microbit-v2] -version = "0.11.0" +version = "0.12.0" git = "https://github.com/nrf-rs/microbit/" optional = true [dependencies.microbit] -version = "0.11.0" +version = "0.12.0" git = "https://github.com/nrf-rs/microbit/" optional = true From 687e02c5b78d2e3709e5afb988fbec9158240683 Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Thu, 18 Nov 2021 19:52:37 +0000 Subject: [PATCH 282/313] Move existing discovery book into f3discovery subfolder. --- .github/workflows/ci.yml | 25 +++-- README.md | 12 +- book.toml | 1 - Cargo.toml => f3discovery/Cargo.toml | 0 f3discovery/book.toml | 9 ++ custom.css => f3discovery/custom.css | 0 {src => f3discovery/src}/.cargo/config.toml | 0 .../src}/01-background/README.md | 0 .../src}/02-requirements/README.md | 0 {src => f3discovery/src}/03-setup/README.md | 0 {src => f3discovery/src}/03-setup/linux.md | 0 {src => f3discovery/src}/03-setup/macos.md | 0 {src => f3discovery/src}/03-setup/verify.md | 0 {src => f3discovery/src}/03-setup/windows.md | 0 .../src}/04-meet-your-hardware/README.md | 0 .../src}/05-led-roulette/Cargo.toml | 0 .../src}/05-led-roulette/README.md | 0 .../src}/05-led-roulette/auxiliary/Cargo.toml | 0 .../src}/05-led-roulette/auxiliary/src/lib.rs | 0 .../src}/05-led-roulette/build-it.md | 0 .../src}/05-led-roulette/debug-it.md | 0 .../05-led-roulette/examples/my-solution.rs | 0 .../the-led-and-delay-abstractions.rs | 0 .../src}/05-led-roulette/flash-it.md | 0 .../src}/05-led-roulette/my-solution.md | 0 .../src}/05-led-roulette/src/main.rs | 0 .../src}/05-led-roulette/target | 0 .../src}/05-led-roulette/the-challenge.md | 0 .../the-led-and-delay-abstractions.md | 0 .../src}/06-hello-world/Cargo.toml | 0 .../src}/06-hello-world/README.md | 0 .../src}/06-hello-world/auxiliary/Cargo.toml | 0 .../src}/06-hello-world/auxiliary/src/lib.rs | 0 .../src}/06-hello-world/panic.md | 0 .../src}/06-hello-world/src/main.rs | 0 .../src}/06-hello-world/target | 0 .../src}/07-registers/.cargo/config | 0 .../src}/07-registers/Cargo.toml | 0 .../src}/07-registers/README.md | 0 .../src}/07-registers/auxiliary/Cargo.toml | 0 .../src}/07-registers/auxiliary/src/lib.rs | 0 .../src}/07-registers/bad-address.md | 0 .../src}/07-registers/openocd.gdb | 0 .../src}/07-registers/optimization.md | 0 {src => f3discovery/src}/07-registers/rtrm.md | 0 .../spooky-action-at-a-distance.md | 0 .../src}/07-registers/src/main.rs | 0 {src => f3discovery/src}/07-registers/target | 0 .../07-registers/type-safe-manipulation.md | 0 .../src}/08-leds-again/.cargo/config | 0 .../src}/08-leds-again/Cargo.toml | 0 .../src}/08-leds-again/README.md | 0 .../src}/08-leds-again/auxiliary/Cargo.toml | 0 .../src}/08-leds-again/auxiliary/src/lib.rs | 0 .../src}/08-leds-again/configuration.md | 0 .../src}/08-leds-again/openocd.gdb | 0 .../src}/08-leds-again/power.md | 0 .../src}/08-leds-again/src/main.rs | 0 {src => f3discovery/src}/08-leds-again/target | 0 .../src}/08-leds-again/the-solution.md | 0 .../src}/09-clocks-and-timers/.cargo/config | 0 .../src}/09-clocks-and-timers/Cargo.toml | 0 .../src}/09-clocks-and-timers/README.md | 0 .../09-clocks-and-timers/auxiliary/Cargo.toml | 0 .../09-clocks-and-timers/auxiliary/src/lib.rs | 0 .../src}/09-clocks-and-timers/busy-waiting.md | 0 .../09-clocks-and-timers/for-loop-delays.md | 0 .../09-clocks-and-timers/initialization.md | 0 .../src}/09-clocks-and-timers/nop.md | 0 .../09-clocks-and-timers/one-shot-timer.md | 0 .../src}/09-clocks-and-timers/openocd.gdb | 0 .../putting-it-all-together.md | 0 .../src}/09-clocks-and-timers/src/main.rs | 0 .../src}/09-clocks-and-timers/target | 0 .../src}/10-serial-communication/README.md | 0 .../src}/10-serial-communication/loopbacks.md | 0 .../10-serial-communication/nix-tooling.md | 0 .../windows-tooling.md | 0 .../src}/11-usart/.cargo/config | 0 {src => f3discovery/src}/11-usart/Cargo.toml | 0 {src => f3discovery/src}/11-usart/README.md | 0 .../src}/11-usart/auxiliary/Cargo.toml | 0 .../src}/11-usart/auxiliary/src/lib.rs | 0 .../src}/11-usart/auxiliary/src/monotimer.rs | 0 .../src}/11-usart/buffer-overrun.md | 0 .../src}/11-usart/echo-server.md | 0 .../11-usart/examples/buffer-overrun-timed.rs | 0 .../11-usart/examples/buffer-overrun-txe.rs | 0 .../src}/11-usart/examples/buffer-overrun.rs | 0 .../src}/11-usart/examples/echo.rs | 0 .../examples/receive-a-single-byte.rs | 0 .../src}/11-usart/examples/reverse-string.rs | 0 .../src}/11-usart/examples/the-answer.rs | 0 .../src}/11-usart/my-solution.md | 0 {src => f3discovery/src}/11-usart/openocd.gdb | 0 .../src}/11-usart/receive-a-single-byte.md | 0 .../src}/11-usart/reverse-a-string.md | 0 .../src}/11-usart/send-a-single-byte.md | 0 .../src}/11-usart/send-a-string.md | 0 {src => f3discovery/src}/11-usart/src/main.rs | 0 {src => f3discovery/src}/11-usart/target | 0 {src => f3discovery/src}/11-usart/uprintln.md | 0 .../src}/12-bluetooth-setup/README.md | 0 .../src}/12-bluetooth-setup/at-commands.md | 0 .../src}/12-bluetooth-setup/linux.md | 0 .../src}/12-bluetooth-setup/loopback.md | 0 .../src}/13-serial-over-bluetooth/README.md | 0 {src => f3discovery/src}/14-i2c/.cargo/config | 0 {src => f3discovery/src}/14-i2c/Cargo.toml | 0 {src => f3discovery/src}/14-i2c/README.md | 0 .../src}/14-i2c/auxiliary/Cargo.toml | 0 .../src}/14-i2c/auxiliary/src/lib.rs | 0 {src => f3discovery/src}/14-i2c/lsm303dlhc.md | 0 {src => f3discovery/src}/14-i2c/openocd.gdb | 0 .../src}/14-i2c/read-a-single-register.md | 0 .../src}/14-i2c/read-several-registers.md | 0 {src => f3discovery/src}/14-i2c/src/main.rs | 0 {src => f3discovery/src}/14-i2c/target | 0 .../src}/14-i2c/the-general-protocol.md | 0 .../src}/14-i2c/the-solution.md | 0 .../src}/15-led-compass/.cargo/config | 0 .../src}/15-led-compass/Cargo.toml | 0 .../src}/15-led-compass/README.md | 0 .../src}/15-led-compass/auxiliary/Cargo.toml | 0 .../src}/15-led-compass/auxiliary/src/lib.rs | 0 .../src}/15-led-compass/calibration.md | 0 .../src}/15-led-compass/magnitude.md | 0 .../src}/15-led-compass/openocd.gdb | 0 .../src}/15-led-compass/solution-1.md | 0 .../src}/15-led-compass/solution-2.md | 0 .../src}/15-led-compass/src/main.rs | 0 .../src}/15-led-compass/take-1.md | 0 .../src}/15-led-compass/take-2.md | 0 .../src}/15-led-compass/target | 0 .../src}/16-punch-o-meter/.cargo/config | 0 .../src}/16-punch-o-meter/Cargo.toml | 0 .../src}/16-punch-o-meter/README.md | 0 .../16-punch-o-meter/auxiliary/Cargo.toml | 0 .../16-punch-o-meter/auxiliary/src/lib.rs | 0 .../src}/16-punch-o-meter/gravity-is-up.md | 0 .../src}/16-punch-o-meter/my-solution.md | 0 .../src}/16-punch-o-meter/openocd.gdb | 0 .../src}/16-punch-o-meter/src/main.rs | 0 .../src}/16-punch-o-meter/target | 0 .../src}/16-punch-o-meter/the-challenge.md | 0 f3discovery/src/README.md | 79 +++++++++++++ f3discovery/src/SUMMARY.md | 86 ++++++++++++++ .../WIP-async-io-the-future/.cargo/config | 0 .../src}/WIP-async-io-the-future/.gdbinit | 0 .../src}/WIP-async-io-the-future/Cargo.toml | 0 .../src}/WIP-async-io-the-future/README.md | 0 .../another-challenge.md | 0 .../more-challenges.md | 0 .../my-other-solution.md | 0 .../WIP-async-io-the-future/my-solution.md | 0 .../WIP-async-io-the-future/pg/Cargo.toml | 0 .../WIP-async-io-the-future/pg/src/lib.rs | 0 .../src}/WIP-async-io-the-future/serial.md | 0 .../src}/WIP-async-io-the-future/src/main.rs | 0 .../WIP-async-io-the-future/the-challenge.md | 0 .../src}/WIP-async-io-the-future/timer.md | 0 .../1-general-troubleshooting/README.md | 0 .../src}/appendix/2-how-to-use-gdb/README.md | 0 .../src}/assets/bluetooth-serial.png | Bin {src => f3discovery/src}/assets/bluetooth.jpg | Bin {src => f3discovery/src}/assets/emf.svg | 0 .../src}/assets/f3-bluetooth-loopback.png | Bin .../src}/assets/f3-bluetooth-power-only.png | Bin .../src}/assets/f3-bluetooth.png | Bin {src => f3discovery/src}/assets/f3-l3gd20.png | Bin .../src}/assets/f3-lsm303dlhc.png | Bin .../src}/assets/f3-serial-production.png | Bin {src => f3discovery/src}/assets/f3-serial.png | Bin {src => f3discovery/src}/assets/f3-swd.png | Bin {src => f3discovery/src}/assets/f3.jpg | Bin .../src}/assets/gdb-layout-asm.png | Bin .../src}/assets/gdb-layout-split-1.png | Bin .../src}/assets/gdb-layout-split-2.png | Bin .../src}/assets/gdb-layout-split-3.png | Bin .../src}/assets/gdb-layout-split-4.png | Bin .../src}/assets/gdb-layout-src.png | Bin {src => f3discovery/src}/assets/integer32.svg | 0 .../src}/assets/jumper-wires.jpg | Bin {src => f3discovery/src}/assets/minicom.png | Bin .../src}/assets/putty-console.png | Bin .../src}/assets/putty-settings.png | Bin .../src}/assets/quadrant-i.png | Bin {src => f3discovery/src}/assets/quadrants.png | Bin .../src}/assets/serial-loopback.png | Bin {src => f3discovery/src}/assets/serial.jpg | Bin .../src}/assets/setup-windows.png | Bin {src => f3discovery/src}/assets/st-link.png | Bin .../src}/assets/timing-diagram.png | Bin {src => f3discovery/src}/assets/usb-cable.jpg | Bin {src => f3discovery/src}/explore.md | 0 {src => f3discovery/src}/openocd.gdb | 0 ga.sh | 24 ---- src/README.md | 105 +++++++----------- src/SUMMARY.md | 87 +-------------- 199 files changed, 244 insertions(+), 184 deletions(-) rename Cargo.toml => f3discovery/Cargo.toml (100%) create mode 100644 f3discovery/book.toml rename custom.css => f3discovery/custom.css (100%) rename {src => f3discovery/src}/.cargo/config.toml (100%) rename {src => f3discovery/src}/01-background/README.md (100%) rename {src => f3discovery/src}/02-requirements/README.md (100%) rename {src => f3discovery/src}/03-setup/README.md (100%) rename {src => f3discovery/src}/03-setup/linux.md (100%) rename {src => f3discovery/src}/03-setup/macos.md (100%) rename {src => f3discovery/src}/03-setup/verify.md (100%) rename {src => f3discovery/src}/03-setup/windows.md (100%) rename {src => f3discovery/src}/04-meet-your-hardware/README.md (100%) rename {src => f3discovery/src}/05-led-roulette/Cargo.toml (100%) rename {src => f3discovery/src}/05-led-roulette/README.md (100%) rename {src => f3discovery/src}/05-led-roulette/auxiliary/Cargo.toml (100%) rename {src => f3discovery/src}/05-led-roulette/auxiliary/src/lib.rs (100%) rename {src => f3discovery/src}/05-led-roulette/build-it.md (100%) rename {src => f3discovery/src}/05-led-roulette/debug-it.md (100%) rename {src => f3discovery/src}/05-led-roulette/examples/my-solution.rs (100%) rename {src => f3discovery/src}/05-led-roulette/examples/the-led-and-delay-abstractions.rs (100%) rename {src => f3discovery/src}/05-led-roulette/flash-it.md (100%) rename {src => f3discovery/src}/05-led-roulette/my-solution.md (100%) rename {src => f3discovery/src}/05-led-roulette/src/main.rs (100%) rename {src => f3discovery/src}/05-led-roulette/target (100%) rename {src => f3discovery/src}/05-led-roulette/the-challenge.md (100%) rename {src => f3discovery/src}/05-led-roulette/the-led-and-delay-abstractions.md (100%) rename {src => f3discovery/src}/06-hello-world/Cargo.toml (100%) rename {src => f3discovery/src}/06-hello-world/README.md (100%) rename {src => f3discovery/src}/06-hello-world/auxiliary/Cargo.toml (100%) rename {src => f3discovery/src}/06-hello-world/auxiliary/src/lib.rs (100%) rename {src => f3discovery/src}/06-hello-world/panic.md (100%) rename {src => f3discovery/src}/06-hello-world/src/main.rs (100%) rename {src => f3discovery/src}/06-hello-world/target (100%) rename {src => f3discovery/src}/07-registers/.cargo/config (100%) rename {src => f3discovery/src}/07-registers/Cargo.toml (100%) rename {src => f3discovery/src}/07-registers/README.md (100%) rename {src => f3discovery/src}/07-registers/auxiliary/Cargo.toml (100%) rename {src => f3discovery/src}/07-registers/auxiliary/src/lib.rs (100%) rename {src => f3discovery/src}/07-registers/bad-address.md (100%) rename {src => f3discovery/src}/07-registers/openocd.gdb (100%) rename {src => f3discovery/src}/07-registers/optimization.md (100%) rename {src => f3discovery/src}/07-registers/rtrm.md (100%) rename {src => f3discovery/src}/07-registers/spooky-action-at-a-distance.md (100%) rename {src => f3discovery/src}/07-registers/src/main.rs (100%) rename {src => f3discovery/src}/07-registers/target (100%) rename {src => f3discovery/src}/07-registers/type-safe-manipulation.md (100%) rename {src => f3discovery/src}/08-leds-again/.cargo/config (100%) rename {src => f3discovery/src}/08-leds-again/Cargo.toml (100%) rename {src => f3discovery/src}/08-leds-again/README.md (100%) rename {src => f3discovery/src}/08-leds-again/auxiliary/Cargo.toml (100%) rename {src => f3discovery/src}/08-leds-again/auxiliary/src/lib.rs (100%) rename {src => f3discovery/src}/08-leds-again/configuration.md (100%) rename {src => f3discovery/src}/08-leds-again/openocd.gdb (100%) rename {src => f3discovery/src}/08-leds-again/power.md (100%) rename {src => f3discovery/src}/08-leds-again/src/main.rs (100%) rename {src => f3discovery/src}/08-leds-again/target (100%) rename {src => f3discovery/src}/08-leds-again/the-solution.md (100%) rename {src => f3discovery/src}/09-clocks-and-timers/.cargo/config (100%) rename {src => f3discovery/src}/09-clocks-and-timers/Cargo.toml (100%) rename {src => f3discovery/src}/09-clocks-and-timers/README.md (100%) rename {src => f3discovery/src}/09-clocks-and-timers/auxiliary/Cargo.toml (100%) rename {src => f3discovery/src}/09-clocks-and-timers/auxiliary/src/lib.rs (100%) rename {src => f3discovery/src}/09-clocks-and-timers/busy-waiting.md (100%) rename {src => f3discovery/src}/09-clocks-and-timers/for-loop-delays.md (100%) rename {src => f3discovery/src}/09-clocks-and-timers/initialization.md (100%) rename {src => f3discovery/src}/09-clocks-and-timers/nop.md (100%) rename {src => f3discovery/src}/09-clocks-and-timers/one-shot-timer.md (100%) rename {src => f3discovery/src}/09-clocks-and-timers/openocd.gdb (100%) rename {src => f3discovery/src}/09-clocks-and-timers/putting-it-all-together.md (100%) rename {src => f3discovery/src}/09-clocks-and-timers/src/main.rs (100%) rename {src => f3discovery/src}/09-clocks-and-timers/target (100%) rename {src => f3discovery/src}/10-serial-communication/README.md (100%) rename {src => f3discovery/src}/10-serial-communication/loopbacks.md (100%) rename {src => f3discovery/src}/10-serial-communication/nix-tooling.md (100%) rename {src => f3discovery/src}/10-serial-communication/windows-tooling.md (100%) rename {src => f3discovery/src}/11-usart/.cargo/config (100%) rename {src => f3discovery/src}/11-usart/Cargo.toml (100%) rename {src => f3discovery/src}/11-usart/README.md (100%) rename {src => f3discovery/src}/11-usart/auxiliary/Cargo.toml (100%) rename {src => f3discovery/src}/11-usart/auxiliary/src/lib.rs (100%) rename {src => f3discovery/src}/11-usart/auxiliary/src/monotimer.rs (100%) rename {src => f3discovery/src}/11-usart/buffer-overrun.md (100%) rename {src => f3discovery/src}/11-usart/echo-server.md (100%) rename {src => f3discovery/src}/11-usart/examples/buffer-overrun-timed.rs (100%) rename {src => f3discovery/src}/11-usart/examples/buffer-overrun-txe.rs (100%) rename {src => f3discovery/src}/11-usart/examples/buffer-overrun.rs (100%) rename {src => f3discovery/src}/11-usart/examples/echo.rs (100%) rename {src => f3discovery/src}/11-usart/examples/receive-a-single-byte.rs (100%) rename {src => f3discovery/src}/11-usart/examples/reverse-string.rs (100%) rename {src => f3discovery/src}/11-usart/examples/the-answer.rs (100%) rename {src => f3discovery/src}/11-usart/my-solution.md (100%) rename {src => f3discovery/src}/11-usart/openocd.gdb (100%) rename {src => f3discovery/src}/11-usart/receive-a-single-byte.md (100%) rename {src => f3discovery/src}/11-usart/reverse-a-string.md (100%) rename {src => f3discovery/src}/11-usart/send-a-single-byte.md (100%) rename {src => f3discovery/src}/11-usart/send-a-string.md (100%) rename {src => f3discovery/src}/11-usart/src/main.rs (100%) rename {src => f3discovery/src}/11-usart/target (100%) rename {src => f3discovery/src}/11-usart/uprintln.md (100%) rename {src => f3discovery/src}/12-bluetooth-setup/README.md (100%) rename {src => f3discovery/src}/12-bluetooth-setup/at-commands.md (100%) rename {src => f3discovery/src}/12-bluetooth-setup/linux.md (100%) rename {src => f3discovery/src}/12-bluetooth-setup/loopback.md (100%) rename {src => f3discovery/src}/13-serial-over-bluetooth/README.md (100%) rename {src => f3discovery/src}/14-i2c/.cargo/config (100%) rename {src => f3discovery/src}/14-i2c/Cargo.toml (100%) rename {src => f3discovery/src}/14-i2c/README.md (100%) rename {src => f3discovery/src}/14-i2c/auxiliary/Cargo.toml (100%) rename {src => f3discovery/src}/14-i2c/auxiliary/src/lib.rs (100%) rename {src => f3discovery/src}/14-i2c/lsm303dlhc.md (100%) rename {src => f3discovery/src}/14-i2c/openocd.gdb (100%) rename {src => f3discovery/src}/14-i2c/read-a-single-register.md (100%) rename {src => f3discovery/src}/14-i2c/read-several-registers.md (100%) rename {src => f3discovery/src}/14-i2c/src/main.rs (100%) rename {src => f3discovery/src}/14-i2c/target (100%) rename {src => f3discovery/src}/14-i2c/the-general-protocol.md (100%) rename {src => f3discovery/src}/14-i2c/the-solution.md (100%) rename {src => f3discovery/src}/15-led-compass/.cargo/config (100%) rename {src => f3discovery/src}/15-led-compass/Cargo.toml (100%) rename {src => f3discovery/src}/15-led-compass/README.md (100%) rename {src => f3discovery/src}/15-led-compass/auxiliary/Cargo.toml (100%) rename {src => f3discovery/src}/15-led-compass/auxiliary/src/lib.rs (100%) rename {src => f3discovery/src}/15-led-compass/calibration.md (100%) rename {src => f3discovery/src}/15-led-compass/magnitude.md (100%) rename {src => f3discovery/src}/15-led-compass/openocd.gdb (100%) rename {src => f3discovery/src}/15-led-compass/solution-1.md (100%) rename {src => f3discovery/src}/15-led-compass/solution-2.md (100%) rename {src => f3discovery/src}/15-led-compass/src/main.rs (100%) rename {src => f3discovery/src}/15-led-compass/take-1.md (100%) rename {src => f3discovery/src}/15-led-compass/take-2.md (100%) rename {src => f3discovery/src}/15-led-compass/target (100%) rename {src => f3discovery/src}/16-punch-o-meter/.cargo/config (100%) rename {src => f3discovery/src}/16-punch-o-meter/Cargo.toml (100%) rename {src => f3discovery/src}/16-punch-o-meter/README.md (100%) rename {src => f3discovery/src}/16-punch-o-meter/auxiliary/Cargo.toml (100%) rename {src => f3discovery/src}/16-punch-o-meter/auxiliary/src/lib.rs (100%) rename {src => f3discovery/src}/16-punch-o-meter/gravity-is-up.md (100%) rename {src => f3discovery/src}/16-punch-o-meter/my-solution.md (100%) rename {src => f3discovery/src}/16-punch-o-meter/openocd.gdb (100%) rename {src => f3discovery/src}/16-punch-o-meter/src/main.rs (100%) rename {src => f3discovery/src}/16-punch-o-meter/target (100%) rename {src => f3discovery/src}/16-punch-o-meter/the-challenge.md (100%) create mode 100644 f3discovery/src/README.md create mode 100644 f3discovery/src/SUMMARY.md rename {src => f3discovery/src}/WIP-async-io-the-future/.cargo/config (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/.gdbinit (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/Cargo.toml (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/README.md (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/another-challenge.md (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/more-challenges.md (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/my-other-solution.md (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/my-solution.md (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/pg/Cargo.toml (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/pg/src/lib.rs (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/serial.md (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/src/main.rs (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/the-challenge.md (100%) rename {src => f3discovery/src}/WIP-async-io-the-future/timer.md (100%) rename {src => f3discovery/src}/appendix/1-general-troubleshooting/README.md (100%) rename {src => f3discovery/src}/appendix/2-how-to-use-gdb/README.md (100%) rename {src => f3discovery/src}/assets/bluetooth-serial.png (100%) rename {src => f3discovery/src}/assets/bluetooth.jpg (100%) rename {src => f3discovery/src}/assets/emf.svg (100%) rename {src => f3discovery/src}/assets/f3-bluetooth-loopback.png (100%) rename {src => f3discovery/src}/assets/f3-bluetooth-power-only.png (100%) rename {src => f3discovery/src}/assets/f3-bluetooth.png (100%) rename {src => f3discovery/src}/assets/f3-l3gd20.png (100%) rename {src => f3discovery/src}/assets/f3-lsm303dlhc.png (100%) rename {src => f3discovery/src}/assets/f3-serial-production.png (100%) rename {src => f3discovery/src}/assets/f3-serial.png (100%) rename {src => f3discovery/src}/assets/f3-swd.png (100%) rename {src => f3discovery/src}/assets/f3.jpg (100%) rename {src => f3discovery/src}/assets/gdb-layout-asm.png (100%) rename {src => f3discovery/src}/assets/gdb-layout-split-1.png (100%) rename {src => f3discovery/src}/assets/gdb-layout-split-2.png (100%) rename {src => f3discovery/src}/assets/gdb-layout-split-3.png (100%) rename {src => f3discovery/src}/assets/gdb-layout-split-4.png (100%) rename {src => f3discovery/src}/assets/gdb-layout-src.png (100%) rename {src => f3discovery/src}/assets/integer32.svg (100%) rename {src => f3discovery/src}/assets/jumper-wires.jpg (100%) rename {src => f3discovery/src}/assets/minicom.png (100%) rename {src => f3discovery/src}/assets/putty-console.png (100%) rename {src => f3discovery/src}/assets/putty-settings.png (100%) rename {src => f3discovery/src}/assets/quadrant-i.png (100%) rename {src => f3discovery/src}/assets/quadrants.png (100%) rename {src => f3discovery/src}/assets/serial-loopback.png (100%) rename {src => f3discovery/src}/assets/serial.jpg (100%) rename {src => f3discovery/src}/assets/setup-windows.png (100%) rename {src => f3discovery/src}/assets/st-link.png (100%) rename {src => f3discovery/src}/assets/timing-diagram.png (100%) rename {src => f3discovery/src}/assets/usb-cable.jpg (100%) rename {src => f3discovery/src}/explore.md (100%) rename {src => f3discovery/src}/openocd.gdb (100%) delete mode 100644 ga.sh diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index 5ca11e63e..7972f1648 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -6,8 +6,8 @@ on: pull_request: jobs: - # Check a build succeeds for each chapter that contains example code. - build-chapter: + # Check build succeeds for each f3discovery chapter containing example code. + build-f3discovery-chapter: runs-on: ubuntu-20.04 strategy: matrix: @@ -29,14 +29,14 @@ jobs: toolchain: stable target: thumbv7em-none-eabihf - name: Build chapter - working-directory: src/${{ matrix.chapter }} + working-directory: f3discovery/src/${{ matrix.chapter }} run: cargo build --target thumbv7em-none-eabihf - name: Build chapter examples - working-directory: src/${{ matrix.chapter }} + working-directory: f3discovery/src/${{ matrix.chapter }} run: cargo build --target thumbv7em-none-eabihf --examples - # Check a build succeeds for docs. - build-doc: + # Check build succeeds for f3discovery docs. + build-f3discovery-doc: runs-on: ubuntu-20.04 steps: - uses: actions/checkout@v2 @@ -47,6 +47,7 @@ jobs: target: thumbv7em-none-eabihf - name: Build docs run: cargo doc + working-direcotry: f3discovery # Build the book HTML itself and optionally publish it. build-book: @@ -85,11 +86,21 @@ jobs: - name: Put new cargo binary directory into path run: echo "~/cargo-bin" >> $GITHUB_PATH - - name: Build book + - name: Build f3discovery book + working-direcotry: f3discovery run: mkdir target && mdbook build - name: Check links + working-directory: f3discovery run: linkchecker book + - name: Build front page + run: mdbook build + - name: Check links + run: linkchecker book + + - name: Collect books + run: mv f3discovery/book book/f3discovery + - name: Deploy book if: ${{ github.event_name == 'push' && github.ref == 'refs/heads/master' }} uses: peaceiris/actions-gh-pages@v3 diff --git a/README.md b/README.md index 72792fb48..0c8006cb9 100644 --- a/README.md +++ b/README.md @@ -1,9 +1,15 @@ # `Discovery` -Discover the world of microcontrollers through -[Rust](https://www.rust-lang.org/): +Discover the world of microcontrollers through [Rust](https://www.rust-lang.org/)! -- Read this book on-line at https://docs.rust-embedded.org/discovery/ +There are currently two versions of this book. The first is older and uses an +F3 Discovery circuit board to introduce you to microcontrollers and Rust, while +the second is newer and uses a micro:bit circuit board instead. + +- Read the newer book, using a micro:bit: + https://docs.rust-embedded.org/discovery/microbit +- Read the older book, using an F3 discovery board: + https://docs.rust-embedded.org/discovery/f3discovery - Start working on the examples from this repository - You've got questions? - Have a look at our [discussions section on diff --git a/book.toml b/book.toml index fbda71fad..9c7f86d26 100644 --- a/book.toml +++ b/book.toml @@ -5,5 +5,4 @@ author = "Rust Embedded Resources Team" language = "en" [output.html] -additional-css = ["custom.css"] git-repository-url = "https://github.com/rust-embedded/discovery/" diff --git a/Cargo.toml b/f3discovery/Cargo.toml similarity index 100% rename from Cargo.toml rename to f3discovery/Cargo.toml diff --git a/f3discovery/book.toml b/f3discovery/book.toml new file mode 100644 index 000000000..fbda71fad --- /dev/null +++ b/f3discovery/book.toml @@ -0,0 +1,9 @@ +[book] +title = "Discovery" +description = "Discover the world of microcontrollers through Rust" +author = "Rust Embedded Resources Team" +language = "en" + +[output.html] +additional-css = ["custom.css"] +git-repository-url = "https://github.com/rust-embedded/discovery/" diff --git a/custom.css b/f3discovery/custom.css similarity index 100% rename from custom.css rename to f3discovery/custom.css diff --git a/src/.cargo/config.toml b/f3discovery/src/.cargo/config.toml similarity index 100% rename from src/.cargo/config.toml rename to f3discovery/src/.cargo/config.toml diff --git a/src/01-background/README.md b/f3discovery/src/01-background/README.md similarity index 100% rename from src/01-background/README.md rename to f3discovery/src/01-background/README.md diff --git a/src/02-requirements/README.md b/f3discovery/src/02-requirements/README.md similarity index 100% rename from src/02-requirements/README.md rename to f3discovery/src/02-requirements/README.md diff --git a/src/03-setup/README.md b/f3discovery/src/03-setup/README.md similarity index 100% rename from src/03-setup/README.md rename to f3discovery/src/03-setup/README.md diff --git a/src/03-setup/linux.md b/f3discovery/src/03-setup/linux.md similarity index 100% rename from src/03-setup/linux.md rename to f3discovery/src/03-setup/linux.md diff --git a/src/03-setup/macos.md b/f3discovery/src/03-setup/macos.md similarity index 100% rename from src/03-setup/macos.md rename to f3discovery/src/03-setup/macos.md diff --git a/src/03-setup/verify.md b/f3discovery/src/03-setup/verify.md similarity index 100% rename from src/03-setup/verify.md rename to f3discovery/src/03-setup/verify.md diff --git a/src/03-setup/windows.md b/f3discovery/src/03-setup/windows.md similarity index 100% rename from src/03-setup/windows.md rename to f3discovery/src/03-setup/windows.md diff --git a/src/04-meet-your-hardware/README.md b/f3discovery/src/04-meet-your-hardware/README.md similarity index 100% rename from src/04-meet-your-hardware/README.md rename to f3discovery/src/04-meet-your-hardware/README.md diff --git a/src/05-led-roulette/Cargo.toml b/f3discovery/src/05-led-roulette/Cargo.toml similarity index 100% rename from src/05-led-roulette/Cargo.toml rename to f3discovery/src/05-led-roulette/Cargo.toml diff --git a/src/05-led-roulette/README.md b/f3discovery/src/05-led-roulette/README.md similarity index 100% rename from src/05-led-roulette/README.md rename to f3discovery/src/05-led-roulette/README.md diff --git a/src/05-led-roulette/auxiliary/Cargo.toml b/f3discovery/src/05-led-roulette/auxiliary/Cargo.toml similarity index 100% rename from src/05-led-roulette/auxiliary/Cargo.toml rename to f3discovery/src/05-led-roulette/auxiliary/Cargo.toml diff --git a/src/05-led-roulette/auxiliary/src/lib.rs b/f3discovery/src/05-led-roulette/auxiliary/src/lib.rs similarity index 100% rename from src/05-led-roulette/auxiliary/src/lib.rs rename to f3discovery/src/05-led-roulette/auxiliary/src/lib.rs diff --git a/src/05-led-roulette/build-it.md b/f3discovery/src/05-led-roulette/build-it.md similarity index 100% rename from src/05-led-roulette/build-it.md rename to f3discovery/src/05-led-roulette/build-it.md diff --git a/src/05-led-roulette/debug-it.md b/f3discovery/src/05-led-roulette/debug-it.md similarity index 100% rename from src/05-led-roulette/debug-it.md rename to f3discovery/src/05-led-roulette/debug-it.md diff --git a/src/05-led-roulette/examples/my-solution.rs b/f3discovery/src/05-led-roulette/examples/my-solution.rs similarity index 100% rename from src/05-led-roulette/examples/my-solution.rs rename to f3discovery/src/05-led-roulette/examples/my-solution.rs diff --git a/src/05-led-roulette/examples/the-led-and-delay-abstractions.rs b/f3discovery/src/05-led-roulette/examples/the-led-and-delay-abstractions.rs similarity index 100% rename from src/05-led-roulette/examples/the-led-and-delay-abstractions.rs rename to f3discovery/src/05-led-roulette/examples/the-led-and-delay-abstractions.rs diff --git a/src/05-led-roulette/flash-it.md b/f3discovery/src/05-led-roulette/flash-it.md similarity index 100% rename from src/05-led-roulette/flash-it.md rename to f3discovery/src/05-led-roulette/flash-it.md diff --git a/src/05-led-roulette/my-solution.md b/f3discovery/src/05-led-roulette/my-solution.md similarity index 100% rename from src/05-led-roulette/my-solution.md rename to f3discovery/src/05-led-roulette/my-solution.md diff --git a/src/05-led-roulette/src/main.rs b/f3discovery/src/05-led-roulette/src/main.rs similarity index 100% rename from src/05-led-roulette/src/main.rs rename to f3discovery/src/05-led-roulette/src/main.rs diff --git a/src/05-led-roulette/target b/f3discovery/src/05-led-roulette/target similarity index 100% rename from src/05-led-roulette/target rename to f3discovery/src/05-led-roulette/target diff --git a/src/05-led-roulette/the-challenge.md b/f3discovery/src/05-led-roulette/the-challenge.md similarity index 100% rename from src/05-led-roulette/the-challenge.md rename to f3discovery/src/05-led-roulette/the-challenge.md diff --git a/src/05-led-roulette/the-led-and-delay-abstractions.md b/f3discovery/src/05-led-roulette/the-led-and-delay-abstractions.md similarity index 100% rename from src/05-led-roulette/the-led-and-delay-abstractions.md rename to f3discovery/src/05-led-roulette/the-led-and-delay-abstractions.md diff --git a/src/06-hello-world/Cargo.toml b/f3discovery/src/06-hello-world/Cargo.toml similarity index 100% rename from src/06-hello-world/Cargo.toml rename to f3discovery/src/06-hello-world/Cargo.toml diff --git a/src/06-hello-world/README.md b/f3discovery/src/06-hello-world/README.md similarity index 100% rename from src/06-hello-world/README.md rename to f3discovery/src/06-hello-world/README.md diff --git a/src/06-hello-world/auxiliary/Cargo.toml b/f3discovery/src/06-hello-world/auxiliary/Cargo.toml similarity index 100% rename from src/06-hello-world/auxiliary/Cargo.toml rename to f3discovery/src/06-hello-world/auxiliary/Cargo.toml diff --git a/src/06-hello-world/auxiliary/src/lib.rs b/f3discovery/src/06-hello-world/auxiliary/src/lib.rs similarity index 100% rename from src/06-hello-world/auxiliary/src/lib.rs rename to f3discovery/src/06-hello-world/auxiliary/src/lib.rs diff --git a/src/06-hello-world/panic.md b/f3discovery/src/06-hello-world/panic.md similarity index 100% rename from src/06-hello-world/panic.md rename to f3discovery/src/06-hello-world/panic.md diff --git a/src/06-hello-world/src/main.rs b/f3discovery/src/06-hello-world/src/main.rs similarity index 100% rename from src/06-hello-world/src/main.rs rename to f3discovery/src/06-hello-world/src/main.rs diff --git a/src/06-hello-world/target b/f3discovery/src/06-hello-world/target similarity index 100% rename from src/06-hello-world/target rename to f3discovery/src/06-hello-world/target diff --git a/src/07-registers/.cargo/config b/f3discovery/src/07-registers/.cargo/config similarity index 100% rename from src/07-registers/.cargo/config rename to f3discovery/src/07-registers/.cargo/config diff --git a/src/07-registers/Cargo.toml b/f3discovery/src/07-registers/Cargo.toml similarity index 100% rename from src/07-registers/Cargo.toml rename to f3discovery/src/07-registers/Cargo.toml diff --git a/src/07-registers/README.md b/f3discovery/src/07-registers/README.md similarity index 100% rename from src/07-registers/README.md rename to f3discovery/src/07-registers/README.md diff --git a/src/07-registers/auxiliary/Cargo.toml b/f3discovery/src/07-registers/auxiliary/Cargo.toml similarity index 100% rename from src/07-registers/auxiliary/Cargo.toml rename to f3discovery/src/07-registers/auxiliary/Cargo.toml diff --git a/src/07-registers/auxiliary/src/lib.rs b/f3discovery/src/07-registers/auxiliary/src/lib.rs similarity index 100% rename from src/07-registers/auxiliary/src/lib.rs rename to f3discovery/src/07-registers/auxiliary/src/lib.rs diff --git a/src/07-registers/bad-address.md b/f3discovery/src/07-registers/bad-address.md similarity index 100% rename from src/07-registers/bad-address.md rename to f3discovery/src/07-registers/bad-address.md diff --git a/src/07-registers/openocd.gdb b/f3discovery/src/07-registers/openocd.gdb similarity index 100% rename from src/07-registers/openocd.gdb rename to f3discovery/src/07-registers/openocd.gdb diff --git a/src/07-registers/optimization.md b/f3discovery/src/07-registers/optimization.md similarity index 100% rename from src/07-registers/optimization.md rename to f3discovery/src/07-registers/optimization.md diff --git a/src/07-registers/rtrm.md b/f3discovery/src/07-registers/rtrm.md similarity index 100% rename from src/07-registers/rtrm.md rename to f3discovery/src/07-registers/rtrm.md diff --git a/src/07-registers/spooky-action-at-a-distance.md b/f3discovery/src/07-registers/spooky-action-at-a-distance.md similarity index 100% rename from src/07-registers/spooky-action-at-a-distance.md rename to f3discovery/src/07-registers/spooky-action-at-a-distance.md diff --git a/src/07-registers/src/main.rs b/f3discovery/src/07-registers/src/main.rs similarity index 100% rename from src/07-registers/src/main.rs rename to f3discovery/src/07-registers/src/main.rs diff --git a/src/07-registers/target b/f3discovery/src/07-registers/target similarity index 100% rename from src/07-registers/target rename to f3discovery/src/07-registers/target diff --git a/src/07-registers/type-safe-manipulation.md b/f3discovery/src/07-registers/type-safe-manipulation.md similarity index 100% rename from src/07-registers/type-safe-manipulation.md rename to f3discovery/src/07-registers/type-safe-manipulation.md diff --git a/src/08-leds-again/.cargo/config b/f3discovery/src/08-leds-again/.cargo/config similarity index 100% rename from src/08-leds-again/.cargo/config rename to f3discovery/src/08-leds-again/.cargo/config diff --git a/src/08-leds-again/Cargo.toml b/f3discovery/src/08-leds-again/Cargo.toml similarity index 100% rename from src/08-leds-again/Cargo.toml rename to f3discovery/src/08-leds-again/Cargo.toml diff --git a/src/08-leds-again/README.md b/f3discovery/src/08-leds-again/README.md similarity index 100% rename from src/08-leds-again/README.md rename to f3discovery/src/08-leds-again/README.md diff --git a/src/08-leds-again/auxiliary/Cargo.toml b/f3discovery/src/08-leds-again/auxiliary/Cargo.toml similarity index 100% rename from src/08-leds-again/auxiliary/Cargo.toml rename to f3discovery/src/08-leds-again/auxiliary/Cargo.toml diff --git a/src/08-leds-again/auxiliary/src/lib.rs b/f3discovery/src/08-leds-again/auxiliary/src/lib.rs similarity index 100% rename from src/08-leds-again/auxiliary/src/lib.rs rename to f3discovery/src/08-leds-again/auxiliary/src/lib.rs diff --git a/src/08-leds-again/configuration.md b/f3discovery/src/08-leds-again/configuration.md similarity index 100% rename from src/08-leds-again/configuration.md rename to f3discovery/src/08-leds-again/configuration.md diff --git a/src/08-leds-again/openocd.gdb b/f3discovery/src/08-leds-again/openocd.gdb similarity index 100% rename from src/08-leds-again/openocd.gdb rename to f3discovery/src/08-leds-again/openocd.gdb diff --git a/src/08-leds-again/power.md b/f3discovery/src/08-leds-again/power.md similarity index 100% rename from src/08-leds-again/power.md rename to f3discovery/src/08-leds-again/power.md diff --git a/src/08-leds-again/src/main.rs b/f3discovery/src/08-leds-again/src/main.rs similarity index 100% rename from src/08-leds-again/src/main.rs rename to f3discovery/src/08-leds-again/src/main.rs diff --git a/src/08-leds-again/target b/f3discovery/src/08-leds-again/target similarity index 100% rename from src/08-leds-again/target rename to f3discovery/src/08-leds-again/target diff --git a/src/08-leds-again/the-solution.md b/f3discovery/src/08-leds-again/the-solution.md similarity index 100% rename from src/08-leds-again/the-solution.md rename to f3discovery/src/08-leds-again/the-solution.md diff --git a/src/09-clocks-and-timers/.cargo/config b/f3discovery/src/09-clocks-and-timers/.cargo/config similarity index 100% rename from src/09-clocks-and-timers/.cargo/config rename to f3discovery/src/09-clocks-and-timers/.cargo/config diff --git a/src/09-clocks-and-timers/Cargo.toml b/f3discovery/src/09-clocks-and-timers/Cargo.toml similarity index 100% rename from src/09-clocks-and-timers/Cargo.toml rename to f3discovery/src/09-clocks-and-timers/Cargo.toml diff --git a/src/09-clocks-and-timers/README.md b/f3discovery/src/09-clocks-and-timers/README.md similarity index 100% rename from src/09-clocks-and-timers/README.md rename to f3discovery/src/09-clocks-and-timers/README.md diff --git a/src/09-clocks-and-timers/auxiliary/Cargo.toml b/f3discovery/src/09-clocks-and-timers/auxiliary/Cargo.toml similarity index 100% rename from src/09-clocks-and-timers/auxiliary/Cargo.toml rename to f3discovery/src/09-clocks-and-timers/auxiliary/Cargo.toml diff --git a/src/09-clocks-and-timers/auxiliary/src/lib.rs b/f3discovery/src/09-clocks-and-timers/auxiliary/src/lib.rs similarity index 100% rename from src/09-clocks-and-timers/auxiliary/src/lib.rs rename to f3discovery/src/09-clocks-and-timers/auxiliary/src/lib.rs diff --git a/src/09-clocks-and-timers/busy-waiting.md b/f3discovery/src/09-clocks-and-timers/busy-waiting.md similarity index 100% rename from src/09-clocks-and-timers/busy-waiting.md rename to f3discovery/src/09-clocks-and-timers/busy-waiting.md diff --git a/src/09-clocks-and-timers/for-loop-delays.md b/f3discovery/src/09-clocks-and-timers/for-loop-delays.md similarity index 100% rename from src/09-clocks-and-timers/for-loop-delays.md rename to f3discovery/src/09-clocks-and-timers/for-loop-delays.md diff --git a/src/09-clocks-and-timers/initialization.md b/f3discovery/src/09-clocks-and-timers/initialization.md similarity index 100% rename from src/09-clocks-and-timers/initialization.md rename to f3discovery/src/09-clocks-and-timers/initialization.md diff --git a/src/09-clocks-and-timers/nop.md b/f3discovery/src/09-clocks-and-timers/nop.md similarity index 100% rename from src/09-clocks-and-timers/nop.md rename to f3discovery/src/09-clocks-and-timers/nop.md diff --git a/src/09-clocks-and-timers/one-shot-timer.md b/f3discovery/src/09-clocks-and-timers/one-shot-timer.md similarity index 100% rename from src/09-clocks-and-timers/one-shot-timer.md rename to f3discovery/src/09-clocks-and-timers/one-shot-timer.md diff --git a/src/09-clocks-and-timers/openocd.gdb b/f3discovery/src/09-clocks-and-timers/openocd.gdb similarity index 100% rename from src/09-clocks-and-timers/openocd.gdb rename to f3discovery/src/09-clocks-and-timers/openocd.gdb diff --git a/src/09-clocks-and-timers/putting-it-all-together.md b/f3discovery/src/09-clocks-and-timers/putting-it-all-together.md similarity index 100% rename from src/09-clocks-and-timers/putting-it-all-together.md rename to f3discovery/src/09-clocks-and-timers/putting-it-all-together.md diff --git a/src/09-clocks-and-timers/src/main.rs b/f3discovery/src/09-clocks-and-timers/src/main.rs similarity index 100% rename from src/09-clocks-and-timers/src/main.rs rename to f3discovery/src/09-clocks-and-timers/src/main.rs diff --git a/src/09-clocks-and-timers/target b/f3discovery/src/09-clocks-and-timers/target similarity index 100% rename from src/09-clocks-and-timers/target rename to f3discovery/src/09-clocks-and-timers/target diff --git a/src/10-serial-communication/README.md b/f3discovery/src/10-serial-communication/README.md similarity index 100% rename from src/10-serial-communication/README.md rename to f3discovery/src/10-serial-communication/README.md diff --git a/src/10-serial-communication/loopbacks.md b/f3discovery/src/10-serial-communication/loopbacks.md similarity index 100% rename from src/10-serial-communication/loopbacks.md rename to f3discovery/src/10-serial-communication/loopbacks.md diff --git a/src/10-serial-communication/nix-tooling.md b/f3discovery/src/10-serial-communication/nix-tooling.md similarity index 100% rename from src/10-serial-communication/nix-tooling.md rename to f3discovery/src/10-serial-communication/nix-tooling.md diff --git a/src/10-serial-communication/windows-tooling.md b/f3discovery/src/10-serial-communication/windows-tooling.md similarity index 100% rename from src/10-serial-communication/windows-tooling.md rename to f3discovery/src/10-serial-communication/windows-tooling.md diff --git a/src/11-usart/.cargo/config b/f3discovery/src/11-usart/.cargo/config similarity index 100% rename from src/11-usart/.cargo/config rename to f3discovery/src/11-usart/.cargo/config diff --git a/src/11-usart/Cargo.toml b/f3discovery/src/11-usart/Cargo.toml similarity index 100% rename from src/11-usart/Cargo.toml rename to f3discovery/src/11-usart/Cargo.toml diff --git a/src/11-usart/README.md b/f3discovery/src/11-usart/README.md similarity index 100% rename from src/11-usart/README.md rename to f3discovery/src/11-usart/README.md diff --git a/src/11-usart/auxiliary/Cargo.toml b/f3discovery/src/11-usart/auxiliary/Cargo.toml similarity index 100% rename from src/11-usart/auxiliary/Cargo.toml rename to f3discovery/src/11-usart/auxiliary/Cargo.toml diff --git a/src/11-usart/auxiliary/src/lib.rs b/f3discovery/src/11-usart/auxiliary/src/lib.rs similarity index 100% rename from src/11-usart/auxiliary/src/lib.rs rename to f3discovery/src/11-usart/auxiliary/src/lib.rs diff --git a/src/11-usart/auxiliary/src/monotimer.rs b/f3discovery/src/11-usart/auxiliary/src/monotimer.rs similarity index 100% rename from src/11-usart/auxiliary/src/monotimer.rs rename to f3discovery/src/11-usart/auxiliary/src/monotimer.rs diff --git a/src/11-usart/buffer-overrun.md b/f3discovery/src/11-usart/buffer-overrun.md similarity index 100% rename from src/11-usart/buffer-overrun.md rename to f3discovery/src/11-usart/buffer-overrun.md diff --git a/src/11-usart/echo-server.md b/f3discovery/src/11-usart/echo-server.md similarity index 100% rename from src/11-usart/echo-server.md rename to f3discovery/src/11-usart/echo-server.md diff --git a/src/11-usart/examples/buffer-overrun-timed.rs b/f3discovery/src/11-usart/examples/buffer-overrun-timed.rs similarity index 100% rename from src/11-usart/examples/buffer-overrun-timed.rs rename to f3discovery/src/11-usart/examples/buffer-overrun-timed.rs diff --git a/src/11-usart/examples/buffer-overrun-txe.rs b/f3discovery/src/11-usart/examples/buffer-overrun-txe.rs similarity index 100% rename from src/11-usart/examples/buffer-overrun-txe.rs rename to f3discovery/src/11-usart/examples/buffer-overrun-txe.rs diff --git a/src/11-usart/examples/buffer-overrun.rs b/f3discovery/src/11-usart/examples/buffer-overrun.rs similarity index 100% rename from src/11-usart/examples/buffer-overrun.rs rename to f3discovery/src/11-usart/examples/buffer-overrun.rs diff --git a/src/11-usart/examples/echo.rs b/f3discovery/src/11-usart/examples/echo.rs similarity index 100% rename from src/11-usart/examples/echo.rs rename to f3discovery/src/11-usart/examples/echo.rs diff --git a/src/11-usart/examples/receive-a-single-byte.rs b/f3discovery/src/11-usart/examples/receive-a-single-byte.rs similarity index 100% rename from src/11-usart/examples/receive-a-single-byte.rs rename to f3discovery/src/11-usart/examples/receive-a-single-byte.rs diff --git a/src/11-usart/examples/reverse-string.rs b/f3discovery/src/11-usart/examples/reverse-string.rs similarity index 100% rename from src/11-usart/examples/reverse-string.rs rename to f3discovery/src/11-usart/examples/reverse-string.rs diff --git a/src/11-usart/examples/the-answer.rs b/f3discovery/src/11-usart/examples/the-answer.rs similarity index 100% rename from src/11-usart/examples/the-answer.rs rename to f3discovery/src/11-usart/examples/the-answer.rs diff --git a/src/11-usart/my-solution.md b/f3discovery/src/11-usart/my-solution.md similarity index 100% rename from src/11-usart/my-solution.md rename to f3discovery/src/11-usart/my-solution.md diff --git a/src/11-usart/openocd.gdb b/f3discovery/src/11-usart/openocd.gdb similarity index 100% rename from src/11-usart/openocd.gdb rename to f3discovery/src/11-usart/openocd.gdb diff --git a/src/11-usart/receive-a-single-byte.md b/f3discovery/src/11-usart/receive-a-single-byte.md similarity index 100% rename from src/11-usart/receive-a-single-byte.md rename to f3discovery/src/11-usart/receive-a-single-byte.md diff --git a/src/11-usart/reverse-a-string.md b/f3discovery/src/11-usart/reverse-a-string.md similarity index 100% rename from src/11-usart/reverse-a-string.md rename to f3discovery/src/11-usart/reverse-a-string.md diff --git a/src/11-usart/send-a-single-byte.md b/f3discovery/src/11-usart/send-a-single-byte.md similarity index 100% rename from src/11-usart/send-a-single-byte.md rename to f3discovery/src/11-usart/send-a-single-byte.md diff --git a/src/11-usart/send-a-string.md b/f3discovery/src/11-usart/send-a-string.md similarity index 100% rename from src/11-usart/send-a-string.md rename to f3discovery/src/11-usart/send-a-string.md diff --git a/src/11-usart/src/main.rs b/f3discovery/src/11-usart/src/main.rs similarity index 100% rename from src/11-usart/src/main.rs rename to f3discovery/src/11-usart/src/main.rs diff --git a/src/11-usart/target b/f3discovery/src/11-usart/target similarity index 100% rename from src/11-usart/target rename to f3discovery/src/11-usart/target diff --git a/src/11-usart/uprintln.md b/f3discovery/src/11-usart/uprintln.md similarity index 100% rename from src/11-usart/uprintln.md rename to f3discovery/src/11-usart/uprintln.md diff --git a/src/12-bluetooth-setup/README.md b/f3discovery/src/12-bluetooth-setup/README.md similarity index 100% rename from src/12-bluetooth-setup/README.md rename to f3discovery/src/12-bluetooth-setup/README.md diff --git a/src/12-bluetooth-setup/at-commands.md b/f3discovery/src/12-bluetooth-setup/at-commands.md similarity index 100% rename from src/12-bluetooth-setup/at-commands.md rename to f3discovery/src/12-bluetooth-setup/at-commands.md diff --git a/src/12-bluetooth-setup/linux.md b/f3discovery/src/12-bluetooth-setup/linux.md similarity index 100% rename from src/12-bluetooth-setup/linux.md rename to f3discovery/src/12-bluetooth-setup/linux.md diff --git a/src/12-bluetooth-setup/loopback.md b/f3discovery/src/12-bluetooth-setup/loopback.md similarity index 100% rename from src/12-bluetooth-setup/loopback.md rename to f3discovery/src/12-bluetooth-setup/loopback.md diff --git a/src/13-serial-over-bluetooth/README.md b/f3discovery/src/13-serial-over-bluetooth/README.md similarity index 100% rename from src/13-serial-over-bluetooth/README.md rename to f3discovery/src/13-serial-over-bluetooth/README.md diff --git a/src/14-i2c/.cargo/config b/f3discovery/src/14-i2c/.cargo/config similarity index 100% rename from src/14-i2c/.cargo/config rename to f3discovery/src/14-i2c/.cargo/config diff --git a/src/14-i2c/Cargo.toml b/f3discovery/src/14-i2c/Cargo.toml similarity index 100% rename from src/14-i2c/Cargo.toml rename to f3discovery/src/14-i2c/Cargo.toml diff --git a/src/14-i2c/README.md b/f3discovery/src/14-i2c/README.md similarity index 100% rename from src/14-i2c/README.md rename to f3discovery/src/14-i2c/README.md diff --git a/src/14-i2c/auxiliary/Cargo.toml b/f3discovery/src/14-i2c/auxiliary/Cargo.toml similarity index 100% rename from src/14-i2c/auxiliary/Cargo.toml rename to f3discovery/src/14-i2c/auxiliary/Cargo.toml diff --git a/src/14-i2c/auxiliary/src/lib.rs b/f3discovery/src/14-i2c/auxiliary/src/lib.rs similarity index 100% rename from src/14-i2c/auxiliary/src/lib.rs rename to f3discovery/src/14-i2c/auxiliary/src/lib.rs diff --git a/src/14-i2c/lsm303dlhc.md b/f3discovery/src/14-i2c/lsm303dlhc.md similarity index 100% rename from src/14-i2c/lsm303dlhc.md rename to f3discovery/src/14-i2c/lsm303dlhc.md diff --git a/src/14-i2c/openocd.gdb b/f3discovery/src/14-i2c/openocd.gdb similarity index 100% rename from src/14-i2c/openocd.gdb rename to f3discovery/src/14-i2c/openocd.gdb diff --git a/src/14-i2c/read-a-single-register.md b/f3discovery/src/14-i2c/read-a-single-register.md similarity index 100% rename from src/14-i2c/read-a-single-register.md rename to f3discovery/src/14-i2c/read-a-single-register.md diff --git a/src/14-i2c/read-several-registers.md b/f3discovery/src/14-i2c/read-several-registers.md similarity index 100% rename from src/14-i2c/read-several-registers.md rename to f3discovery/src/14-i2c/read-several-registers.md diff --git a/src/14-i2c/src/main.rs b/f3discovery/src/14-i2c/src/main.rs similarity index 100% rename from src/14-i2c/src/main.rs rename to f3discovery/src/14-i2c/src/main.rs diff --git a/src/14-i2c/target b/f3discovery/src/14-i2c/target similarity index 100% rename from src/14-i2c/target rename to f3discovery/src/14-i2c/target diff --git a/src/14-i2c/the-general-protocol.md b/f3discovery/src/14-i2c/the-general-protocol.md similarity index 100% rename from src/14-i2c/the-general-protocol.md rename to f3discovery/src/14-i2c/the-general-protocol.md diff --git a/src/14-i2c/the-solution.md b/f3discovery/src/14-i2c/the-solution.md similarity index 100% rename from src/14-i2c/the-solution.md rename to f3discovery/src/14-i2c/the-solution.md diff --git a/src/15-led-compass/.cargo/config b/f3discovery/src/15-led-compass/.cargo/config similarity index 100% rename from src/15-led-compass/.cargo/config rename to f3discovery/src/15-led-compass/.cargo/config diff --git a/src/15-led-compass/Cargo.toml b/f3discovery/src/15-led-compass/Cargo.toml similarity index 100% rename from src/15-led-compass/Cargo.toml rename to f3discovery/src/15-led-compass/Cargo.toml diff --git a/src/15-led-compass/README.md b/f3discovery/src/15-led-compass/README.md similarity index 100% rename from src/15-led-compass/README.md rename to f3discovery/src/15-led-compass/README.md diff --git a/src/15-led-compass/auxiliary/Cargo.toml b/f3discovery/src/15-led-compass/auxiliary/Cargo.toml similarity index 100% rename from src/15-led-compass/auxiliary/Cargo.toml rename to f3discovery/src/15-led-compass/auxiliary/Cargo.toml diff --git a/src/15-led-compass/auxiliary/src/lib.rs b/f3discovery/src/15-led-compass/auxiliary/src/lib.rs similarity index 100% rename from src/15-led-compass/auxiliary/src/lib.rs rename to f3discovery/src/15-led-compass/auxiliary/src/lib.rs diff --git a/src/15-led-compass/calibration.md b/f3discovery/src/15-led-compass/calibration.md similarity index 100% rename from src/15-led-compass/calibration.md rename to f3discovery/src/15-led-compass/calibration.md diff --git a/src/15-led-compass/magnitude.md b/f3discovery/src/15-led-compass/magnitude.md similarity index 100% rename from src/15-led-compass/magnitude.md rename to f3discovery/src/15-led-compass/magnitude.md diff --git a/src/15-led-compass/openocd.gdb b/f3discovery/src/15-led-compass/openocd.gdb similarity index 100% rename from src/15-led-compass/openocd.gdb rename to f3discovery/src/15-led-compass/openocd.gdb diff --git a/src/15-led-compass/solution-1.md b/f3discovery/src/15-led-compass/solution-1.md similarity index 100% rename from src/15-led-compass/solution-1.md rename to f3discovery/src/15-led-compass/solution-1.md diff --git a/src/15-led-compass/solution-2.md b/f3discovery/src/15-led-compass/solution-2.md similarity index 100% rename from src/15-led-compass/solution-2.md rename to f3discovery/src/15-led-compass/solution-2.md diff --git a/src/15-led-compass/src/main.rs b/f3discovery/src/15-led-compass/src/main.rs similarity index 100% rename from src/15-led-compass/src/main.rs rename to f3discovery/src/15-led-compass/src/main.rs diff --git a/src/15-led-compass/take-1.md b/f3discovery/src/15-led-compass/take-1.md similarity index 100% rename from src/15-led-compass/take-1.md rename to f3discovery/src/15-led-compass/take-1.md diff --git a/src/15-led-compass/take-2.md b/f3discovery/src/15-led-compass/take-2.md similarity index 100% rename from src/15-led-compass/take-2.md rename to f3discovery/src/15-led-compass/take-2.md diff --git a/src/15-led-compass/target b/f3discovery/src/15-led-compass/target similarity index 100% rename from src/15-led-compass/target rename to f3discovery/src/15-led-compass/target diff --git a/src/16-punch-o-meter/.cargo/config b/f3discovery/src/16-punch-o-meter/.cargo/config similarity index 100% rename from src/16-punch-o-meter/.cargo/config rename to f3discovery/src/16-punch-o-meter/.cargo/config diff --git a/src/16-punch-o-meter/Cargo.toml b/f3discovery/src/16-punch-o-meter/Cargo.toml similarity index 100% rename from src/16-punch-o-meter/Cargo.toml rename to f3discovery/src/16-punch-o-meter/Cargo.toml diff --git a/src/16-punch-o-meter/README.md b/f3discovery/src/16-punch-o-meter/README.md similarity index 100% rename from src/16-punch-o-meter/README.md rename to f3discovery/src/16-punch-o-meter/README.md diff --git a/src/16-punch-o-meter/auxiliary/Cargo.toml b/f3discovery/src/16-punch-o-meter/auxiliary/Cargo.toml similarity index 100% rename from src/16-punch-o-meter/auxiliary/Cargo.toml rename to f3discovery/src/16-punch-o-meter/auxiliary/Cargo.toml diff --git a/src/16-punch-o-meter/auxiliary/src/lib.rs b/f3discovery/src/16-punch-o-meter/auxiliary/src/lib.rs similarity index 100% rename from src/16-punch-o-meter/auxiliary/src/lib.rs rename to f3discovery/src/16-punch-o-meter/auxiliary/src/lib.rs diff --git a/src/16-punch-o-meter/gravity-is-up.md b/f3discovery/src/16-punch-o-meter/gravity-is-up.md similarity index 100% rename from src/16-punch-o-meter/gravity-is-up.md rename to f3discovery/src/16-punch-o-meter/gravity-is-up.md diff --git a/src/16-punch-o-meter/my-solution.md b/f3discovery/src/16-punch-o-meter/my-solution.md similarity index 100% rename from src/16-punch-o-meter/my-solution.md rename to f3discovery/src/16-punch-o-meter/my-solution.md diff --git a/src/16-punch-o-meter/openocd.gdb b/f3discovery/src/16-punch-o-meter/openocd.gdb similarity index 100% rename from src/16-punch-o-meter/openocd.gdb rename to f3discovery/src/16-punch-o-meter/openocd.gdb diff --git a/src/16-punch-o-meter/src/main.rs b/f3discovery/src/16-punch-o-meter/src/main.rs similarity index 100% rename from src/16-punch-o-meter/src/main.rs rename to f3discovery/src/16-punch-o-meter/src/main.rs diff --git a/src/16-punch-o-meter/target b/f3discovery/src/16-punch-o-meter/target similarity index 100% rename from src/16-punch-o-meter/target rename to f3discovery/src/16-punch-o-meter/target diff --git a/src/16-punch-o-meter/the-challenge.md b/f3discovery/src/16-punch-o-meter/the-challenge.md similarity index 100% rename from src/16-punch-o-meter/the-challenge.md rename to f3discovery/src/16-punch-o-meter/the-challenge.md diff --git a/f3discovery/src/README.md b/f3discovery/src/README.md new file mode 100644 index 000000000..4d9e20735 --- /dev/null +++ b/f3discovery/src/README.md @@ -0,0 +1,79 @@ +# Discovery + +> Discover the world of microcontrollers through [Rust]! + +[Rust]: https://www.rust-lang.org/ + +This book is an introductory course on microcontroller-based embedded systems that uses Rust as the +teaching language rather than the usual C/C++. + +## Scope + +The following topics will be covered (eventually, I hope): + +- How to write, build, flash and debug an "embedded" (Rust) program. + +- Functionality ("peripherals") commonly found in microcontrollers: Digital input and output, Pulse + Width Modulation (PWM), Analog to Digital Converters (ADC), common communication protocols like + Serial, I2C and SPI, etc. + +- Multitasking concepts: cooperative vs preemptive multitasking, interrupts, schedulers, etc. + +- Control systems concepts: sensors, calibration, digital filters, actuators, open loop control, + closed loop control, etc. + +## Approach + +- Beginner friendly. No previous experience with microcontrollers or embedded systems is required. + +- Hands on. Plenty of exercises to put the theory into practice. *You* will be doing most of the + work here. + +- Tool centered. We'll make plenty use of tooling to ease development. "Real" debugging, with GDB, + and logging will be introduced early on. Using LEDs as a debugging mechanism has no place here. + +## Non-goals + +What's out of scope for this book: + +- Teaching Rust. There's plenty of material on that topic already. We'll focus on microcontrollers + and embedded systems. + +- Being a comprehensive text about electric circuit theory or electronics. We'll just cover the + minimum required to understand how some devices work. + +- Covering details such as linker scripts and the boot process. For example, we'll use existing tools + to help get your code onto your board, but not go into detail about how those tools work. + +Also I don't intend to port this material to other development boards; this book will make exclusive +use of the STM32F3DISCOVERY development board. + +## Reporting problems + +The source of this book is in [this repository]. If you encounter any typo or problem with the code +report it on the [issue tracker]. + +[this repository]: https://github.com/rust-embedded/discovery +[issue tracker]: https://github.com/rust-embedded/discovery/issues + +## Other embedded Rust resources + +This Discovery book is just one of several embedded Rust resources provided by the +[Embedded Working Group]. The full selection can be found at [The Embedded Rust Bookshelf]. This +includes the list of [Frequently Asked Questions]. + +[Embedded Working Group]: https://github.com/rust-embedded/wg +[The Embedded Rust Bookshelf]: https://docs.rust-embedded.org +[Frequently Asked Questions]: https://docs.rust-embedded.org/faq.html + +## Sponsored by + +
      + +Many thanks to [integer 32](http://integer32.com/) for sponsoring me to work on this book! Please +give them lots of work (they do Rust consulting!) so they'll have no choice but to hire more +Rustaceans <3. diff --git a/f3discovery/src/SUMMARY.md b/f3discovery/src/SUMMARY.md new file mode 100644 index 000000000..1f1b5788a --- /dev/null +++ b/f3discovery/src/SUMMARY.md @@ -0,0 +1,86 @@ +[Introduction](README.md) +- [Background](01-background/README.md) +- [Hardware/knowledge requirements](02-requirements/README.md) +- [Setting up a development environment](03-setup/README.md) + - [Linux](03-setup/linux.md) + - [Windows](03-setup/windows.md) + - [macOS](03-setup/macos.md) + - [Verify the installation](03-setup/verify.md) +- [Meet your hardware](04-meet-your-hardware/README.md) +- [LED roulette](05-led-roulette/README.md) + - [Build it](05-led-roulette/build-it.md) + - [Flash it](05-led-roulette/flash-it.md) + - [Debug it](05-led-roulette/debug-it.md) + - [The `led` and `delay` abstractions](05-led-roulette/the-led-and-delay-abstractions.md) + - [The challenge](05-led-roulette/the-challenge.md) + - [My solution](05-led-roulette/my-solution.md) +- [Hello, world!](06-hello-world/README.md) + - [`panic!`](06-hello-world/panic.md) +- [Registers](07-registers/README.md) + - [RTRM](07-registers/rtrm.md) + - [(mis)Optimization](07-registers/optimization.md) + - [`0xBAAAAAAD` address](07-registers/bad-address.md) + - [Spooky action at a distance](07-registers/spooky-action-at-a-distance.md) + - [Type safe manipulation](07-registers/type-safe-manipulation.md) +- [LEDs, again](08-leds-again/README.md) + - [Power](08-leds-again/power.md) + - [Configuration](08-leds-again/configuration.md) + - [The solution](08-leds-again/the-solution.md) +- [Clocks and timers](09-clocks-and-timers/README.md) + - [`for` loop delays](09-clocks-and-timers/for-loop-delays.md) + - [NOP](09-clocks-and-timers/nop.md) + - [One-shot timer](09-clocks-and-timers/one-shot-timer.md) + - [Initialization](09-clocks-and-timers/initialization.md) + - [Busy waiting](09-clocks-and-timers/busy-waiting.md) + - [Putting it all together](09-clocks-and-timers/putting-it-all-together.md) +- [Serial communication](10-serial-communication/README.md) + - [\*nix tooling](10-serial-communication/nix-tooling.md) + - [Windows tooling](10-serial-communication/windows-tooling.md) + - [Loopbacks](10-serial-communication/loopbacks.md) +- [USART](11-usart/README.md) + - [Send a single byte](11-usart/send-a-single-byte.md) + - [Send a string](11-usart/send-a-string.md) + - [Buffer overrun](11-usart/buffer-overrun.md) + - [`uprintln!`](11-usart/uprintln.md) + - [Receive a single byte](11-usart/receive-a-single-byte.md) + - [Echo server](11-usart/echo-server.md) + - [Reverse a string](11-usart/reverse-a-string.md) + - [My solution](11-usart/my-solution.md) +- [Bluetooth setup](12-bluetooth-setup/README.md) + - [Linux](12-bluetooth-setup/linux.md) + - [Loopback](12-bluetooth-setup/loopback.md) + - [AT commands](12-bluetooth-setup/at-commands.md) +- [Serial over Bluetooth](13-serial-over-bluetooth/README.md) +- [I2C](14-i2c/README.md) + - [The general protocol](14-i2c/the-general-protocol.md) + - [LSM303DLHC](14-i2c/lsm303dlhc.md) + - [Read a single register](14-i2c/read-a-single-register.md) + - [The solution](14-i2c/the-solution.md) + - [Read several registers](14-i2c/read-several-registers.md) +- [LED compass](15-led-compass/README.md) + - [Take 1](15-led-compass/take-1.md) + - [Solution 1](15-led-compass/solution-1.md) + - [Take 2](15-led-compass/take-2.md) + - [Solution 2](15-led-compass/solution-2.md) + - [Magnitude](15-led-compass/magnitude.md) + - [Calibration](15-led-compass/calibration.md) +- [Punch-o-meter](16-punch-o-meter/README.md) + - [Gravity is up?](16-punch-o-meter/gravity-is-up.md) + - [The challenge](16-punch-o-meter/the-challenge.md) + - [My solution](16-punch-o-meter/my-solution.md) +- [What's left for you to explore](explore.md) + +--- + +[General troubleshooting](appendix/1-general-troubleshooting/README.md) +[How to use GDB](appendix/2-how-to-use-gdb/README.md) + + + + + + + + + +--- diff --git a/src/WIP-async-io-the-future/.cargo/config b/f3discovery/src/WIP-async-io-the-future/.cargo/config similarity index 100% rename from src/WIP-async-io-the-future/.cargo/config rename to f3discovery/src/WIP-async-io-the-future/.cargo/config diff --git a/src/WIP-async-io-the-future/.gdbinit b/f3discovery/src/WIP-async-io-the-future/.gdbinit similarity index 100% rename from src/WIP-async-io-the-future/.gdbinit rename to f3discovery/src/WIP-async-io-the-future/.gdbinit diff --git a/src/WIP-async-io-the-future/Cargo.toml b/f3discovery/src/WIP-async-io-the-future/Cargo.toml similarity index 100% rename from src/WIP-async-io-the-future/Cargo.toml rename to f3discovery/src/WIP-async-io-the-future/Cargo.toml diff --git a/src/WIP-async-io-the-future/README.md b/f3discovery/src/WIP-async-io-the-future/README.md similarity index 100% rename from src/WIP-async-io-the-future/README.md rename to f3discovery/src/WIP-async-io-the-future/README.md diff --git a/src/WIP-async-io-the-future/another-challenge.md b/f3discovery/src/WIP-async-io-the-future/another-challenge.md similarity index 100% rename from src/WIP-async-io-the-future/another-challenge.md rename to f3discovery/src/WIP-async-io-the-future/another-challenge.md diff --git a/src/WIP-async-io-the-future/more-challenges.md b/f3discovery/src/WIP-async-io-the-future/more-challenges.md similarity index 100% rename from src/WIP-async-io-the-future/more-challenges.md rename to f3discovery/src/WIP-async-io-the-future/more-challenges.md diff --git a/src/WIP-async-io-the-future/my-other-solution.md b/f3discovery/src/WIP-async-io-the-future/my-other-solution.md similarity index 100% rename from src/WIP-async-io-the-future/my-other-solution.md rename to f3discovery/src/WIP-async-io-the-future/my-other-solution.md diff --git a/src/WIP-async-io-the-future/my-solution.md b/f3discovery/src/WIP-async-io-the-future/my-solution.md similarity index 100% rename from src/WIP-async-io-the-future/my-solution.md rename to f3discovery/src/WIP-async-io-the-future/my-solution.md diff --git a/src/WIP-async-io-the-future/pg/Cargo.toml b/f3discovery/src/WIP-async-io-the-future/pg/Cargo.toml similarity index 100% rename from src/WIP-async-io-the-future/pg/Cargo.toml rename to f3discovery/src/WIP-async-io-the-future/pg/Cargo.toml diff --git a/src/WIP-async-io-the-future/pg/src/lib.rs b/f3discovery/src/WIP-async-io-the-future/pg/src/lib.rs similarity index 100% rename from src/WIP-async-io-the-future/pg/src/lib.rs rename to f3discovery/src/WIP-async-io-the-future/pg/src/lib.rs diff --git a/src/WIP-async-io-the-future/serial.md b/f3discovery/src/WIP-async-io-the-future/serial.md similarity index 100% rename from src/WIP-async-io-the-future/serial.md rename to f3discovery/src/WIP-async-io-the-future/serial.md diff --git a/src/WIP-async-io-the-future/src/main.rs b/f3discovery/src/WIP-async-io-the-future/src/main.rs similarity index 100% rename from src/WIP-async-io-the-future/src/main.rs rename to f3discovery/src/WIP-async-io-the-future/src/main.rs diff --git a/src/WIP-async-io-the-future/the-challenge.md b/f3discovery/src/WIP-async-io-the-future/the-challenge.md similarity index 100% rename from src/WIP-async-io-the-future/the-challenge.md rename to f3discovery/src/WIP-async-io-the-future/the-challenge.md diff --git a/src/WIP-async-io-the-future/timer.md b/f3discovery/src/WIP-async-io-the-future/timer.md similarity index 100% rename from src/WIP-async-io-the-future/timer.md rename to f3discovery/src/WIP-async-io-the-future/timer.md diff --git a/src/appendix/1-general-troubleshooting/README.md b/f3discovery/src/appendix/1-general-troubleshooting/README.md similarity index 100% rename from src/appendix/1-general-troubleshooting/README.md rename to f3discovery/src/appendix/1-general-troubleshooting/README.md diff --git a/src/appendix/2-how-to-use-gdb/README.md b/f3discovery/src/appendix/2-how-to-use-gdb/README.md similarity index 100% rename from src/appendix/2-how-to-use-gdb/README.md rename to f3discovery/src/appendix/2-how-to-use-gdb/README.md diff --git a/src/assets/bluetooth-serial.png b/f3discovery/src/assets/bluetooth-serial.png similarity index 100% rename from src/assets/bluetooth-serial.png rename to f3discovery/src/assets/bluetooth-serial.png diff --git a/src/assets/bluetooth.jpg b/f3discovery/src/assets/bluetooth.jpg similarity index 100% rename from src/assets/bluetooth.jpg rename to f3discovery/src/assets/bluetooth.jpg diff --git a/src/assets/emf.svg b/f3discovery/src/assets/emf.svg similarity index 100% rename from src/assets/emf.svg rename to f3discovery/src/assets/emf.svg diff --git a/src/assets/f3-bluetooth-loopback.png b/f3discovery/src/assets/f3-bluetooth-loopback.png similarity index 100% rename from src/assets/f3-bluetooth-loopback.png rename to f3discovery/src/assets/f3-bluetooth-loopback.png diff --git a/src/assets/f3-bluetooth-power-only.png b/f3discovery/src/assets/f3-bluetooth-power-only.png similarity index 100% rename from src/assets/f3-bluetooth-power-only.png rename to f3discovery/src/assets/f3-bluetooth-power-only.png diff --git a/src/assets/f3-bluetooth.png b/f3discovery/src/assets/f3-bluetooth.png similarity index 100% rename from src/assets/f3-bluetooth.png rename to f3discovery/src/assets/f3-bluetooth.png diff --git a/src/assets/f3-l3gd20.png b/f3discovery/src/assets/f3-l3gd20.png similarity index 100% rename from src/assets/f3-l3gd20.png rename to f3discovery/src/assets/f3-l3gd20.png diff --git a/src/assets/f3-lsm303dlhc.png b/f3discovery/src/assets/f3-lsm303dlhc.png similarity index 100% rename from src/assets/f3-lsm303dlhc.png rename to f3discovery/src/assets/f3-lsm303dlhc.png diff --git a/src/assets/f3-serial-production.png b/f3discovery/src/assets/f3-serial-production.png similarity index 100% rename from src/assets/f3-serial-production.png rename to f3discovery/src/assets/f3-serial-production.png diff --git a/src/assets/f3-serial.png b/f3discovery/src/assets/f3-serial.png similarity index 100% rename from src/assets/f3-serial.png rename to f3discovery/src/assets/f3-serial.png diff --git a/src/assets/f3-swd.png b/f3discovery/src/assets/f3-swd.png similarity index 100% rename from src/assets/f3-swd.png rename to f3discovery/src/assets/f3-swd.png diff --git a/src/assets/f3.jpg b/f3discovery/src/assets/f3.jpg similarity index 100% rename from src/assets/f3.jpg rename to f3discovery/src/assets/f3.jpg diff --git a/src/assets/gdb-layout-asm.png b/f3discovery/src/assets/gdb-layout-asm.png similarity index 100% rename from src/assets/gdb-layout-asm.png rename to f3discovery/src/assets/gdb-layout-asm.png diff --git a/src/assets/gdb-layout-split-1.png b/f3discovery/src/assets/gdb-layout-split-1.png similarity index 100% rename from src/assets/gdb-layout-split-1.png rename to f3discovery/src/assets/gdb-layout-split-1.png diff --git a/src/assets/gdb-layout-split-2.png b/f3discovery/src/assets/gdb-layout-split-2.png similarity index 100% rename from src/assets/gdb-layout-split-2.png rename to f3discovery/src/assets/gdb-layout-split-2.png diff --git a/src/assets/gdb-layout-split-3.png b/f3discovery/src/assets/gdb-layout-split-3.png similarity index 100% rename from src/assets/gdb-layout-split-3.png rename to f3discovery/src/assets/gdb-layout-split-3.png diff --git a/src/assets/gdb-layout-split-4.png b/f3discovery/src/assets/gdb-layout-split-4.png similarity index 100% rename from src/assets/gdb-layout-split-4.png rename to f3discovery/src/assets/gdb-layout-split-4.png diff --git a/src/assets/gdb-layout-src.png b/f3discovery/src/assets/gdb-layout-src.png similarity index 100% rename from src/assets/gdb-layout-src.png rename to f3discovery/src/assets/gdb-layout-src.png diff --git a/src/assets/integer32.svg b/f3discovery/src/assets/integer32.svg similarity index 100% rename from src/assets/integer32.svg rename to f3discovery/src/assets/integer32.svg diff --git a/src/assets/jumper-wires.jpg b/f3discovery/src/assets/jumper-wires.jpg similarity index 100% rename from src/assets/jumper-wires.jpg rename to f3discovery/src/assets/jumper-wires.jpg diff --git a/src/assets/minicom.png b/f3discovery/src/assets/minicom.png similarity index 100% rename from src/assets/minicom.png rename to f3discovery/src/assets/minicom.png diff --git a/src/assets/putty-console.png b/f3discovery/src/assets/putty-console.png similarity index 100% rename from src/assets/putty-console.png rename to f3discovery/src/assets/putty-console.png diff --git a/src/assets/putty-settings.png b/f3discovery/src/assets/putty-settings.png similarity index 100% rename from src/assets/putty-settings.png rename to f3discovery/src/assets/putty-settings.png diff --git a/src/assets/quadrant-i.png b/f3discovery/src/assets/quadrant-i.png similarity index 100% rename from src/assets/quadrant-i.png rename to f3discovery/src/assets/quadrant-i.png diff --git a/src/assets/quadrants.png b/f3discovery/src/assets/quadrants.png similarity index 100% rename from src/assets/quadrants.png rename to f3discovery/src/assets/quadrants.png diff --git a/src/assets/serial-loopback.png b/f3discovery/src/assets/serial-loopback.png similarity index 100% rename from src/assets/serial-loopback.png rename to f3discovery/src/assets/serial-loopback.png diff --git a/src/assets/serial.jpg b/f3discovery/src/assets/serial.jpg similarity index 100% rename from src/assets/serial.jpg rename to f3discovery/src/assets/serial.jpg diff --git a/src/assets/setup-windows.png b/f3discovery/src/assets/setup-windows.png similarity index 100% rename from src/assets/setup-windows.png rename to f3discovery/src/assets/setup-windows.png diff --git a/src/assets/st-link.png b/f3discovery/src/assets/st-link.png similarity index 100% rename from src/assets/st-link.png rename to f3discovery/src/assets/st-link.png diff --git a/src/assets/timing-diagram.png b/f3discovery/src/assets/timing-diagram.png similarity index 100% rename from src/assets/timing-diagram.png rename to f3discovery/src/assets/timing-diagram.png diff --git a/src/assets/usb-cable.jpg b/f3discovery/src/assets/usb-cable.jpg similarity index 100% rename from src/assets/usb-cable.jpg rename to f3discovery/src/assets/usb-cable.jpg diff --git a/src/explore.md b/f3discovery/src/explore.md similarity index 100% rename from src/explore.md rename to f3discovery/src/explore.md diff --git a/src/openocd.gdb b/f3discovery/src/openocd.gdb similarity index 100% rename from src/openocd.gdb rename to f3discovery/src/openocd.gdb diff --git a/ga.sh b/ga.sh deleted file mode 100644 index 7d14331b2..000000000 --- a/ga.sh +++ /dev/null @@ -1,24 +0,0 @@ -set -euxo pipefail - -GA_CODE=$(cat < - - -EOF -) - -GA_CODE=$(echo $GA_CODE | sed -e 's/\n//g') - -for f in $(find book -name '*.html'); do - echo $f - sed -i -e "s@\(\)@$GA_CODE\n\1@" $f -done diff --git a/src/README.md b/src/README.md index 4d9e20735..da6063756 100644 --- a/src/README.md +++ b/src/README.md @@ -1,79 +1,58 @@ -# Discovery +# `Discovery` -> Discover the world of microcontrollers through [Rust]! +Discover the world of microcontrollers through [Rust](https://www.rust-lang.org/)! -[Rust]: https://www.rust-lang.org/ +There are currently two versions of this book. The first is older and uses an +F3 Discovery circuit board to introduce you to microcontrollers and Rust, while +the second is newer and uses a micro:bit circuit board instead. -This book is an introductory course on microcontroller-based embedded systems that uses Rust as the -teaching language rather than the usual C/C++. +- [Read the newer book, using a micro:bit](https://docs.rust-embedded.org/discovery/microbit) +- [Read the older book, using an F3 discovery board](https://docs.rust-embedded.org/discovery/f3discovery) +- Start working on the examples from this repository +- You've got questions? + - Have a look at our [discussions section on + GitHub](https://github.com/rust-embedded/discovery/discussions) + - Maybe it has already been answered + - If not, start a new discussion +- You've found an issue? + - Have a look at our [issues on + GitHub](https://github.com/rust-embedded/discovery/issues) + - Maybe there is already a workaround + - If not, please open a new one - or even better - a [pull + request](https://github.com/rust-embedded/discovery/pulls) for solving + it +- Have fun and enjoy! -## Scope +This project is developed and maintained by the [Resources team][team]. -The following topics will be covered (eventually, I hope): +## License -- How to write, build, flash and debug an "embedded" (Rust) program. +The documentation is licensed under -- Functionality ("peripherals") commonly found in microcontrollers: Digital input and output, Pulse - Width Modulation (PWM), Analog to Digital Converters (ADC), common communication protocols like - Serial, I2C and SPI, etc. +- Creative Commons Attribution 4.0 License ([LICENSE-CC-BY](LICENSE-CC-BY) + or https://creativecommons.org/licenses/by/4.0/legalcode) -- Multitasking concepts: cooperative vs preemptive multitasking, interrupts, schedulers, etc. +And the source code is licensed under either of -- Control systems concepts: sensors, calibration, digital filters, actuators, open loop control, - closed loop control, etc. +- Apache License, Version 2.0 ([LICENSE-APACHE](LICENSE-APACHE) or + http://www.apache.org/licenses/LICENSE-2.0) -## Approach +- MIT License ([LICENSE-MIT](LICENSE-MIT) or + https://opensource.org/licenses/MIT) -- Beginner friendly. No previous experience with microcontrollers or embedded systems is required. +at your option. -- Hands on. Plenty of exercises to put the theory into practice. *You* will be doing most of the - work here. +### Contribution -- Tool centered. We'll make plenty use of tooling to ease development. "Real" debugging, with GDB, - and logging will be introduced early on. Using LEDs as a debugging mechanism has no place here. +Unless you explicitly state otherwise, any contribution intentionally submitted +for inclusion in the work by you, as defined in the Apache-2.0 license, shall be +licensed as above, without any additional terms or conditions. -## Non-goals +## Code of Conduct -What's out of scope for this book: +Contribution to this crate is organized under the terms of the [Rust Code of +Conduct][CoC], the maintainer of this crate, the [Resources team][team], promises +to intervene to uphold that code of conduct. -- Teaching Rust. There's plenty of material on that topic already. We'll focus on microcontrollers - and embedded systems. - -- Being a comprehensive text about electric circuit theory or electronics. We'll just cover the - minimum required to understand how some devices work. - -- Covering details such as linker scripts and the boot process. For example, we'll use existing tools - to help get your code onto your board, but not go into detail about how those tools work. - -Also I don't intend to port this material to other development boards; this book will make exclusive -use of the STM32F3DISCOVERY development board. - -## Reporting problems - -The source of this book is in [this repository]. If you encounter any typo or problem with the code -report it on the [issue tracker]. - -[this repository]: https://github.com/rust-embedded/discovery -[issue tracker]: https://github.com/rust-embedded/discovery/issues - -## Other embedded Rust resources - -This Discovery book is just one of several embedded Rust resources provided by the -[Embedded Working Group]. The full selection can be found at [The Embedded Rust Bookshelf]. This -includes the list of [Frequently Asked Questions]. - -[Embedded Working Group]: https://github.com/rust-embedded/wg -[The Embedded Rust Bookshelf]: https://docs.rust-embedded.org -[Frequently Asked Questions]: https://docs.rust-embedded.org/faq.html - -## Sponsored by - -

      - - - -

      - -Many thanks to [integer 32](http://integer32.com/) for sponsoring me to work on this book! Please -give them lots of work (they do Rust consulting!) so they'll have no choice but to hire more -Rustaceans <3. +[CoC]: CODE_OF_CONDUCT.md +[team]: https://github.com/rust-embedded/wg#the-resources-team diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 1f1b5788a..eede7bbdc 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -1,86 +1 @@ -[Introduction](README.md) -- [Background](01-background/README.md) -- [Hardware/knowledge requirements](02-requirements/README.md) -- [Setting up a development environment](03-setup/README.md) - - [Linux](03-setup/linux.md) - - [Windows](03-setup/windows.md) - - [macOS](03-setup/macos.md) - - [Verify the installation](03-setup/verify.md) -- [Meet your hardware](04-meet-your-hardware/README.md) -- [LED roulette](05-led-roulette/README.md) - - [Build it](05-led-roulette/build-it.md) - - [Flash it](05-led-roulette/flash-it.md) - - [Debug it](05-led-roulette/debug-it.md) - - [The `led` and `delay` abstractions](05-led-roulette/the-led-and-delay-abstractions.md) - - [The challenge](05-led-roulette/the-challenge.md) - - [My solution](05-led-roulette/my-solution.md) -- [Hello, world!](06-hello-world/README.md) - - [`panic!`](06-hello-world/panic.md) -- [Registers](07-registers/README.md) - - [RTRM](07-registers/rtrm.md) - - [(mis)Optimization](07-registers/optimization.md) - - [`0xBAAAAAAD` address](07-registers/bad-address.md) - - [Spooky action at a distance](07-registers/spooky-action-at-a-distance.md) - - [Type safe manipulation](07-registers/type-safe-manipulation.md) -- [LEDs, again](08-leds-again/README.md) - - [Power](08-leds-again/power.md) - - [Configuration](08-leds-again/configuration.md) - - [The solution](08-leds-again/the-solution.md) -- [Clocks and timers](09-clocks-and-timers/README.md) - - [`for` loop delays](09-clocks-and-timers/for-loop-delays.md) - - [NOP](09-clocks-and-timers/nop.md) - - [One-shot timer](09-clocks-and-timers/one-shot-timer.md) - - [Initialization](09-clocks-and-timers/initialization.md) - - [Busy waiting](09-clocks-and-timers/busy-waiting.md) - - [Putting it all together](09-clocks-and-timers/putting-it-all-together.md) -- [Serial communication](10-serial-communication/README.md) - - [\*nix tooling](10-serial-communication/nix-tooling.md) - - [Windows tooling](10-serial-communication/windows-tooling.md) - - [Loopbacks](10-serial-communication/loopbacks.md) -- [USART](11-usart/README.md) - - [Send a single byte](11-usart/send-a-single-byte.md) - - [Send a string](11-usart/send-a-string.md) - - [Buffer overrun](11-usart/buffer-overrun.md) - - [`uprintln!`](11-usart/uprintln.md) - - [Receive a single byte](11-usart/receive-a-single-byte.md) - - [Echo server](11-usart/echo-server.md) - - [Reverse a string](11-usart/reverse-a-string.md) - - [My solution](11-usart/my-solution.md) -- [Bluetooth setup](12-bluetooth-setup/README.md) - - [Linux](12-bluetooth-setup/linux.md) - - [Loopback](12-bluetooth-setup/loopback.md) - - [AT commands](12-bluetooth-setup/at-commands.md) -- [Serial over Bluetooth](13-serial-over-bluetooth/README.md) -- [I2C](14-i2c/README.md) - - [The general protocol](14-i2c/the-general-protocol.md) - - [LSM303DLHC](14-i2c/lsm303dlhc.md) - - [Read a single register](14-i2c/read-a-single-register.md) - - [The solution](14-i2c/the-solution.md) - - [Read several registers](14-i2c/read-several-registers.md) -- [LED compass](15-led-compass/README.md) - - [Take 1](15-led-compass/take-1.md) - - [Solution 1](15-led-compass/solution-1.md) - - [Take 2](15-led-compass/take-2.md) - - [Solution 2](15-led-compass/solution-2.md) - - [Magnitude](15-led-compass/magnitude.md) - - [Calibration](15-led-compass/calibration.md) -- [Punch-o-meter](16-punch-o-meter/README.md) - - [Gravity is up?](16-punch-o-meter/gravity-is-up.md) - - [The challenge](16-punch-o-meter/the-challenge.md) - - [My solution](16-punch-o-meter/my-solution.md) -- [What's left for you to explore](explore.md) - ---- - -[General troubleshooting](appendix/1-general-troubleshooting/README.md) -[How to use GDB](appendix/2-how-to-use-gdb/README.md) - - - - - - - - - ---- +[Choose Your Discovery](README.md) From 393684fd4b240992cb2d0b82cd742dc6deac39b7 Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Thu, 18 Nov 2021 21:06:21 +0000 Subject: [PATCH 283/313] Move new microbit book into its own directory --- book.toml => microbit/book.toml | 0 {src => microbit/src}/01-background/README.md | 0 .../src}/02-requirements/README.md | 0 {src => microbit/src}/03-setup/.cargo/config | 0 {src => microbit/src}/03-setup/Cargo.toml | 0 {src => microbit/src}/03-setup/Embed.toml | 0 {src => microbit/src}/03-setup/README.md | 0 {src => microbit/src}/03-setup/build.rs | 0 {src => microbit/src}/03-setup/linux.md | 0 {src => microbit/src}/03-setup/macos.md | 0 {src => microbit/src}/03-setup/memory.x | 0 {src => microbit/src}/03-setup/src/main.rs | 0 {src => microbit/src}/03-setup/verify.md | 0 {src => microbit/src}/03-setup/windows.md | 0 .../src}/04-meet-your-hardware/README.md | 0 .../src}/04-meet-your-hardware/microbit-v1.md | 0 .../src}/04-meet-your-hardware/microbit-v2.md | 0 .../src}/04-meet-your-hardware/terminology.md | 0 .../src}/05-led-roulette/.cargo/config | 0 .../src}/05-led-roulette/Cargo.toml | 0 .../src}/05-led-roulette/Embed.toml | 0 .../src}/05-led-roulette/README.md | 0 .../src}/05-led-roulette/build-it.md | 0 .../src}/05-led-roulette/build.rs | 0 .../src}/05-led-roulette/debug-it.md | 0 .../src}/05-led-roulette/flash-it.md | 0 .../src}/05-led-roulette/it-blinks.md | 0 .../src}/05-led-roulette/light-it-up.md | 0 .../src}/05-led-roulette/memory.x | 0 .../src}/05-led-roulette/my-solution.md | 0 .../src}/05-led-roulette/src/main.rs | 0 .../src}/05-led-roulette/the-challenge.md | 0 .../src}/06-serial-communication/README.md | 0 .../06-serial-communication/nix-tooling.md | 0 .../windows-tooling.md | 0 {src => microbit/src}/07-uart/.cargo/config | 0 {src => microbit/src}/07-uart/Cargo.toml | 0 {src => microbit/src}/07-uart/Embed.toml | 0 {src => microbit/src}/07-uart/README.md | 0 {src => microbit/src}/07-uart/build.rs | 0 {src => microbit/src}/07-uart/echo-server.md | 0 {src => microbit/src}/07-uart/memory.x | 0 {src => microbit/src}/07-uart/my-solution.md | 0 .../src}/07-uart/naive-approch-write.md | 0 .../src}/07-uart/receive-a-single-byte.md | 0 .../src}/07-uart/reverse-a-string.md | 0 .../src}/07-uart/send-a-single-byte.md | 0 .../src}/07-uart/send-a-string.md | 0 {src => microbit/src}/07-uart/src/main.rs | 0 .../src}/07-uart/src/serial_setup.rs | 0 {src => microbit/src}/08-i2c/.cargo/config | 0 {src => microbit/src}/08-i2c/Cargo.toml | 0 {src => microbit/src}/08-i2c/Embed.toml | 0 {src => microbit/src}/08-i2c/README.md | 0 {src => microbit/src}/08-i2c/build.rs | 0 {src => microbit/src}/08-i2c/lsm303agr.md | 0 {src => microbit/src}/08-i2c/memory.x | 0 {src => microbit/src}/08-i2c/my-solution.md | 0 .../src}/08-i2c/read-a-single-register.md | 0 {src => microbit/src}/08-i2c/src/main.rs | 0 .../src}/08-i2c/src/serial_setup.rs | 0 {src => microbit/src}/08-i2c/the-challenge.md | 0 .../src}/08-i2c/the-general-protocol.md | 0 .../src}/08-i2c/using-a-driver.md | 0 .../src}/09-led-compass/.cargo/config | 0 .../src}/09-led-compass/Cargo.toml | 0 .../src}/09-led-compass/Embed.toml | 0 .../src}/09-led-compass/README.md | 0 {src => microbit/src}/09-led-compass/build.rs | 0 .../src}/09-led-compass/calibration.md | 0 .../src}/09-led-compass/magnitude.md | 0 {src => microbit/src}/09-led-compass/memory.x | 0 .../src}/09-led-compass/solution-1.md | 0 .../src}/09-led-compass/solution-2.md | 0 .../src}/09-led-compass/src/calibration.rs | 0 .../src}/09-led-compass/src/led.rs | 0 .../src}/09-led-compass/src/main.rs | 0 .../src}/09-led-compass/take-1.md | 0 .../src}/09-led-compass/take-2.md | 0 {src => microbit/src}/09-led-compass/target | 0 .../src}/10-punch-o-meter/.cargo/config | 0 .../src}/10-punch-o-meter/Cargo.toml | 0 .../src}/10-punch-o-meter/Embed.toml | 0 .../src}/10-punch-o-meter/README.md | 0 .../src}/10-punch-o-meter/build.rs | 0 .../src}/10-punch-o-meter/gravity-is-up.md | 0 .../src}/10-punch-o-meter/memory.x | 0 .../src}/10-punch-o-meter/my-solution.md | 0 .../src}/10-punch-o-meter/src/main.rs | 0 {src => microbit/src}/10-punch-o-meter/target | 0 .../src}/10-punch-o-meter/the-challenge.md | 0 {src => microbit/src}/Cargo.toml | 0 microbit/src/README.md | 67 +++++++++++++++++ microbit/src/SUMMARY.md | 69 ++++++++++++++++++ .../1-general-troubleshooting/README.md | 0 .../src}/appendix/2-how-to-use-gdb/README.md | 0 .../src}/assets/gdb-layout-asm.png | Bin .../src}/assets/gdb-layout-src.png | Bin {src => microbit/src}/assets/microbit-v2.jpg | Bin .../src}/assets/roulette_fast.mp4 | Bin .../src}/assets/roulette_slow.mp4 | Bin {src => microbit/src}/assets/usb-cable.jpg | Bin {src => microbit/src}/explore.md | 0 src/README.md | 44 ----------- src/SUMMARY.md | 68 ----------------- 105 files changed, 136 insertions(+), 112 deletions(-) rename book.toml => microbit/book.toml (100%) rename {src => microbit/src}/01-background/README.md (100%) rename {src => microbit/src}/02-requirements/README.md (100%) rename {src => microbit/src}/03-setup/.cargo/config (100%) rename {src => microbit/src}/03-setup/Cargo.toml (100%) rename {src => microbit/src}/03-setup/Embed.toml (100%) rename {src => microbit/src}/03-setup/README.md (100%) rename {src => microbit/src}/03-setup/build.rs (100%) rename {src => microbit/src}/03-setup/linux.md (100%) rename {src => microbit/src}/03-setup/macos.md (100%) rename {src => microbit/src}/03-setup/memory.x (100%) rename {src => microbit/src}/03-setup/src/main.rs (100%) rename {src => microbit/src}/03-setup/verify.md (100%) rename {src => microbit/src}/03-setup/windows.md (100%) rename {src => microbit/src}/04-meet-your-hardware/README.md (100%) rename {src => microbit/src}/04-meet-your-hardware/microbit-v1.md (100%) rename {src => microbit/src}/04-meet-your-hardware/microbit-v2.md (100%) rename {src => microbit/src}/04-meet-your-hardware/terminology.md (100%) rename {src => microbit/src}/05-led-roulette/.cargo/config (100%) rename {src => microbit/src}/05-led-roulette/Cargo.toml (100%) rename {src => microbit/src}/05-led-roulette/Embed.toml (100%) rename {src => microbit/src}/05-led-roulette/README.md (100%) rename {src => microbit/src}/05-led-roulette/build-it.md (100%) rename {src => microbit/src}/05-led-roulette/build.rs (100%) rename {src => microbit/src}/05-led-roulette/debug-it.md (100%) rename {src => microbit/src}/05-led-roulette/flash-it.md (100%) rename {src => microbit/src}/05-led-roulette/it-blinks.md (100%) rename {src => microbit/src}/05-led-roulette/light-it-up.md (100%) rename {src => microbit/src}/05-led-roulette/memory.x (100%) rename {src => microbit/src}/05-led-roulette/my-solution.md (100%) rename {src => microbit/src}/05-led-roulette/src/main.rs (100%) rename {src => microbit/src}/05-led-roulette/the-challenge.md (100%) rename {src => microbit/src}/06-serial-communication/README.md (100%) rename {src => microbit/src}/06-serial-communication/nix-tooling.md (100%) rename {src => microbit/src}/06-serial-communication/windows-tooling.md (100%) rename {src => microbit/src}/07-uart/.cargo/config (100%) rename {src => microbit/src}/07-uart/Cargo.toml (100%) rename {src => microbit/src}/07-uart/Embed.toml (100%) rename {src => microbit/src}/07-uart/README.md (100%) rename {src => microbit/src}/07-uart/build.rs (100%) rename {src => microbit/src}/07-uart/echo-server.md (100%) rename {src => microbit/src}/07-uart/memory.x (100%) rename {src => microbit/src}/07-uart/my-solution.md (100%) rename {src => microbit/src}/07-uart/naive-approch-write.md (100%) rename {src => microbit/src}/07-uart/receive-a-single-byte.md (100%) rename {src => microbit/src}/07-uart/reverse-a-string.md (100%) rename {src => microbit/src}/07-uart/send-a-single-byte.md (100%) rename {src => microbit/src}/07-uart/send-a-string.md (100%) rename {src => microbit/src}/07-uart/src/main.rs (100%) rename {src => microbit/src}/07-uart/src/serial_setup.rs (100%) rename {src => microbit/src}/08-i2c/.cargo/config (100%) rename {src => microbit/src}/08-i2c/Cargo.toml (100%) rename {src => microbit/src}/08-i2c/Embed.toml (100%) rename {src => microbit/src}/08-i2c/README.md (100%) rename {src => microbit/src}/08-i2c/build.rs (100%) rename {src => microbit/src}/08-i2c/lsm303agr.md (100%) rename {src => microbit/src}/08-i2c/memory.x (100%) rename {src => microbit/src}/08-i2c/my-solution.md (100%) rename {src => microbit/src}/08-i2c/read-a-single-register.md (100%) rename {src => microbit/src}/08-i2c/src/main.rs (100%) rename {src => microbit/src}/08-i2c/src/serial_setup.rs (100%) rename {src => microbit/src}/08-i2c/the-challenge.md (100%) rename {src => microbit/src}/08-i2c/the-general-protocol.md (100%) rename {src => microbit/src}/08-i2c/using-a-driver.md (100%) rename {src => microbit/src}/09-led-compass/.cargo/config (100%) rename {src => microbit/src}/09-led-compass/Cargo.toml (100%) rename {src => microbit/src}/09-led-compass/Embed.toml (100%) rename {src => microbit/src}/09-led-compass/README.md (100%) rename {src => microbit/src}/09-led-compass/build.rs (100%) rename {src => microbit/src}/09-led-compass/calibration.md (100%) rename {src => microbit/src}/09-led-compass/magnitude.md (100%) rename {src => microbit/src}/09-led-compass/memory.x (100%) rename {src => microbit/src}/09-led-compass/solution-1.md (100%) rename {src => microbit/src}/09-led-compass/solution-2.md (100%) rename {src => microbit/src}/09-led-compass/src/calibration.rs (100%) rename {src => microbit/src}/09-led-compass/src/led.rs (100%) rename {src => microbit/src}/09-led-compass/src/main.rs (100%) rename {src => microbit/src}/09-led-compass/take-1.md (100%) rename {src => microbit/src}/09-led-compass/take-2.md (100%) rename {src => microbit/src}/09-led-compass/target (100%) rename {src => microbit/src}/10-punch-o-meter/.cargo/config (100%) rename {src => microbit/src}/10-punch-o-meter/Cargo.toml (100%) rename {src => microbit/src}/10-punch-o-meter/Embed.toml (100%) rename {src => microbit/src}/10-punch-o-meter/README.md (100%) rename {src => microbit/src}/10-punch-o-meter/build.rs (100%) rename {src => microbit/src}/10-punch-o-meter/gravity-is-up.md (100%) rename {src => microbit/src}/10-punch-o-meter/memory.x (100%) rename {src => microbit/src}/10-punch-o-meter/my-solution.md (100%) rename {src => microbit/src}/10-punch-o-meter/src/main.rs (100%) rename {src => microbit/src}/10-punch-o-meter/target (100%) rename {src => microbit/src}/10-punch-o-meter/the-challenge.md (100%) rename {src => microbit/src}/Cargo.toml (100%) create mode 100644 microbit/src/README.md create mode 100644 microbit/src/SUMMARY.md rename {src => microbit/src}/appendix/1-general-troubleshooting/README.md (100%) rename {src => microbit/src}/appendix/2-how-to-use-gdb/README.md (100%) rename {src => microbit/src}/assets/gdb-layout-asm.png (100%) rename {src => microbit/src}/assets/gdb-layout-src.png (100%) rename {src => microbit/src}/assets/microbit-v2.jpg (100%) rename {src => microbit/src}/assets/roulette_fast.mp4 (100%) rename {src => microbit/src}/assets/roulette_slow.mp4 (100%) rename {src => microbit/src}/assets/usb-cable.jpg (100%) rename {src => microbit/src}/explore.md (100%) diff --git a/book.toml b/microbit/book.toml similarity index 100% rename from book.toml rename to microbit/book.toml diff --git a/src/01-background/README.md b/microbit/src/01-background/README.md similarity index 100% rename from src/01-background/README.md rename to microbit/src/01-background/README.md diff --git a/src/02-requirements/README.md b/microbit/src/02-requirements/README.md similarity index 100% rename from src/02-requirements/README.md rename to microbit/src/02-requirements/README.md diff --git a/src/03-setup/.cargo/config b/microbit/src/03-setup/.cargo/config similarity index 100% rename from src/03-setup/.cargo/config rename to microbit/src/03-setup/.cargo/config diff --git a/src/03-setup/Cargo.toml b/microbit/src/03-setup/Cargo.toml similarity index 100% rename from src/03-setup/Cargo.toml rename to microbit/src/03-setup/Cargo.toml diff --git a/src/03-setup/Embed.toml b/microbit/src/03-setup/Embed.toml similarity index 100% rename from src/03-setup/Embed.toml rename to microbit/src/03-setup/Embed.toml diff --git a/src/03-setup/README.md b/microbit/src/03-setup/README.md similarity index 100% rename from src/03-setup/README.md rename to microbit/src/03-setup/README.md diff --git a/src/03-setup/build.rs b/microbit/src/03-setup/build.rs similarity index 100% rename from src/03-setup/build.rs rename to microbit/src/03-setup/build.rs diff --git a/src/03-setup/linux.md b/microbit/src/03-setup/linux.md similarity index 100% rename from src/03-setup/linux.md rename to microbit/src/03-setup/linux.md diff --git a/src/03-setup/macos.md b/microbit/src/03-setup/macos.md similarity index 100% rename from src/03-setup/macos.md rename to microbit/src/03-setup/macos.md diff --git a/src/03-setup/memory.x b/microbit/src/03-setup/memory.x similarity index 100% rename from src/03-setup/memory.x rename to microbit/src/03-setup/memory.x diff --git a/src/03-setup/src/main.rs b/microbit/src/03-setup/src/main.rs similarity index 100% rename from src/03-setup/src/main.rs rename to microbit/src/03-setup/src/main.rs diff --git a/src/03-setup/verify.md b/microbit/src/03-setup/verify.md similarity index 100% rename from src/03-setup/verify.md rename to microbit/src/03-setup/verify.md diff --git a/src/03-setup/windows.md b/microbit/src/03-setup/windows.md similarity index 100% rename from src/03-setup/windows.md rename to microbit/src/03-setup/windows.md diff --git a/src/04-meet-your-hardware/README.md b/microbit/src/04-meet-your-hardware/README.md similarity index 100% rename from src/04-meet-your-hardware/README.md rename to microbit/src/04-meet-your-hardware/README.md diff --git a/src/04-meet-your-hardware/microbit-v1.md b/microbit/src/04-meet-your-hardware/microbit-v1.md similarity index 100% rename from src/04-meet-your-hardware/microbit-v1.md rename to microbit/src/04-meet-your-hardware/microbit-v1.md diff --git a/src/04-meet-your-hardware/microbit-v2.md b/microbit/src/04-meet-your-hardware/microbit-v2.md similarity index 100% rename from src/04-meet-your-hardware/microbit-v2.md rename to microbit/src/04-meet-your-hardware/microbit-v2.md diff --git a/src/04-meet-your-hardware/terminology.md b/microbit/src/04-meet-your-hardware/terminology.md similarity index 100% rename from src/04-meet-your-hardware/terminology.md rename to microbit/src/04-meet-your-hardware/terminology.md diff --git a/src/05-led-roulette/.cargo/config b/microbit/src/05-led-roulette/.cargo/config similarity index 100% rename from src/05-led-roulette/.cargo/config rename to microbit/src/05-led-roulette/.cargo/config diff --git a/src/05-led-roulette/Cargo.toml b/microbit/src/05-led-roulette/Cargo.toml similarity index 100% rename from src/05-led-roulette/Cargo.toml rename to microbit/src/05-led-roulette/Cargo.toml diff --git a/src/05-led-roulette/Embed.toml b/microbit/src/05-led-roulette/Embed.toml similarity index 100% rename from src/05-led-roulette/Embed.toml rename to microbit/src/05-led-roulette/Embed.toml diff --git a/src/05-led-roulette/README.md b/microbit/src/05-led-roulette/README.md similarity index 100% rename from src/05-led-roulette/README.md rename to microbit/src/05-led-roulette/README.md diff --git a/src/05-led-roulette/build-it.md b/microbit/src/05-led-roulette/build-it.md similarity index 100% rename from src/05-led-roulette/build-it.md rename to microbit/src/05-led-roulette/build-it.md diff --git a/src/05-led-roulette/build.rs b/microbit/src/05-led-roulette/build.rs similarity index 100% rename from src/05-led-roulette/build.rs rename to microbit/src/05-led-roulette/build.rs diff --git a/src/05-led-roulette/debug-it.md b/microbit/src/05-led-roulette/debug-it.md similarity index 100% rename from src/05-led-roulette/debug-it.md rename to microbit/src/05-led-roulette/debug-it.md diff --git a/src/05-led-roulette/flash-it.md b/microbit/src/05-led-roulette/flash-it.md similarity index 100% rename from src/05-led-roulette/flash-it.md rename to microbit/src/05-led-roulette/flash-it.md diff --git a/src/05-led-roulette/it-blinks.md b/microbit/src/05-led-roulette/it-blinks.md similarity index 100% rename from src/05-led-roulette/it-blinks.md rename to microbit/src/05-led-roulette/it-blinks.md diff --git a/src/05-led-roulette/light-it-up.md b/microbit/src/05-led-roulette/light-it-up.md similarity index 100% rename from src/05-led-roulette/light-it-up.md rename to microbit/src/05-led-roulette/light-it-up.md diff --git a/src/05-led-roulette/memory.x b/microbit/src/05-led-roulette/memory.x similarity index 100% rename from src/05-led-roulette/memory.x rename to microbit/src/05-led-roulette/memory.x diff --git a/src/05-led-roulette/my-solution.md b/microbit/src/05-led-roulette/my-solution.md similarity index 100% rename from src/05-led-roulette/my-solution.md rename to microbit/src/05-led-roulette/my-solution.md diff --git a/src/05-led-roulette/src/main.rs b/microbit/src/05-led-roulette/src/main.rs similarity index 100% rename from src/05-led-roulette/src/main.rs rename to microbit/src/05-led-roulette/src/main.rs diff --git a/src/05-led-roulette/the-challenge.md b/microbit/src/05-led-roulette/the-challenge.md similarity index 100% rename from src/05-led-roulette/the-challenge.md rename to microbit/src/05-led-roulette/the-challenge.md diff --git a/src/06-serial-communication/README.md b/microbit/src/06-serial-communication/README.md similarity index 100% rename from src/06-serial-communication/README.md rename to microbit/src/06-serial-communication/README.md diff --git a/src/06-serial-communication/nix-tooling.md b/microbit/src/06-serial-communication/nix-tooling.md similarity index 100% rename from src/06-serial-communication/nix-tooling.md rename to microbit/src/06-serial-communication/nix-tooling.md diff --git a/src/06-serial-communication/windows-tooling.md b/microbit/src/06-serial-communication/windows-tooling.md similarity index 100% rename from src/06-serial-communication/windows-tooling.md rename to microbit/src/06-serial-communication/windows-tooling.md diff --git a/src/07-uart/.cargo/config b/microbit/src/07-uart/.cargo/config similarity index 100% rename from src/07-uart/.cargo/config rename to microbit/src/07-uart/.cargo/config diff --git a/src/07-uart/Cargo.toml b/microbit/src/07-uart/Cargo.toml similarity index 100% rename from src/07-uart/Cargo.toml rename to microbit/src/07-uart/Cargo.toml diff --git a/src/07-uart/Embed.toml b/microbit/src/07-uart/Embed.toml similarity index 100% rename from src/07-uart/Embed.toml rename to microbit/src/07-uart/Embed.toml diff --git a/src/07-uart/README.md b/microbit/src/07-uart/README.md similarity index 100% rename from src/07-uart/README.md rename to microbit/src/07-uart/README.md diff --git a/src/07-uart/build.rs b/microbit/src/07-uart/build.rs similarity index 100% rename from src/07-uart/build.rs rename to microbit/src/07-uart/build.rs diff --git a/src/07-uart/echo-server.md b/microbit/src/07-uart/echo-server.md similarity index 100% rename from src/07-uart/echo-server.md rename to microbit/src/07-uart/echo-server.md diff --git a/src/07-uart/memory.x b/microbit/src/07-uart/memory.x similarity index 100% rename from src/07-uart/memory.x rename to microbit/src/07-uart/memory.x diff --git a/src/07-uart/my-solution.md b/microbit/src/07-uart/my-solution.md similarity index 100% rename from src/07-uart/my-solution.md rename to microbit/src/07-uart/my-solution.md diff --git a/src/07-uart/naive-approch-write.md b/microbit/src/07-uart/naive-approch-write.md similarity index 100% rename from src/07-uart/naive-approch-write.md rename to microbit/src/07-uart/naive-approch-write.md diff --git a/src/07-uart/receive-a-single-byte.md b/microbit/src/07-uart/receive-a-single-byte.md similarity index 100% rename from src/07-uart/receive-a-single-byte.md rename to microbit/src/07-uart/receive-a-single-byte.md diff --git a/src/07-uart/reverse-a-string.md b/microbit/src/07-uart/reverse-a-string.md similarity index 100% rename from src/07-uart/reverse-a-string.md rename to microbit/src/07-uart/reverse-a-string.md diff --git a/src/07-uart/send-a-single-byte.md b/microbit/src/07-uart/send-a-single-byte.md similarity index 100% rename from src/07-uart/send-a-single-byte.md rename to microbit/src/07-uart/send-a-single-byte.md diff --git a/src/07-uart/send-a-string.md b/microbit/src/07-uart/send-a-string.md similarity index 100% rename from src/07-uart/send-a-string.md rename to microbit/src/07-uart/send-a-string.md diff --git a/src/07-uart/src/main.rs b/microbit/src/07-uart/src/main.rs similarity index 100% rename from src/07-uart/src/main.rs rename to microbit/src/07-uart/src/main.rs diff --git a/src/07-uart/src/serial_setup.rs b/microbit/src/07-uart/src/serial_setup.rs similarity index 100% rename from src/07-uart/src/serial_setup.rs rename to microbit/src/07-uart/src/serial_setup.rs diff --git a/src/08-i2c/.cargo/config b/microbit/src/08-i2c/.cargo/config similarity index 100% rename from src/08-i2c/.cargo/config rename to microbit/src/08-i2c/.cargo/config diff --git a/src/08-i2c/Cargo.toml b/microbit/src/08-i2c/Cargo.toml similarity index 100% rename from src/08-i2c/Cargo.toml rename to microbit/src/08-i2c/Cargo.toml diff --git a/src/08-i2c/Embed.toml b/microbit/src/08-i2c/Embed.toml similarity index 100% rename from src/08-i2c/Embed.toml rename to microbit/src/08-i2c/Embed.toml diff --git a/src/08-i2c/README.md b/microbit/src/08-i2c/README.md similarity index 100% rename from src/08-i2c/README.md rename to microbit/src/08-i2c/README.md diff --git a/src/08-i2c/build.rs b/microbit/src/08-i2c/build.rs similarity index 100% rename from src/08-i2c/build.rs rename to microbit/src/08-i2c/build.rs diff --git a/src/08-i2c/lsm303agr.md b/microbit/src/08-i2c/lsm303agr.md similarity index 100% rename from src/08-i2c/lsm303agr.md rename to microbit/src/08-i2c/lsm303agr.md diff --git a/src/08-i2c/memory.x b/microbit/src/08-i2c/memory.x similarity index 100% rename from src/08-i2c/memory.x rename to microbit/src/08-i2c/memory.x diff --git a/src/08-i2c/my-solution.md b/microbit/src/08-i2c/my-solution.md similarity index 100% rename from src/08-i2c/my-solution.md rename to microbit/src/08-i2c/my-solution.md diff --git a/src/08-i2c/read-a-single-register.md b/microbit/src/08-i2c/read-a-single-register.md similarity index 100% rename from src/08-i2c/read-a-single-register.md rename to microbit/src/08-i2c/read-a-single-register.md diff --git a/src/08-i2c/src/main.rs b/microbit/src/08-i2c/src/main.rs similarity index 100% rename from src/08-i2c/src/main.rs rename to microbit/src/08-i2c/src/main.rs diff --git a/src/08-i2c/src/serial_setup.rs b/microbit/src/08-i2c/src/serial_setup.rs similarity index 100% rename from src/08-i2c/src/serial_setup.rs rename to microbit/src/08-i2c/src/serial_setup.rs diff --git a/src/08-i2c/the-challenge.md b/microbit/src/08-i2c/the-challenge.md similarity index 100% rename from src/08-i2c/the-challenge.md rename to microbit/src/08-i2c/the-challenge.md diff --git a/src/08-i2c/the-general-protocol.md b/microbit/src/08-i2c/the-general-protocol.md similarity index 100% rename from src/08-i2c/the-general-protocol.md rename to microbit/src/08-i2c/the-general-protocol.md diff --git a/src/08-i2c/using-a-driver.md b/microbit/src/08-i2c/using-a-driver.md similarity index 100% rename from src/08-i2c/using-a-driver.md rename to microbit/src/08-i2c/using-a-driver.md diff --git a/src/09-led-compass/.cargo/config b/microbit/src/09-led-compass/.cargo/config similarity index 100% rename from src/09-led-compass/.cargo/config rename to microbit/src/09-led-compass/.cargo/config diff --git a/src/09-led-compass/Cargo.toml b/microbit/src/09-led-compass/Cargo.toml similarity index 100% rename from src/09-led-compass/Cargo.toml rename to microbit/src/09-led-compass/Cargo.toml diff --git a/src/09-led-compass/Embed.toml b/microbit/src/09-led-compass/Embed.toml similarity index 100% rename from src/09-led-compass/Embed.toml rename to microbit/src/09-led-compass/Embed.toml diff --git a/src/09-led-compass/README.md b/microbit/src/09-led-compass/README.md similarity index 100% rename from src/09-led-compass/README.md rename to microbit/src/09-led-compass/README.md diff --git a/src/09-led-compass/build.rs b/microbit/src/09-led-compass/build.rs similarity index 100% rename from src/09-led-compass/build.rs rename to microbit/src/09-led-compass/build.rs diff --git a/src/09-led-compass/calibration.md b/microbit/src/09-led-compass/calibration.md similarity index 100% rename from src/09-led-compass/calibration.md rename to microbit/src/09-led-compass/calibration.md diff --git a/src/09-led-compass/magnitude.md b/microbit/src/09-led-compass/magnitude.md similarity index 100% rename from src/09-led-compass/magnitude.md rename to microbit/src/09-led-compass/magnitude.md diff --git a/src/09-led-compass/memory.x b/microbit/src/09-led-compass/memory.x similarity index 100% rename from src/09-led-compass/memory.x rename to microbit/src/09-led-compass/memory.x diff --git a/src/09-led-compass/solution-1.md b/microbit/src/09-led-compass/solution-1.md similarity index 100% rename from src/09-led-compass/solution-1.md rename to microbit/src/09-led-compass/solution-1.md diff --git a/src/09-led-compass/solution-2.md b/microbit/src/09-led-compass/solution-2.md similarity index 100% rename from src/09-led-compass/solution-2.md rename to microbit/src/09-led-compass/solution-2.md diff --git a/src/09-led-compass/src/calibration.rs b/microbit/src/09-led-compass/src/calibration.rs similarity index 100% rename from src/09-led-compass/src/calibration.rs rename to microbit/src/09-led-compass/src/calibration.rs diff --git a/src/09-led-compass/src/led.rs b/microbit/src/09-led-compass/src/led.rs similarity index 100% rename from src/09-led-compass/src/led.rs rename to microbit/src/09-led-compass/src/led.rs diff --git a/src/09-led-compass/src/main.rs b/microbit/src/09-led-compass/src/main.rs similarity index 100% rename from src/09-led-compass/src/main.rs rename to microbit/src/09-led-compass/src/main.rs diff --git a/src/09-led-compass/take-1.md b/microbit/src/09-led-compass/take-1.md similarity index 100% rename from src/09-led-compass/take-1.md rename to microbit/src/09-led-compass/take-1.md diff --git a/src/09-led-compass/take-2.md b/microbit/src/09-led-compass/take-2.md similarity index 100% rename from src/09-led-compass/take-2.md rename to microbit/src/09-led-compass/take-2.md diff --git a/src/09-led-compass/target b/microbit/src/09-led-compass/target similarity index 100% rename from src/09-led-compass/target rename to microbit/src/09-led-compass/target diff --git a/src/10-punch-o-meter/.cargo/config b/microbit/src/10-punch-o-meter/.cargo/config similarity index 100% rename from src/10-punch-o-meter/.cargo/config rename to microbit/src/10-punch-o-meter/.cargo/config diff --git a/src/10-punch-o-meter/Cargo.toml b/microbit/src/10-punch-o-meter/Cargo.toml similarity index 100% rename from src/10-punch-o-meter/Cargo.toml rename to microbit/src/10-punch-o-meter/Cargo.toml diff --git a/src/10-punch-o-meter/Embed.toml b/microbit/src/10-punch-o-meter/Embed.toml similarity index 100% rename from src/10-punch-o-meter/Embed.toml rename to microbit/src/10-punch-o-meter/Embed.toml diff --git a/src/10-punch-o-meter/README.md b/microbit/src/10-punch-o-meter/README.md similarity index 100% rename from src/10-punch-o-meter/README.md rename to microbit/src/10-punch-o-meter/README.md diff --git a/src/10-punch-o-meter/build.rs b/microbit/src/10-punch-o-meter/build.rs similarity index 100% rename from src/10-punch-o-meter/build.rs rename to microbit/src/10-punch-o-meter/build.rs diff --git a/src/10-punch-o-meter/gravity-is-up.md b/microbit/src/10-punch-o-meter/gravity-is-up.md similarity index 100% rename from src/10-punch-o-meter/gravity-is-up.md rename to microbit/src/10-punch-o-meter/gravity-is-up.md diff --git a/src/10-punch-o-meter/memory.x b/microbit/src/10-punch-o-meter/memory.x similarity index 100% rename from src/10-punch-o-meter/memory.x rename to microbit/src/10-punch-o-meter/memory.x diff --git a/src/10-punch-o-meter/my-solution.md b/microbit/src/10-punch-o-meter/my-solution.md similarity index 100% rename from src/10-punch-o-meter/my-solution.md rename to microbit/src/10-punch-o-meter/my-solution.md diff --git a/src/10-punch-o-meter/src/main.rs b/microbit/src/10-punch-o-meter/src/main.rs similarity index 100% rename from src/10-punch-o-meter/src/main.rs rename to microbit/src/10-punch-o-meter/src/main.rs diff --git a/src/10-punch-o-meter/target b/microbit/src/10-punch-o-meter/target similarity index 100% rename from src/10-punch-o-meter/target rename to microbit/src/10-punch-o-meter/target diff --git a/src/10-punch-o-meter/the-challenge.md b/microbit/src/10-punch-o-meter/the-challenge.md similarity index 100% rename from src/10-punch-o-meter/the-challenge.md rename to microbit/src/10-punch-o-meter/the-challenge.md diff --git a/src/Cargo.toml b/microbit/src/Cargo.toml similarity index 100% rename from src/Cargo.toml rename to microbit/src/Cargo.toml diff --git a/microbit/src/README.md b/microbit/src/README.md new file mode 100644 index 000000000..76969055e --- /dev/null +++ b/microbit/src/README.md @@ -0,0 +1,67 @@ +# Discovery + +> Discover the world of microcontrollers through [Rust]! + +[Rust]: https://www.rust-lang.org/ + +This book is an introductory course on microcontroller-based embedded systems that uses Rust as the +teaching language rather than the usual C/C++. + +## Scope + +The following topics will be covered (eventually, I hope): + +- How to write, build, flash and debug an "embedded" (Rust) program. + +- Functionality ("peripherals") commonly found in microcontrollers: Digital input and output, Pulse + Width Modulation (PWM), Analog to Digital Converters (ADC), common communication protocols like + Serial, I2C and SPI, etc. + +- Multitasking concepts: cooperative vs preemptive multitasking, interrupts, schedulers, etc. + +- Control systems concepts: sensors, calibration, digital filters, actuators, open loop control, + closed loop control, etc. + +## Approach + +- Beginner friendly. No previous experience with microcontrollers or embedded systems is required. + +- Hands on. Plenty of exercises to put the theory into practice. *You* will be doing most of the + work here. + +- Tool centered. We'll make plenty use of tooling to ease development. "Real" debugging, with GDB, + and logging will be introduced early on. Using LEDs as a debugging mechanism has no place here. + +## Non-goals + +What's out of scope for this book: + +- Teaching Rust. There's plenty of material on that topic already. We'll focus on microcontrollers + and embedded systems. + +- Being a comprehensive text about electric circuit theory or electronics. We'll just cover the + minimum required to understand how some devices work. + +- Covering details such as linker scripts and the boot process. For example, we'll use existing tools + to help get your code onto your board, but not go into detail about how those tools work. + +Also I don't intend to port this material to other development boards; this book will make exclusive +use of the micro:bit development board. + +## Reporting problems + +The source of this book is in [this repository]. If you encounter any typo or problem with the code +report it on the [issue tracker]. + +[this repository]: https://github.com/rust-embedded/discovery +[issue tracker]: https://github.com/rust-embedded/discovery/issues + +## Other embedded Rust resources + +This Discovery book is just one of several embedded Rust resources provided by the +[Embedded Working Group]. The full selection can be found at [The Embedded Rust Bookshelf]. This +includes the list of [Frequently Asked Questions]. + +[Embedded Working Group]: https://github.com/rust-embedded/wg +[The Embedded Rust Bookshelf]: https://docs.rust-embedded.org +[Frequently Asked Questions]: https://docs.rust-embedded.org/faq.html diff --git a/microbit/src/SUMMARY.md b/microbit/src/SUMMARY.md new file mode 100644 index 000000000..9acaecec4 --- /dev/null +++ b/microbit/src/SUMMARY.md @@ -0,0 +1,69 @@ +<<<<<<< HEAD +[Choose Your Discovery](README.md) +======= +[Introduction](README.md) +- [Background](01-background/README.md) +- [Hardware/knowledge requirements](02-requirements/README.md) +- [Setting up a development environment](03-setup/README.md) + - [Linux](03-setup/linux.md) + - [Windows](03-setup/windows.md) + - [macOS](03-setup/macos.md) + - [Verify the installation](03-setup/verify.md) +- [Meet your hardware](04-meet-your-hardware/README.md) + - [micro:bit v2](04-meet-your-hardware/microbit-v2.md) + - [micro:bit v1](04-meet-your-hardware/microbit-v1.md) + - [Rust Embedded terminology](04-meet-your-hardware/terminology.md) +- [LED roulette](05-led-roulette/README.md) + - [Build it](05-led-roulette/build-it.md) + - [Flash it](05-led-roulette/flash-it.md) + - [Debug it](05-led-roulette/debug-it.md) + - [Light it up](05-led-roulette/light-it-up.md) + - [It blinks](05-led-roulette/it-blinks.md) + - [The challenge](05-led-roulette/the-challenge.md) + - [My solution](05-led-roulette/my-solution.md) +- [Serial communication](06-serial-communication/README.md) + - [\*nix tooling](06-serial-communication/nix-tooling.md) + - [Windows tooling](06-serial-communication/windows-tooling.md) +- [UART](07-uart/README.md) + - [Send a single byte](07-uart/send-a-single-byte.md) + - [Send a string](07-uart/send-a-string.md) + - [Naive approach and `write!`](07-uart/naive-approch-write.md) + - [Receive a single byte](07-uart/receive-a-single-byte.md) + - [Echo server](07-uart/echo-server.md) + - [Reverse a string](07-uart/reverse-a-string.md) + - [My solution](07-uart/my-solution.md) +- [I2C](08-i2c/README.md) + - [The general protocol](08-i2c/the-general-protocol.md) + - [LSM303AGR](08-i2c/lsm303agr.md) + - [Read a single register](08-i2c/read-a-single-register.md) + - [Using a driver](08-i2c/using-a-driver.md) + - [The challenge](08-i2c/the-challenge.md) + - [My solution](08-i2c/my-solution.md) +- [LED compass](09-led-compass/README.md) + - [Calibration](09-led-compass/calibration.md) + - [Take 1](09-led-compass/take-1.md) + - [Solution 1](09-led-compass/solution-1.md) + - [Take 2](09-led-compass/take-2.md) + - [Solution 2](09-led-compass/solution-2.md) + - [Magnitude](09-led-compass/magnitude.md) +- [Punch-o-meter](10-punch-o-meter/README.md) + - [Gravity is up?](10-punch-o-meter/gravity-is-up.md) + - [The challenge](10-punch-o-meter/the-challenge.md) + - [My solution](10-punch-o-meter/my-solution.md) +- [What's left for you to explore](explore.md) + +--- + +[General troubleshooting](appendix/1-general-troubleshooting/README.md) +[How to use GDB](appendix/2-how-to-use-gdb/README.md) + + + + + + + + + +--- +>>>>>>> rewrite diff --git a/src/appendix/1-general-troubleshooting/README.md b/microbit/src/appendix/1-general-troubleshooting/README.md similarity index 100% rename from src/appendix/1-general-troubleshooting/README.md rename to microbit/src/appendix/1-general-troubleshooting/README.md diff --git a/src/appendix/2-how-to-use-gdb/README.md b/microbit/src/appendix/2-how-to-use-gdb/README.md similarity index 100% rename from src/appendix/2-how-to-use-gdb/README.md rename to microbit/src/appendix/2-how-to-use-gdb/README.md diff --git a/src/assets/gdb-layout-asm.png b/microbit/src/assets/gdb-layout-asm.png similarity index 100% rename from src/assets/gdb-layout-asm.png rename to microbit/src/assets/gdb-layout-asm.png diff --git a/src/assets/gdb-layout-src.png b/microbit/src/assets/gdb-layout-src.png similarity index 100% rename from src/assets/gdb-layout-src.png rename to microbit/src/assets/gdb-layout-src.png diff --git a/src/assets/microbit-v2.jpg b/microbit/src/assets/microbit-v2.jpg similarity index 100% rename from src/assets/microbit-v2.jpg rename to microbit/src/assets/microbit-v2.jpg diff --git a/src/assets/roulette_fast.mp4 b/microbit/src/assets/roulette_fast.mp4 similarity index 100% rename from src/assets/roulette_fast.mp4 rename to microbit/src/assets/roulette_fast.mp4 diff --git a/src/assets/roulette_slow.mp4 b/microbit/src/assets/roulette_slow.mp4 similarity index 100% rename from src/assets/roulette_slow.mp4 rename to microbit/src/assets/roulette_slow.mp4 diff --git a/src/assets/usb-cable.jpg b/microbit/src/assets/usb-cable.jpg similarity index 100% rename from src/assets/usb-cable.jpg rename to microbit/src/assets/usb-cable.jpg diff --git a/src/explore.md b/microbit/src/explore.md similarity index 100% rename from src/explore.md rename to microbit/src/explore.md diff --git a/src/README.md b/src/README.md index e75515269..da6063756 100644 --- a/src/README.md +++ b/src/README.md @@ -54,49 +54,5 @@ Contribution to this crate is organized under the terms of the [Rust Code of Conduct][CoC], the maintainer of this crate, the [Resources team][team], promises to intervene to uphold that code of conduct. -<<<<<<< HEAD [CoC]: CODE_OF_CONDUCT.md [team]: https://github.com/rust-embedded/wg#the-resources-team -======= -- Teaching Rust. There's plenty of material on that topic already. We'll focus on microcontrollers - and embedded systems. - -- Being a comprehensive text about electric circuit theory or electronics. We'll just cover the - minimum required to understand how some devices work. - -- Covering details such as linker scripts and the boot process. For example, we'll use existing tools - to help get your code onto your board, but not go into detail about how those tools work. - -Also I don't intend to port this material to other development boards; this book will make exclusive -use of the micro:bit development board. - -## Reporting problems - -The source of this book is in [this repository]. If you encounter any typo or problem with the code -report it on the [issue tracker]. - -[this repository]: https://github.com/rust-embedded/discovery -[issue tracker]: https://github.com/rust-embedded/discovery/issues - -## Other embedded Rust resources - -This Discovery book is just one of several embedded Rust resources provided by the -[Embedded Working Group]. The full selection can be found at [The Embedded Rust Bookshelf]. This -includes the list of [Frequently Asked Questions]. - -[Embedded Working Group]: https://github.com/rust-embedded/wg -[The Embedded Rust Bookshelf]: https://docs.rust-embedded.org -[Frequently Asked Questions]: https://docs.rust-embedded.org/faq.html - -## Sponsored by - -

      - - - -

      - -Many thanks to [integer 32](http://integer32.com/) for sponsoring me to work on this book! Please -give them lots of work (they do Rust consulting!) so they'll have no choice but to hire more -Rustaceans <3. ->>>>>>> rewrite diff --git a/src/SUMMARY.md b/src/SUMMARY.md index 9acaecec4..eede7bbdc 100644 --- a/src/SUMMARY.md +++ b/src/SUMMARY.md @@ -1,69 +1 @@ -<<<<<<< HEAD [Choose Your Discovery](README.md) -======= -[Introduction](README.md) -- [Background](01-background/README.md) -- [Hardware/knowledge requirements](02-requirements/README.md) -- [Setting up a development environment](03-setup/README.md) - - [Linux](03-setup/linux.md) - - [Windows](03-setup/windows.md) - - [macOS](03-setup/macos.md) - - [Verify the installation](03-setup/verify.md) -- [Meet your hardware](04-meet-your-hardware/README.md) - - [micro:bit v2](04-meet-your-hardware/microbit-v2.md) - - [micro:bit v1](04-meet-your-hardware/microbit-v1.md) - - [Rust Embedded terminology](04-meet-your-hardware/terminology.md) -- [LED roulette](05-led-roulette/README.md) - - [Build it](05-led-roulette/build-it.md) - - [Flash it](05-led-roulette/flash-it.md) - - [Debug it](05-led-roulette/debug-it.md) - - [Light it up](05-led-roulette/light-it-up.md) - - [It blinks](05-led-roulette/it-blinks.md) - - [The challenge](05-led-roulette/the-challenge.md) - - [My solution](05-led-roulette/my-solution.md) -- [Serial communication](06-serial-communication/README.md) - - [\*nix tooling](06-serial-communication/nix-tooling.md) - - [Windows tooling](06-serial-communication/windows-tooling.md) -- [UART](07-uart/README.md) - - [Send a single byte](07-uart/send-a-single-byte.md) - - [Send a string](07-uart/send-a-string.md) - - [Naive approach and `write!`](07-uart/naive-approch-write.md) - - [Receive a single byte](07-uart/receive-a-single-byte.md) - - [Echo server](07-uart/echo-server.md) - - [Reverse a string](07-uart/reverse-a-string.md) - - [My solution](07-uart/my-solution.md) -- [I2C](08-i2c/README.md) - - [The general protocol](08-i2c/the-general-protocol.md) - - [LSM303AGR](08-i2c/lsm303agr.md) - - [Read a single register](08-i2c/read-a-single-register.md) - - [Using a driver](08-i2c/using-a-driver.md) - - [The challenge](08-i2c/the-challenge.md) - - [My solution](08-i2c/my-solution.md) -- [LED compass](09-led-compass/README.md) - - [Calibration](09-led-compass/calibration.md) - - [Take 1](09-led-compass/take-1.md) - - [Solution 1](09-led-compass/solution-1.md) - - [Take 2](09-led-compass/take-2.md) - - [Solution 2](09-led-compass/solution-2.md) - - [Magnitude](09-led-compass/magnitude.md) -- [Punch-o-meter](10-punch-o-meter/README.md) - - [Gravity is up?](10-punch-o-meter/gravity-is-up.md) - - [The challenge](10-punch-o-meter/the-challenge.md) - - [My solution](10-punch-o-meter/my-solution.md) -- [What's left for you to explore](explore.md) - ---- - -[General troubleshooting](appendix/1-general-troubleshooting/README.md) -[How to use GDB](appendix/2-how-to-use-gdb/README.md) - - - - - - - - - ---- ->>>>>>> rewrite From cb2bfa0a92e94a25cecd69909ec4bf69b8c62656 Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Thu, 18 Nov 2021 21:07:32 +0000 Subject: [PATCH 284/313] Strip some README cruft from new front page. --- src/README.md | 34 ---------------------------------- 1 file changed, 34 deletions(-) diff --git a/src/README.md b/src/README.md index da6063756..701d75564 100644 --- a/src/README.md +++ b/src/README.md @@ -22,37 +22,3 @@ the second is newer and uses a micro:bit circuit board instead. request](https://github.com/rust-embedded/discovery/pulls) for solving it - Have fun and enjoy! - -This project is developed and maintained by the [Resources team][team]. - -## License - -The documentation is licensed under - -- Creative Commons Attribution 4.0 License ([LICENSE-CC-BY](LICENSE-CC-BY) - or https://creativecommons.org/licenses/by/4.0/legalcode) - -And the source code is licensed under either of - -- Apache License, Version 2.0 ([LICENSE-APACHE](LICENSE-APACHE) or - http://www.apache.org/licenses/LICENSE-2.0) - -- MIT License ([LICENSE-MIT](LICENSE-MIT) or - https://opensource.org/licenses/MIT) - -at your option. - -### Contribution - -Unless you explicitly state otherwise, any contribution intentionally submitted -for inclusion in the work by you, as defined in the Apache-2.0 license, shall be -licensed as above, without any additional terms or conditions. - -## Code of Conduct - -Contribution to this crate is organized under the terms of the [Rust Code of -Conduct][CoC], the maintainer of this crate, the [Resources team][team], promises -to intervene to uphold that code of conduct. - -[CoC]: CODE_OF_CONDUCT.md -[team]: https://github.com/rust-embedded/wg#the-resources-team From 678fdee862bc05e0d9b237678201f80b5525fd46 Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Thu, 18 Nov 2021 21:41:33 +0000 Subject: [PATCH 285/313] Fix GHA --- .github/workflows/ci.yml | 4 ++-- microbit/{src => }/Cargo.toml | 0 2 files changed, 2 insertions(+), 2 deletions(-) rename microbit/{src => }/Cargo.toml (100%) diff --git a/.github/workflows/ci.yml b/.github/workflows/ci.yml index 1b3b28b8d..3d4681aab 100644 --- a/.github/workflows/ci.yml +++ b/.github/workflows/ci.yml @@ -47,7 +47,7 @@ jobs: target: thumbv7em-none-eabihf - name: Build docs run: cargo doc - working-direcotry: f3discovery + working-directory: f3discovery # Check a build succeeds for each microbit chapter that contains example code. build-microbit-chapter: @@ -91,7 +91,7 @@ jobs: target: thumbv6m-none-eabi - name: Build docs run: cargo doc - working-direcotry: microbit + working-directory: microbit # Build the book HTML itself and optionally publish it. build-book: diff --git a/microbit/src/Cargo.toml b/microbit/Cargo.toml similarity index 100% rename from microbit/src/Cargo.toml rename to microbit/Cargo.toml From 8e41b998a1db18a7b840027028848b8133ebde58 Mon Sep 17 00:00:00 2001 From: Adam Greig Date: Thu, 18 Nov 2021 23:14:43 +0000 Subject: [PATCH 286/313] Copy missing image assets to microbit from f3discovery --- microbit/src/assets/minicom.png | Bin 0 -> 22340 bytes microbit/src/assets/putty-console.png | Bin 0 -> 22567 bytes microbit/src/assets/putty-settings.png | Bin 0 -> 35966 bytes microbit/src/assets/quadrants.png | Bin 0 -> 7696 bytes 4 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 microbit/src/assets/minicom.png create mode 100644 microbit/src/assets/putty-console.png create mode 100644 microbit/src/assets/putty-settings.png create mode 100644 microbit/src/assets/quadrants.png diff --git a/microbit/src/assets/minicom.png b/microbit/src/assets/minicom.png new file mode 100644 index 0000000000000000000000000000000000000000..598305b7a261550fb5886376665c5f1629a7969e GIT binary patch literal 22340 zcmdSB2{_ej`!=juBvdFuN{B=vp(tf0Gm)VZGG~^tLZw1vh>Xch<{@(vWhh~hxiZf( z&)##jpS_>`{DYuUf93-qwx8XD}`&@;fxyBt_;PY{Vi6T;s+KM zeid>}&mL|vjv3gzsarEQY;4(9*KO;=AND#?<+?88BSY;DQPI57SEJu}tclRv%?kQ; z?dDY}pQvtvkx$xz=5LGKD?76`uP<6w2@Fpd42bG`km*rGbFWc}bVqaj^pCwMDn{2E zeQjnx-RjVS%h^Zu^E5u|*T?Sf?px?7FE9Hr9-;oFHKTJj$VbiLOF|b*Nq6B*s%j^z zni6uc>Uo=PUq{17Pakt<3ppvWD{TBK8hBkM^w6^V#jvBty%|XzNw3~>@>hS`{kB9# zW-es6thu$24fRh}vw(L$a%f*q=Zka)RoBWD@{xLOcU+lrC>L2!?25kgIjeyt>q_%Y zgAYY_X*Sek*0x%`I>=%Z^=#O8!mU_QyIe2ioAlP%d#|(Kj8~aGh@Dcu{HtHcCUU8F zB~{>MnlXv^`;O{sNrSASa%!J`%8^QVozj?U)VlTH$PT^(DOY_&df6)FZN5?2+A0RP zSkzAn@5p^Z>MKO6(s+$s>&TH=Rh9UA!fsC^QjEB@^Gv=RPfQaU2&9N}_Bl+${W?fR zj;pjXJf&GjED2J`siM$qZljo%OZJjMb8lkp zs#|mKr*Olgog>wn&8Kv#T}Y3gQm)yzk6h-XQ`Qcp`ZqTHsW0~&wGBDZy-^|dPMVLl zO~|Fnk5}4u&3t5c)DK&3FA-8$SMGYNZ}?ejc#R>|?pl zmYhiPdy+?Yn<;-c$j@r~SUN#-nZtgW@8W~|mRepkeBMgM5{cBt*8=13eV+TI{YhD? zL)6}$(Pe|hy8=;L*7`$oU57?bwC{VFx<%j7XNBNsE;4hxW+(UI0+rU($Xe}dEVsC} zniz7us|X{x!gc+Y%PVR7=*rJ0O-75UeUf{6^Tofs^Dg!nBGWMSD5mzyXcUT;cZ|m~DcjatbpCzA63A#j~Akh8n z$!wT|v`PB8PfrDnI+F`epD^oeQX0At7h<=yAiMTfW%Utxi$^6JI!o!-YK)qxT9}Ts z_n)2CYPS)OU10HQB0n(S=H>K>K2i3SX!cLON8TlU=O5c>m2zplYCLCK zoI}PPQpOmvGubS@gOtRP>oot#H_ECPbE-Ll#{`+B5`J#Ams9i`7Qd9tV5D?h<7J%s zlI=zMM{9xnd=Yy?tww!Hc-|`d@3IM(Jee-3s6IFB8ll1T{n&9?cA2|d1i7<=RSce; zelM&x=hbhlON2OOyhqP)uzmiC-XvL&XD$H*gjFd60lQbW7=^S z`SY?0?>|1-+V6RgTtdo?qpy5ue}iYj7M4_dlgy*aChYT>vh;(Ad0uNKt4}0adN$OP zFm-bjMVvP?oXc;&(ke42Pp5YzQO6`AOvBPTgiqRShZ&vRg%{ZZi`C6EK@sko;({6; zt}1a!H(m5n5#guZdz#H@4__z4?Ex~XrLx569bBznO_e0FKJ)9IC6|r%yvR-;&+hp^ z{AX4Y^`X%Ps3=QHMe=IkeAcv3Y#kmS2pJoXa(#C4qrSw z>PIrZ`Lxe@F-9GxABAn7qt2M~KHM3fY!fSXBmTq}jd0b3b*O%Nr*>!LfoD)v= z-$ZdLEJBZ5>cBnW7|z3AC(jCXwzKE)zv$v71*rmyS6pFKKIdqjHRzRz{IVe zM)7vVyKu=yzAYzZVlP&(e{Q7Pr9+?AM!RFcbLh8i8bPhTdKGI zNu~cb66K}}>C%OFb2pSvJ@=mGdd`3OyIzP_AmcL%E2X27Uv@D3+RyGV@O67qgJj+d zizB;PLw&YQKbzUWa=UsXi}{|+I|&j2R15cZ<)mKirR6Wa#K96H{bs>__;k&tYNicM z6p_D*Jm!Yng{<#TQQOv%9?GP1+pbF`_K?H#;FJ9>2|Sx8vr{&ob-B4Jzx@L9zAqAo zKMlScyrpzgJY6;+*TnX^)TRgbCial?#U0x%BY(Fy_C@I8T0H5I@E8guPI|3S1^y$4 zJSl|*1#Ui!x%2FbfOH`>oAd>e7|Vw~PA+?%lPop`syCdKHtIFoN?CAlKgm-P^W5$C z*oyK#iW{FRXuQHzo5!tu;F0jn${n3wB`jkeS60>wSR6Z*Y4?CST;k-96IFXF$lN5( zXP-Z%`IxeW@bI=+Ui&U_hO+b7OLngGkq7qrol8uTIT=c)&hO9fqNU8wd4{WV%Nvzs zHZ!UN)D+|gy&u&cYsP(<*^V)N}R zrMa&8?OVL>_Qa^O=gJ23Zf273Gw`cOt4N#6Zm4^}9P+k)vx|&{-r%{Q=U+4TTGx|n znjd0HKfPIjMc7$(VN<4m_F2vLI4ZR*Z88V%MsjZ7$SUQTW@PVoLWEIP=9xl?S6Bww zr>j+@CpvYhH@vxY|C02xwMkErhYZU~7F7?79G++G+tX5c{A>B{>SvRJ$&q|l1k}FT z2lz&K*FV3{a;fAHFC(?k?I)W!FUtFdNi$3DTRUpGL^a*EK}#cFN$SCNN;>-S#+_I8 z+_@U#lfi3p`=`|S*!hWf4!kT5r9u5jWMy&EVF(MR4Dy%N;z*?(Yl^Cf=oP1)I{91M$c{ok!< zAKSm|s+V7N>QEVU77*@WA7AaG6yw?VCV5VtJR&okzEb@IwU&eP>8TewDdNxGt6uv4 za=QCEO_JWLt<<~~xu@AE3^(oM-W7F6Vq!9p<3_dHmNdT?(W%LYk8ja=vU~f>REo6L zIo_>8+jo(Klu8`f`NmKB9j0wA|MQLUQre)@MtAb7xZQM|ktznWDup}gRRd_OJ@R@v8pg>@;LPJxZ4ZU1f?k?x_~uAuJQN{pMq_!xZM^B2o}%3^IubUszjAx)VJgaXp!Cl29R~LN zIjT|VMBjGFiu$vb8(wW2AbGnfitLgmsoB>O-{njW>WFJTrf;fA`Z5Q!Ilfx-FVw%U zNA?aMzI3%M&7ZpN;KPKWAg%9+JLA?uM#`3m@wN*|=N>Ar5MBzeA(r9br#q zE@?g{BWIINkKxZ`Y@xmqQhes+oAkTezbPL*`eEz#cOH?nw@o>ux9C@R=KFtvM~^Vros+SveU|v^$bGZ!NRQgpyK76l z0ePcZ4`n)T(=4mn@9*&7NL+V5AiRrADq2^Do6UUm^}Emx_xC4ftczHTSIVa5v=%+A zBs`pdjC_(_5}JxGEDo4=Su{)Iqr5z(zt=4N>UoNl&eb{1=<#md$;9aHZO+yeyB*uT zx%Ij=5-OOcJ}}j}`fhi9Tq4oEx@*m2uf@E7d!kJwtY-}G@3Gr@Rr|> zCGc%YxKHr)NYaN%8jjgpsAlcFpH4X6Zj(^ELoRSHxr?c+kl)-CJwS7;m)kLtO6Sq*eqnJlsx=Ubt zl)#7i@Oy+G#zyN=i!P?CBCk(oEkAm{=3X^mHZ$+19POw)z8q4tnjvec%y{AuZNoxd zvLoMXp;f;0Rq`bQ)mp-@{P$mK)~*tgLV(kKUdg-aXU6NnA_#(&WG&|}H|{RLmHu^JT$)v}yq z=DvJf-{7$j*9Fd}KKntOo(|49a2OjBCj93oY~5acQ7?LR(Wt#=O|xa$SvO;TWY)R7 z*m=%5+6x09e%&sA@`_nN*g4;7lbpesN}@v{aKCUne*9#^w+GMhjl_C4zP(q#H!8sm z`2Np_4p3+|;qaU$n)q{#Zv^xeh9#ap*-`7?{H?KVqN zes`bJ|MBD6j~^fS`cjaRW@cxn)K?YTkFW)Q=;$aBpXJy?rl|NOH#e72#9^|Ogybwi zSK%X_cyA}y!o&-Gk5vIqPT95BF7xB-OD*MXxh99oOWaqN==5Dz+pn+Xs3uiMhK@bd~!0 z`tIJnyRNo&n2;J89$uXk+s1g>iItlbsyPw*XotqoPntZvyl7)o@_rBQsR1quZzP>u| z<>iHCOVv~fmOQ3krW5#5Jyl~n%Q4*|R_2JY_JRyQ-zM2Az0t1H%l0=eSw0rtwr!hC zpt*$wyJFOSTH2715Qpy-8(dsmX8HPBCad!$gpTQzBvprtKbRi)Qd6uS?>LA7;HHdg zLb!`OSlQW)tAmcbdi9EqN3WM*1IcarrVM>CnZPdR5$;W!Jk!#SdU&kIN%@npAgp*~ zR62|7`>xpzd@glcp6hsRtE;P3`ebDS6BM2&Fj;?&78ASv@rhOW>KrDx%8zlR zv)EBu`oWUxvtzzT?_-%gJ>Ow!S!IS>a}qs?U+2f#@(49Sjna%g3C()B=B*81iC@Q$ z92qjSK5_c=%0RTocx%qUcV9LXIhP;)tv?X9zMjH z*~W`8(b87FvBSE14_{gGpv$vp*SM(3t)2Vv)2Dr>ohE%51ih#l6BOj+<%4RzwY3=; z89Cp&l`JY?{quc$ftBEm`m^(e`{?QE>F5%px|$So%C-+F zO`)HK#l_?-_jc?%DAVxVs_cl@rQ93Jc6N5&^vUW%1C}A(xE-U#Ql-!*PbRYq_wC)A zq{PvC7;#ef@gs+=uVM2fS-ymXLKxp+cJ@TWnvmd*^kRo#)*(+yQ6l(EF1@c?XOb_! zHJw29wymwLt4s96iD_&O40m>Yu>^m$#w5VH(c9MH|~+($$EZVmk@|R{S~b$ZLd5lX{tUe+3ZzPQbHE&v87$y z5ra5)?%bECGnWf*UhOl@%*qC&^X zu+|q6E19Ng%$d z4hjemy1Ke5D8;&mQ8atF){fmw)=@;^~aA&oWZoTv?(%qlD_oG8tIQx z1XWT~1gGo~2;P1rrKMHA3B*BP=jP^Y%CMH$J*ly=v7DBY7cTVn^(jQ0QeIWHA8z`Zlv`L>n3-As?OWl@ zQsC34Rvm@MjcWIvKYyMeK3k)D@Zdo&s!dyWC~@yQb@Ph5`&w&`vHfTu5tX723!FH? zWlN)O-aGQ0#++Q&>DH~fZ~?R0XUC_8^^C9pGBPqe#cSpO!T$dK=O-;v?2zeV?gbq$ zPEJe=4GcV3Rd?K=^1-^i=XMq#e2IB0pO{$r&!0cPfB%lap=3CjmXsu2c3La`btlM$Io70V9D21e=X==3_#F)%Rf+Le9d z>kI8XQv~U2+-Py}m*;|t^Txcx-=x!D#Kke6cFMf=;bBF^S%4pMg!}4j%TzGGy4A~L z#1~09#H+N%oZAG@Ww{_L`*7UsNclZ1dhXIpqaq!*_MSa^)KpY3;DbD_bEBiE5<+Hl*s|PWzR-nX@zCusTpZZuIyvQb zo$thnzMLiJxzQssDnn+L$PaU4?V%A7`xzLDk#U>TFNeOWuBS&&%#FT)wxy*d`i#q*&u(@VRaHu6(bIN=N`%W(b&fi^x_w5{f!9q; zj(9ygVf@*foQ|_iI$hXqkZS+_2&9r{&k9W%*=C;~3~rQ8w;PpEQ&Ss~x>FM-voatl zJ$!zkr{|DN;8O6=KQhfpt1g`)?>BGW#LKwgmp~t^QUsFgR zLfAgV?nLh^yUT&yQi9Wu#k{^gDSs<;HDJynyRV+uq>c3ctOPJTiH=eL(ITh z1)YCzIo|pQQkV11tsNY?a!neM-MT#1S3xye+uA@FE|p%oe*I;6dAUk*Zcfhg)3X91`b16f2a0G-9!};jZqd9@tm!KDYHKB>|@j^mEb-`*g9(M!282MfmRF0*%c*+WT142uq)5f3Soc(ZQl2mznVOt@!kVn`{3MOV zy9gm$zx_fszkYte+I*7ZHxk6ykO9pM4B}GWynp}l{QaE*$FK2>NPzY=G&X`ycOi#n zW@a|zJjW%1SxqW#Z%8@(h>qvt#fwIFiK*?3-D=X;uQ_fEm+03O3l~RozF2}lO@2Q| zAl{0b+bYsZdD;tXZD5hZSev?Ljh%^SZ0rxL{k@%xrZ;X}D!K>Yr=g`aGB!>PIelxY z7l|vw#?{uwCP6;z=kTyI2Fyp!kF7@<5EhoKZ8PwhQB}3EEzhimhjIUYR+;R)yhtqI zrAwEzwcC)$t9>RA{~3wvKF=pg=dor%lHp+R&WRTzt5d}lCk(3|ah(f2+4M@+1w?Fi zm@ooIJ^%q-nYAptn#X9X5aY$214%#$R zac7f1)0w4(Uk0<+7z8axk#eG=qcbwBiXFx@GW6<>kz?6XtaEVV;3uH{1P)>{pYj~TcjokISi;56q8u5@+u7=g)wBUmu^LuF_)3FeC*+swO)d+tw{x zR*#%#rlWJjPJ+ZF*W6exAaVp` zpM{5;$_vg7e(m}G##6*$baHO4%<0!Pj4MO8Sc5zLYZe8Ww>T;Fu3b~TpPmLBk`nb; zE2-rb#ND2>Xp;})lPGJ`wSUJJeET*D9bM?;b)l{XJEPdiSGWcv8&jW6o)4ge(O@CGwkpoAedzx@lvxv@-qW zX<%SVsz6b}InrO)Y^%%j{`-Zdu=jaVGhkEmnM7$@-3ggcNs-HhUJi^VZ>R&T4S?qsq1*lmI7Dy7eSb+XDH#Zk{ z7`@~kiG(Nqz~=K=w^{An8`dBJP&7#)5h9KkR|X#16J@_Kwwbj7SR;XFKI)Y9HEZi% zA>5bsv^+VR?m-=O7h1pT?9^SQ9r4^sk)4yH%F_%f#PS|+BOp57*N08m|MTb6aMdcf zh=YRzwuUPAE$ksLD#V{*BJ!9A`}(w6EN(DL$d-+l_vX8M6oQr=gB`D@Au%^@+}Jd6 zRZ9Y?VWMQ(k4pTa7g*SdY_uq>KZJYH15*5iD9fzOerS@qST0t2VMzuCm{ zzM$J3Tew@d*uf0~6QtCnJ%4Ows1ec`e|)+K>tpS+Y0IlsOy@msZ%B)Y)|@Kjt+HcM z5K zmPDWeZx>rz=y~WDc&zl4{jk~)-!P>^K~4_&jvXbS$=K40QKuIPn)+a_DP6%<)8qa9 z3EDr2ykeJT!X~WQW`e5sUSeBG)0K|i?Vb4LKPTP)k|8W4Rw^u>9Ks6@SZat_SxrF1 z&n`#QywWXBC^9SZ_gBnIGy5DN6v&z-h$odiEYvEn>^v+Nf=u@^F)sG#@UZr0&qsRO0s;c)6)!H8uP>LA5qOanKYsiO z((~=xH|#SmuG9v@)2C01pFa;aonmu8b9Q1Dn&G!=65kTQ(l}5-kQ*zc{J2&tnk8c{BBa zV1Y6lX}*Ze>CyJa4%S4At;6*4M0v(c6*0t9HF*d%l%fk~178CAQbIU_t5Y>Iwr<}1 zkq7C7Q6{iyfIu%`8Wa`fzOwKObo#!xcW{)ASzB&0tITgzqXj`}V`C$5@usPTXS1GK zixO0zv9Yl~qey;(+mXaS=3TdyGHbLYLm%^|k#;E{GBRBole2)`Mv(hIv3qI^_y11S z;96oH?(*{TuC68JByXg# zT_2J5a34t2a_&NaW~ATo&SF9HZ%TSlf+q~C_h@Ri;58wyAO7~ipitE1#yegcn3|?! zwWxbHpS&?9VZZN;v)#FKZvYCWFMdx~jQsj;!N|zSM~@!CxT#sZ4PpsIgD;2q3xD#2 zii(QYqK$ucBW|P>Y!pj}pdt$T4>5;t}+xJ%`S55cN2V3x06zeZA2;oiPu zhy2BhCj|s(*26mO93APzckJW45cpEC+f|h`3%Yi2@W$(s(|!E|1BoeD!7lK^{QUfQ z&EIZPYn{!`g!aFjs}Ojx#2r9E&;LZfx}`gbIcs%od4kK1w{*o?$^HZ{uTreiN4b2< z&hUT$<>2%+yO$Rqp;LnMkrQhvadrj9fD)#jdlrS*-Ca*B$ftTFT|5DF;8R+N&}QUv)< zpYEuy&jfl-)xf8up`%NsOS(bYXt>{tY8kHQkt53KZ@+z8An5;4YqYq8G?Aj7x|Nct z19&R)lnt}&HcQY9POYx3HD8(;0*5MfUoA3?MUXvZSCo~Ng|v%|h{(6PF?0-naY5em6SAaf2^yc5eMtn&zfUww;3L2Y-N`KK3T%KC zGyFB~{)*z))P1)YU8t$3Vv1fQiJ!Nyvg%I1EJ$$m5K25$ZcbCw0+*_<#|YDfy-2ZR zhn|D8#?Yf%>f21Y6kg>dB_%nZR|k6(_$;QX&_Ta_oj*iaC z%HlLq9H3?l#0NAVye-4%Qc4$j`uQ_Pwa)-2Gc$IJXV2vr)gi0zXJpLD$suH{a7hu& zFlv{M$9{fHAbPhqY-*z1om%skYv)r6@wS}>nOAFw_M^bZ98ZF{<>RO*J*1ehE%;Vs zH}pb{6E3FmYxSo0r*04ce+_XnWY;XcVL}E61r3VMa!k+7;p!1!d_?Zo6-b%Jqm_Lf z>3!;YFOv3U9i524z!wI6utp2*M?#kJMIFZ@7QP%^NL8NIyTLdNk~=&yVipl_tM_97 z^2m^r%l(v=n9og3Gr&>TcNsxF$H1%+v3HkH; z_sQ-^8O036Xi?Z&G)05$H8sc$S`aY-Qh75<-4IELcWBBW!Db9jG2#?Uv}deA6P86) zOm3=lzH7I*PN}nc+)JoU9i0w)M~~zr?k_!66g5d=^orumwXK79Yh_Zo=J0B7~JvTV0B16 z_~rEfow=CtVm^pSDzIEUI)(KBN4%HCx6B`1r7kIsmtiUnNdI9fE@qg+Qe4u;4giJf z=0KEoxQs$8TKDS6z)pAcbmR$kCGqWR{_IAx&mD($jS->H-{}un3#$T2!Pt|#7?iQsuDD5=*{^es;W!3 zUOh9?VnqzDovJ!IrxE=imay>T=jn--GVGo$TecuDlQz}^RzaW-t*=0zA*>L7H)aOE z0=gIlOx3_N-Q3&=Tv#XH{dEfR@(&+A>@|1;l{TerHl^wcS~|Nr-wpkp2;fDyD9Xzt zc_&In!59wD%KGKCeK)6dclA_zj2urd6)dv9iJb{0GhGQn@e#A8BxS&{7uETDJCbMI zY&Vd2*uo2z3q3|bNr@_?|HFsc1(uOZv*&?k7_O-G&kLre!-#r9;@w^bmQOkNkUs)h zKhcrYg`F6HVzJq`IDQ(LCD2pN&Ta-`jQ^td7pEq^uz-UKY7vtsxbX>frdv*TiX(9PZwonG1W~$M^q*KnRLJA3|8;krrfOi(ACwI zRim>)Cw$J_>5z%rPHY*>YaZegE7>Jgmgo03)Ixs>bl z;4O}hq6UTdyNP8%PI5=SGL)Q#hawXQ+6GGb)(*H;#Opp5pCKDE0>FC<=!5}Cd7_b zk(b|begnx_frFMC#YwLk8@E6q!27zlk>vKUVFeY5_yf=%o-Nn!|Je&rR8#~`%Lf;H zC9kI+`^AZmN$)&->k_-6T|IzXdy~%mD5|#|Zy$IP<=tO;FfXg1z++ZW$b+IC|9rM1 zjaqDF^4&ukF1@*NEF`Bh-Mp#QCOm|K4+~@TCq+vd^NUGufxruHbnFa(&jVpq#NG{~4&w~fjii%=j*~mZLPCXQh>6w|lGJ*Y{ zBU6&GGO&)v1Q+5hwiL2{M^r@IXZcB70 zYB5A35S<4A3fb~@kQ;za&AF zBPpf}(1E~bThu(@4a3Z{>@F*9V=gj4IUmFU@me-I6s}FK=0=m9Wl#mN1Sj+5i&+UXZV^$B#n8M^Cq}n(*gs>*V4(|&}9`YRGG4pJ;)NtD``FJm; z>G+ij5}82RFXx$2>%ct>&oa={hlhoI5`(`@+&_X=UHrVfRN^J8bM0YaVJK+;lmf~B zlbqx{Q+_D{DLy_i5lCHvnmCeSJXec$%y8-xt-plhu2Nn;K2wPEVZ%qX+^jNFb8{Np z#Oh3jUa1<7*uwWsGJ%qQ`#;g`B>8S7-*W#FmIUlS`HLmz9x_-L4<0;dYHETVN5L$r zQ(A&@xa-lik&JQ;&R}xdqYwQ3Ls>snRY@JobX~NO2?Smr_A0a+3e+h?-$U^DC-i1m z!wrPRmCD0^{5S$i0Vo9R-w-e7>s#Y=as}=b%n8)V4;?&M>Cc?8*1Wd547ZgK+LV5I z9x8!H)2PZd)LcrGdci*g6>l?6In@KFY*xHFC}y;B(I=g z;CGzFtg5h_IaB)ftvEpr@dC|((Oira7C?)YqttEa|5eI;LpJt_pf)kyUht)>OV2GkQ_7zSv=tt5W7hB4J$v)! zO&FBj?#mXlC-?5%i*Aslki85HK!nwg0f)T3z2U&NJa`Us`NM|~h)ctrk!5A>FbV)C zkmHaUUQ|#w*mQ2ceo9~=dikbEKORX3Ylk}s1xeHyT@iL*f^g>IIJaC!Mu0G9K%O~l ziefVp6BD+Y+svSHpGNE}q3qY%coE&lPA*LnVThYaV z8V0mbGTG*>TiMvz%{oXvc6YC13xkwQS-0aps1Hdd(Ivg|9BR+E5V0G4QYo&fsp)$t zxZ7jBbm@)V<;(50wembXJcK}0Dp6S}Ms;DT23D)Fp&=-YuKEEEjzPnr*xHkW-|B40 z;8R>THvSSJr0SLgo|vvzSy#sm0ctr1N6W_6mhgoRbix?quSUbd$cRm*0i3mLeQgLV>i?=I%@7M&f@hSRjb0Ni?)AosOnioMV>QfbOTulI_BP{ zPrgXr0K`$fefRFX8(X$`s?&U@BfuBZfN{?rR{zUksLsGMQQb}VV*}bUI3}(X#mC2^ z3j`GPbaz}vMmb_yy-b;7&+t>%KZL8guH_4ra`#opr|PHSs9pEP*R@u8kCNLtcGusF6r`5LqUg*@FzqPYFUUK zx9K`TSb-Vt$xr@`s?{rCEGjH?f-&yn5mB?jn0L8JSNtch}%Y_tkt`WZOuxvO9=c&}C-Odh+`lR2N`5LLX&eoX~|&yG_xx z1q-Uz^-xW9bzrEO8a!@K;QS{s4=e%X0-PCoZR3+iFi<83UL*)!k*oW&(Dq@ z;^0Wi%v5sc5))e&=m_4laU;|xVu)+$oQumcIE6)PwuHM@e^^;j+D||*c(*w)6K;|N z000hH$T5Tt^PW93D6ND>Zredp(d~b3NCFPQ0!lA6I}@G5f6W+5?SOrajsi8?F-t;< zI%2B2#P3^0MGR_o5nuZI`nIz;&yS~Dzld7W`3^FSxax#^irp|j)-HJbc$VF@V~G@m zpXi-}oi&Q-!v=Sr9S)9)ihA}e$+-pI&)c`x-rU`S^rOTbwEG7LgEtkpJ&30OFE7V* zuEZ@eA_zhh!;%;W3r15LyO-04a%_L0STatq7Z}N+omPO|I%;sqkS96B&BjzBAMa!>m0$dg(+ViXf?nx@lOZV^CA;&I^;VDYa;kL?T?88%tHLD_&T zYSRePka?e;Kf*kwn|=LLr7uHYAo!Cd-H4)-3P#1O;gf3bt2LnbqH#jd-X@NY?jnrQu}! z??kzxs52LPAo>7NcLIiD@8SAj0l}}>g@u=3Omk_Zk?z<54mb##0z7{8=Ld4e=f4&Q zqPvm74GR`9L0{6eav+`+X)qy&~W1%v8_j`_a)hNPKvnPtYO1jdy zri2tEa9`Bm(E^Clfhp7?R;W^zhU^23CU`FF^3RlfmNoKC8YSHyC;IrvC@TlJUJyBa zSi*k#X!;f}DraYBSVCxD!IB8OuQ*9)#x{Bw@o0IA$HEAWEG=Dyi+U5G2-1VFZ2k5v zsk`DwqoSBD8XI8{qA1RpF6uIu7V-Qr5@A8S^`VyyGqbZqg7Wjd4`?kmo0IYtXvga3u~pO1JDM@`WukAU$!VU@viRk@D~ z5L--4;4N!tutC?;P+KkR)*M`_IIaIK;uATuewiU#6nHV z2C9wutD;8k;aQkGA8J;FeizU!d$FmtP)kqvCqS#X7cXAvyq*%a3Yzb7nE>0LT73!q zJ4qL##t9zjG2E04d?(t$8Mdlpqob@cOST!i(cYf%ynOP_j0Y()9{R#u!S4-4fAr^F zgLm@%`;{wKDm0*@<9pbse+a09VF4G*FQ~%rO>!rkMx~wVg?+oTIH@i9p?}+6FEIWO z^}6AAx4poF|3{keC$-J~^b3gBzfFJPcf-E*?h3B!*RQ`nKu$;aBes;c|xTK4GJL{n4nHxBh~V#jqL>n&&JY#PY% z_c1ffkCC6qiS1DCp!LvP+ct03K?de&$wFA+Y-};yDOhDsRGY9V5vzUZDS(y>StJs2 zu$3+jPEKrG0gtDRhTpNH_R}Y28a-qWn2^u;O;kQOfbf;$YB2XMW4C#W z-he8Lh|qCfgoK9*RF6#w;n5d$n*5G9f-8tTB+SDz5p2O`V9Td)=yRg$|->C96a~}>N1o^e~sKe z18)abpNiOq*NmNqR*D|vFo3@UYHnx?3PaPMP8u>jcDp{tYH9f@BJRBtmlG~~AO zs|wKBnt9ce>LGKItdrd^@Z%zV=f?B~Ts0_fj z1?9f{l@cAyvVk)h9;+jYtt#M`fvoC%SBm8I^`kw9GJI0Z$#*7fs_2$!@uz1z(_U~V zJ}bml5QT}JpFgpO*~@dYvH}!qmzG8{(5MZTh1!kWy2(D+syA+6kxegLc#j|u7&fnu zd4sjSW?*oFj}Mw=5j}#iNK(YNRKxBq2TZ14$~q__aybuG;7R1QV5SU^XEGMqZH=76 z?FAF)xOaeOiynT+fkaOAx(K1hwzi_S=@9W)v=;fn-%?OGgQN^9Na!Y5d(<0pgX@XG zMEawfOxE9a(Ix1ZPxI%`mTN1EP*TbBG?(bQ*?1$aFB)7>tqDQ8D^)FpYl8pwqlT%RS<>${$Pcxv2AHj)N zzKrUHKQ}Tk09GOZ>+Z>uC*ZNQ=tU`g3oCkdv~?P#Tu7o(pf_s6Jx5d%)RN9FA_V@Z zV5eF?3m3FH=7k|9RSLt6!PwnF+R*8MxzRjqSvK2z87h7mUV*BLirsn;Dg|(T-pr%z zp@yXgR=qM$&&0$;Pme7$4jeN!R#wzO<)5EaSS_bcU-mp!wlY-%*^&YDhG%yJZ~(Bt z0u)IN{Y;E@2OYeO(h-6i-p5*xznI2gPoKZ1IXD|8WfsMn*;xxHM@gRC2EziLaysp8 z)i@h*`g93sCQ(ufTIpf^z*BJ9#4;9$cYIZ!HhBpZn5;%TUDID1hUPj{`a0lv`)#jnKq zf7k#&hKP=hweeq4U;W45r9NCs*+72Ve)(B4g5q3*_Sw`wir_;kDxsc3uqWZQi=oLA zp#{H4%`nBCxAPCp&lCn6>+SDHi>LYv6p*YJCV#+I=tNOhoHy$%bEaeiBRuS_v&Q+mV#I4Xo`Oe1;Y$|+}h z4RcbaIKk}HH zBqYL|e>V^A->-t2pPijWds~`v0>nPJWDt862&~$#aWvwnaRwvts0Q!&>uATy6kdV$ z2r$6mmW*glreyt?xV+mMsFs4&3>(Y1iC^=(BLdo1^UsU$Ap#&!#DHG!^ut zs*E!SP!BJA)?(s7#lU?Q2Vj2fV_-;KTQTd5v)i+8U$UO3cr39LzRJ8wxAN-x+G>g( zQgqV1I2WVivW;`BeGCd2uxyDs9*~t%UE=iXg-tmlgcA~_E5zl}z6Qb#(3)tEwMF12 z|5^*7kAY2CSbH}0`jsmIxi=OYuZ5$nm)(_oem>})D|#;-cTqaF%)c{Kff%I zL7JbPy)>Mffk<-34m9l+!dbH=hz}vE8nnMbh7T8tsYN!QN!iuQKuxUeFP}205=Ya!wiZc%|ndxFcIDKuKcpeIyRdD_X+i6P;?T zhZ+v6XCH+n_SD<^!*46$QVg+;>Jr9H);R%D(Fea12{+j%^1Py=?bNd2_8!CK^Ms*n zDfL(SMS_>+t&<);9G{~vtru3BQZoZfkH5U|W*aja$qb+fVC8mo%8fU`)kJZ|Bk%^UmvDg5(hI8X~DZomY26XYL(oocA6G&D4vZUVg5 z)z?qP`HMD`2I3vD?(3-;Wz}E4WcWm)5Op5CDA8G*-GRDY)fxBvT-3Zjme#K}wQHW- zNz?Yn>f$=@J&d+-86(@kJzFQX+dh5D<=ihRvADFf2B&Jnh7EA+aA%ln+oc(k#WoQ^ z!2-Sv`m}1n9VFis{hmXE0}F6IPfZ^)%{Uu6+~^Ji6^AJKPo8|GbZ5%j%M0fhv8Ph> zZ^3QSA8Oyrty=`=AQ8QEuPTMofe&8y?=!3BAd`TZb}Dugs|s1yK7dVV__$aMmkD@N z4Zm?iFF%f+5|y5zNYXKvpa>?2`kGe7rs#G4D;2ll$#=T^GOaup+F2HXK7}OYd(;u3 zZzWd)vqN<`)e?|6U_*)vM4K8K8k(EyI1g)%Q*h>o}Hx-X&GeVDxvN zgP96S4-3BsQvNrmm+TAmN7j_=wdXkq zlKwtE){c&-e0!`cWI>};YGq=wV8Z=^s|?1#XZ|e{05s_SBedB%N%&7#MQt8jq5wuO zHZmfXE0 zi^ckiT?nmV50;7iJwMbaW%<%?`5jt?z{c`%)(R1{s3k>MAi@r(F9VB-QfKq!1oy}^ zVFWHJYUnD127aYquD8mYi@GEF0>H6d(B6i&M({0Uwq(U8SeHXEZ&5D3cmF=Lj+BH1 z_WG-t+4DtW>K`jA4sdb~Lzty3ryteIzQAb+u3D5!tcQLCo5vF?r%-N?34Ga;p5r+B zjV0I+whawql1&sRT1dnI;KT#M2?YNFGq`lyBk zXj~jVoIJpAiw0J38}J>Z35B$Q+A!6xXHr(I>$B+kTJ+Z}GYoigcd9`&0Wlqh8UUCt zajzz|2^e^ASDc#2OYzueI1GnnL$-zc2BWww-@*l3diJ?aNI7>d=c-yPW*VoAMlk1~ zM>K8BcRf81%V^`6qr`oB5+z+VLGf!GlY*MMUp~F0^Wgq%ASB!E*-BEfRQPFqhGfkW z77${bfriGuubM`36Umw#PBeKi%f{QlUjkCVXoxy)uyxZ$;(_<1i^zYN2b?^|nFg0U z{lcwq6G%w(=yr>Fj10DxS(O=9juOw%n^OIk=jTm32wtu%7rII}{ytdsUq5S3$}a#P zD9}m#T!6nFtN-6RasSr|ukU%yMHXjRyk2_;+%XMcmFe?HfZ?)ZKgP)E)%w@*{=c2! zPqNnBJ>^YxYo6@CIpXzSo@>vf1XYIpM83PQ+l4|Wku4fmREmY|11SsHsF{1)0Fvr zlV^R4!=LbYeJs}e?^*wEp7pm8{Otn&8#AY8_W!3dh=0WG|Jz~wdpiI6APYq1?-ltYKAQ?t zw=ar6C~?{H@1FIykIeW#+G7=F>3`ae{{~)2{zoA6edEUjXF)7-qh& z=UtxXAU%I>uLL`lH2bf_oZXuxLa+9Xn|JzL&ZN!WZqTrGGxwgc3vbwI2h>zu8}&)f z0$Ogvhb8_zfO8&y{(OQDUm^azU^Z>Ik9zeg3x1cD{>PL4d{Fw8a4z-NrbxX00L$y` ze_iO`U6Aj3jr?IfPxy6if7Ntg7^NgpRZpz4|s|L6znmc;Zwc( ctA*0KQ}T)#{YsTPfDypp>FVdQ&MBb@06oP4rvLx| literal 0 HcmV?d00001 diff --git a/microbit/src/assets/putty-console.png b/microbit/src/assets/putty-console.png new file mode 100644 index 0000000000000000000000000000000000000000..9e5fa74fd55c848d4cf4da2ebd8822e075f4c0b6 GIT binary patch literal 22567 zcmXtg1zc0__diOhAgCw}6A>^d>7I&$^hfDNy1T~)h=kMxq)VlwyV(%w?uO9}7%*UL z12*6%e*gd1UiY=v&dxpYKJVu|&%O8AzWk@Ic>5OfEfNxv+e+`=d>|pYoZuR$ik+(?W~ztgae0JT7R>YuO*jtY6m)BiY!0J zM-n41QUfn&{eObl=UQ2PR|BnwFcIu)$Yn=?Ji6s`jISJ&=fGz9i`(3(#=)kJ_@#oh zFP-$MwZyg-zq7y=z8BpLQHxIz~NI3hj0pL5}MrfV~{|pRkr!{-s7PNEj zY6^(e)L5-UW@=JK)ZuEw&*X(J>RZTAz@8V|a|N+(7{#TN>oXT~ZMv%n;L23Mh42Ek zA;3sAi_)1$HJ@n~OMDf3esr<)7W15yNT%p@yY!TgwNtxwkQ~Y<5D#7yn7Mok@MAr- zgtA^2DRzWdX$4-#x@3U6dVwdoJm+V{fu|=X`{l&b%OlRqVOl^p12GS9z5*c5Ub=rO z;R3pjhCsGymrjI%_^5K<)Bdv7vmOK=yjNY8lJY$MA9QADB331fKqLT9=F5o(qOAw{ zmy3NgEHN+d-m<*=O7d+`TXaxd*hy(BQ{}6N4GI%oXxKxWjZ)RJltEDnvP_?$PKW+Z z@yM0L!AD8t=*968u23X`+x3U0@{D~0Yb^J?Th zHak{Uoz2c`ZXAk)-QG|a6j#it?o<>C=kOShy}yr{Y*_IjUf^j;Ty#i-D)w&U-@ql! z%nZT|Yxhctzt;&)_iz5D2QZPsXk9F|6e}04Tl|ryRe#n+gZDHzHE=SdFepp@*)*!5lP6w{;pn%7{4pU57M%Awzrha<3cz5me#I*(@n_GP+DF+bzL06L?wZFbrQ5 zZ@thcKdA;n7W0y2kUhGlH>$Z>JxgJDS{hmWX?-)Y9=_iP)ktrR8OkZC{GLj>s(tU9 zoKk+Vx8scYP0neWhNSuP9 z#K=HG>`Gm*0EJL>n3dac(q#f!mH(sh*l@u!UoDwblOLBN0NjnYKHGMWZ6B}2|30t} z$}4?2(=ieF>Fvatoq{hjNxm%YK*UMGGnjRNGt7!=Sh4!J!1M{6Ztfti0YN?VJht;q z(X?66p1bT}{KCe6yQ@$5 zWx>*#t8uBgRqYe3n9X+L^!%)&_dPnr+nv8{`Fd(`PX?)1G{pest7$Wy_Gub(ay*Os zho5L%J~<96`?J_3=G?wbzwW@3#dT6b$LnBg6!rySB3(lM@}!U)JB;SwWxTOTxe5ug z)O!?1##bU$0t_2105QEfY_9@n0PG&FGOtj#dA?GV2s-BHrQiH?xHe$RZ?u~$oD(kZ zl~lmr7{D9{|J9m_3pnwYEQ7xD7^Oxxp3!!+1C}flF$f)y^;D{)FeNIQ!owOd(AL64 zy+T#5(VbZ?2QQBveu&9c`QrkaENw}hEs!I0PQ$SBKFx%4fyQIM@GSf%7zS>)4aE1R zXSK8(v@KmU`G8ws_fW=`LC?yV!Wz4&+652+=8cPid^Q%Nbt_+h&U`HP{vF>@y6 zBICd|ritdifo~<46)-Z>VFB*?kOPs<$IH~V`%c5-z0S7mD-63^+c8DQVo;ty&ocE( ztIc3b88 zK79FAEY~NKhtH+tZ7&l7cTPw0sSQZ*rrVASgnamQhhv8 zXH0Or)S1h?dHQ)gvx}IZ2|-)pR~1!)7gVReV$z1)Pj^N~t+b#Q#@8>$Hpd9+92LK# zTjWG*#i=|PZe1B4F&Fn);aN)Q{*HM6m)8sT-*DMfO$IkS5^h;pmM_&%U*IngrdQ9W zb#SjhuAPwx4_6&;6#uq@28UC!#S4>c@P$oslpIs|0~}jUx@2ud6v?6fA(TWplO30iRdOqV52)+q_)jpoJ4D6nBeJU%_8^@xw$Q{7xyg(Xti85mX$^~l*z zc1PscMlqB;j?DQa6(20MdUqT4*gcm*mB#oXxMPm5?{2SJ6q|UD9FP2R1y=ooNS2l= zUpu4rh0`1Vb>nK>SK3T<2Oo>U!cZ(}`tIAiyeO^XyKozTcw=aB~RBHu)fHv`BIQm0ak}Dj-Kh zsJMrm^NkxGf;m2(2y%~^c1d|ZuQabrpu43NV zx4XADalq*itR>b3KqV?&t+SRJhdXRZmm)Cr;nQmDbg zgmc>SwRt&gZAJP(4glH2Zv`et ze{^|iJ;hCk#?X_@`alu%;`~fvYB0ZqpZs^K*~7av3)hC)$Tbm-q3w_4uK&(p`ZImn zXXx4n?)Z!yHMXSITtwOcp&wwia~3C}L2QkvvQ`rWM9 z=6(RbM137669w;&sGMvs1G5V8v=L-(KdYgwV_J5q4s90d>dQ9<8;6LZ0HLkx=P;?X_0Z}>AfV+FfblM&yff>aE z*PjYN{VYY<#R2yJ(w>?`tk~9jv~W@JuSh z;>m1+GrwckAP2>r1k!ZboD{G8*RGe`*`%l*)djA5199^|56g1NJI-GVBEc2J9I6N% z*nbf;f=o9yKeaD~RD@DdKxR56T-ab9u0wepJo}|h?TWaO-Q{ZeSc`mOu0BomWM8?C zG4gbS6Vw~S3hkmqU=T~^d!|MnnzF<=jV2WM0xcHyTN~+T2`!er+-M(273R=#*}P3v zEj#n*>|Hbk)K_^4S2(=UdUBvB_r(~G(=as!9#xb}ju-jLgk(Ro3p_&bJYO2n0YPg2 zazewDkcU2t4F_J53mBx$O4?-XD$+ye3>nJ1vLO@Vw}F=!2-E6>m+lW2f<((frRhlvpt?Q$B7wILn#v((Q=5pW+J?P&YLET{3NuvIEzSV-Iru zFm-wz)vwTCk#Es$yil6+6EWH1bY2Hwl=qnwy3{|-CNKAw5SI7i2V>%JdC2l}2TX*S zAm&&ONu~#^Eb~PcKPY#+NzGx|y?Vo8`1+0~CjVd_M>UliS+c3;>SnlV)E5@``zIiJ?zoU_1 z8_r$wB@omEe*8e!$nA6^8Hj8~nRkziza`ro zJe|C$02pGD|0><q9#iZGv4ta;CSXfu zq9lF1fsf+%Grri!-HD@QzJZiPV;gWdW7&%@a_&DB6DWcAUJb{=ycDxJXZ;3g9(SM2 zFu16)(^nk%scw$MmD{VlUB~zS{(_`& zZEJeS=03&~9j$No-H+kZz3;P+^4TQylqZC9yo`O;drS;J>n=WlWzvCyrLA*h18TIV z{m{~AJWfY5Y@;1;nv3An4>HVdO`Wyhm@VDXz37QMXv|2J^ws zzGjwp%C!cAGW?b~kgU5nT)>&DF-=#&YKeCAc(eIxxenYg2J*`a{fM0m1&VDCU=&0F#{Sxg#424@@7s1_kxs63gtAk2UgQs-ilIa$j4tKtJ zws>Fa%;M?%0a1ym{jOD`-pwI4Dkf9cqf?I`Q3d()LpSTj1syK!8nIp;w z?sczy3;OoOZF+yHxT%DvWPh{xJgPy0%nXMrB>82tZqpPF^swk=0;_gPdV5%=5as%$ zX@@>x zAxDm6a&ec4V27uZaCP{CpAk5e8qO%$Xb>y#ON zkY}THR))z0z>vwa5`W}g$0o;z2g#ojzVAEV%>!TK4GL{v% z)oYW);A!yq+j8|*!pRb`r?XyIxO&*+V$}siG|WCb1Nu&oPd1c#@fRS7+5wWJ1R^W0doJ^Hq#-Pa(*gzhRF$!9o0{|5GiqFPirRQDlhjI z&VL@MJ>_=zoq(8X`arBnip4(L*-Me!*fL30kk!)z6%$kLl_Wmj<(Y#IM!BBXnLk21 z!W7LKcwV-O*~zc-`Zc+W>GmYNE6Of{{a2)29Q5LhKmPr5|J>#-DPwCELF5Dlt(djH z1{qm5$mM&Vj4h|O9x8ZNNF^J*YfD zY3Opm-1UdZ8@cu}D%)_S(M)LIbNcy?9vO?9`rZePS~W>KB*A*t^i_uzZhknrPTn`B z_hvN=$)v)*Ci znoSwF8zd*vQb+@kV}<*6gUX9s_K7F+e*=6rP95fq?m=GcyOdvcT%Lxvo<)T+nNd}T zrV8_C@9##{093@01eDRA}EFTHyI@ zD0onj-5W$8&R?{z?Vo~@TecH@s{2ikzjvQcjw245(9I8j5dtA2PI4zlmsmP{qo2sB zDUK=-v#g8Q+daMuJjUr73f5H$3Rj~LXA4MJ=WDwRLljAwCs7|ri0xjQD}&$ziJJfr z&gm%shjL5%DfFxd5Rko6t=n=k55Wh!oShy}mtZzkwi8#GDH-I)_U%sdYuU9ngC!~6=0ESeMiHb<8f3sJ(eP&Hp?vlAa^rnP^~vR4MutB* zTW6hx3_(ug1$M=)RZ|)*R&F>cT2XO7UNJwEra*Be`(3;A@U9+OWW9lLuif)ov*h2Z zYs5NNMnLUrNg`dAUh)bQ{veqWuk_rCw*n@*XEP%E_*#G9URn+0fd-7_V|iFr%u<0* z{Do@ziv$eA&idsy+cq;hl1i{*Y zF@_vw-lbp=$-Hsxm9Ip1tf#B>G2-j^lx(karK?=&=i;*Yc|&dKN?$*3(=%chSrccm zHvFW05MFqo75%HXF3Cgei1?8PkZ9B|rV?5i{G_#^&- zNRsJfcwa_H!HPF6Xo@r&Zv$vqXK6jnfWkIzqKUMD$MQC_eaMl(*o*E^(aFZoeD1vY zcC-)x-Imx{UFEKtLmz6qtUNQswW`y|fCN#!ZP4+p zaN3_5B@~>oEMbBhnLar@E*Xq$E{986T;OW=_jihB=aiR*$b(*S_a*&lHRhhVa3?u~ zMHjLBIvNoU7uWY!+MjzzlHjuxKU<0Ld&-&V{9ooq?o_;{hkl`VH&o;H5O=kepi)YN*K#{(H2HzxZLMc4D{ zNTeXYQDx1}VLUQDdr_t*1BiZ0rlF@ag1S|DIs1H{Sn_tieTups z)kN+9)+TliIuqdI@SRS90BhfKK;R}-dp6{dD*JS8IN*om0@?%6OM^OVL4ND|YD%w> zLCgH5P~yCaI}vye^8px`CmXpx326FDq14$Q^5I!TC!dQ@aTri%IK2L#k+yX)3wto% z{o$;Jyy0vPKL>6-R~IkNWUyJp!ErOK=Oc739`pnJQpb_a?EXhq(12;WA@OR53%ntM zm?19^i2u>QYO(0YTVuk@)x%|s*ckciE5)Vp#3%v(c})RAEU;rw~Cd=Y~0gc;6lR;<@m>gp#upL(*0|1=rfclQ;LTV0I`A710r`klY|tMZz> z@+x0vZAmSK+Uq;0Sh|}0H#Qwrvi$OF63=p22V<9n9t1AD?w%K zWxxDBK%y~i$ROm`PS68?e6-WNe|6GsC{rNm%^V3E ztn_M%n!>`U0q2I5Fs#qQ7+-PM-9uRkbEjKAXynyc$1?N zXj7tIS%#-rLw5j8_v_w?K1NIL6`LFo3&MeC3Bwnu(t)SKz>5I}`0}ZScr}B}qi zH@k6ZMZ=2aTQ9H2xn=P;ijSK#yiS#j6AY!b+jh85Xqa@biU3UH9SFwDDs^8A?mx2O zEMi?TY3@+ntubeR_$oG+PhD7x64?;&7wl zvN(ZkTo>R^vjxY3cKI2t0C?#6l9yuhj?+}Mf-PthJAb$Vc~9I*9Igj|&rd5H-t+pZ zYNLKQ{b5s4^qqnQu2b&LLsoxSYe3Fhf&Dmhak3G@dZ%UC%UfcN!n>oO6m9b3_bKyX zIQba#+kKBv6O7lSY`twu-tpsXL)~0|jpTT6OB+eDl$+q==q{6p#4rE#Rp_O?OMX{|;8!TFf zRzD~__{ux9q!$)G_U_(VbH!>`_d9k*|6bA*^~ixR2%QC^nx{bPA1TR7EJp2i)OCWx zwXUX1=VE-##|v%+A46GKIng!m#dET*Z_o*QtmFG_Rk{&(J2Gr~iza^9fBM|V zv^B65!uK`7scn_yu}7V=kwB4*dTzwa0X6l|i=LPi3uZy$qfDa|Ne^~JobkW|6aEGB z#vp8dVhZqlpg?A?5oLLCES%g=3LCF6;-z<`YIpbhuyHOJlIc0c2RWJrR&SDPG|loQ z^^>a6dC!?QR&?H@Oxmv*XESGnd@Ss9ZW~#qv8K{pJQ7SPtnZRdZwEsE2=Pd=O3XHHY$ZV?D`%1%jz@l|eEO9TuHbbz@BMK_!`?!nwg`G)&ieYy$f3vZzi>;|4NT<<%qBG7cK!Cyh!wM1xFltnMW za2ECKmiVuN&1Zc!h_x~C^i+NI>rY(P{WKc8F#`kejmg%tPgDbC&IURgi0YTs^Hw)U zCeKY^#;)1PCdUpM)qu++Y0LKl*h97Hw3Awm80P@>j^dwKF4FAD2xb&Hwpi#at4kby zRwY!eUr_q20UGLK)uA4}+?cV9c87XSHG1R}Ral>9WVwcKABc*@1~Wyq$mP&cZ zv=%2Th#fy;4cUgCThmaZMV#Xvgt@i4Ym#NnZEN}K`)Fx!4M>Vo{jN#>QNA1!uvL}e zx*orUpSA!Z?>N|dN6ygNpGq7$zer{L#5Z^5=$=)>ANeIqVXwg6oifcDrG50$yB43R zI7wvD`4Q0uiByM(?3u_J_md`p`6%<-RmX5Q zV`tj_i9?UOkaj*=-pow*gKuT1JHl;0(@&P2_I3QWK*B2iJau|pQ5)Cl_4w(dLMYXD z9?-G1OlI!t_>|162fr+9~fD#XoB z?qt5*dE*+clX8Y3%5eMJIKb94AP8I;@L5xxcH38_`oZE@#iQ4o(|xNQ*T!@Z8L529 z`!$-$`!5q6Kj6~)MVXh%%Kl#7{ayF`kZ=1gK!4u9baEkM(cKAo*RZ|UN=VPX_}oey zQRIh%nRCoVnwME11CVVmbnCtx62U7#s|&*>?>Pf~>JHOe~ML?FaQ6&0CB3AN((j@_n}KGYjN5BEzFzLW9V?N=W(Gd1j%$=1MW6z zgKDw!ap8KMy<=dGfN$(ZFN>oHJH_g{Fx-;7{r8VjwR|1;^qKC#TPpLLLJ|GnuC3`cZGK2Tkq5Er>%N*PeCm>m+3pGHS#Abj z>a_BEs~jhJNP`;l_v5JqT2_zx1;ne7mu(<=ny65|<(=nQFV+%dP>`k5`-Csu#2($& zi$ot_x6Enf(pj7XfJo&NI8u(lb3wt!#uq15=+@r@yG6Rj#9v4R{sFqBR(o%0xbXm# zg`44Noya)!OK<1B&)wUmRt%8j1*Y$#9OAQR zeKf@Ec^>bpR=K*~(wqT@LI3ofU)6xUj?eRfuu6EL_&~0&qqG3!S~|P4D$t((*B&xO z{Oy;~&Uwe()x?A_J5~rsIi#o&pg(qu6Y{zeDP%2nO|M8RWqJ(F^OT>Cl{yo! zE+=b1$nOyNT6=aAF08%Si0j@i;m1G@`hE#WVthbd%6B<;Be>Ju?_fQIvz@JIVxxx5 z(na{U9tUF}J~xCiiITPFm8ov709@hyO@+U=w6l7h9B3mzaQ2V~g%}G(enutkzb@0Gh6SvL>e|uA2 zGJ6y8h{{9Fc%!A)&!m!vbNoOgzTSU*>2h^i?o^3kk^5q39N{`Un`?X${e0;J>l1j; zDG);oT&V?|mm|s}9^BiBPJMV^&!)Wi39|oi~4+c4HcH4EFyh64U2|7a6#?{rQ6@TvNZ~hwZ<0P*-^F9thnne8|}2o z_suY7a>tpu<9=~YD9Y&Fck`8wZW&vG7B#9J3bJ(JaQL`p$KwaO70Mh6FzsKFRQiJz z*WX`gkH}ce@?vCQ3qBKXIeWeJuvNl`e`@o(a3md)P2_kSzQDDF>-iWw+>DikM zW%cXufe^OEn>!Ij)&9pP4@Jz+cb_?g&!wei1{^8XH+81so9CyUHl|o=b`^#v#H2Tt zmBbr2N?rWQ1J?$Ogc{5jTd4d3si)d+4CGKzfpfC9wA-GGt zy>LG9yY4;Y8nG#Z`A*IqIsbd;v z5^yauO&a9)LNRU&m4s^F`e$^RCl4G0UL;-bx+lkCN2bfLOHTSHzPXNxSN7nw_yta; zql!(1e*RIiQqp5)H}f)>vd2!)*l&7%PqtUAZt2B8?@V$~d+~wwmt8L!ZH#=A%OR5v zmq=j138}b|j~Df5K^zyO$B~vx1Xk{8$6XR>>~Hsk@lZm;nk_@XY9Fd;r|!#2=&?ek zipvbgF)7(jimr>7`Qj3@(junfNUy@mEibmeHWM^gSPwhT+$Rh$v|g@FLw4r!AFnI{ zcgv7V7wY|^PfWg^nrtfDrYCHFIAIg?HESE1|C3sdWB1e}QQerMVKkjR>tB#^LTUlf zSvR=cFq8E#Tru|LT-TTJe)4B~Y}JMcpPI6@$la#Lr);{f)?{_FKv^qPro_`Wm+VJ} zT;K1!ofr4RzF$O1Y9_I0>9~c~rInRS!DgKJ*FLfZsq8;lPw(zlWks%1*gh`NAI@E2 z<{>1-e^Dy7-8o(3Tt@{Qs5O(s`g+bo!_WWTK}vtU1hT+-r_3z;3Di_uGfJ13a9PmJ%(5Lj4;p4;AY7=d<{0O@SARN7B$;r9_?A5pnM z!PQo-ek1iSU@y{E|EvfpwW80bgE)!gb9gY0v)Xm|9)@a-f-BSFd_>S#o+ip&F-rV)Z?85?P$Lev#Z zqFVx|uvVHj>)awf?;q1?qn{Atq*Pi3VV*+h)LYyVT%B$ZE(g!Ji;2(f|UJ(MS z7)m(^e1}Zkzx7z%*PN`5m9}-+qO8!3I}ONLzoj_44yVLO7wlG}5PGq?p}&Ovt!e4V zspLj7A2g~SVZ)_Jqwn!q)50m6DrL>+%(eb52l1b+pI)8G_agtD<@j2odoli5Qt{){?wW~k zDYcZoHTh7d$6ujJ=r>-@FAfI3?e(5Dwhlg-xXGco)@VFU78rssL^I551Rs6{J{Pbk zEKpO9wa@D(_b;RXisE*7K2_8RJn>^_bb@50+*L$$@|!eXPvF&;l&haIXSB|KzN?v$ z{ela~KuG}w?-Vlh?QdnIYS8@|IA7!`J74B0HA@9`(U(=u{a+1oV;+AkJ@RkO)SBD*Tfw4*t&J?jkk4fd4@;jc!d@IEuuk$ zhKgl&O4VPH2eln|RKMi466(WpK3W}!T$Jlf)r?_cd->@`4q`cI$Ze&anlO}iA-T>R zx>XBd9|p4)#xYUs8qU`NM$4W2beimPo-$jVPIOVKqiJ0p=V%Z{LzCYOyYve^&eatM;sOJP64OcC68SFdomM+*D!b zJ0R!y-dWU$M(o4KR_-S<@j10WWcKtHL2B>H*lC-wR3GmYOGJW6!?T*}Frz7xhB{dL zOP0OnloYMn|MEmV?%KW)=C%VU+Lz1+Xb*==$?5v62dimUVt269vAn-4Y630nWn(up zbet|FT-VGT$FJkWo-vl7Nzz1LBn@mdOEVFYZn>45Ad8=T^tRZP^Rw*TiLqiDc>8ix zJj?pvM2qpiUBxhd_O>*Eok+bCI?AnF84s!7cjZI|!#!4F_+(@UQgcu;th_Zv9{l`6 zG}t?_-=K_q`7((GP5a~D-)m_;7^5JE-<){}JD2i!!3MpMWa~=S#24BZxk`v3w#LGu z1vStEFVr}D=txkT>sym4Itfop@G}i#IRdyf!f48B(t>mr*=s{a^cLf0%QXZmFKv~I zp}@S^PmhjH!PO?TpZoUxk_Sf^+J! zNu&QBXJys=r>Ch2x94vjyWyydX2smN)#gIP7aiO+7ssCR5=PV1sLv9Q&))`PKW(PW zX>W>fSIhi<1g*3f(xG52-tU{jXVGXXiIrkd>C?_yUl4C&YMZ0I$T4eC*mo#Q&VJ^2 zlq8*Eb;z&9Bty$e)tfqr=V5U*H|sc7t1b#!%Dx3=cEW+5z{d9>k59y=q{3luf3B0K z-8ST$WvuTrsA#($Qpp{(Fx~k+afnvv*8D{SX}LUt1}k;kkzQ{iAs-eX z+A5by!;-mOY%4~y~V{twgw7N0ZYl1L=IvqwN%Lum&vje0GPCuNhT; zIU8Qwdh5=v1azwoF0FN+M%l9P1nll5q2+n(ED{HdljO9hK6l$<4dOq zn#9F8Ed^;*M0qr{y!pDlO?( z<@X4zwkh`r6~Mzyqe*LE_*WDIpmJSuzqj1UpE|h^r{LiJF=7GmR5a(h^zYG-AIMj` z?9iQ|j^B1-MOuzTmyEtSyU4A%>0fM77%^+`^MIT=X)%H)szFq&WY$59J>7@Shz^a^sSOTU z?vr~4pCZTWcM4Yj=&`^5oO_;2G_Kbv3~%O%nRfZA3-xzU+_-K5cXG6-@!SD^2}(v z;cgY`@9raB-&1*ZGr@ghqwjxy)%Qhc+{_pBAG50F_lbD(py9fqq!FF&knx>(VgI58 zGM36=XJSB=d*;jdOYiiB{c*wVWuy7q$?ZnJ+HUXWwAWjTdiNsNFuyviMV$KW|H$(p;0Li&>F*3nqSY4@&#x zxH4d{K)dSbwpQjRUY|U!VpjuqXs5gqO5^tvrIW#M=c=%C&ogPv#EatB0dgA7g8tzW ztli0;^vJ>RyV~vjAzi(z^@Z@wI*1WL&S+DcQk({ReO?)NB5gOGfkQQ-85-x|(k)nz zyA8e9>b(U7Z!|g9oTrA5Z(dXLi%?eb?_<*z+^-1MmexhZFf9LSfUa9n8?5}yZjTau zpCHN>z~lWe?>${u>0a0UtaKr+u<4i0AKZDL2{K#VWaC%lFWy?916}{G2t%eH|K55AoSi8XGzwpMS zU=vxp6P7IsjVj(%Pk@GVCCg9H{d%#J$L6tLuWVZUZjr;5F&3ND-ZV*)TbJX$ zm|ACADfr~0F++mM@tadV&l^6x$u=`LYfdk5x$N-tJY6)*C128WWEUMUkCe}2755$3bAI$VscQm?Q?!g> z>G_F0De_HEx#zU54cFdl2JfT0cr;@&I+QFU1?X}nke5cubxBxGyiFE2rwjfz zd3Wu2TQua5Uga9Emo7IfwY{fFZ`xz?1U#Vmi&lA(UFI!zmJBlDb4xUCBSVNZD&+cD z%e9AE;*U+=0BvrxqdY`Fc9M=~H>(Mzu82ZP2E$q!w)rO7^5!P|PQI0+5V5~f)T?AU z-^&&&kO`TO@OQixeu%zc8(1;;UFW7_>^3L$IF0pFTbT8+d>l#+{U*Qy+&Lizbc|GS zNAQ6}1B`5p*h|aVS>lspCx-)9+t+aS6fw#RGo>jZCL(Gs<)Sh6@KqjbUQ5V*#Ek=B~yJk#-0AG4$Kc{3sU64S`$Mu#42rQDdXsX5Zo=ReBNNOZJuEQ)SR z2OEDc;M1Y?=1{mAz-0NtM+SWa1pnO=giBgu&|1Xh6ADb(t;=qjR;~rv2@u?#r!C2X zjawHks#~5PJwJVc$4AN_1p3x+{_DCI-yHd)WYl$ULy?6G8eg75PxstRTl>owMpw8! z+%Z&`Y~r(mR9W0z+Qv&#z(fRWbZ3Fk@+;eaY_*;r)ZcwUtj`U6eS@O|NEJ>CfS>IY zC--kC@no(Wi7v5kS+$eZcdfLCw;pn61oX(WOR=W4?4ns4I;~%aRor=f!|iqaoY6N$ zBhH=mSU=0qJFg=GgUwwmWRKD^Bso6cc|Bmbo3Jg1kW{?!_meQsvu5c&^|im=KBt7t zGzYhpuRZ#!l=0ouxZa?&sVp6$4BQw}V>}evxiUqkz6rw%fM)w!@D81bojRa;e#>8< zFQ`&^PX92#T^o(yxZ3hXlU?Jb`0zKTf2~T~wYqpK;6K`k%mSs)FKo78hCrC~rIx%I zJaSw!7W6lWRkDzE7h;#o!nV4P6k)eoL+*5)l#+%wxgQThgMHwbOz{inMNZnU15|&t zdDuzV&$kq50p%YlSaQQ%QAUc zmS6pGX9!Yl6zlBql}Pp4YYc1dP<{X7{T@CvN98}`zm62B*pAINjb&F9cKEMK#5xvj z`6OAR(sx?Zw{z<%g~n&H1L2I|iv5JK^tNSlgDlO^%q!pxbJ#^V2a}V0`yKo1&-iPv z3|fXNtjE}GD=$0mP)oVnRpFU8xcdJC|4>X>z<5+V7Die9!?OdU;47PI%? z6^O3~y3@kju35XTNQaQ4WvMGKVdEd~UHNpHNFU{#m>H#pEr4`l!T)pz%V^DM`)?n{ zukX((KL$9731jGxG0sK|TeNlL_!T(S^n{0-VJ5OLVdnM; z|NnW`NDYr-!i8Bj6iC3XQMh=f+5E#ybBnL`59twUXFlcMP1yyVX;;JH^?>r$M~VvZ z4sNd@w}*BV{8AhZ_>SM05cg%yAW1Ntz4I}qV&Ht74{nl;Q z2qnDj+HsID^Y_BqE3JS;1idTuhiud~AFex3KE+Bg^&cCJ^5mdJ@+g>TST0fKQsI9F z)VDqkar~0BPlxZ4nniHKa{mEZpiSFQf_lIGaj1@r~+T+dNv6vH}|`!WC5JNfMMf zjdu!NTJR(u+nN7!RjdYZKT<{*5A=}Ud3LZ4`!`0O9b40oL?_O|2Y^(U`GqQ3SN=6i zp$L#x66inwe0^`Fb*t%r+@$kwctvQo9XF(&Y**EExEeiv&%if^yC2GyCdV;E6B@Wi zMz1(#{RBIdhwz=8Fd(a!Qwm>RNFMoDznbmL{%a@C@a%SSvbLYi|3M{_h*(zU0BdUi zya1CFFaH+*j&RL3gR4kW5!=2{K%;AHZsUQ>Df-GAlff~);#BBmD8E#{?QT1DC1;t| zKM*;GKHe2R`bs&`&F)7vGI}xr)anceki-*mwA>Lct0%Ne_byj&c-^;h4YGX)4Ab|5*zuCXB5*R zD+9LF>fpwIx#&NWrH?8((nhj|NXp+B*L3?ALB6t!Ya7_~E@<6K+64Shadz%_v*-p` z)2iN8fqvf9{(ofLW}qoF$>PGZkCxQ;l_XDGMI}tHs#$Nvzld<7`Qnw2LTG;z%hN&Zdzb4u|{ z`|Gs~gYjf8`(b<9hqM1W;4dtV7tg}?V{7Y5zbt*#{)GQkwtbS$Ii7$>bb2X7%=_N} zDm}aZO(WH>G7HhBhupY|#5_z29gmT-nGs0f0+3?=i}}}RH8abZSAL{gg+IQCW;=e2 zzXDyg6CPkZd;AoS2-ZrFxjHrW5r7Oe|8QW-zF3GspAm|UOVW|I!*wYP)0hUOToiKRtdFI1u3{rTY^*)0}%=3<44cZA}lm?9lYHZ^D{)aw>p1>GekjnH^I9rgJ`aAFccqRmh zKityX&rF2lKd1T$^|bs?4pG*K-?XcAms4xFHrSHNgLx^<#(R~$_wS#{2x?W6Gsp4p z+axIlYqzeX5Np|Ye-ftD8(uKKCIJZjo6h_Uj-TFzDpaJp($yf$xYPx5{-yOpE&HPX zF?JM$9=zcqI9UI$@0A_D?5*kMVp`~s`*RcHuTwg;?nEwq8y3IPA zRcuUDC{C^s^A!J2FL1Q}=kYd&ZxZC>AGI@;0Hyvq-k=OCnFUNyISbp+0Lt}}_|GHC>)bQ7DHa{lHzKn;%eFbyZ z0}B6v18m{RNd1BO2}O`A(f<8SW9spk-xa;GtYu}}|F4NFkB6##-+J}x?M`Pj%d=?NrquPeX8J7#UQ z9!k%remXi#z72FIX2TKJsv6MsGyR$yZ{OlAw!%d*1} zEY7JFLb85x=^n(+hccV7*4_8Xd%nMjO_T@*5tKJke%C2s;r@yDJ8y9y9V*Rt21TVC zZ&noF z!8?E_GdK;a$9!H1-eQ^YK)pM>>q;qk1E;$qt}NNHvAWMp>ph)BV-qV=A+T82$Pfc$gXl__=}ONM!@i6{w1ReqnbW{LjkMWkRh5spq90i9|}qt{7EkO z>gN^6S2dlew;4TM8M+3*o0KhX)z-{WVuGfw-Au!KgMDzl`{mBfC z?C(?OEFN;X1%l8hx%~4~OPNr<8ixX)%%i(#)0*`Q`(o6<1LkADXYdL8Rqi|kzB{kw z>b|sT6m1L^%@$(zVE8h+73A1`k27vxvQhNXn-+Znbj(UA)-N5}I95YqSD&sx5@$jt zaT{z}4HQ3E&fkH(m-mH0PE5K&99poqju(mbhqh%wN%x$8WVbN}Q_POjz!NjM>9 zmY7;lnb)KtE*3HA@&(ijP3}qSvtd<2L)&1l931C2#~#eN+B1Mb)yCaJbu72szC^wO z@|4+O`1ZEBvP0@uR&naVQk-t=W<(ek_1XJKuPmru z?4{`18QofU=KzLRD!5F7{S5b-SYKR*%F8z&{ZI}B(b(7NqOn>1#i!^Jf4f zC=C?`$mW+wWdS!Rm|y$-i=M7cxCmYnf=|ai9T?tw`#3<%BGE(yj;%wz0f`E~r%!W> z80oh642@-aQ`Fe)oN8_W$>OU3BeK6T+yEp|O4M5QrGh0Y47#P;dQ40Q!b zQuiS0E|{R;VPUP$R30XQv$+pZ;aJge#GU65{J!U?WT6;@Cm>Gm*Vb4AIXB1*ADso= zxVnvZkAajH_t<`1%T7H3Ec6M$eo!fHXx&MK~8p@Dl&6i5A0jfS~IObo61CUT&_3~RMfC*nU zry#a&YV77b6i|ZPG*3eBH}ck;?k->6A8rNA9`07-jTE8mKN3WfDuslA!Fvs}U!Ilc(9RgoLW#DrN zr-zsskh~2pW*ZQnEoRW6-2)aFd>IKP5J_0&8Rs?M%HOquQ;=9!pQM#$N}Yyc0}r>^ z73Qg>D^LKWpU59hCxPQMewN_7aiIa=4N%$j~6 zh{xhfyYwfZT}JPrKK`sd3b6$*lc6h5J_gdJPj}|NAQRqS(AcdoSPz*k%x;Dxg&$CO zeq{rs7sp*s?93&C+G!|vNeaEK_;nAYRq|eO1>ON_N1y3|9G8Tp=El_(tgt1f#hDl~ zonSU883|0kGAmTbs|^3;iW8FYqe6a^_ll3dwK4RPts3^Mg<=z83)v0~Q;Opm#@LjG zSR*o>o%|f0tSesz%^W51Ni2$mnA| znQWq}+v0|A0}2)TtHOZdFG`^!?*UBAGXA?Md(Alr;^0>JxBpK!?l??IA`ZcA5Avz|HqK9#~-QFa)BG~PU{9$rK0A(sMK$Cc55;zOycg&wu9jBvj-HQXcwjA+*}q|AAdsy_=OKwcX?Qt9OtZpprj?tXkqbF(qG{@tkh?04 zA2vnkbAK?1Ui3B^>chG7ei>f-)VZt3=nP3@oXoF{c#Xh%WM7CJu-*!TwoG*=ur!9?(!xg%8oM@W_fgl`U%@R8mQ4WUs6W8I$~xU4OQn$@nfeu)%q8ZuH+HTke9 zvnu7IYw#MbTR-;Gypd3iNUvK!-8{?{LaE$E6`@qa060 z{Z5ou#;XZ(B4zxl1--tTJP$2hz= zgeUA`eL2VaJ=;1D$X9yEh*o>FXrM+aJ{IrRMSt~wwHvcGF5I$ogF4emQoLBT@}tDj znhD?D5tiJ>x&?Eov|ktq6PX`&sx@dNIFQa3biB8SO+Q?mtQd}sOK~adPA_OWXPjc4 z*3o`$;#4^5?@sghx=)e&i3_`bU&e2uF-Ay|=^sh(VaQvG~Sq4AupK_Zt z|K_J#GZUVU_t_J(8$md=9vbOu(OdS7(=pyG`tn`kW$o^K8SKXvpFLI$S7C$irjZX8 zRL*;q4G5%F!tpu39BWVnk&V?(sx)w%oQZ_Oek+;S^WUuEoGAvnq`yovOt? zY0kY!s2W3SND5flhxn%W3=RMOqA|TG@Sx;1Bn4m=!-OEsy zR}0f>u_-bAYzI!EOS%O7$Tdju+6euD z?Uc4odj_eeB&FOIdmt`2?nMkXO(v!E&)>-&dXLT|POb{q$yL|u8r{!Zr zDbhNn9i~C@f(&7d63soK0>38-5bzSUSJQC7oADR?U4y$52ZIzZ|Z;;p(hm ztdqSyOaJ%EZ5nPWQujP53>mHW4A#TTk#O!pAm-!c_j% z{U9&YCG#rh2#_-?g*jyI;DB=R%Y)}Wg zwp-z&wA6~v;eXb5VY8Y7VT$9?Wj4!NDLrY)LLR1~KW#@Df`Lbm!*RA!%0o71Lu-sYrIj4L z7o1WZZk=I-Tvs%nNiM%5$KR2nQs_FC(Uv*(=!45&e@ yCEW|x(6XwGW{KACUF|<5n!mR-fA2cTv2bFo5A(F4p7lvzj+@sEb@H!T1^pk@M4xH^ literal 0 HcmV?d00001 diff --git a/microbit/src/assets/putty-settings.png b/microbit/src/assets/putty-settings.png new file mode 100644 index 0000000000000000000000000000000000000000..0f9259d3d38713d4359abc502572a3bbaa7f17fa GIT binary patch literal 35966 zcmXs!18`+cvp2Twjcps7+}O5l+qUg&up4hS+1TFL*30)-y*V{?YP!05db;~G z=FCJXD@q~33 zQ)>6(L_N4!Rh{N2AUG^TzwEj!E@g9|HfBx4oN)jY!y4n_6&B1{s3e$a*wdAmtVnYz zaifp-lW_T%T@t1bEKe!AI#qCabTFeAJ`LQopEG5XdBfvd(}m9^UYvdVSuIS-RBhkm zYR1az%BA1lW*1mb{{o-D4Tz1eZ7T^cAiGmQC zP!WVw>1?&X}0?CyTl>q4O)X8eCe)gX`SDX9LcfnOpRT^Ox~bz zb;41PhtE0Rwla}jh>cv8{;fw}EM}UD4fmTy^dL_s z+cN3;UzDGoK0cD@A~qx-AuT-+6246=eO|=JH+*~SsX9Bg-tL{Wv}(`%ys+{<&NBA= zWHee%v($c!F%o=_^Pz&j!2Z)8_NNQAS%H%@#uOv_Iwv<$zn?srLD&Qh4b_S18w4tv z43!vi>4m&=fCVtwqn3(r?vsoZF@-zHWpFOaU)DC+pLMAQknfG56JNvcNFeTbc`FMRITO!IO%cWT!O@AvzU zy{jBFi0y3U0M#8Fq>^w{?02c6%~a6`+IDEg-BN&T;_3r}Kp-$us5ow1A@eL9j!BHP z4-b5TKyscMI3Mu?Fghc{Mg$Iy-co=C=_OtbLp2bzx?IbEoR|fH-BH8ixPqcvJ2m1& z{?A-y%v$f%WK05)$dKD;T;QaL8)Frvub%H76Wci?KY#=4>B^g{{& z?-x|{in7p0-_Hsu?3U7erRSHeg%zfl;_T8o*%yum8RAFqz%5HP)&*Nx9lMbEB1BQMSIs%sYM0d%P9E&7AcpvkN zg~zEzq5vFcO}1ZLtTQzE=lG#o4u>hMRuk9idL;=syxz#}pdCFvEt|kNr*x8#)|>3E z0rrNtfv+&6DB#^(0<%HK43`v$8r_jmDCefBzu7~fGw%zb>e1C1A9!LNV?WxX@@*a# z5DN?2S?JMRXL*ko1i>ntoDmNCyg>|%j08(Dhd>7a!N90NG`0|4<&k4x${&1?C(;Wj zS#;P>M!G>?)ZoLc@0?G2g$z{!_b$+Xcz` zlUQr3(?&4ajNoLNmsN3u*C`nI6GAaJI`S3(#shvdn214o6e>*Eb*K^JNGp4K)AC*H z*GRe+3L`?s4F;3MUo07(_Oz-pSol*cOnkX$z3{2RA#_2ON1ng^|K=zVg!(HJq_=z_ z0|%pU;Su1$aM8%n0b-Dfk>Z16Ty2RAA@FFB{51tAl+W-?=DVx#nW5qKalPgbdQEUYV`Hunz5 z(^&G6rNAFip{0XxJV#(nwu8JP>FhtFz9&jR=c)-ul2Ssa5*D{%Mwb}-$&9sI|IL#< zBqBxhup5}11mQhpPZ>;8n^;ye9t3!))5b^3{nP%5>#($1=iP{Y+go_cC44J3QN#vL zjk-P@NDf33+n-~RaN=2P#74cN(Mn8XLsv17Of_fA&@AJn?P`9aMaAnnWS3Ek$>Q!d z%P-kg76!(vRttMU&q*#`Iv0ul`>ndYojVdfF(CyoiChKki%TD(NdUT{(or#Wbf@H; zwF|%HtU<-D*ce8E=#xv&Sf*1aq}$D4*dXwxuOw0bI#70vMPdlRHm@)UJtwP12GUNC zIM(yAn(IvYVGO*FljAk5k{GDWX@8tpB53VT5`}^B1ft@Vmct}Yn|q;ZYkqsb%Sf=b zdA?)p*i!LTyqbd%YW*&{7Bk(J6>KEWoL9%f>CL!lofQW0B4R3KgpDtM6r zcpz6$5^P`+{%?A!y%P?kC^V-S-%-Y3)xt%P688VLTbbab_u-@tH@AmzZfe z>TUSG|8q{F3xqa&WG|K{m)uI#_CZGHu1@_p4#zY6F*1M@m6p5$ZR zoEY?Z{^#gC9i_K^gi`Gg2Wsy#DwpqwVdL8_X1 z|M5!=*tXoKs}SI<_v7Rr{JBRQ0)iHXeG<^*r*h6PEazPO7$-~t4~^MInj6-5Sdk9216fWTh>RLf`= z3gf}(NC;MB)gR=diuyV|!qF*VO;RAL{*j68ew|2tiA5stPW0$D@f3)cK1S8*e?nNXAE!!)X{uO!w*tvRs*Ig?|1?0l^ zdY#tbaS+Y`AR;Dpp3hC$*(CM74Kyr7p&XMoJYck4J>2-O<8;?avH?+EY5!5aPdp)S za&P_aX_XP%2$1TNbp!|TEX5SMhC15BcD=Dg_b%&* zNr~T_fwvw#zWaMii@ycEC5683X42m_s*bbt374thg!7tQ`= zq5D)?c*Wtvg4g$DxgQG{qRI8c$>w5+UiSy48k_@S-vy2Nwm1>%q{CPm_%rC?f@5@y z?y;$Oi70TVOg3jz3KwK)^|U8a2<1|m%l|lIs!W8`mBHQ|I45J#%%oFD!0%5W=Z3C| zj3tpp8^~cOohwWhgUo?&aCmAM){(i=3O5))HIKq{ZJLqllN$6QhM;9^LWTik!D!)) zTDP4>b0uRV3YVOptPV49{@1twa8eX-Vo(dUlJzY%9(ABen`yjqEmIAT1nXM+Lvk6W zV~!W+Q9UiM#X04Xd5fBHE*>epo82~~PSrV^>68?8=vT3F|AC^v-k9Yss7oNuhZD%XBi zV?er_22)nBmd#AF1<@G0JNVF^WM0F;(B?=A7Z(^bI#dZmu?s*;jD!Tjh_G-{ z65|1de=Zb?AQyEWkeZT6yw^lW!F+k7!_Nhm9?Q3)u$ll3v;w}WDf}+p4oj`f zzV-4A(n$y=5=PgV&gHDB_RY&LEx6g#fDDV+QGe8RY{EMt4AzO)Mb>$niM5407Cep4 zaQ66%pZFuHB>sUY9+pxO*@fbYKw>2v52w_kYZ-wb`J4yW6L z*;4hO1`NLHspUEJEVuuiy&;D9H7d8}|7+lyn%fs{yF6~3`P^pUIN;-s`GeHDKZ#hj zs06bliE_*vQpTg6+N+@Z@ieZWlzHnl!(l+(sUXW!6q(cxT`?97P0TPfmL1k}Fp-R0 zas+kM7$c0L(l>@TGfI3<#CBx?Br}JN7Ez9F5HJ2ta{IH82aNlu6fgL_*qMkJ9U%$;|4ihNKHPMdHm zGeyvp(Hz5-LzQd)h8Ih3F&kaMG@d;gdLL8H-~1z2A_|Z;`V>a9!6ua(gabDQGfo#P zOfv%4clv&~W2E<(%Nu9%iU`MmV|^RmhYzstf&vt_wP67;k2b*_Q96;2CgMa+ZrTET zw&FeXXQ(dp*X|ZWV2D3bxW)A;nfeY7UrC???>_yfv89Q+i-s8Z+>hE$i*7%Z(!l`& z?sx1C&u>N7J@%D=z`LS^jh^=_g$&(<#KOz-2$;`aaN^IMi^unm4Wph*m&;E1WTImy zvL%X2sb9GegCSc3J93;x?;XGY>cd}MqxeJK-9on`2CW9pV=!2H6%?KSE|k2IzIu8O zQ1kh{TBOwXj^6vU5pch^_p<^lEvriZJ5N%tzciwqh2Zlri!cZ_*D65%ARkeD#14U* zVmmw!B^5zsjGNq^Dg`i=n}`)8vz6YG947^})G4IB*w20_Wc#LZ*Y`?DD_&V`1(1=CQ+ddXezKF~A4Lh6^nd*&_5x`_4zz7DTRpJyzH$)Pb^;OQRfwOR(Hf+7)wdXxS5am7z zG<_)Mhlxrj&lW_pK>23(h%r^i7VcL77I+&7vtoQwx*X;>c_9C0tv`Zc2}4ZIhmJa! zz^u#Le)hOJaPwSMMa%1PpZ1&j^Cboo^3wYyR1yUBwQA@0nz zbSdNGSx8rJXHoA*_GS2@CaHDb{o>-HCXe%e{_J@}1-1NsY^R8p!9Wf2S4z*S-plX_ z#=`MAGHvNlGc1Rz|L~yHK9s)`0l1DL@$>l&X@11djML&9DPJ`;nCVSR%GO2CdkF(@=sZ*P1DFf?Nh98IIkzW9eSl@XRT~Oh zW22#E!AG+B(LM5;=QTuup@a80vC22I^NHUBbV@iQK!N;+-Q@+k#o2ZbyEdX zB!Wtjf)92ji{OM!ne;G%L%kEt{jGWD9dc=a!9koc;FbnvgJYQufwUa0D}TC=@BR_S zU5L~lw5On zK|D;%zmd)a%Lr*k87mKoIkDekImCHhy022=5(-`donmqt>crUNQmL%QFUO4Fnvlg3 zpY$dpxL8djM*3XsZ_$Dse)iV?HM-{|BTSNq^@pyegNEnpnu$PGV~N4&*-iZihVmB7 zJ}|W(VezL`Vm2gbeYg4o@*-=H&Zh*O*Q3@5M0Xh7dPBvvROH1rgQh2vbXx58j*bd?y-Nmj$>TN zpnDNBei|8$_N!2VWs&rRe7eVtWcYtwz41Hqm4p0Mih@lxfpYBTvEW%n#) zJ^6Wd`zQdB@cFv+cm0F>>C1`u-{rl2Adm>$&1gg@0>nlzUWFbm76>-`y!U#v9NsRN z(ZIHsQBLXn@A?KDssi?m20j%EUMu!0FSW5?Kw+{;H}_=IjW;5kZ!wUSo;t6{2zpz^ z+Ny@nG=4#xKlnmz2w=IAisS}VcERVz3>{jtm6HgQ#*qR~F&hO^wo1P2;2fdxsA+!o!w*5C>nGvQgmr_sKV9^O~MS zAH;9YY$E>Hafy72Lq}&KHxqQ5-e@N0c${2pCb#T$G}P?kw8Ey}9-G&Ln4SwG_dBHQ z=tr|zqb(kTUi4)8&|I16QD3*+l11~1EmzLQN7ovik-zQhkv)ddn!BI**&Ds_it2U3 z$f4Yf=}~^fI^&P8DVixAKhWDtakZ1KFWQkCwYL~2;(}ZopQo3M z7{iI{>Hws$I9~w=wWv+wA5wf_9G$b05Qj7B)5!A9FiEHVk=au!r&wV9@1BEe!jivW z0QEPgC71_$uiJzjh@N=;<5LFe-XgbE&K;U|q+2D3D zr0=usLL>6(o&R#{6Q#tcqNtAB_rxgs;x*>|SW#{(*Uj zyPx$YKW6(!K$Sgi|KafBwF!^@G1SvMQt>A>K!yZ^N9(Oy>!aFwv(xwpN1kn;NZ zq88v$`_L|=A2g?DB=H>*(w&0^932uQfI%qpBid0|FOW(uVSn)rZl$=G{V0PRLh&ya zb8TrcKX$3;TTt$IpXJ=5Ui)6J!+}2&gJ2J}D9Ks98Q!V+vs&L+r$A1D%PWL_P_(52 zg)V9cTO2C``NU#A_!!bpK2>XRDN%I7w2zh2sNlf($RcG9YIwsDahhu_`KYA$Mq#`& zGF?0|`fnM8pA6#lhwq~n=K7bhEggS_q$6Zy4kfURL?ET-M3^b=ftj6f&dxlAd^oAM z#khOO&qUSLXP!CBE*}^@F;)4)_{P7G^Y3{7pMM zyZ4`hDNP;E10Nb1f&hT+$Hnl%m%^b?CwnCChXvSa8@w$h&7U&*xb18DOG(LC{93Wv zD;D*^Apse^kK}9LPscp>+yGY}dJb=UF#yZ^7mvXp>Vl`3B_njFm_nG(y_zeW&m%z` zFo3?!>q6VsQ@^0wA=MsO;NwR_T!1Sr08QW{Cg<}XSK7Ch^{hrVmC>xdErRDgeg_&1 zk57WlkAoKnq;JcuhhMr+nIWhLjD37}gE;frJzg^$$Zf@(!s>#alLoUfrtwR&)6#IR zOi+&vXWY*A$x*8f08g%&=w7rD508QKjB$j?z-dW*ta6^FUY$KqQ6594=-IXHp1fjXP4W zBT}#N#e~`976NlGjv>pyujT_VVOHUEZB}7T>(NB4W)c7zh?fOG!(@I`pr!8`?&-HFPVFBVZ-run~RrR%H9=1_xC_r^r#6*-AhAABooJ3VVX<`v2i@HltRvj!^YkNqOK*gCQ-aI zQaqowxd+kJBz_oHWWSSTwgAb<^L!Xl?EG~G`B_9HHBg9INYwjhXCrtu!ieXcG47;{ zGQn=D3`J=(qMzQXM=r5C$N9FOKcyGf@lQPYl*4OgUP?^%r_^&?9cI(3Pzkju0!7cn z@W)IZwCyEd`XXQYwx_<++hmGP#hldrV`;$hjRiRFKpowh;V|^2;M~zxeJPe@ zdtx@6_s7tR9!^3|Uv`|zSgmG&>=`Dd-lRZpjg_9l`=bHK;_FNQN{ub=^(2J4D1zA( z(UH8TO;jeoHEO+`hmm?85)A&sUl;3cm!<*Q8}L5pTl~<3fkGd{4wpen^;M*tL?8t- z*>bGtw0(a6YpkU~?g6iTD{#J#I3-_iVM}Wq!yD|*R}v)w#k(iLt*1|DaYTqC3ZYq3 zrFWR#zJ3#^3gcbsPk?YP4A6!g$0mA8ptZQxX*x;iqo6VRo#+77m$<@ z%9-u&6z>s*n=tZ7(4;GYIB*E4jP`9;du*%~bYKnpI}=SOXoku_%U&j3H2hl<3_!AkI^|#!bq@$C2kIp40?;hYV81(P1BWN<{IQ>XIz` z4|=|FNoN+ac)r=a%_wN`edeX#Um6?0l1pc1Htg^99EFn8)K~7^9db!;pfT(>8NWeD zukGCakE%g`vFCGi871X^6H;pR9S?lGE`2e=*c2|;WLH%rU;e$f|FY{Se^ImZtEeEC z+9Y2e)lI9dvE9`03GkGk3dlN|$VyCtKmreq*?P6$9y%22GGP|JjjMC)>9jhNURO?~ z{fC)u9SQPH%k!A8Rzln~=zh)a(5KdOcz$7KI=G4ocv}7a;rnjm6MA{Iwdvu zRZU~)t#?1J<6#UTtNDe;FP8|? zvnrA(yzz5Kf)qx9-1g$xswQb&)>x}al3t2>sDf`iFlPTk($pn-2utKwgGJrkoD0KJ zVVsd%Ao+Pib48-~a&?AL>uiCAvZ-csA>*@#@Ou3>VS_4obyS85F9lVE$#cPGbnObE zbnI=WOv&fc2O=+7c&Eg+4YEZZIkhsHiX>@gBFJQ}V-48O%6grpUaRPYcL^p5(FOw+ z^oP1U)EKBgloAB9pU&#z6S%o*Z5RelrW*{qA)Bee3Z?a}pe4rK&^IkRL!Ahx^LDl- zx)H?v*TFIV|11D1Du(H%u=7bWwISd0;M2h4BhlO6JeY7|Ph9e>DaVzXNh*@3$37uX z^pA{6xEE*F8`#T!@AA#B zYL&4%q9L;SY-cx7CyW+3_Zg?I>xKcfkFF;Efsav-jDIrAnd+dzb-Fx;WcxWhSePVQ zwO|+cNS=tmTUy1HK#LY%x^1;wMe~pC z!YEOC=^L0iE1Jm;31zCG$tq9NvQf&Ru63}vw&zec?6Z5^iIq5!i&^gLtmhiwAHbBT z!)!#Whn_{z`sXjg^J$=>vSqLL0tNaB=H7(R*bIMtIcQ1?H1$v;Rs{&m+ta@v9YGCF zda3qxkdT$%V@9@g1Gm4*d4&n<^9F8i&b9?N)>u#3_WKGHbuIM=} zAxCfIv!lFbp-4#Ja~6gJCfuD2|3|m1ONzcpaFUgPAmd4e`hIa!?54$aAEMLt*{iIE z&ib3r>pP0z{Z$I{G7%NQQf+N#HxK5N7#;r&BZ;@mzw1toNQ7{&AI)BqmjY}-q_nC>g zfbYRGm;zb{TP{!4Xke`lZ6CR_V5%rQca|+@F2$`mL_U&dx?1|Yy09A9Z|GDcOFB6< zflPQyxQQ_Lm-l%(N&+!T)B94YF~ zq!YENBNR7$o#_o_BgN5;EMDxX8Jy0f%1O?^nilyCs-Z#+I@gp35o#8-7CU!_0=%P~ z5oA88GCutjA&hg(T4#^+pY?gbyHN@9dy|m>l>7bBVWk1ri)2cYC+El3eD3f3+`r@< z`-2QF7|4XYu67~{yvb6-jLu4yn@yE}&B8~@b2pV=WW16XN3Jbt{sXi#EHzaON~{kf!)9|l)H(E@O zQn!ed2F5YQXCfj>*08yR{e-0AmTQn6M~A)i5b4oRa`=*Q!Ng5fpaq0K8$Hqv?bmOf z5Mihgdc2u5OqPT&xlHUS}HtC{!F^8zW=d~A-+2bi8wE#+hE8$03o@XuWEIcB6S+(~5 z!63rXdS*2A^_ws&l}l#ry*x%nS%9!tOpMr^8nm&7qvLzI{2|^mf+NwCOuW}A=4I1l z9@ug$L+u8G3aawpGv*Okg>8DXzH@!yHp7t)GFSz}QBZEV8hbiu3kT%u#+ppPUf>mT zQQ14YBQT00VqQx<+iHjuhM>kOp!ob4X?!p)B50Ye6s7@zG~8B=%3|wE9<#cmd8kv$ zVV|HCM#*I%I*}6NV+UiD$D$5R|IVyE-;OdY$Hu!L`eFG zPfC0CfwTJR`>8cA9t>`{6tc<>univ-Ed>MvcZOx%mMreORB`mS)fq;16GQGe;mFFr z1cJ{+9d*4o)_WZ_=)Yu2aKLm29$h%1oK=kY?-far8!e0p(ICKgM^Jgbqp1X{i?b;r z@>X{)U&YBf#byVz%BF_6fH~{@uqPtcu$D)o>ws5w+;JMbXQ=BnOgFC%5Rp1?TR7&MQpfU^j#mSP~auxs&C1xAMLn~}MNJ(se}FwPWI4of zGsi7jyNs&&SAImh-dco8q9~tASWa^rARks=$52g(WCuG>P-)`M@qmI8-PQJsSBbUAVg1k|F`{)< zOW|LkiiM-x9}`DY;8<%nloh`-eQI-;vY?Lg#Gy%sA?VOx&$?fu+t!rNM5avaiVJMB zSq9exU$UvocSWWMno4RKa2lvdc>!G-sTao_%j|Bm=XWXY%zBLZb-d(D85yK?e2OrB zIYNf`Sb0@OFSO2^t@f+SQjEUNjf_{%HyRp-v;*MXeZ6QM9&z`2p;&jtGJl-sB3b{7 zcNc|J2L?__Zpw%Wi_eIZ%CyR3&m^LO@9pxTsukJFuWSY*WMciGreiNjlA=kK znS)npiO~!NkG$7xf44x9H@N}kn1RAbQ_=(>W%xaoAuxR2BB>hr4;hhW=))L}AvU@t z%yD(kmWLH}DO&}8rd`yuGSw>@kYr3%jJpBRoDJ?pbv}YjP9?#AdNT-vs&mxzsq1m- zICH^!0?PT zc5^kkfpK^tmhMTFLP21LK2dUT6QetdRr3`{$_sM?u%4}o)wNKcXF`KFBBPF5 zO%eGi)M6<$Z902ck<1}M2`UgO|bQ=yD$T+rGCZi0F5We)lGGGJDW6CF5S85 z`hy>?!En*q{UcF{tgU`yomJ3QbQq@|a>5jjVi z`4d#u5;vCUD*I|8>DbrcJ#8E|AI7GUqHnL|OPi>&?OKv}XyNN-d8%rWnoXMg!xeNS z)dgT{NXWK2y7#B$P)!q_-~h~1Y57+}uSWtyzmKlb_>8hVG;9R_V9}2L(>e9VdF?6H zX!cDLH+ff(5%qMWnreo8CRIls&Y33{^-|DznXtV-^Kd9;9y_88<)WZ)k?SfZ+aH9y zg$gS{qg$VEMU;zL5r3`gw}x{N?c zdngUHe*3ka5wqx%20?^JaIOuKmKC_)1Ft4{9ki@iCwv`P1|4@71V&dCupx8?~T>ry3T-%50NltfFfNK!>5cTr(^qhh@ z0809DM1%E4o$l=HG?7MnhCqZ0;*Gg9MnpvX8e(YxR}-+oDw+`KJ+ALY4+BjY$^XX# zc#yj_p=O~|&P8?2i4Ea2EMefi)6{>XQ`uMgvoBKLvswY}`R~efxw(?8geM{GeR{G7 z9pXMzd(4&pkw(OXK9Kl1<T?JL#KgoF6u03nmMjtJ7XNtDEi;P6%vO6ovp2>h5ttt3ub>}Avj!P(sJ#|TcnzNujbL5t;BBO^+gQ;sQU0D z=y0Hgl5VwF#rS}^ySn0G&szGh4wEa)Tj^ja?K!D-kB$7jV5j+7)Sr};RGmz8<8xnx zc_*@Fn8Pz4;VO6B7PsJ+Vg;zg=DJTmCy;wlJBRzX)zMV6@oD)Vzf`j_0ya85_Etfj z6Lz8fV#kp`3oTYk!E8+Tf?|K}Fw$FCN^#q+FBQn%Cv@1|a@Bu{2~W2BpXS4G8*A5+Om;5>sEh$~a`=MK!%lxom@7D3M3$x|_P(i}F_F$@uQZ z{pl}LNVESm#vbWS3uM<;u`b#LXOit8Bo5vMM_5I)A<0BXu8b@w9d)o`AcCsV#78E)QxNeUwk zx@f;T8QpW!W`g=-565WWRisaLILEMOM~(aAjNgOdFDs^aQNG2O8P3?M*T3&~bPv2J zeWovRomVsjOis`@#Fq}~2-j<93+0*Q$YM7p|7Rp7Frymw%!{KuVA!qcGR1-^Rz`tt z|5-A2LqBTON?iSxeWz{P7iPq-+$t@Cesn~;nS~vze^E=r!^5Wah01oOfW&-69>L$6 zf7*T<{a^=QYqyQH6Y{(&9N-})zKusB;1alF*a;U+!XNnaSRD9e!vY))8|pP#e}ZgVr}@H$0q5s?aSU-FIn(@N}ID= zJTvP5@6+=tG+;Sly2Tm&j}tH%9JD&ZBd6A!p32_>rp+bTl-V%v342L6RI7)G4Msq^ z#;I=PNqzXvDWB^M<%s@HdBsI2apCaF%C==HJ>?5z9cC4)%TshYA3M|KHc#yBEL`Nd8^cZ4_MCaVA@C`QwQe45fcU&PcGK|d8nV6@m$Rl z_@+7EYM^YcGdar;yf>j69{#5EEGyh?^Sg7b91@`1eQ*_IO6gJBzAv++@-^6D-X+gJwghsTc-HjCA17ZZ$l9G!xs>?$=ZvA)=EBO;nM z?B}u3=1#_PJvce>d#CMzRF`3c(o~=oT#c*3Usyw@xnN%Vom+HG!VtghwsJHHB6r0X4S(aS~s2BP7F zh6I$eC67lBRm6Jeg;QmFPZFta%zjByTpUB8kARQryQ5OGb6_OZDp{8W%bSMEJ@g-0 zjB`^Ps7Yk%UrR~0t60VE3GAo~HF*rrWoB5rAv9o=F`{|PCf2gF;E`4MZF|}wOkxXZ z;eUxt(*AI96S;QR*b5TQx;3A6&&@xW%0bYUiH6a~CmH8IzruKpFk=>_ccN&fM z0nDuq4H?j`)63T~fXXCBVhcFQ_&i4*3upbgrm0{Div^DM+ms6=F#?dGd^*YzA zuixzGFD<>8ZHqW(C|PU=L_JLHFe*zsJk%6lug($vLTzbYOK5o{Bo9>X_yfVz7As@m zh2UJ^^W~1l#^UMPKBTvbFb?4(ruUwZEBLFFKaUAe1KjlJ7Q2P4yhff+;l+HFj$(@iv&V?fSujUs$gi1G`lP$K9V^Lz88QB`xig`$ z*|c-Ex52nZ@2+0;i)}-rLXDN4o~N7!Q(lX6BGbV{;M=NsN{?CyT^d8xX)w5VjGx7n zU5#i@9I+$5^Wu86phqO;ZuE-NCUQl9U-$o%Oa2)Bd9vMV0x+-w2Vip?)R!?)!dx=x%P&d#`R8>cv-b1&{CLSI%%ztg?5DW76Dn zASny>SCoPT|8=X7+Q`P$r~BqLSf?76HB?uFBDBl?wnI)caTAZ((n4kScd$JI;Q7Os zxfMFWH7~IKc1fY|=)2ftyD*12lGWD>Ui@xk42YOVVOal=`)>9&DzqjXNOv0?jq&ZX zt%mBSztd3yH9(kRyz8Nwp3C>7g?#I!y6=F<;Ps|-D64C${#WpJOo!Y4xGTUEu2%t9=08(DqkdaHmOaIxC$+l zgy+50``1&I-pOqOyyQUF z-_L)VAI91Lw-i>G-BD?KDVZMNW2T}=xJ4|r7{^wY3X?IL#_;hq zC=;pp*}X3PA79wx1AIu{5AR2a)1!13@1RHr$qnzDdu?&jbMnJO5CGH3n#baC1BzQQ zBIO`6wSXH*nP!VAaC{H}zew6M#QUO@<#Z+!EAc=M zz;99=ew2lG-I8C&B$|=q&bC+MSkK+3S6wY`XG)|zG`k26X!&YhQ}jY#lsu@=$3a6J zn3@Ca>`DLREBG;@W@a4UR|$^}cAA7oVj>YUw~bi*d&W?^#$I4!HdphYL#8|1VN(0} z{tzvxKDApA5c|JCy`I`I@fqPolq6Qtt-t|n+!M;%)64mDUh$>at5gGBEsDEtyX{9U ziXQ&Dc~CA62gy^BEw|wzpBcLFyH>QX!i_1=4gZJ5o;NF}=5f}0B21Mg?Lk$2A#Jz8 zg|>0|2Szi_oNYGCGX9kAv%%H%=$e2%%e`;w^a7q_Kp=TG?fQxa@&s-|p__DBGpk<~ zl*o$CMoTfJu_?Gwg>}*s)m>pl>$}zx=R$875Vm1LX-)GbXxp@@6)ywsJ0d}E^(z*@ zV0Mop2RNYg627CN5XIdiC%X+!+T&p~yFi0KVIt054?(kDx5dG4yq~j2N-e7{@uF9- zdy6w}DT?mfyen1&XV1nuP6fQ1(OH!ZKX zFVmLo9$H+$q5=T4-aZHv1+HQO-ku#`LC4#xb6S3&(}#k$%LX1$c89a$*y{b1Pj_O4 zvtto3BqBoUN9WZL!fhm?gKxm?k9VUUi#HWzI1izl_&Fh(2K6dOWeFsRsk(9{k;MyR z_V7Qwi7N2l=1acv=Ho^;jnvST`Ce@q6acI^M^ETc70LSh_7lXP2YUMYXvY0|0chx) z>utW1d^7CO@%UAwMx)nF@2}#KK{QTeqP;x2>~4)wR8m~On|(@q-OuqYh*7UYa;=At z-_**(e|!G$3zxxr(@egydm;o;LlOE%n?$7mfg*x@{n~20Oc5dncMR2iQM+kliDq3A zzg<0}2?xrH(dO{*o@;Q?o5fu2g88r4CM#s`KKa1qBA0pMHDz%9?!7B!9u( zTsmaT@D6o+$4B{JWZ(IovR_^vPz-0CjXwdPB0CI;%a%@F|61?OexvS5fZvx(ofqp} z)%p>f79{^sq@&gJSAanDhm{LA((=4b3Q=E+!2FNHo6T}Ke7cl*`>%qJxMF-WO$3dO zc)8dh98d@hu_(Lff7-n~bagdDQs5j93E8;6AJQZm@G3Sz-l#hJj_y+hXM`z)a~aC|e#)IVz!4Sv0x@>}3_J;o&sPadC8reU=5 zDH9;G@1NUM=VLaivGuz7XtH*DYs$UQV{{H_JRwxea0K((&>}jC+C}EJxiB>h0T4Kv zxpSUQaz`smCj~(@N}hgaSd{DzHsG-wI@==yKr77Vk`Lcz{fi7l2>_03#*Pl%xZ zz}YTIWTs;bEg4aR+rSYZ=HwOTKIlq2R@!4hL65;=mH4E4uB+dhfhu#Gg1>YO5?@C~ zex%yG>?=vL=vtn!5F96CBgHWD!^Ng`)<_G%+Ni$T;nm2vGQYut?eTP6^ZeNT!M;1M zlXz*eclz%-cgG?1OMlK-x#AoEn` zE3$}F(X_+nsq+A*nP~kUTeW*O<-gTUvGE$##lSf5<_>r|9;XjSAY6D!();A1-#v=H z`sayN_vgSGHCm!IedcT37#Ow3gBo zJr)+++`?j>y5CQcxGr(=R#Yfgr}+K|E@Q=r5mBjGFU=?-2qIQsH@gV1^AAZx8(Lb! zQeNboT@tz5m}O}Fy(9cPAv)YGiwZaC5aSMpXPh+FTQ~w) zKD`YrdIb*|wLRy{axvv7KjzB1T|TSTX#8)#!0xQ3d6{~nO=l80&Mz1m(VO7^&jKV% z{nWag21%|}g9&3^PtzEf{B{kwNFw@$f0nlQf+N-3QPf5y&aXBr|Hs=~2E`F}|ALbcAVGr$ z3GS}JEx5Zo!JQz3O9DZHyF<|61eZaA1ec%#308x6!?M`x0Ly=-eQ@0>MFnD#!dDvp3EAu&k>d2n3xMPp=auFA7}d2_fxyHi+56e`!kp2dsS$E9tiJo0*I-F>-MBqKV zgS?jKb$TlZehxAR+#cDKz#N0tec+Z_ME8YfuG_KMT7PP7yY{o$#^A7<&Gwpu1 zv2`YpfvV;w<*{ZZNS($I0kEc(OsY7qM5gVzvuefrq2l6Ew;C;n@4ZU|;Wf=3aOuv_ z@qtC_AH)DaA;lb*dzn~|(bv=E6hf`;oXKZd4ytTy$)-)bR8Rlx&#@>0>TX^JjQGXJ zJYt2jYDvQbx2F1+ZVG!TEN!q3^c_x9%Wvus?fT*xboW}3qQ1zh0P5rIhK0R7St&eP ze!Dn)HD!a*@zi1WgJ%Y$d{%&nGH;#!uwI`=m22KqS{m3JFS1c>lHy@AlGrm1*6E?Z z-}>^Vwn;dL)eMI8ZSKLXaODhva9}n+F`q2WZqfVchP}+%a9l%>(@td|Xx`FavP+;4 zWPkUui5t^NDEjgX(ht)K3}Es^w4^LK>jcIYArkN1qnw>L+_Nw5wi_qa9|1)U*oTTq zOpNe)y(1d;mseELG!qSHF<3Oy9+DK3F>!9Eg;myeh2<^AS;UU-iytbFOP}epu*IyD zGDfF0VI)$RPki-#W(E3jLI^p*uDQSDIHtI{oROB02L0Ht-=eth|9W%ts`DKp# zgBHHQidZ=2DHimwu7*Nw^M!BPp{Dz_eKDLjzg;;xcRP%gzL&oRpj2Gwhxa}IWXKu0 z|4wSU*+T@u7+2`x9u(~HugRyWya3}{kUxZ&!TG=PxXi4jeCN-fKRp6%;=Cq~R_M;$ zEzrOI+0**(ICY6W_e%Ds<8S$;+cC795 zR(Z50i{s)dpi{eSLr?~Cr8_@+TU1Fx!mg`+k^B3OK3o|lCh9e9s!kc%R2y$#S^$um zsSj!YAY8#~rOwKoK)@v{9U?F7!Rx(KhEP>%0&g#Kr|Pw=D9oa}bH|S4q)Ps4jkWgG zycoFpv-S~Xy^bOOl*!xHvu)c6fhPPW@+S~pKT#$8F_JD3Ca3GKgW3~lPoHHMr*jhCi#;Km9WIXf0M^bSyPEAIrWj2l9l-#% z=RaqTL{{hk5I;vv2|FN+PmpGvKKwe;^U{Nv^W%Oae0al>x;8f3^*_#Q-ARo+SNK`J zmXgYBt+xK=yi2^otM={^)+ARbUM;{n3%R^iZeFTXPrK@|MMR=~tf+tonsHK3LWEY6Fusgs$|B?Nr39M4BNj<%F;rVYEaGw&BTS}Dg zFU28G#2JZ6m!NGxA{rxS_ZQQ{`tYA$$vx3p@A)#44{9cd-RJ@J%%~ArJw_g_?QU~0 z`8NYMcTdar)ge50z0*IZBxB@k$84hO#Qo|^di`W~4yJ&@Qs9Ht$wow8+qK^0%H8jV zYq5(ln#oR)boqDr$!p4+%N1P%Q!ziE>6=j|m)>4GizEy;*5>Gi8`^bcYdq!!h;E0Q ziJtcU;UFiz|3%~d@6Hu?4hC!|h#y%J_79Fv5qyZO+KW;;GCFxZf5d|3ec5w#R9$jr zR4A&YfBEU6AIVYI87XACx_ma%qnSr&iRWNegph(faJ%I0;amYF@@3@Vmt=$Ac^C$& zPt`C6syQ>pyr!;*ypOw~0|$!dyI8|1^>;$a?M$gvOTHJo6BS8TirHb!+JKOTl@|WZ zIZ~!g8kSawS{SKvKs5R8Oce=NYQszv^ll?pM+~Ungw69p(lE=dhA-N`3Od~_e;35X z#>S)`au*^#DGw?AEJ16=$QS*oO4Ff;XkUJ36S`^pcL?N|R8LW+0h0 zQ}ePBkm%i5+C6f(?Y-o-{O;oK!}X$GCko&o@sA7QfGBZZX9Vmt@ENLku)T|4&LJI< zNaNvAy{!>jfaiihjGZ+fRaT`*K=X$yX+uSV87gNMDjQxUpgqWK9J#2WPQ6ysO6p4c zJBN=ER~fFAsg?7GMrQS{<4054HY~-$uGrPSg&K&ZdaFt>xsGtw(GPS{-24p$!I#qr z^5MP?yE+y_-+`~rhJ?X5gmny|$*%l*y(aNFIi#ZvvA^F;`k_b1qH-gmd|?%yj?4`@ zil|Qt8?83;=+I+cjjqzk!)qmOb;rkFreOV2Z`0P4iFPRKFv&3UkI{3=_brTNzN+&_ zy)ODQV(*)?U7jf;@RGNFdBy%{FgQpqw^C3z>j!?E$E9!|)-dPiB`b~7SCrgW6b-6H zPBO$%U{-h7n04bQpnK#FHR!bSXe8|_OBDusUT2}we@l3nGx!qc94EKFhEr&Qs~LK_ z#wI&Dk-B}d{HF=dgH>XrRh00X#>W0v^>S_*Gt;w>Cllh8yqfZ=O3RWo77PytUS%hp zuQEWYTn;3cPaCp++8y(HnC6t!Kq<2u0e zK)&G<+fAJIpt~R{SmPtdLq86Ky^1+i8sicBt_!QC$q@n(gUnZWQ&$^nK`7U>EU1xo zg=9(o0e-o?t-2QfkbG@dMMO;P`D(M&Xh3@{N|aV+oQQ_O&pWVpOD^7lyo*Q0I!?V= z0i#h_rQzI(W301kzA`G4hW$$q;Z(VIJv0=!2;$gTru=W`C;DL$>eFlnB*4i1+wRUS&CoIP$ATcM>D=tW(J6*xAh(*4n~M%A6#1d^JxQS! zSsHg#HNQ!iH02jPtlF6F#h0j$gG+1x@QAQ8g!e{M|5FCfesCV7o%L30gvO9v=e-7E zdV`f|l7S2UDWUK@CoXD+<*zHPg9PLx zDI0>S5vR0Vr=?qJ23$|{JRPRUWNpCwW{Z$iDWegeOV82!S!N5s4jE^)S5;;aHIg-x zUks?~hxVOsgPu^`W~^xZh;hN#+z5~ZxMt_eQ&I>a@q!! z{?4KSz=Icme>D|B;mezRx;FZyuz0fv2@xZH%wb@$>EF`^-*{I^X|NjJ30xzK*e3 z3|+dgojg~lneCGuZ2UtS#rkSO1J3qy>D?#Hv*;VX1!HsN47DABV?d3Kcley}lFfU` z?8A$nd^Rpb!>(ZJ1@Rl(=pLKoMOwXNm!X+;jgUG=jFreC%1h*oFGvRasNSnM5wqAw zaYmh01q!G^Dp%K%u{YoV48CfX>lD9zs+k~L?#wYD-F}N)*}Kw&y0lcW^Swd(?wS5^ zl(%j%Kr%P^h(nq_zeml6UPXd#p6MIGxLzfbK{kbed>cfFo~rbhrQd{%julXf5T_}H z!xJMw>T%t3irVZ1CviUBKUD5G&LUbd(Gl#Z46ZLJ!Jr=~*xN2O{pSn_sN4G6TdGI@ z+z(pb?j7wPvxm&I+PBr#Ol(sQ~t;$^+>D=P2|lt@U?X~1}no5W?8Dbwz=k5wE5 zr%xoeI5AfPXh%3bQGSBAluR4`YkFY^M`0n!jXXOr7mz*YV*X{`aPud2XHWey^%-?sZBi+Ux5NnK_^R zT`2XsRBx_uKm1Fp42+Xj=;aLdQ#SKmY3AVqWzrv9OsAycN<;dk!FeFR_79xdW^-bW zeew2fit821qhVg0_kUdg_m`el0hgvmUVxgPmz1_#-LCNr7>)ail&MUgEck3MgzsHsJ?O14pRMg7j7JQYg(()w`vg_+<0rgs@YY~D;yxy>TNYu7|e4SKdK7KORxVHv#kP`9PK5^_Hd@cz(zEU{6bR`3H|)g ziEPL;;M996c;<(tnp*sa{(7BM(P3J~Eyf7yx!=WThroDa$r(wzULG(f57z-9z06E6 z(>S}zcqdv)Il@yf_w<+IH&5-Y7MTzJMAOn24>vXUC&S`&wpA@D(KWS<)cKTgXaSRfyTzT` zZv4$!igHb=-fYOt-agd%bKO__{*d~#e~2b(OBWRtl~$Qzn9lPst3N8BJTLU=H=b0+ zApj{cQk*gQpKK;b8z|^e1%))FIVnmTT{X`|C3Vcr&3y!WI=~!40uPj~3`l#Ln?+0G zT)nbSG!oc=oj*vvc`7MS?hT*DVj><;bBGTwrn6DxZ#gjDdS)BC)bzV6kEGQv5XKW% zVuC_ynLGEp^8X#W2K{BxEIk4ces4$o@kYBvt5+y^>kkm)f&gRN?k<%;@0U=5FYD{= z26s0gsn2sL+F>2UHteYfC$o;&sV@D&p&^0?c&x+F&MVDeG8;Z_pWT*pQ%}>Hk{N9* z)9dt>7R1ATfu-T%KO2wB?A0^f%Jwj8qz#N@ZrcCkJmY)E_7Uny%H!BtrAY^C5(6GJ zgVdEO8$nR>K!@%HDht z6(Pj`AFVu)0THhr;CI9fPdIhi|Rmmw}jWzzcJ0<{%{lexp zL=r%TQAxLYP_7_D!3=l)EKtn%Hy;<~#22TZlQoeJuoQf^B?9qbs(b&lDnB^Qb7S28_ga77gTXjPI4JR{AdE1>? zX4Evxe*vxe@{D@8yNL|%j;bDAt%E!oZ8Y7?^D>+L`W*~?&{9q8{Lq7AB6`+5z1(Wa z>)F&$_je80_$BlMd@Zl3zAJ1j!PU0vKv00lITJ8{$q5Z|$8Dx034}=f#tN4+gA2N{ z!wo52-T<@&BhNz(y47pv^{S^$DDw06@4L7Ek>EypDe07jQQ%!fzMFqkX;Cs;_JxhK z6q|ME_!e*}_1Pr0p(4>|G>z!NGeBM^q=-YHv2xMpqg?r(J3ghe!LFzPRv~M4t~>wi zhcR>Exw4TGH*uayC{+9u{@QCRzj3;!;wM=AlW;9 zOJ;e8h|g`Jt5-?VZL>AqNcwRPDNbYEsYoDD7SyDUGP;0{Px{N-QuDDAt z>#XfisZu=}-hj`S`l8`Wm-?@(j7>zvGQ*|)WnW=CZ}?-GK>oKG|B}sp&jUc|bftME zMbpl4r)+=~{Q?rvJWHhx&-`-?0n+Uf@=sd&<8lTUbUjw8nh6N;f&~n)epr$BI=Z zw7bOKM88aX##-S5O9O#^ptnPEx?BSl6yODp*mq~i-@ka>`S(cUe~L#4{xX;SNZB*U zD;Ekig4iNWCvVJE>Pa#i%s~a1RSa8h{qkg}&`dkIsV$rYbB3+BVevYtn;Grr`ub58 zIwfC=cqfj?zbAoXpDFWVQ}^{6LY82h@qB?aGjtS5x;xNL!)z6XG=)EiP$w(G(~ zO9p8<0Oe;5Ych?Yso~Ohc1D+fi}k$KME4=6%rcDrzV+@uqao<%F$E?t-%rc!;?Xlp zI$W(!C1Bg}rlJP%hh<4TRyu>t(LKQ*jDV8SspcSxkPHWyhE9^Q%p1W4211?7cX18` z?_G`BtzAhE%Wy}p{oX{(NOv&D!IO`eUQ4A z1?uUw81C<5D@+~}O?lnvdR-!n)I=}mtFLYT%7+IkvcFLCw>ApR?saE3(OM{&6mQi~ zpyK<%Ld0jPIZSsHp8IY@<%^q~zuEW%N_<6?PLSZB0VAh6p^Dxe3L4DK7X=h)XV`2?qK6m!wp9%E>6K6{_xTK1=sCAGlrIjk(-XeVN_qrC}F*rGBL)Gj} z$l~B< zG!reIk$TEGRK?F2b{S(d;~*O#U3CEg@{nvBtjgtXL^t4PAj-wlSJt$lzaQy@re3%M zNy?CS6Xq}f*w`1czEDu!RGdBQMLbW)?6|xVemtEQ-q;|LBIH#>IS)B<86e$J%g1bV1Gjng10()oE$W_vwNi2W$5+u)E znu6|x#hp;ok$C`~i{ZWwsDd9c4n!fkUAMo5^3tL)oJAS55i(^ZU3O_#O;}N^#p=8ax z#tPwy9}*ROXNhhtR(?+yG7S3>1)kjt@+>ZQ89dz(o*yC9i5LItkB@Y4T^moBAkbzT zC~e(0-x%BT@cAZ+&`KR8o=)}b93lJ7x;s|Bf;71sQ=cpq(R_W@(%It7>Tr-($+bHraf+8|94!g#QFWv5~YY8H)Mb~An zWxhdfML(DZu@Gt>+7p2G?&Z9-URiLM$R~fvv+Ujg2w_Tbdp*+SKzs9gZYa5w6J;L3 z4$_Fo{st;qY*)Ihsep2fr}k_!fKIf z|8`V;A;jD(f3*l^;VqS|**9ZKoE6Pe7Ry@=efQ&g(HvvCFDYO7T1es>ED8?K9h}69 zQNJj1tH_76Glhd}*)rbWt7QVVplx=vL{ z=#RMva3mjr`+7cH;;dw;LOSBt%s&z|ji--Ufci)OCk{H=ejagLNEp2+#VZJ>9R#aj zpH$LZX-aNSD{XwSNuvVz|C#e9L+@3qA!G ziaq{O-6piAv7nF~FejW@TDrZ0xtg`QJyYSLLx00=-0WoF<<-Pv3Vm%e^p!j!qYb8F zMJv_VZL_t7A|n{Oi*JcvXwQ7%eF;-v6>?7TjLcZmuM-z~R!*u^pp4-YSP_?{oZA$ZsAY3b|` z#aF~D#RE@+Bn3XBx$F2s4xHP2HL)hLg=Q4f-Xp5rLFOZ;)hqL{hK_dgJT5M-#N=d; zhkIXM8Ic_EU@-;;+YcY83#z5XiU`?|3`pQEPRp18hAjnlXQ{fl;SrB?d{VEC{|u?} z+HyZ5p0C;4L@|S!8)V91fWy&J$6JuUib~o!hDH3o`DrYf$Nd`dI@m+7nJE;mW0j7a zO^>fShM>P>dY7Mu#_y2HN5WcPQ_|1BdbTfXU2OkviEMDLWFINR6;<R`ay>*3JvQT*+&j94A_Rg02A3{XRDuH8N63Tu-kuz~ zL2zecAz+ioKY8R{iTa^IM+nCarLT(Ni)<>nIa{A1#l-Jdw(HxOz@lLP5_O8oH($x}k5~`56HDm>}@Gcf@wx*IRbc z7^^7RnyV%g6(tLeHR1wM&v3n9r&CB$e0X^EuqGZm5?v&hzkzzpmPo5G(z)2OeVM4C zu>MXls(5biIC!)9{^hB{1uwVs0yBCGrv@QdE{rJ6D;? z@jKt6UI6Qvy=N3hD^p*-7;+m=r4DD`3@Yg|e|*r3ELp~-2)vF;r&UOjjrZ!({6P)n z?fs-s(_j-ksgy*kFl~1LM_%=_SH&>&`F9CT=1J;#ZKIwa%Q^A3YGN;W0hMkBG1BJH z_}09O5aS+<1{n?k=*r-M3Y{WZle>9`v5^sLSQ%!87fyZ#pXkHkAzGN1Z6>VKW>ibfxlMLD z9cA;EW3$LRPT=#6!(r|M`U@MAh{a;rWjUOmd+5(lzZet6@Hg7w*o-X(!?w(>PrZCL zD*}vAOymnELCO_7Y4d=9x07mP)Gg@iv>H#jo;J*keoOLzjuSFI|&2Egi}qmtAX{6~Wz} zWl{5*dh?#ob#--Hqv?GE1AS*(qk{tjgsg5s&0DOMyY#F_JQEUx@d9ajN#B|EYm^68 zbXZ2pc(fJr;3k-CVuA`ss{EMtUMgEIhH!6M=}r2=-{uqqS%p9Iu13^drIY~C^A4Y$ zLKE>>a4?BZ35#4rUqfRJTokM}zBlt7n_Q&TYB))nAHSf|0RPuf=?Y@NUa={2Rz6#_ z*D{-ypW2sw>gp$Zd{PMX;N3_KAP#;XoxbcgeiMj0CbPf4e{}>W#X!MRfs4AbV-a$g z2CN2NkBbx+{VQ0g&*mKVgg6L%qq0d%BjPV~*lagMGszx*&bcEmE&VelhFyksnSi~4 zQ`p=m(GaMQ87qZeg#BRY#TEVoo|*uGUSp;sl5wN{nmo~l$ZdZn?&j>)H5IVa8xW_Z zp^?#Q&>aH6#BWTcR%^XqM$_0TYid;GZ4tC{H%1P>jX~VW{~y?9^>Hz4FyQJ*S&YS8 zulgUaAYcs*4U@UT5%U>IIXOAw+5E>Vov^jnpC~7u%PY!P@IwAG0dsNqtf^7s;A2|OScEULiOFR7$R{SdV9!-Oc z60`&MGm&2_D(&5@J!Gv3NbL>HJdr1{GxC(`?OqIAs3_*K4G4qH6U;hp$6UogIu{Df0^L;NG z^zX~B@~giMu?NylRscBPmeP0Y@=j~FZ2SdK4ay%I!&_~3d|j*e6`IYDl;ipT#6qtn zL_OTSA3yCCpOqrnshTs?JHm!J`#ELfqCbkBjs zTgkI79<_N;{4((tviJDDoCFOnuKcx=93h8sw24>(N47iss_C5=8N$xLLLE{pTw*LPL#z)=+i`GgX>Ng{Nz9;i}kFa^oqtQjwmW*R|jR$0evz2fz=U@({u z2S9aJhE&O;yEW_jb1C(YV;s zNxzcWjq0mhq4^ud_klGR;kqgYbk{bkS;to$7rEJrk*fbZ&%@Vlq1{^CcShTnP_y`p zwuv%MxpWhSH0w`!f@;Xk5SR;BZI^$CSYgG!s}j-%AJx+I&%ZuD+hsfd*_PKf=_o=r z^wDX_trGrK*1OHnyiZrD7t8K)wc~=?ANIQZ>9xqg;yW{ugQgqypecQLzdUY!0 zD^nUX$9D$GSTUz>oo6aS0y_9V(sCtnA1^e1Y@qEit2UyR2Pu}V8_dz|s0GweVdjEkD1vlao`=XJjnqT9t%Ptmj5H-(Z-i(V`DxUgh+@d(_V| zJXj6tH~;j65)cr$@Ym<7{+|$Al7@-bl5MRQ`?vJ?Ijw0+l01tC}<|#bf|_J+)3Yre(Y6j4{Y|uHvr)`n%YA5t0|?gb#{emhw)6UA)YPqQS3|hLc7Y3$;O2^?{|-}(rYi&cGSUc7Q%uHp8K znYl|L38Df41u~ttJUC0OCLcZ!exCW>;Re{Gg7)6X!y5!CgVc>i4y@RA@|I=KTmY&v z$jY8bvOus@hAfppHC~9`f)2_^wn@jttr}&oydf9N9r@2D|2Vyu?|U~LOssr-VwrNI zC^p7hSQ3^{K%7d_&_A{Y*xWBQr66a{@3hpZ@!&Q5uO%HwK|iY^Im>j@Fl#T393+Xy zek$jrp@w}YgXuJgJqJn9J90EwVRGv~$JtwIvL6KZNg=IBs{NNUSJ5u9@r6;eJA7fp z3Xd&#^^SQ;r?LYH1X4&fR?w8)b_iH{sIG2H{ECf<#qklx+seWkEb zfb^4}e;4P8<3+Zp*MOsC_8g=c(!b=~5J`yy>rf1Z<(hWEeV*e;^K#On;s=mAUXktX z3vquN;sJqZC%5+d2(13mB_BFy-9|3nrDQ=mlI|gp$jDwYL|`TQvb*Gp>X9*`Hi@RK zEB4*p$OsDaD2_QWS0sF^)j&MEad`%Dj#wSN%=u@Pr+K+_|Gx)F= zwb>5N8dIsdYpAOwCMLe5*E3N0Da4T7gOJuLaGYDd-kpXm)`h<&0Ma?7G-mIOiQYv> zXA_%k4+_Co;y_P3Uz6?!e+jB|jxiia$o|OWk`Wh*K zEqn@C%n2kZo0eJaSrqB74Y4lTcAt!PQ418UBjh(sGs+or-m2{YUzQKuHT0UZu54UL5v7a@8<0!qLQL&%3W#(ju{BAx>);UlDekbriYv6Ba= z7PJRruDpB8%8rHNI9~8lYy5w>=g*Vpproyj1PkihxZ5Pufg0hN?ZDY8IyEPdi7T9H zx$W%ogWZZg-cl%y$DK8YKuKS&y#gkU&~i;_hvW^1ii2n_# zh#*@M5jQ;#{mJD3%=Kw=qeX(L&W~T=M=@uJiWcbR@(#J&)1D+iKVH|2aW)^Y*Ipb6 z7e=@gsjZU-s{rv0!}0G=YkZT$(+#lmTw_5?^2p}z_x{$510nU1Sx2Ae0)wzG-hdLU zw%)N@WsV5u-Vx|^nIM~?-;G5t7jiHY`>6Q(_&Ylq5&}bD(C$dq!op4YJLDq}3~tPQ zE-9s!Qr`#yuRA?|HjZeY4pPH>3J394db%r-{SY8zfc}73E30I{n72<600gL|UMruO zZJ#w@NY7=!<5>I+JDM{di$w0rl)*G0%Fw!C0Rg-ee$$o%%&^8C|J1kqACW$xfQofI zhA&#B+w-yW6r7+YKiZGwBhfZ$R=)&j(b|E{Swadz(llb8mQ*qAf>*kpA8L4SI4;Wy(rAu*R7D2u7-VU+J#FJ2_rdq8ITS~Q?f8u`ZCn`xlM)$0>X9eCR; zabbsn!zc5e{+zuHaJ3IwClCo<;Ge??8LwVap;G$=N*AZUPUH_UBL^jb>tlp+<~<>& zTd<}$kO%(-H;zVV^Q?I_N4n?SR~lrO77oAvH2=$5?AY+ny`)vzO{?9CxdD+B%s=!b zAWA&9#3PR{lDSV2u?IH@XMSQ48b5C0tR##QE%geT*5RGf?)*= zwnUnS#f-nwf5ta|xu&Mge#R6a5kEzeOp4Z2(vJoXz?h597p#1VU((*j%ip{N4Pn%? zW@UfyGZndw=z&s?)^gk{?;#Ej=XWbE8}-6lp(^-Tg#HeY)?c<}FM&SbfRw-aBaYDp ze*AYWm-e_TCtjZpKlvTf;tmnPR}R$f?RpIYaSIMnP6vv|Mm~(*x+2*jqY~=kj*EzVtZl^CE8KXF3)Q`+Kd#G@T@qPy$O3F=V9+!DQdU$>+!#XPp%_$ zXGU88%O|FGS2F;bp1BR4AzBdiKBBqF-)dr8Gp`eKs5%%Db1h&{qyhrd^OkJWb{Kg^ zeH^5qr9rpsm8YXnTaSu#^A}*|Ox0(XhC!fjg0_DbNHuRw>7F)mw)AV*DHKO{w1YyF zlS~RQsg&wY(pI|IM=WuG^dtXA>xmjlAmo{M4cAci3_UF@g&*5>F(Mgx@kdPBiZk+EX|*9j@WlgUFkuJmm_1b|ji4;+XpIHL=JlzNP*>eFoa|fK)}-o-upCk1WkfEhncj?{>p&_ht@uRfkwWl*u@{GCD5h z){ zrH)aNWe5YmoZa6-exYSnTvJ5Of)aU}et(5Zj%wqko4M|fKp`nYrbTT!)_U1LHH%pW zUb{M7pCU;UT%3{USgn44Y>SH%Rf_LcOOcA0*SOXw{Kb3A>P#cl7#F$QM((GIx9in- z#wY}YE$5Mgkr+_uI@t2QiShX98j7@=;&iuvS4Wrck6yTAcHUdJgSo2BlzC<0$Jq$C zSi@)CV!%TAjFHJ%9?u1F*)$^!-_tvgpE&({A~rXPtAPb~s9{|FGIPiYfGAEnQ*Xj4 z1ac_p=wG#uefdcPC;( z3Z7>mQC%sHS{iBx|Fk@s+vDvfL(_uqj=L7V&#q^HRY47Du+H-M_mJ_)c{|Z+q?OAV zwD_uhEzATNh-m4D^$tEj{G%nfSu@{d1KOeqW*Zr`18AT;VR!&Uk`u=x{40C?`g4$t zVDBOLT{b$95&{kPTTdG&6=7l^fEYzYCy}w3Ls9S;NwSjXCF?>?+mn8l)=8tG2 zT2oPg=b3pz3`>F5f|wFb7EjPD-U0&v`pG3A2{w4d?gE;IE7$K1se*oj0sUrWU_$L~ zP{oDkSGN>$J;*Eas&EYg3aF7KskZi$~jsnOedYP73iYp zeFxJ@#-XJOWz)Gn8^zH$BqWkX{}KLJhQb2hJXz_zd7J!}Y9#;g0%Pyo?}31?j8zYk&R@n*Cj;(__zqt$Ln}EuKWJD&nqs zNgZ{}h4ougIih4KNgsD#18!)Gtu1hWske(ui>s>Y#P)c$_wfqm$7fT!fBzX#_(!{T z?Q0%eCwtswUzvaxIilx1FD_+Aj_#-aa~PXS6=mhk&CRihE79PG0N?=q{V)2Fr8jbC zb~xJB>p4t?+SbklgFM0vZd+K`{dX>IZmM2IjY{f17YFf7Mhm;0Z#q6lZ66VNygir2 z!%acf0bh=7=VW1{fFxqJ83rOk?c1kEC#DgT6YOx|-mx(nf2Fx}d(_Ss{Tm)z6YC4z zM|WaPyLkDA7g1_#%=YB;3@tgoyP4up<>FcsJ4+UsA6$0gtmJg)5?7L}+eL8w*z0|G z^^#~&g^!}is&#a9A|T<82Q@TNQSwAcN%eSF0MdMtHK>$E|Ba1L>>&4?@(1Z(7(44p$#nEL()@u6eXl zX;Fx6@N?X?<7Fj7gAWeQ>W**LmfA(yK9$}*<1s=9$t~Ad*1^{TA30BQS0B#!d_#R7 zH_WiG*wH&qnnX#tHy!D<@MQDEB_ToDclOp&2SF!CkT@olf!$EYfvf|!1dG-?TWEUq z#sS){ExgaY598nO7SFxu5~Xw(e~t2)D*Pe3zHu?L^B-4fuCV4i?>vFJ26+}~0PfWj zGTx$bV|YK@uZkt-+cFM!Kb(u-EKaQr9vgeFUU{LXo7A~25?qt%FpCH65V#MI--Sm- zP;`61H}0B8=+Ildg~_Zk_7!wdJwqPaFN7RVqhVjnf)R>n_nM#-51H9rr{hVDQbllj4BeRyrnC~~(X z_lET9eqH1Cwm>+D>wXNaP^+5Y(!V1<2{E9>fo?!wDLgK=VeE80JGFEBc$0b`oR&WS zmaH@Le{4?aHvYSLk!g>^mHQpQnKm5upee%Ts!SwN_&`6b|6D>bG|zq2(zf~X?7&sN z!DE1Ds@5SxJ`wEY6t6_+079J=Y z+1l)eDhYQ_NO;gi5Q)w!+_dd$xVLy^MGj4l%?~U*4eewbjyn&(ULFY*y!y{c_zhDx4E^?ukbw-W0yVRK zA}K=NmO2gNmQsNuu=3B5Zh3!;O>n$1_mZD?Pm8I$Hbu_cVM;t(Lhgv=+uHnla`

      + + + +

  • s zHHUGvU0S4uENv9PNR8z33Gqp!>n3)h+g-N+_L^_1$o4I%X8TbJZ}SwWp%y@msV=xs zJ>1e#O_J>J5Pm-NRU`HO^&L*Y#LYbz?oSleM+h#-XcUPc150^;UC7>|hiMVT75@N; z0GgV2NN807gc3w=ND!%EtL;jb%)cQZ*!oi1E}A=?5Uc*JE$u9z8RYN(0FzgY^QW!mc1jhJM<8)jj*LxNy}cXG`K~(6 z{5{T*!&ygDa@Zlq%$nf+OO^3)u8(I|i4`e3J&pQVl3l|xTd=6n0porvBF{QH=0((Y zEL=l(4D1BQBgK6(FD=F@r;5n_xaKQ|Tsq;|MfCSifnI-$ zDYKmgxosiA*^b;*C+d3PaJ@-!Fq#Fjyf2;Vv5L)e2E`^JF)pvnh~Vv9ynW18FA&$U z7a85TW<1xm1k`PwY@BY9s(mKCfw_duZQ{aCm5p4+{{Z-^zgJOVYP=> zCeYq1muBawY%u4=YZ)n{IVvPEks)F!UH}lku%VU&1=Sk9CYo7@AWdCqld3eMqKr<1&6Q?rES*^TMz0q#!fu#uN=80!9y_aEe7z{$2w)g_7 zFzuF0evo|gFv8}TYj+hE)!5!YO5n1y6S+I`4SM)pol5{f=d}vSDRx81J*b^TRQ(bZ zsb&qq+#eL8Nry6crjj*#qj4giZ~m&2F;A;@%Fz5PT*2aP-9Q+tY9FDc&2iT;m#$xJ z-HCvEP{qwm@WFg{p<)$umJEJtEvFh1+1=^Rrp)rZ52J&*qS0ci`;+D-N8>Vye3=T#9nd;^@ zLAuL4@%jqjr%+)s^O#{UcvZMB?6(YiMR74Dy(0vO?ObgdZcmEMan+Qc(QU%q)^(U! zorN~}{H0jPdoO;?-1-KEndh6vGm6syT%V4Vzv*UW&5>4dBYRI9cf0KGgw z?Okr8!eQ)RwAfMYDm4-3eXEWH%+tzty^}|{GB@5n)Q(kmGbG0J_H7`YhZHxq&cG=X zGrex4qN#w4Mwldn=A4i16G+Q!I2yO_^GTs%K_J&Z?j@I!`Ugg1F~RR$-ju#<=4u#? z1Q17>ui23Rl3HW$T}+XMtj^1UJAkvetrV1?(k57DnyRy^(vvjy^!40wu6Kg{i*7^O7TgoWOgb$sQ@SoQUa~b z#@=G1i_2|_&Z#`nPpA3TL5bMWg`tjNpaHm{xmJ)t6pMLXp}_;NrrjY^ zK<#QsGPGn}YqrzL{VF+At5nJHP9>Ju(aAn&PTQsd95uMyMHbszZM{GU=7p&)dtAZs zL%VDv7G3m;n8!^4D@j(=r($;^ocgXL58k6LG4Abf00(I1qz5_ySgLc)`vfxOup?A4 z`0Y`y2Dc6*d{K_Ab0#U5UsA+#=97)P9gf3dMZyq`%}9G|t66D1ss;N>stSU4?dF|j zt}1|J({u&u=kX>srrCE#jztW+B7zhVPFB_=cdmQ0QdwlV!QO`GLD+9m)Up{^sWx#% zU@#L;H-G}gt&+!qMlKDx+j?7vRDvWLjd(4wI<~24#4Ka8EXLx7w^>`;M^{sBc@#DQ zfdEz8c|r;b-BKMzM>a0n8m*F6Vkupr0EnkrzW|0NrAD%rMtak*U*dC2W(?r1dhVN^ z@R|E~Hk}d!N{U{c%F_7R_oNF?>ABsu*s6*W}83YmBP%pDF zgn<-oVOxI`mj=0Oa3F6(8Y89Kh+=l5qb0?iThv>`CC`4--kKGX@HY2SC|6l2lP=nJ zRtQI$=UlhGo2D1Zsi}!1L0u#Wnr0vizy*Ok&1B{BNJLOgi2fmE?Ho}Ui@<3;sYR_8 z*hHSyAKhZ&z&|xml{}yhkLi#fkF_=Qe<7Hndv1s^Bbo)(7R4F#F>xuT-k>C&K&+># zZd-iO-q7AhGg@2SU|X1tt1aqlq4Qhpf(EUCrxe>_mX2c&Xkr4H;8R{0ZrgVhx1Yb7 zi7jx-tQdnG`&QJkA`)njUsMl~A8H-It#BC;=9*UEU`be=>Bi%5+Cy6YddT=<6$FKd}OYQzl6h_MyrbR$>Vw z&fn(rO+Y9sp{02vc%*eQSV4isYMXz_YF?l2FX7_EpO-~}F zY)OUm(UtC8Ar*L2P_Y)#ou&x===V{c-iaVa@J&9(66&hETWt|Cc&4tbdMo#BIybfpYpEeKvi89g; zkxOF9Cw+}hv1pP95xmvEusoKD0wDgCCf$`qCzCTo!@?7&2#DI0kkUXRlwZ)sBn431 z9j2ZXpUX7DA_?MXwqjyncbX+s=#hbm{!<@%M+Zy*D7OHZR+Hk8T4uLDG$-^tgDtQd zi1?=-B6g+~fy|omKNRGoBp@h&$fp<^Q%?IpuR#oEe9^QpfX@JNS5KxGoHiGzY+S{O zP#{m5qlSc-{*+ukCqsq>flcI4)y9!)2iUfAd^4i8f~8bCYKb!X$Afv2MD@`lZdIw)|B_?%5JlM8n@(yMz5|XoiW~?NodYU~OH701hU3 zt4ZzE{t0*#gS>vfYG&kKK?C$P(Kgx8F7ZU<<&mwN^t-4HZO$3(MOd55@J){Yvq*}Hi=&VE#H1?Ws+sExqOPhkCP1(2qw;}iW3M#5M*jd6XX%cp#&qq__%=S7{L4EUty>c!CY7}j z3&mF~AvMx!%7mpCaW%F2xrkgb35Fu`ENVPWXSw!ujn46Jh{arIc0aXomP21bi}veJs=dYJk((%LvtO?J&+Ysxo@>IaPU}u)TK961GJxUJP$po`7UB|?04do zQJGHCDQ+lhV6$v?fl&>Ru1Nf*vrw|4&h5N5E3EU7Z(PuT`-%Qls2z8Q!euz?SZuVw zM2FkIl4y8bW&8!rcx#1Nh>_m8*zI_`t#a!U?a8Qd&6+t{1%`p z#X~b00zB6AS@~UYs5FB>>MyZZR^L4$niAva3FGjy=mPkV?iZrAg#d+NRPO$T~NwF zGHKd~Y5gK;2s%L#vD@!U`8yO*KPS0o4Q}ANMz)APMHe=|X5t`1%)rd{0=Z64CzH6| zoR6RK;noNudJ8z~ zWsS%tx_Cd~w-P_K5v{5Xaqu?gq+7A2K~XzR3bsph5a0DyE)lE^r~6lxj-9sgOuJwr zmmth+DFc7}F~Q!orPr*8w#5yuCxU8L4%xeO+c0%|WcH}!0AYCk^&<@y?hXu|W~$S` zR&p*sCB240a@o|q<9MK9tp_2b1_mn0>)W`xZZSK5g1NTrrLgY7BeWXioGq(1i$pt? zy*B~3fg(RjE(y=#Y!bT$8;`vU5pWV+R4F@|+M8*ZYyl=kZO3Wg%55`F!xw9I;X&?5 z@lulNY6_{Rw1Le=$}T1+18s-rAWGE21+l11o(L0EyF@lh3QpjBO%(teb~OlJEC!Y; zJ}9=XYdev(OG$bfVVc^7osWw3fXLq9G@0IMo}gn3*zZW}9btrn+LF4!Kd`qgqr)ph z51RG6g?2QSP$GiAw9|;!0yn1qtedmfZR;CIkx|010gCA!38SmMmQe6im?ymz%H0K1 z^L?mkrATBErsEQ%YyPxlx-B#~)DQKbwVz7qU_sdLNaxfcS$Fe1Q_Mz**KOLkvXBWo z$9fQEw9scylR!an4zsuuyzx>J=)58Zp|vf#VRR8#F)<*}@7gRreA92KRvzY1M`@xV z^#chc4)hJDv&x!Kf(Rcp$U2E6>?v8A44>1AM7X2?L6bw(EHLz3b%r_^X+7ygS{rUD z*Arj5H=!40<#rW?wy7G2w8+c2-{zZnt?4>PyW*H3)>oQh0IWsM4JO^v0qct-X(_cI zO59o4i1R?XXfTB^4{$0nSh+#b~Rbx{OKsQ`wy6p(*fiHKbd zB80Pm3{`F}+Zu~<(=UjhG~~qc3`G&ayr8KRUT`!=U~I0b{i$u-4{k{S)9xV)r%MrO0wr`6rLwDMVDRX*G<)I#6m(((X4|-}hqECa(4|_8ol$t0W zs_aD3ZL4Dka0`v)erv^a7!kdBQJ31s_M|!(5Fc^1CfjIWucnjOf_b5Z(!r*P@~T1R zfcJE)6S=1)lrKSE>}(GGsh3pTLGJfWE|gFRB>m_v{g1Sc^$jNNf)VXKqbl3?r&+&h z;UU41Mb+!C01!OX7YrGSDJRTT->*X!?S*z`B!%r+PIC>J;&hP1)i68$wW{2_ZP;O? zmK^O_E^ykj3;v*h%s_*+E;%U5keHLy+0n5h`cV#GIx>A!~SS^Hq(tkumF$N zy6u<#FCKu&L%+24@k^aMiuA`8o3>Ws`5=X7Vq`%706bA1&Bn7XN|C>c)a>QB6tDnA z14!6`+NxbmYSMaktc50bAa<*Y_~#5alDBA*u^pp+DMN82{*>UMTY>OtMxV++l1Z4Y zV$~6mB~@4$k|~NMm~R4}OcBQBq-FRE*vwE&HL}b|*bS+vMw?IaB(kWFYSUeBZ@Iex z-nL(){WIx-jk<@Oi+2A2Ki;|B1<)67;(rmCrkiNe0}t(60xi5fmBV4wDlXd!^Lf0P z@--M}>f__epmkDjH+CapJny%)#eT>r%_VFkQJ>t5JUS7ulX8I~2SUr==jn!@_yqi^LmUiFn@Ze~E4 zuKph;*GcT<AyNP*^8Wx@V-WnzIk)#PdtIDK}xv3a^ z3dNkCfgS7DfP2hsk7xtGdQwl&h{`o@2bxpiH>X@y7UWL;I21bxalNVuZ;D^Z)s(P5 z4`kiEsGyc@t4`@|h+K9M0<&?%!R_{}>fO7zd#iDiNd`eRdFcNDsBM!pVvsNc+C@a% zwGrZuM_hD;?wh*0t|?2L4&c>5h}iKJZ0jDSz0}zDyL0l-u|;y9Ajhr`@n*w%F*6&* zTxBM$lF`ad$H1>KB*@qetJ=pA6f(ro?$`|?W8DB6bdD*dqI}XF#?&`@7-YCnf$vvd zlj_Tu23r`LgxMkiJ*tEcVt4IJsEy`oX{GYfah)GUVMZS{!UskSq?MPxfq@g=r6w~- zfH(0_EtZ)1gFoJ+B1Y{7X*E*H(32f{;c}#o4%FXF5KoVqc99aGf!dHU(suafi>#nv zN=X}m6k9?UW--OhJhGsLsOLlEwfVSFYUXAg0>v$!HrkWB!vrRAnj3`MwqOG^?wZ4l z#~zmNJOFt$UnH~6=B8oq+`~S*OjV|2Q`pU`8+y)FluW4h>?fMbGi=W0I}lis6djb% zF?q%_8EIDa!ohshBq534b5m}pt0vfQRY`r~r(m!coO6+8+c4u{HOsXr$M0JA(R8(c z3yCJ$mkkno)m1WEqOE77u`Pf%Jr-NQ@O;!%>1^18{{XgfJ}Y>}+e|7KC^U`80;`ug zveMr+tzjMXn2@_wD5)xFg(i%_IxjV7BM!oR)8C@ARkB^I5ww`Co2UAN2bx?ql{8&m zRfSk@+e2x+qmL9U71^IJVDg+!D=`>5XvY5j-?doB)BMU0Qspa^xrZpH(0BFH>z_7&o1CFSYJ@C`iB5lM+elG z@OXIDINE!Hy?^8&#IxUDS>>+{CDF0C8O$iL;D8 zJXp*Ey{P=)P^8*J(U^6AL%v0qdg9@6{KkK3p}6-E+*i>*Q>=R3Gl=7D&3x{}Ytl(4 zo+-;Y1V_0l37xy`O|~^jh>A7!Dd^^+q)XH9~JB;P3CyKHT%}A6Kc@Z>~;f_{?(^-=5>L` zXRC7$a@#JDvJTZJrZfBwAExtsJ?ug$x*#dqWOk`s{kgidC&f_gVvTLqbx4Y6kve39 z#?{*DCbpMX(@?sU5~y}R=Jm!qu#v=WHm-#lZC(tNpIaKz0*yPK^Th~CI3{-Ey%$!% zY&%bCYY@}qc&SZYzh!LpqOvxFw-m;G3+)m+n5S_Nd8;o?^s&yccd;I@wxNP-e_EHz z7^dV3Hp*5b5Y7UK03K_vfhFuG0YHy6)J&Hx%kyj4e0}g$P{15aS2GN46(Yk;so0IG zVK~jwFRD8EMjp;56qtMatB@4bEbj?x36H&Q%W73TcceGo#l>}StT=C2_#^IyR0ZQ`QMA2#D=MGGj@ni-e8L9w1Vu zeWUCvvM+r*i9dm~2{OJa&27!q5D5pL6;bIISIhK2<}P6V)nc}{?J&^8On0enm5C}P zwXm>7F@q>9ho9DrmxaNhmr3HB*0joic%{~zqO4_`niRo?Jnc>l2mq0_4UiNhMxjVc zG6g~aAnr$cX{V6R2?|JqI|JU1vhq?UCZSqufM_hId%^Qww{_Y*K@quw%^gs%1!6mcO5I@tYeOI2pf;JH95|DzdHw25)e*EE zrkiTwlclD3;+@)}kUJ_+6eHMsQ?4YSC}ZtKWYoqc2kAm(Hm<7@bb+|-QxulilgPH* zi5GwZjp?XZMj)T5@%&5C)d46s=dYWJFaqobR!xN?o>e zu+`h|Mr$C+3?iX2dQMq*o+?sxP2^#)*S$Y&?nio*MXTyTApCczg_7m1B>THkTe8-Q zkVJW;>4;m_O}l_wW24PI9bapNlTR$Hu{-fXYhh$ecr@;SdtoMYDwQ+~A*>cRBoFCC zTkJN~?H%au)~_Iq$e}980$b?dz=QqhmfRhPp4AkthulD>n~btodTrhH0!92fx8)nu zYl7vW?H%`|wTg?nU%gHK8wn6esaF?GfezirxTF;TQm`PvG`E$D5x57%36+(1ZHCiY zHkx;#psY2RQcv1}a?@HMs3uQ7C}#6pBSde_8F2x`0(E$-qSDGk6u7!{upxy4{ebQ? zv=L4~cRL6?(p$WUed2y*i~9g7O}}fI-J;ZROyojuuG63VQh9$knayb>P zW7g$`)~(pqN0_wc7c`Bfzb#y2Zzf!qR*2+FO84_!Y`-vUI0bhg#{U2{%2g{fB-fRp zV}d)8T6Il+31!7IBXN&j&!NC&-mG4%J`p3}v7h)9wN@7}I%pY#Qg*bEii z!x}7DNhjiC$*srf=StYX+Dq5KE;%t-f7ASC9|?}WaRGfsSVkta?wsmGx*rby(pZ3J zVk^({r#Qhltb01VcqZ+d8(cuTEQmqkgl2ZAxL2EML6K7J!x35WMJQVKX)C;kR@H1y z)G-czD7O@8+Jrs3HEM`p+T0q4nc(c>aLsD^h6GgRZCeT(jrXCe$QVyqbXEK&C9dI6 zn=EznR@>VtbweqvkLoW#I0RS-lYv>%pN7L4kKfpiQuuQUB6Kv|(FzgR{-g7yA zfVcnz8w?|k)lqq-OGnQ|rIZfmnz!_aRJKKQJ;iTK5%a}U+(6MXM|j?ue(aU`>e8s*E2GpuOYGX|uk}HQ3hn%-v4U$G$@3ezW?+}C7IXvh z4h3pW)tJ7d!CJzGWU!zDwUi>GBIN!!6QlY+0e>iK&J^8~HJtUHS7QO4-b)*U1cBK9 z0FhUW!!o;(;mYAIMb;n{J?gKC%P~2n+nAgB^)Vjk+PdQy<$ai4?5yDM<)27NCu;BI z*)}4;OhwEk!DJaMP>~hg`gf67$7fdU;z&@3+`j&3~QI^@pwcOs~qubaQ?E{*Qw(8B2Ng0<@blyK9z3F4Dx+94~SCL!^A$KG1TQAmL zt;6+pDR8+}omOoPBH@WUlQoaxti6k--PM8)_0r+iD$Z-j0lx=xv8$)k+^)_uFjT#! zPbBu~Lr%LRgaB9iYy$so&5Ak@I7KoMk9&0GNRL5UMC#Ejhv71`_ zSO(EM>JlqY>P+(sjmwt_flw5H3wW&Os&H2F{C(RN45D`(ss&sZoIalXStvimpjE%%WQ--g(B=Zr6i4srh~W>v`tO= zD0G7q!?4<)pbg11#vafh?^4hqg{V%)w2C7~e$+S<#X3P`98v;j`KVTa)epsV9YF>L z^#PHPndX5KpeXpK;qDt(Lm`jSq9y1dPZW3~jwz=@Eg5z+T}2E;@N1~7B*x>#QSIDx zA(Wq*?&VpDcGGc;aa9bevP&8uVIXWdqA>QEijQjX(a3?t9Q%-;0%sJzt!NMT?A`0!~IhueyCET|$Y!r42iJNc%U{{YC4qj0go z{}J;f(U)#PHxKqS!a;svxN zj8O46LJtGYS96TV*ilH6;L_Sbu``^^dyx;g^Hrx}?JqM=XEzzO5=lJQfYQ)7H8(&y z-vj;V+jcZ4GY9pe4{_p)0D#WiQ4pXUiJ^hKMJyU=SjlM1#>ekk*U?*%a}#VYaCSc% zf4yWD$&sY-TA$HNgl0F!p|}LCTvuEPt46z>p*d~h0hL**FHdy_S7Qk2iV7}<;c%b&>g!{)GBvneam@b!_g#*lx0Kq-?0i!iMe+o2I*oIm?>`SJ68|u1h!HgBl7{c z`_hVcfGqh2AYAEdH&7C$(2v@0I5Mb!?=zyJzE1n?%gSbYBg1A7QB`l_l#@3nHSb)EsS z>4mb|?lWnSBoEj1q?7a_XIxtKdUF<|oiZ!ttVOZvouRAg?EQUglM$=EvTNo{Xlr<@ ztHBIJcBGZ)2&U+2J5}SL8wH3ff@5#ga?D+}A|r3_Nz0{CNm)y{FCkFC!R%>mS-p2rL?u+gF*T|6 zXHQ`N0Mf5wZQVh3%KJjb{{VWf^cFV>iN$}WV)R-UHC)!)4S@du#T@ubQOPk)-IZJ~ z;&S`xiCswGlB82}OZlEPy=&&=TmXZ-d_`&fMUv%Blj1L&MYg+#Q|W>GcHW86!vme= z7Mm8w=5w(@RV+{u!8sdh#uNMddnFhtDN&*~0ag2851 zmj!TKE+mD66{~$R`g?=_07k>(vm_U9-CaN)06bPcXOSNooR!(X7Zc?$Y*ON`D!w5~ zz=0#R2nB|n;=ZiTx)&(N;F`$8BA75j*UkNDl0Q%9S1@irhsY%h zu|3Q{98^o{RFK<~wL^EYq7E|tBNvKiyycjgnTqJ;x5bMkeWZiIu64;9fl*kvX=woX zq>#%(;%#DXqJ~W@!gt_7;-zL;S;DG5qS}PO5dy0?TV;r1(qwXZs}1aB>-H_%y5WK> z4I;OUuMHVVWQM9$xgTRiw3^hc?l&jmh8t5%@%mD+)3O*WKcy`@8&(HNL+%jA=ZcV3 zZcKqDk%(w5PPJ{>f~Y6G6P-f{U2rsdieg~R8B>XgkKT)W4Sh70vg^2rozFkoqJZVd zCt=9j{{XrgxW$d8uvz*}^IChz5KIp{d{xT}b8q8J9w2Z2ar@ODq+B*N)uZzF?N@tv zV#GNBs_|DSrk*lQ60Tad%P3ek#d{5iL2j)|`+MX{XO9BB0b@4}6CG|w!auV_jWmtmo;+GG6KByW*zy`gj zgdt<~6eqOrx{w>dqD|16CW3VhsqPnVY!klK0@f? z&w&(20wN^SS-U78{L>w@9oW-ua&G}>ZP+Xz5Z-B3UP7?c49j?>1eMf-SHbN_@6W0I zDw>U8c1L>x)3v}<0pqnToMIybbRGWysG_7fZ7|96K);99rN+iK0+fd^(Rz_<>MD6#l zBXXyTkOXdb6a#Ts%Ar=NY3TqKMpi5jG-SF-(&{3W$z<4XK)Em>XlvNQ-9W(UiV|G( zwjwLa?cHDw^iyeBM371CT4i7YhesQk_@G=U0gqY>9;wtshS*47_ z3~-mVCM8es-_P2p4n~p>jk{5;Vs2qBE7>F!_X?RpRO2IPPph+d>8_wQaYrx5Fb=)R z=B{eRhNLpJ^9Cb5#!6lfH&o84+}5Yi9dekZOBlC?A`I7;%f$S5-WociHOsx4n%yP= zrNpoax!$8ArNj?t%AXXMC89|&TB)h4j)`iXLZ%}^fIvSqx38!Z=ApZ32UT)2OwDZC zVWl;{Kz}UNJAH@~{qm3|5{{XF1A`k7}q~h_n zaW@ILb|Y=(H>k5JLg_KK-K)2^X4%T4iX2FfkBV6zl(+9g1{g@@y(d=&1w&TGl@o9T z3}u1Xu$l2&{x!7p3zNdxVoC88^Y&N`%w@P6hEgZ*TmEV%>Gi>}{sT=K#VN%*#8+LZ`yf!O4&Mkr(=CT$$SI~H#lfVv>RmNKu z+$r65BW=H}Qo1u4k>v@7y*9l~gPp70bJoq7;vWfuyZ->U8x1C-vztjh6ylMV<~W<# z9&>c%_es#u8&v*=tXU6N-OU?DGT}EtfC--U!pv|(5jt1xHDCV#OU7X@dbR^Xnc8X2 z4r$gHnkau#YZh!l!Rz9F+7ceWA z58;EcY25kRhmNu|ZGk(@1(sm{0BTaoqyt@KZfIgb zYFnBkN6hRhnqni6d2NL>ZenPm z3E2MB)9mzF6(pEc~ZZKO26=zj1U~!xsQ&y~#Ef~xRXe7k% z+N+nX!Tz<{$+N?k;mxm4P~vFF(HkFxY$fJW2~c^csc_qA1cD88a~$z;YE{r~d5YwQ z4Z4s;4|oE_mgZ97E**!>SgqdFp2Mhj6`v=ygD%Evy_4hEwwG<=%^rz(EcWF7YI8Il zr|nT}-&YD(d8r8uCQL-ukoemZc^%0lo+;C&b~H20K>g^x-a*(^eo$HKe90W0sHLHN z{8J$I8_g$@N&D1gI-vG8>u`91#YVe!0cJkYM7?oU4*Yql_H&wrR#ZEks-81LVDr4m zL@lFLmveEo6H9TLt0pZk}0J?G)a?_=z#Q=KQGGk*}N74*UyE*QcXbhZBHJsZY zsjjY7m|e@St=usveUcR)R^4lNusYQ(?QvFt!>O~P0I0F?!^JWzdJy~!TkiHbWrq`@mbmcnCl%q^cv&D%<t%}KE^7HPQC|?(X5Gts+uHr2ccHGy@_OUlme+}7Vh@iG2@Vp;cpYKx^6mOXS z0R1@k7(O8c?o>bfSF-GPOl8MiwzM3OK|IfD!VV(gUwg^qNul1j_Z>)f+kK5Tm4=b@ zPIJ|nT43FMbRek!Pc`%QI`ZGe-gST($rKM!lqi$7;+V!>dHJRJpun8q=T#@WOR zexbDCqp<+ZbMi`5*RyUlY`o@pEKS_L>IAz0ZxjAT)t+RG>z!IGNHf43)}fC2gE@8d zTmp?~R38Sje1hZI&n#ksdV<}-b55Dq8Qe`&p(t_XmgL-v)?tCYcL970Px)jY>r@O5 zD-a7>viAn(WS##2YSgj4eD<)nbYKF9LZfars)s;cz}w6*H}fkD^Cd>a1456*HmuHB zX9W79^4!E0Bpvlm_4QxV%9d(M!FXdohaLSj4+N0;W{rvv` zSsZ?h>nvwY-M#30?Jz#lc&=a6JZX6eOOI>&qi-LYJaTEDSKM_~GC;4I{b`-!I4fv*sZJ-Do%{cCL13XuqVR_rY^FRI5ddQDkwq4ag z49Bn=?rVAacl7%YhQe9J=2n&O+yRO(1SHlo(}=B}U>4x^o5~n|we_Y50bvTrSlYZ# z)qJ_Z#u0UAq2X}GNy(ho>8!5hrnLA}Ac*6&W?xXgo!hZtv3YPS8|eaTXpvDf8%v17 zU9{j3%62v8c)nctWqzOe9bCK$YLAt2RBSG9>9i>zsXW#H00=@TRb|pURZBm^oDWbj zK&qkijv#Jo#e}}=ZD4HE&3#-sJHa)ZJV%F2>Z*qjK#AIhQtGjNAnmaq)}3fEP{f1Z zYCXSn>KjZ5t9!uz01Ox@ddUy5Q9O61+dqeKEh%?{grg0c;6B5ieq`Jx9_og0xx zxnW;WP&FR^05uW4O9hd4j`XGZc?zJ9rtPi7G4V{a=GMT^!J?*vY=k5Arnn#gR!Q+r z71<$sB(1*H} z_|k-_)!cw9DB)W6Rp5<>xI(}`5mIdFX=5NPf;^g!AOP|3Y2d3V_rV*Fn!NpFQOS7L z$8{T0gFK27UtmCTBgGp~kVHqC7X2KA;3smC6vMW|gimapJtr%wP@bqZHmi zDv;pJ3Ja)4Ki-_Gz;87F08QA)5(hNeyjXyXh^qynnQ;pORD(OwZY`%U$|GhQk9QPd<*+8P7Z*tz)Eq*!uuAYMVz_Whu;YP1 zEO>qDV48L?DB#=NhBU{v&m|O!F-0mgh>CJM6i@&LDwxp;bz5K`&lGElg=Gnz^h@^r zMGOcgqLpMjZ%KWiVO7eg1Z_kFOs@M-1FHxp-Qv8DqUQVo6s2v_Spn^4SeT$HGJ+Yk zM}ZXcaf<9t^tNt?_7DU4H7U1|j`dNLp)fn26%o@*uw~Rc8ar4ksDrrRSC##-b8*Dc znPmY1(i`}HmW%k8W JuA$O&?kXMYZb;Fw6-lrGpV${LuHZ+si4~GC)reuVd{(EP zwaa;J;^*2B@A_6&SZh3n{{XmmrSiQAiXl{hNRAC5KG0S~_OCIHbrIairWVt%V*qaz zqHe2Xt4@T1L_yd@ovET2fK;CPNc(@y7!#%&#>1KdY2?fa5$35qSq_s4tg}l30NZZ# z*8Y9~m@K`t$ahQd(X-k=nw>7ET`g$`Sm0Orw0Wr3l zQFFK=HwWX2%rb0c%(okF5Q0c)3+A@&mFt^$ypQmfO54OdhFw)3ab-xTmJQ4;;dc&5xWB*YTedr)8>%q(Zedh<$fW8$&x*%$EV~he#N4rbXt`~n zhngo8!CEzLfaeK*(`;$mJHiB0^5(v{?(QBj(>gaT^L<% zstGVAKK}sLre-;v{NmuXhGPvPM{f1a#b3f@d2Rbw4!lE#Q?Ml0qvG&3`|@M(b8_<6 z#wKu=uHMCrMx(D*p|^2eEB7%M?rOed0kwC!Kc(*H`02BHGvB0h#H3;Ys`gU6(W!;^F8_TUIJXtEk9$Teo}yD79hwD41WIr z^IPt3x6}Ka$Kl)i8u|YKEhJ-Y03_-g_phOzz{GS%qRpf=q9^VvTp}AjadWAO0O;*Q zVYvi=PQV{E)J(nZ;x1dZHbx>(#dGmC{Tt4$8o?meQ(ax6m*g3^sRDh|O$J%Hf@y}> zd1&AJ)6cbaa72ofvuO=6*Kb`#ATw$6H75z0E*j;sSiJKG{Q0O%+NDUD=Aq(n&}Pvh zJ5)8AWnG%TN?~6;##*&t0tx1~=ILyMCh4s=Y})lS&bA)rF<(9XFU^yu@aGqO!vH}b z^IdMX`iqLr{{Vr4VyfFuc&UV3oh=sD3LjhG@c3;101(-3*5sXr!_9CmU%7FAh|{%b zSv|Z6v2bPqSe|uqYLAb%Xku=hJo-R^0ic&x_0g+yXQ6PJ`uWFJal8Sj48?xp>KdjT2P=LU}?@lL7&3fbN0Z(d4 zUI0zIb4>Sc1fR7osOR;sM!Bce{R|in9^gQVN&X~2pFU|=X*|U$j>U=C?;LGJlkH<9 zDgkI|-I#Wu-Lz8MGdBJy>gu-Ucc9&NxW4b&YD6&<%!ZOUAPV!@Vx^mbM6^AoAVnrD zk_er?DO=FUPJzA+Msy&Zs%S&^iuK!a35uYjn#&4yrlAOTsSdkG6!yz;D~C7Dw)Dq% zqyrx6m?DX7iU|fLpnI2p=|;D3o=<86XL~Ql>19?Y^{(x_QVSzX2;fCh2Bk7TdN9OX z5&r_<5-BUWdM)dyx#oc5ev5x>%U!ZEs*v45V zb&3`2Wxe#c3k2?Jka3qli7`>z6?vLN%2*Cw;Jn~Vt&-O8JUce zPP73r+|k*!Ol^#|HWNi#W1se?8*n4NDl7<{sA{$#i|$CB{8N20cB9$=+hR9iOL743 zJkm`q3~ElEcLpg`d!+0s6z#w7L{|2|0)Eu80;+;I0(qvC_Z{QM%{fl`MrbG{xVv*Nm-8SLg?xMREd<+b2KR62dI%InosFQ!(nk3 z-BQvu#$o^pvsR2RLgyFks~Z7W#>B?eT^;0BRKPHI=9Dh`n$WPnOtTiY8SVglMIO)6 z>~rmM=wBoXO;$k77_afj1D~2bzojKa98(f|z^xlv6`3vN762>^_W7kcT@ish z@+)6&r8b=0;uTCC>aAUDL~D?&2PD@+Bfc=0gRMzM5eIsL_Se zvIG&^YKD}m%TK9c$7Z-^%NoV2f5i9|$;fdjmfw4YHg(fFck@umha_xA9j2V-P=*J^ zIYG%-cV(wA&MV(ue7yAI3$0Ca3>&Gg@=6R5NFwRnSK+Ut1!mGn*vAj|N$Rk3cuX#zN} zE6e>#K0hLb9h@E`gRz=(02m1$c&0%Qq!BCR?-Z@IC%uJad^D3IN$@C^ZHLq#2w9-& zBpu_$I^Vry!3(@qwwTGeY4mdoH@6yHPxY^?vP-7+U1sEisX+(yuaPXfD=3~1e%19? zLti+KoWQ)#@R$2n&3?Vc+@UAx{{ZZIUISFo-X~3Xuq#Zvw&IX(yX{^aafIs5=6vn- zBFVL%U06Hak-T$V9JKoQOJ(61fFfw$SMcV}YUCgKfEe-Op=4lw63gpivBzQdue0#x z-wI!Y!smmG*)Utg;nLYqoZgItBN14 zw`D=%KT4mL!ty2)5LMyCEOXwak51x}Kx4r*1+42E_TrM=!(g3K!iQT6LDvpZiJtwb z8`=z=>P@T3b$ziXw9{VDaNEJ`d{c{eY&zhEmlzTW-bsp)=!=L6GqnAwF57rAb!{_1 z8m*|@ZQd%owW4aa6V>!uXOEgStUzWgho2^DC}DMCKUxwigQXq^-j^cB#1j0SC`*Pm zBkw@O;mQExa63>^yQmQp#Z0uw>!qVtdK$X9D&Xzjy!KW# zAu=e^p&%FCtVjxAaB0?CQ3qfmHmBRTw?>PA6ZWRxO%bENifi&x9-sv=O=MOOJJ1&S zR^E1^2N5Ix0IHU=VrKQF+zO3tt^iix5PTlgN4;&BsF53OQm*m={dGl+$s0`!uBAJ~@687C6;P*ed(&;R zCdBMaQ0d0#WQ1Y_N!p1i=@4Q`=AymeNkkIS29nb{DK}sV+Nvt@(2jpn6d6V#NhF0N zO=RSN?W7U<)~D3YkCftBNZEJZvYpMx9f9psso&8OJR4H!V0YX905sJ)!3@NZPSM9| zQ~B7mm;+l z_3-RNF64Qp=wTb|NZxp*Hp3Q@CO8I!maG#texCZPV=w8J3I71^jqQ%-=BU`rJ^b$% zdmVo1w%0ciRLubhU`Prv?aBvXQd30pZVw=7~C+$C6v9CKa7&u(Jv z+rs0R+_t0IPx99s`;^Y)?@^~oh;2u=ip{R?2yvAn*Z!|-0c{2kd!5aDr*bS`RAZRi zhI!U%wDQE0yH<(x+ZlHuaf^ZJkqjuGCX+ytZp%JHm#RspS|?_^8t!3Hw^5VETRK_HX8bFPtemTpeu z)uXMtUloz!9ms=t;A1XH1Ro%|YdC@Tz2HIY=oJou=R`zprVy?s+cTp>G&?N&UM@TrFN zE|IJPeARJ+1(7Cyr8eP|_L4U9LREp;(9ALC8Fj^@7RP}TQJ(1_C|DzU6}4y65+id1 zwMn)^A4=M`wmVg-LfO;l{KoEAbh?0d71(aR$5>02?TJU2@6ATadSf4t#ETDYtp*>O zIj9|1kX^{L2E$yFDj7-JYRXAoHc?xLtCc`a)(QCk|Jt@)H zy!bh>r9d2>^%pbg>`qmQyK42n`ee!f0D93T?GoJr%(E+*lA>JG?Ea>?cN8w{2Z^b9 zb^`t~`X#uZ{#AO({+r_S47srv7+TZ(yzN7qZlu918O#znG>ixvY-(lf8L^nXhBC`@ zLHmQ|uXz4~1E4LB>5h!tDI;?|roHV4btb0cFc&bE)!Tw%1w>S5Divh> zRdsRM7PbtiH01+N2A_7*+Ymt>38pQ!W!1F&8qVns#xMlT8f5mPK@d4Vy)dMc8%;R7 z%R@srpKT=kZ$xw_=d~eI!GTi#&}?HdlduErUY%kN)QnFXnC}(s>MF)~f%{WgPNT#g zD26xlL*xpy18rIlh_|$YcdaWp%L4SWwX0f=nUVJ9v)m*uBHpQh!fSPWC*nFrEibsH z)h{^&eBpH%GX@YrA}G0j2L3Yo+OUO~>;M%rHL&*amxaNk>pMryS$>#bv4+poV+ac3 z1c4K==9HV-DI=YjbnYVqhShOQ>`vTQ3({jHRGRu^x=tGkUm9zJUsxVuOndM6~wNv)4X1OpV8 z7kM00trE&4{8OSfFlrtO7zkg#ig*%tq)lfbVi z5y_`Q9Jc$hA9`^oU@6F&q>^;`roRLa(v7i?0FgUTfhD)4Qt%RfY04nMrIZ5cV!#Tv zbZXz|6=&=@IZARQzf-LesTFLPr zQ;}V?CZz@esK@Gq5K7zPgscsN(q2t?p!lq4{ZeQ@!`_D9Q}G3Tw!f_oEOc!_7La;o@pq*Px4UdW!s00FJ znk2^tDFOm@5x)_|4SNlQV+Kj>5kzQR_iw#-`X>RG=6R^Gm@!L13llv2Rja2uBMF@5 zc%;HO{5^&uM|1b_Q27#gA6qk0TTHMbIQgKeoda7yG2gv?1&aDz zUPqVUzHVOpdp#c;$c!P@M%ncrF~i|HV-&8#Q>h9kb6+Z6x;0%YVOW#7M*Kx}_&zh>O-Yz}Jgbq~BH8{V=_768mx4QhV|rh3_Jt-k z(gx)Il_LIKjk9yodkz>!wDLYaE4JCL_r z*Q3VrZE`jCU2Up3)Brw6rW>JD6;_TU)OjMf_4 zLcyoC(9RKvkSMoQkn}SNi1i*AN1xJ)>21V=Ak~chPrL#7XZ{l>k{nDyc@-p=^K|fEobMCwQa`r&s;;I^oqI$nt6}%L87u1eoCQ zRI{N23^y0QDep|b>`>O_cZmXw0+Iu6eE6>_i*W~3b~K><*xnI(wDAF`=GeQqkG%}r zTfW?-1$jgs2-ImpyW+IFOa1`L8?mLQq$-h$Uc5du$P5l)+p zEs*~J1JAgEK?8lM;@|~IW#G*D+xl%x)=j_4B%O@XHL}FGBx*gsdNZq_9IUAR;G#Ey zNJw)aZP-r+nWU+0M36^*)LVAV#2~a0yb7l;&VTq4L}PF*zy{OBOv5T%Vy8~W={Qy^Hn9=L)L>81cM>FeZ?x20K&lo_ofLDNsiG&pK&9T+*WFuXja)N z89_52ZxoK{>Vvo5c&Ka$xEUOY{q|+vqy($Y{5e$+XYOmKmghHYSvGEf zQ-Sa+h^|?Jo@vFjf?`D0ZZ^|n{)Bwa&C8dX`7pYOgI=!jh(e|H86H5} zdSd%T0s2>C5tV4bj6#{77B}X&$~7qs+s#jF%75qy3k-zsB6t;L>0YM9WVpL-9lat% ziP#FQh|)tqo)3CeI!S{yqMf@FRDB(T#$~->m78u6nU{I*Ssz?LTvA@KakNjlM)E6h$TPeTQ{|%8ATO#`A%``6{{a3;>ef;7g2)7!_L$p! z=_EYr9Qmv7)Gms>l;Un;uu9E_W2lIoKlrL4EQd)@Kl`P~mD&=>go#iD4b6F*{{W2s zl!1q-1OpWEOM~{TrE7X+k=*JLpo;YRWI~yzuzPO?Vra>2?hXWe(4E^I32x&Qg%DeV z;MZTLy(G+R`ot5flf>5<0l9Hi2K#YVj-Kg!Za*JwR1mBtYI@engEbsJZGgevu;J7K zn^t}GUpB(y^UGH)Tvh_!o_=Z`xeOVcJJhx zAs(*ElSQxTr&i%|dn}ikcatWh^%iA^&DRxs4peFff0(LHb<$Xzw;OWMI*~YVbD^*p zYZh+YEHwc;@mzf4qVS20vTa>vORP2qiE*az3Vw|L05itfY~vGp)H1c!btmsNp`N(H zUC5ILTXG{$c&YdPo#hyHLvmMF%|^_AonY-OWl! zBY73l%?NyD=3WaPKJ^43jp?D;Y3a_c#bE9&yZ4JEfG2akYc_Goh{4-^K$Fh(^V=y_ z4LljE22IpA@Q-aSJ3X<+@f8w}Z{lqU`ev(&2ySYDN5SGfGpH!o_^wtLABVlUb9~mu8&;idxgCdkSx~^f}K-^Zd^p7FKwo);-?S^}#kuY|ctJYk*5-ZFTh~R%(o*rhh%jHUn z8+#y-cK}pW)VGTQO!3;bewpa(uN$1Q-68F6K$8_t`iGU_a?Y!}hO=)P5?ODTKw^yx=;cgQbTfYS!`I4I{?&ZT1`|5L{xb7gN4R7}O=g{M zgt>+mZrwr^wpKqM{nrg~*r-uv^~FK%0Z!j|t8?whr&Ne(ML6A^p18|w<(ZkchGldj zTZsm%Uy)Rp-{Pd-YwvMe5=kabqrCjk7#R?D`K>$LiA&gu5T?_sozI#;7Gx7W_oubC z1AV~f`_nWs?;C$v?^dFowgaYTc<(&LIYcn~ZM`rFB6j!!h#&|N9C1qv;tYP}WCC~G zlUm2n+;ZBzi^IEs&832qxaa)n>c5V{~Nl4iL0G?}?^$r)$ z#Nq7Q#pGObwtaB7B}ezK5=h#ry&csUT=N1QE)8R2@M^_!{kwL{mkwX$C}Ra97Ed2>gC>R>Hh#s z;+!}-=<&R!rOI8s7$L2h97dxN+i%vNWZYY_iYC2>VCtliByl@Y6<*k905}`PdT!Km zayNP>Te{c54HPT5Labif1(6dp#6F{HQKo5{?kuZtI5d+_1FuXdy#!lnm4Zzl($#RV zi0!>GZ6k>SX+74QtVIo52AD#Y?La@A?kINvxf=ffFA+sjZUgQC#}v0qDuE$^6Sta_ z{@GQEWE;TQhF|(i0z3Y-D-CsA6=EyIvW>wid8pS_0+vaWyh-PZZOc?m*lb=ETuq`w z^FAsyi?=Y>0^THp#YnM=NL1Ij*phqEZs}>*H0*z^R4TG74{6cRo;*_fbjT$9S2^!J zr-QH^g-lFRTmx-7gvAdU9)aFK4yf9iuES{+DOFf^iW6HPnA0EDq5UKeSuK_?`YbgP z0o!_%Subtgp5QV{sN$fTk8OZufHs=JyUm-i_bolP)Uy>KZ>y{lDb{W+#DZi{?_sTh zsRa>>kMt0i@X2s&NF#lyERw$C3_H`3>9W8#9x6rGLYkHjdK_$NkS^M|ZYu&JpKktz z0mPq*ONHQu5Ia+SAVl|}FQ6tUSGG#DF&j{|G6-p?NcXIn1ji9mt-q*}=1n%+$XL(* zU8tsX%88}Yu`Aw(>S;V}Qzz_b4ckKC<_&Y*O{=<4l1ApbcW!&Vu@u{$0!IAyp|A}C z2-xplwvDs`0pEHg;?>rPtd73^s;-g2GXjF8phIb6w8cN&ZB&sWBYnS7NMNVBW;y== z%?e8GSYd_4T(fT4+qkmu4DCS$Ocm08C`th?T)`v75&|eP%444OSysJ^L(zjkAZ$B> zL)3SbGHLd#76e-+Deq;JfUhUd0;l-mBiJCS;d}jo-jzgdqi?q~MZ*H&OHPgCi65u+ zrF2zBhxZNQtx2g+Xzz4aPTuMq+Rh&hY+T=Pdy2PbeGYPaHdFjIn{KNEdbDL2o0f&!suUHSiJ&talGhQ0Z!yJF zO;SQrOaUaRB}v{{ZqAgXmtG&v2bfmkW53y2`-^&x)b-$3kAgUb%_Dp=%_` zQB-`JHpJza<-3PsJdVb;ev<0@Io2Fu@t_7fd#a-=Le@+r)j>Yi1a_tYp-J!ZcCBx( zx)7aM?5$T+mSh9-)=zvoN-~f`K_;QbOF?52>gxB6zA4z8T-yt2U=b2~(1P(GQN|Zo z1=s*Lh?>f7DbY#T?2*^~M#;QHYTVYvV?N8Wix_L@;v;ePtYIx3Xb?=8o()@aE|tY* zxSL}85ow2>)TQ#OdNqr|@2Q_ioIE%Jidxpk1`Knh=o0juyO<+rg z+vdAD-%H-huUxlk=_)&s8&@YI#I9M7Ten2%J-4o@+DR=L;?2tim|DkR?P2o^UYo>k zP;)Gk@cEV+`dwpW8PnRLVLFE_$6&2ox}jKaBDp!=TG{+nt5%!%Xm>O?;?X99oM3SH zs}>E{)20tK7a5shEgLvgb!R(7)_K+aQGXSIwQmFJhS(CLjjEe~&G8sa+SVf&_ZTA$ zT8$<2G;g`4~vx9J}xH@BMq?)D)zeb)%qiWTwXJ7z|sdZjUr#Hf5Z3tyB+iXFqPCKu0ce4aG z0YnYK=k~76-l)tm_+(pnTaL;m2qXQfZkVXjmhvVR&}L9scACpN?H>ko}txnwxt zeqHDtN7R{@;q9lRa?n8~i0w}Q0MuEgX_x7@g)bZtO<*)%Z<4({gebT|aU9hf1&X+x zLttFO`bv9|#SQwWHOufyx3G6KFXjYLE7r`wRIaV+tVVNtMXQ=@4T$2On)Dt&2WhS^@f3Cy&guS|#%7mYEU8kv zd%TK-ns$U&F#%NR8=7fSKq9YKzMW=1<|TF>YNMLv*K+Jpv3*Li{?wdZ41p6g!|ixG z5O||#kY+)zXixw=`J-WE{3br_g)43Sr+F3F!}Sru;h9oPw*1v=(U_Vp@rOU@mFsNfZ)y#8yZm{$q_zj!*SeG*@O-EB8sLUBrqdta_a=ZfP2!1 z95n6bmPAi6L(3TbL@1r7V@xD0Z_d;^gqSl(7zF@{`JuM(0mDhyfPQPzN%BtAFm~?>te{*p1WaHY7ewE%#D?YS5ZJHURdlQ|WGamN6#UIgR`O993M)6!;mdz6?&M?>9$Q+pRBDP++>FZghU2v-Z01yKPu?)v8y_a8Qyo|6+ z5;>;h+apZ+8!GBt1}egld1gG-3+ks-VejDv7YgCY)Mcf zpaW>DZ}JVAU(>F!x0YG_CNPDzvQ(4v#a{CsyUT3g8s)31%ty2XHS@3#Bs?E9;jNYf z%GIq|4C-|jWB#X_Uc{L99~;+4>DCthE2{9`k)0<|lfWGR0D9qIus3m6lGT7->ljc4 zZhuQUMY4Q5VsX~M#oXuMc%-H53;nP{C_RN#{-oYZJvoTi+;Dr<$N}QA->n&~s~8)2 zUCTVoP?~rViru)Hj+4Cwo>YQh9jOROBm?f=m=H)MW;dvkTP+>~LqR)JZi4G1pS2N+ zJeCJldDH}zow*T1R)&aJg3_RVm38`OhD-VG9}kLsDDyK_C?29n5j>i-U%=nX*AI!o zxh&#A@m$=AOW^&Q!x=t;Jv)=2Qe8OnSzp!5I4lM`1TbU+Gwn55z-D>oA^kta-XL=( zCb<1Uh{V1(PB!a1uLP1{R@`cp6DNbOm-U_OrxdrW24z(+0q+&V>VBfc=b4k@?jTkp zVewqnX>}?{jkxXRi?blqpdSPL`%~*#Gjc2)Io4R*t1D~`rEhU9Gw1v@&Bx|-J+#D{I~{j-F1d2iz^A5n{|LyJsh{{U9nDv!#$f1fqWK(qWoxa}3PO)4RUYsAjqJW>ag=brS^5HhgfYy}N{ zuorl$)_^jR0P2sL@IQC%nX_#~lqtf0YNU1h}W`aGScCKDuaqO&tu^-;M7H=aj0Jn1$62@5R zFi&6wjZigqF-a=YtS9xUH*E(1hKc~UrhByW@k-_QV-Yo^urdd4G+$ocECIT(8+js< z-N3KzS?v){7A@*7gGoD>s#42V4xY~oETCv(ra7isVgwR)osByWh-4vg04X$gwwD-s zV{_h#B-^0c0GAp)jCA-kLkgDLV)Gk{iFVEVH>FIcijjwQxdD|`hTu;0tzJe5DqSUR zfvgFTHm@sOMF>dKxe-LZj8)-%(YM~19Z3svO8Mf6q}%!uL;X8;}}1t!=|BG7iM=6l^Wyb5^9&_onJu8p9oG@YEJbCZuT^fG~er6WO(PJ24PIq1w7| z<&t*dTeT;VHp|_TH0md6TZ)dqmwE-KA!6WyD8k}_u->_1skCjd4jS7)Qxr>gOhJk( zOGcwn?v}N<5)D{T+)8?_BbpK`S~ELTye-6KCAp=zppybcDLQn32DQ3KG!VXvZ@LQe z+GIL_fi&=Sf~KjbU^TQ{{InGI-64%X(ra_+??eu!3nC3J~eQUWZVXNqY!zsQJcbg3vd9x3Axtf1}y6y^YpDoCFKqTNP- z6cgq}IL1pc(8}*!UPFaVGX;l^YJj6+OcN)6AEjLS+iX3(q-u~qLsZ!T4xj|h5tmY0 zyn|*N6Ce&F{wvFH3v2|kiP|`%1p^nj%`?RpUa{?6yMr9oy^_zOJ%UlFyIYoEHs5MB zwP#REX#hl1eMb;ku>#`muNayyX&|Cm`rdwuvgijk1 zM>JAGX$s_?`*T$A@JjSI)7oZFG>V0q8H$1ZY3(ohIXlPeUgkiO6h_r8;>HczNQ*(0 zM+SM=Q7j^oS)MlTd(b%zq)AwiY2KSML>TY!PrRbKr?6wybVi5Ow0>bxF6wK_+KAdm z#XJ)wMy)d(ccPTk(m|dE+}3%%*d5tt=pLQ_0A9yEqi~@DcG|3+SM=@hIc@9q@d`>Q zXpPK#*9V~&7jGEXFn0=R0zj_6SZ2AE%stCO_N}8#$UpH@6)VF7FoF=KoyrAHP=H~DvM&}u&nAuDG_XLW6gFmT*}5I zwiyvdmoVFZo-3Jf1YImfsN5Q~*H#YzFug-_1pbDS*4T-k0w8|#R*cg>v5U#wQB+)H z*vEerK*riWxN@XH1Rlnv*}WE^0o$6@$;vck(Osa$n5iX9kBU@;1fSZKEt`tWSwI7B z#L@*aPTTgRmE^R6t>dVIyLp;(lFlFqBe1Uk)j%r0958Lc5PzjPY^v!7L;h)ljlyqg<9sXutA-5u0F=@_QDxK<#wX_tBzBT{vRnUlR64bgca0TorY z?q+Lf#YB(XMK>_&WXwHB(q(~$isJNcUNb!9E#J1<0iFC-qWv+E*h_$|wLJC)YO9mk zwSwzkPX^Oq!vW*`-iH>|3$kwpl)X8ZVJsq>C`5nTTul3;@Go}8BGs@6ZChiAPfNfwaMyknZahca^10P zD;S0gy;(7sTrMvQVR3p&?02B&x9#Pa6bb(TOhA~WguxK9Y^w#5WTEfdQM8iX=s9+4 zlV;aj4{dE0J)*ify@8!{>9K}*W=Po87ovJk8Gy|V{75UH#^dvUn^W4h2<^W_*d0JI z7VZB42<}8}QaYbKz;yPDCfL?Y0jGbO?O*B~J|8e#E3%h6b5#$hT{())?}9^LG4$Pu*z6CAxpa%0 zc{AF!z~~xgd8krp1IbY8d}biy7S-%2Tt2^Zc8@i5!X>MjJ+p$+OiT);^-;&(c1yug zHAIgc>${TSFX8h0M+bUI8-mzUN$K$No#93V^z=nWoXISQ^AZB z^z1jKaI+wO)!+v_c&Xd81Ay|-DGukJE7CTBu%uYm0(YuO`W1_JO6cEkf7+bEeJ)2F zQyF|3_MbE>TOFP#2@+|(m>uGX0PndUt$W)&=&HcPKnUE@+EpZ$?MNej{{W$<0JFD> zb$Gf0c2Srd4nEEGF{Obu^lwLX1{*cY?Ui6!0I!&ch7rwJdTXe!Wf+xvY;<=}d8)Xv zJfBa!wO~e&YP-}uFNV!hE?NNj0-|)+TVOHRWc6<e}_mtQI-@zvgqZ|QBx8n6A~Vzz&1?Op{* z;8K5qnFX( ztVfE}!2`W1WsYgQU}&!N{{Tqk*)T@4Wevpet z*{>;K!h^jnhR)7$n%T=RmoHynp+witopID3>$#)eCJ|*<=X#gceP@WzE*na8;rjr2 zs%6#;bsj#|H|Y+jEC2x_Vw4^VtRJ2zYQdsrlq#5$vYo!Kdm7!W8%DI4{nq9G?P_;JnmE$=i-#S&ct}6hG_s9 z@m^(x40j$4G@EoW{;L(!FgT`wLh5!Tjy9$k)w~}DDHz4GAQR%7R?`KMQ)&4#P9%VK z+6kfSB}povcOcibC_AY>-xTERkgM)M2^;pNw!$awD0+Z^0G|ew24cF7-)e-U@W4^K zLhyOtiZDF)1BevF(y_Q5_OEImuiC39EeUk{;ed$RJJSS!TatX!lowGVXN{S?ZHNs?O8P@7KK}lt78NChnkQqogt@jw%zI={vnaH zc&WDC$kJDOxm=KTM0=nGV8d!1yRT^bxSusF@RZ}UB#@+-n5Hr#Ia%aH#W_CF zq>8HnY%tkIrJcauoc1AFH)Fg_N5orvDfclVWD1dE6K(YcU|mk)X~DKko7)Fs^I1>G zbx!lasbt%E^%5?8P+rpFNs>WcX+@K;9Czb-a>Bqyo(tvWSNy-yf{s;yiHa#$k_|K! zJnUT!~^RVQdAMX)HDpJVaea0G+a_&V+xaKOc?J?xJBUzNMq)e zZfjj9V?l#zS_z_(yos>oN~|7fmfBeA;+bX331cU{6kFEBPTb8YORfPix^!F&q#9-B zYlMTc_oPBqbdK9oq%ea?LRyhT0I48c z5NJxa0y2Ibfgs1 z$N&i7L8&-}MOD!Hq(K1lP>FB?EN|vNziJYarWus4dav55ZiPhaSCI%;Q63Ek5p`RE zwEk#{c=U9QCs5cPd8A=z4(ERqt?D`_@W87nAgKpnc-V-iYY#IcPU42aLwEy-uLUHN z?NK~O`SVn*FOVKbD#QRu9t|(5z?BDbWSE*mwau6a`Qn{*3J{>`JkZ-nc7;aVn3<%z zcoZrWic4;(8u#aD{{TP3M0?hPLNDv2(36v>q2hpsfJ|%zZ%?-B6f;c!0KIvxhado8 zQ@t|a+#&$}wNE%=ucBsMnqu%y{{V(?y2Mwn;_+8YGq42mMRV=Qk^zu=Q|??okmrBf z{N9~Xz%j6j^B@7*i1^=5-iveOfO*^RQ5$7GIp%<;-7I&GY9_lhIN&PZw{63?GflaU zw8f>Tw&sC~R(o)~kuzMcM(8F+)GQS8TW}eU3g#8;-$ZASK_Yhsf3(+gGsETYliYhc z1+R}qOFGNyx8K^Z(eOA?mSkFm1dT1wWhst9wCgtCbAs!6=Lpda{di;+uQXt z%bCt8ZKBw6H1G+cZb{lMF4jx$)Lhh9$J;o2qyoFa@3j-@u434neb2>SW*bDB%Cih^ zFBah6xLZl$XxVNz7lVCv3kI3k(H`&l3kK8HLfc}lHHU~Dk9y3qJkR|wkK_{L0t8&H&jA@z|RrN*O_XfCZ65Mz8l5LVUs+JoUip#O~ zF5*?eQ^U;Gm-MS5ve;9Kyo$xdiR>%iRJwIu&Ad(>M5*8HR@1dio2GEFJx!SAX<=|y zna!dJ3*3K`T};O^&M~=9;m!%mX^8`m^X*;EiOOtcSvbWqha^dz`&TySU0{Yat>|A& z7&JNslBJXNR%O%o{{Rh)vvkK3zy50Aokg2wSTfiw8x2EdJ54t*8CHLb!v#cVBruL^ zsnog6j+e{rs#_BP3VCgPi`k!MIZsh0EaR?QxLj^Xs}D|R*~Tu*TeoDnUAH{ZFn>^R z_wej7Hn$^xitltLCdM)D-ms9Sc^sOQ?Y7Egcc>qzF*Y1&7OjEU*DEBypIE?LIAb9X z9)HjGtAA8JW$NA*0*f)fnmGzRR-Z3pPHwPl4W_1P-Z!e_QKw6Hwn@}-VIyw zEDnE#!iHO(!{pT&85gRbT98Hg`^h!DXlBUNS%qyo8Xhn`8DCLZ&M_F zyZL@confr1{wfwW+lme!4U4m%SvZ^CteAj!{{T0u_HLNA!`l2J4Ny6u)&Ut8RAf2F zsjm@m-V?NKU0#;y%+A3nW5Ghy-97!kSyHB-pCXCHGld^s;{sr<@$t2fh}_ZyDKT(yY|dzq`nyK84V z44?y4?!O?N82v1jio2WT=NE`)ELK!;wQ1c;mM(W`?04>J zZe3_#uuFyl2w0^d-I+#3&^GZ{i_3ACDmNc$c5Tv`R$i@a+#%$0(^@xA+hxpgK>kuq zR=VECS}tAuLruttk|<9iAmn`+ljH0G?KdZ}shO`u@nti0t#VF=Gibg-T#R=OO(Qn$U$8PlNHU+~Xb}^-%&H~@l>7bY+lT{q#mQ|k%cHO;9gf8H0XgW#l9&73BS4?H?gmpHO3da@m{{UEJ z*7Dru?W+y^K0rJQzN)Q>Gk{V6+MJ+)JlBSzcQPZI@ze+k(LJha(jAg;_a4rcTZ{<* z0JPP!DeIhmUkc5v4WOE)!PCz4&^8;IDa1BvxKF9K^$%9j(dKrk=jxrkACukQvE-E# z$UW&U2mqnj_NO0`6;ZA~)fSKf6F)pqFMUzF3KrV}Yb3bDx44-5(yiN#YB^phrj`b3 z$@Nm|*@v{+j?+K+ps&>{>2e;^5m{+0Oz=EY{lKY-0+N-mt2CTP)eKR%`Yf;$w8aU2 zr(;60HM2fJtnOHtJo$?BxtKLBPP95Ln7+Hs?yD}nI*Hs9$M03VRyy`2=IL`Mc{3Fp z>TTa>-kQax6pj8WRX30np4t!p0M*{T+BE&o{wvT-j^>w1>`eHklk^Wo%jup?V>-?r z)+t7dYpd_Pf3;{GW6^nj3nRaG3v63(B#~5~qz)6Qf|i9SU`$PIomYlTMn#FXcqo6h zTEij!50)8DvLJKCG^-;QffXwiXHF*K>Jl7{k7^!}r``bvVgCTtE~+f683F^Ov0cqD zzPXu!xu=CHSdYu%k$3k6{pq=E4%bU$cQfq8FxHOTlROW-X?cg!J4=FJOpj4kSjOh4 zpHDNRlxN87pj)^!v{uoL>ioXmT-O@%l2F3)G)21}&z>>(Q-#Jp7U*TTq=6M2F2Z&L ziK&_1EwTB9+(QV~#DP{{r#&@qKE&P_hB|FQ5%N5LoKxmaHIWqi>QOZb1C6Le5(5BW zj`gf{&qd*~oQmc9B+B=!vBZE$5_}k}>*x^DBu3j)N|iz<}PZLrMS}jeYmIc zL%^zcEy&^xc#T^CH}W~JGbscU72wJNDnTNP_K9mHmm=vbMr(t+iCc;hObX#*kua}z z)33Tn=ZLE>OZ7RyWY2|m05dTJa%QR7hB9iy7hGHEi_1>1g4+Nb21dS)2! zNX!H-caGJ@Y+8u}X!BjZlFU;HnG5%S>F8i%w$-I|e`a#Z0g1!rB(=X+_=^X>iU50vTUNt?y$SAKv2s8O;+#XKbSC;CoraLbbDSCd1yihygwQ54zPQ(iF#59F*upIfR7GB-$ zxFdM@q{UbahEy06H9d2dR8|CFZrL824XMd^0t2v8JD&B=OQ!}*gQV{OZBhwbLY9If zf0|Bi#bm*VTZ#buyp6Z7DGmm;W!huM#Ya$WNhsWLG*!3?MEj2uT1!|e@*C4zs{m?V zE1!$PwY!1P0UO8ksknVk0q^xTvngkn6JdsTQwU zaixdqJ5)da0MZd2<+7x>CVNFKj8>%@#@=dQu#f_X^HH%Fa21$L_!V7-4&vM-`a6&4 zX%`aqJ(pK%^^4CsVl9p6w=`ZPFxYvipVJ*4UrOSVjYA_q)K3%_Lu}2X9%)zHC7YV1 z8UX&HGJ-ktP4t^-;)fD+gU0mRm)r6giS1CN-hlP%0#2Ub$*9SR{5bT^=gfAg>2*N> zDKk;7S@jROc#*{krmO5=hKtfdnfZu6G>eM#Nk^R{ekcwuS|LzE>fF?Udfnyp;A;C& z@RHgU4sb4$rWQ`rD~ai(5;vf_y_S(DdN-?X>JFSTdZ+fp#T85PVkw zfB=91Q(f+_kM(DhF#y5+zuveaA%^F)MAopX>}K{dJviL6Pk$6agbpMSXNpunS6jqW zt_6=}!UXoMA7@BO*u=21!sDum^G$1Z%1Dtr^B>=Od*Phva%Y{sKf_Em2m?v>`4qo# ze;|9d3rN+z;&wEXq{~bl;=KkWh%#q-QX@ojJa?wmtct=aYhDbA-)M?sTZwjk$l5s+ zLfTviCV8Q;Bt-2TMJsY12ia--=h!@rsoHFS)4A;*@j^guY$kh8n(?x#@#=Hfo+&=e zbQK=ev;0rWPXdF8#+0~L+W!C#v{T;F1~GA3V`>9fi?OS6Bv8JX64}AFP{BWbDkaEe zjzrU~f{dk3H*Nm_YLRV#F%bvNPik$VkTkPtKp|p0(Hn9! zh!M4U*gzwLu-pZgr`Sv8(KoS91^Gk|u zU*Hl|gEcWQH~Fb4?p2uL1wHWT__tM^Ak%S5E!+wi!QWw8MKVa&0S_FBnpR@4G{)X4 zb_UI>vR>|;jC@q9Icdh@E!niJ@BolJ)imq~xn2hLGZL2UBQYjDqP5PM=$s}W1#aeG zptmHob^0@=u(>7!*Tf09M3z6=tG#{JmeXDn0z%zW18s$A8?2EjUXHc=ucxfrVph-~ zZNC+nbp{^>bGvqO_5d?M6C!Jgh|I6uxa{{X5`4EbnRYF3_~g7*i3WY#(s|I)^jAmV ze*=P}bF`OS<0#oNRH$A0|QZBSRT-PIQHw;uag#3I^_sz*g#LzX>@ z_ZQUD?msnI%W{nKGqPIdBS4Zq)b{CI&jD%Q4T>(@k;PTxAxekmy(NOTjBH|F_m|d0 z)yD^jvwtO2TgtmYGg&RA&9f}r<@a$8yZetM5Ar{H?&sY*n&jDFyNAU)1saT#w%gHf z*ikbTV%7^a!`*yQxD}+ynzUwJU4+5588x9(x8@sGZPJ-0BdBki*qg2q51wkxnRHec z5QHW7TdBb;On+KkldKGr)!jpdyK2qsJ>jWHIzis1<^4;S=Gk)D#JUF45PQ{QBItv0 z++%LN%V>F?e)T&Y(wTRn=LUT%iP#;f)x3$(*}-1Px_w^lf|7UUxL9tsvwuCf_Yd&b z^0j6;rR&zQw=nnavuH8TH3se{NYcASDN2~qHv9)#V1{LOTxj{BH(OzU)foDV>4CQL zD-qUiA!#x^b4X{oH4aY4)f+}t)_5yfrT2Fl7;OiNCV8G@xYai<3vT>^cdT7gN|tMc zPihG*rc{_6sVP{xmhPU;>}6d^cKx!44*Yl@HPh?9r^06UEP6y8EhPA?^=<%2BlfQX zOL!l8waHG{B~Hz!BkO#%GOKp(w%|brn&I^iQ{|U&b{h?B5Ud(UYRuW=wK*O};2+kN z)=HyN%{uzc+%>U=JE#)_nuXOpXN1hMWy1t5aEKzai;8rEANZ!~B#&x>`S`BBL6c&0ta4%S*7u)t5dlSOxmVK+1-oc*C9Xcl+<)4uueKJ9E$wNI zN?`Z%ND+4ckT&DZeG!WKd6r#3t7QNifCN=DHTrFH{Y6&{bXp`%)3s7bVGFUAs_pY`tbU(16<-m8W+S?uDua~aZGWxdtYSgXZUt`B@kV2<2F)WG z^&!90iVJP(L``M+o-XELjCjXM^5V;zV{-zA_*(V_Hgv#*f*Hk^?1hWe1^*xLpA1A~HTWjqx+Lbr3K4mJ(!&w3j z`x-tM3x62lF!s&E&$LZ+^4y;joM(p#gc7C6Z~h{At>dM-O9PW&)kUQ@2=_7X`_)^@ zL&1z?-4UE(?Q1p-wf_L+6&oAUS*{h>HHO=`ucI&Gu$Vv<*%$SuBVe&$>CoWPtmIbD zmhafTV3oVqoT<(s0!`e`cws>H<+GHYWSQ@oHe$&b(IuyPSsYw*EwCg z?Q7OjL4zCB#%*bm%(prEd5^({xn!3>3<&=KK5Cnc!r#JNYgg5g*O0=#oXhiU7G-E? zbZOvKZ?1Yz4Vd8W-L+^f%{!1gQ^eoMY0-q>FxPQ-%T{fjEX)*k-nG0B(>rZ}7cm%% zhUi(Df&Oh-rV7j9GwT|`47Y$Mzcuu>8H44>M+HCHrMrJcJz)8RIm3PzG`)o~rqe)U z!4sfbQ+6$lJQJYECKQ(^(Z`EPf4VGL4+yhMgsXA_i zvaG-L4pVD3z&0B1+3(k2CF*`L(hJ|5-U4{g0w zBx$CVWQUv?4^ZJh2btcnAO&~^xrLO*;BU#Urhk|&YnfifIuWSP70hx08WtmM#SiGe zLOO6p!h2Eyxeh3vsbWm&1LB({hOLipwL@6RKwnd|0|0)N74YD(1QN!&0Y>sSrVuo? zq>y+d)9V+pSRr1&n#db?CXH=3l0LvuIUvT=llmJFfeY=P4%Idwtem$2DIfPbdg=PWx|O)$f=dDF7j*;J~kT9fZ)OSU@XyGc<%9Ge6RH zuLUP=dqne2aG>c>M{YmnrtcOfDjMINM2YW8q-ufg2E41_Z}U$8oy&knlNEiI67(md zwbMS_Zis;gaT^M~VD3Gud#GSLowls=BDv{i7PW3kfxgr8T22^i0!IDmIK0?@K*iSS z0K`!4SvG-dBX9r$nD*EPD?ZwpXzI5N2|s$O?T4^H?BW&3w{vHXN#>H}rM3xByTGKy z;nc8oki%%EE%pp;+~A1gc=JM!{YmI{KH8WiPW|diUDChA1cS5_P_YWun_89wy&X!u zvQF@1Pui5_c?$`4%H3b#hyrRNdWewIBYpn>wHwxJZxCWQ6m4Sq4I)VM8&Y)RVy9p* z_{(o}`o;h}MAwa^Mh|9Bc|EE%`!}~BZShaThPJTKz!~O;Q5tp@#qCaYYTUu?Q*GP| zAxuY;%|r?w*&-D2wJ?H;+L!`(H4m-qKPORH9G`G30FVs>5o+DT?@`3a?Lf9B(729I z#Vg&^7gU+?O?J9KUzye+d(#@+tPQKz7f-oXO-F1?tH}^N3dhx(ArjfwJMBw&lRN1? zDE8mfUlUDr2e%Yv>OeBp;s6b`J%W*`TU9dhD62_NlMV z2SimaARUb;cTi6&*iA^pY`)|BS3Eg}1d~^#VkNnhl!=ZiBDvOeNHa~c&+924l=D5R zK+@t%L9Cnn6qulDkN`A0w#tcL-j`O7C&{Lx`j-ryhXSorR18r1hq#UQpcAEx!5xiA zy=X9ms71Xy;T#y4tl<@~3fGwiq9Avt;qQk80lzfA^%#Zxf`M>>bm`mXpR5cvAw|tg zI!WmHY>aS1a{dp8#0 zAbUKY6faegn$ww6!$nSM*P%6eGj6D6IfcL{P=ZZzR!WYT!f<$*hGgZLhuCd5Dd_&8ThpwJ$q~iKiAO0UMt5(ySN@f1n%x0Gw4? z(6TuC+BC2_W=I2y@i#aP?c$s+JpTX_U;zYE0~III1AiM;CA?q-8^-&d#M6ji%(}hN zGJH_vqYgoyX&&ggbV%HIJ5(iq}Nt3AuasF{h zY2HSy!Q4sWmf2v;AO$;>=l&{27Y_KA7S=Tu+Ou~}p`a$|2X#7j+Je_LGzH(Wtq%kA z?=!iDS2l}kSsT@BKgqw+oTembx~EB2{b|Noub}L-a8l4;asL2ndtx+#w)P+$`8~x8 z67t1X2#u&rge?Z`-S4S*K0Yg;ND6oakNnp=49n|DBp%Rfs8|cD3{PmR z^4H+4iJ*WRYCb1> z1f90;T`X1@TeP=Sk|w!$$ZKRt)CUx!99joQ8pX{QShPoYu8pB?<9eNr`}U~$7G85K zV%bK5Oi8U13-sp{w$zKrcrbX1=!_foPh^Us<`=9Y3tMR13F4C#)wzxBBI_L~u!_Cf z`gL)q)WiX|BBEmcpW&?ScN9bU9DLS4wRm>`1Ex>_!Rfn_j8}jwZF!v}c;5J^F>!>4&t~ zOeXEbFKWnGHS+Gu1d@2>x2$GIkzSU&=$9sB(pbqlc`d>8PTj?3;8Jvq1+1C(Z6|HJ zS5v33R`FStn zy@cuBom;GdA!cAzUnu&4hrNmPu|YzUvHt*Sv*N7b`j@87m0_r?ZQE+4WPJemY<{eJ ztJ_1iA(-^_$6jtR28SifXJ!Po^=0Jj%=6K_zE#U4EUjYYH!05CqHtT$~bNdSfXd+#4@? z_CcOuu~=Kz(7w zMf=Kb5iC!dn}F+#1}^*CM_slinrYgU8KwFXx$dTZ6dvt}L+PX*;nPcU0it2b^BFcHw3n z&o#tofJ=eD72fKcdS;oe%uTQ~orD_WIu6r5Y9!T`l_SY;CAI;%?G(aVRDx!eK>q;l zo)k=jM6l_N0%tAxxcILNnm}GPZ$#3g#L|&Hwuq?qHUyGpd(-Af0bqUU$~HZz%EC;3 zqOHG3kZezJ6iZ;X+Y!i)Yt0&>JMl}a+Y$RzHmM{t%;b=&DGD%U9FyL>9wY|di)52= z56<;TE5IAK(u|QX`8r}8e-_{jX01I-n_J27ZF=JY0=a)qu^z5RWRLqrRQ|EzZh8rC zQ3}U!JJzcN15L4XK6)=ih< zE@AOV%f6Bb?N^XU`l{udS%wST11k>ogms2kGXAf_`Y2)U0w8TOTTe>ed)XzXZ_HFy zUG*`pTIw7l0WjlZ_x}Lyh~zZQXDn0xl8SdaVz3{mI944-$)L-a`|n%lPnUe2(IHbG=D#>lJwife%&m)p(J$2N>C9&-U+#_hKK30!& zEwjk>)+zN-aU(Y&^?H52XzPGm$;!RfnWNtqLurG=^Hxlk>P`DLO5+f-0kIo-tk>QF z)yXtBCut@HXBj;G6xE&nuj)(L-Y~nL;SN4(>-1kNTt)lXyP|ZEicfCm`-;j!Gm+qS zt*_{hw*`DLl3sbO98-uj*-IE#y*7AtPe?Et9CjUdP;zQt8wB0&4Zu`KS6mQFjm+1_NPL&!e|3 z{{WyOD+0rxjp@HwY+SjMO0xwV!J#GSUd(?s>P*8hzR!011tv5}h^cueRAx8}w%Ffh zRU=|*vi67tn2@`JPtiJMh$aWcDM=K4Kht@Yyw@$ab5Jb9aWh%p)&8GVL5{FOvh(sj zYH!g^ZGyg8n4&pQ51O%kPg_T)Z)+w_+f(9_vSot-%kwN&U36TrdrJ->zG{AN)p?F- zY+Jc`kvK8lxHm1Xsh=Q4JJSyFBmv)wl+waOqFzqgb6hpUZ~!hTC-twXa;ICleTD>? zQC}+I0$aar*v5tg&m7m&T`8DY#^m^OWXRGtq^+=eGrz2P^IB_oEG@=U%W15WTLR34 zYWvBpN9zVy?TZ+MQ>VEfYRb*Fw8ZXIcOsuw-H9Epo6j*hUSn?PcF`buWWcYZGE7qD znMJG88E!1s%a&2DTv2Xy_#2w~U!g2r!t~Ax-XIZk(^&_eDYWcu886hF5WsaMyVk)~ zC%)s6{{S_!WDn}()(q{WR!#Mq?XjI$URy~Z{{XbsmC%>P{+hy({{UeA)HjreSTXOa zHq|)3+DG4RDw)$)&5Yj-Z~+3~ zB=?D;Pvv4y6n#63A+QWa{@VGEs&2RX<|Gsd<=}(7cdw=J7Z&yb2!aa5VINa+i@1F9 z_9of^ZOm;}uAktaWhsman3xC6Nkq0rrnm>+c|Ix=hd~oVW;b0m76E5r#ba&0-ir+4 z+XDde$f2w#jp_E!W5qe53Hg8{fm*z;>L5YRottj-GMbxhql$F3*E7K2(Flq8=Apj7 z;5rcU0-tF9wAQ($J-eO&@k+$%VdskSDF{P=N0KD z4&aJp089WWsz^-_@#C87bkFmL>+}hS4HptRMb44n& z2V<;yV(v^8*HftzF*-;5b6vcvqHVuR{{X0B8BOO+LudnSs_&j*Q$Ok3cddZ;N{ymo zY28xa>AfFr!S5f?7`|suIo#ZKh!sE&dxbw6FY+$Lm@~ zUvL#JgaRTYb6MVDid(qmxQ+RQ^8{`x>6Kzfqyn7u}NK&zQ&zR0z`SFbO3@(nlGjx2!lIN+Rm$?wJCuIZT|pz zYJdL#L#$vIrsR>TQa>r~XBHh%wqykL=*U|v~=9keWi3~v_ zZ;!Pi-DNs1`-ftC)XgQMHEeyN9he~+fZ$NyQDJW6YV)-?+S2;15_NVRseq-(24wdg zsN<&E9WmBOw52v>24}@fMz^Q(iSu042HoF!!t)^0*Ac1!0C}P*FXA+fAJhcLdW(9& zEX8|@kTjJZ=xSx~Ld@-0G~QXNAg#k;WzPMe(d=J0n_xwG(%seEdxb^<<);1`?NF)4 z-?7m;;n1_s+JxGQ>Ya$1YPynjxEhZJo~x{EL~&Hz)d&)W{L@ZIW+a`dF&KDRLS*)+ zt+4}9)#9Z#GH5DJmE6!TTPh3NUw6eh8Er@$j%drNgGmBu;vfqRb66{I9lX=7+P9#> zflauealgo*S-qjiaVs?Umw;IZ>2CsbpA{bN8SRN(N8-B{$~<~%AW|^}%nCbXDCc2s zHul^RJPW0{G5Fj#kB$@BOG(m8NB=S7aE-(aXgC-~DoW;Gm&$N#<4msWm z&{oB2M?ip2dEcLkj4nHVb|n7*wHDo-dZTi9-ZrCt6>YYs;BYFJUNRdRqe>8D`J>=5 zEoT>O+dkc6?@>>_;DfNKm>WUywk@m{W&%EDpd)eOw$ zdA+No1SL+bJ8wMJjfUxbdtmLdsMDlI^_2ZXv3CiZTeW=(TwTnLYbZ&#lBA>P;Mq}X zUs!I^MJ>zX=_5%2L<$UqLEd+vfW(jl4o7LN9nD!3Oth&J5P9E|QU%pl9`Pf3=Mu<( zFW-9RVp`%qCQkKq-t;Y&Kg1xJ0zfeayqAGlH#hIU6;l^37MUc|mF~=vMLE-01X`|Q zWk73%m$vmE6?iqG7xaz)07|Zxen~YT+;&8X9%80A*fnJApBHlhj9b=BGXC{_x7S&Q zg#bv1J-4jk2?T*AMRcs(K9CM~8`i2-lrE^$Q#!_8!kg`TK<@^tna*p7y>S>~7=j4` zVhvZuI`FgTtBo!5%?`!Z)*Cx^)(2E)p*^AD$@=e~;2ZzT3v& z{p*(Hlmq)yN9XrM_7t4kR6068>p13k7@LrP`E5;H=NPKZ_?F+Ws0^^OTa!&B1wP^X zRH;3tGFi_ttVnD_lst+qUN2+u2#8Li+Y?;dcE+P8YP0k)RnIH~5>C}v_9p1i`hOV_33fH}f8&xn~yO7H@IlrPBzrm18r$EjlIBr1(2jc}7NJ#!2s61{dk3 zUTq^AtaSiR^bF_erX6ssa90Sppr3+jTx_KzjH$B=%V=9$t`G=`reQHlX703d3?4WX zxt3ph0e$RVLav5B``0Ye9A==DDSP-=!MG zy(3ZFe2M^t5zDT6R|W{?yWB7LCs|T1RaQ*@)R7u>RHb57O7ykXaKVBEEUH z`d~rYw?Cu!gL5p>Njgaz{{WvfEbJKv>phY%fxs=lipEypR}6O2W@~r)!KJchJ;ZPN z))WNX--+>5`8u`qHIwOngv1-U79D_(VB_snFRNv(<8b#hOgKN-{{XdH{+VI}^yPqi zEKl0FA6H6#6&a&rBkn0f{Rw5;q_4H?mdh3XQT?kj`m=c9^D7sbc2|+TXdMNLOPLjz zKHWQ259>1{J+N2ZFbsL;f6!INke18OLFa3lWmbr9a1cdtzNGZk+|J3pVMevzVOhUI zbu(nxz^>C|$9^kt%X8coWn^h}B#p&FcZ5?%%{ZL96^F#S-LY^LJONYkj-1A3*8<_~ z803@MzKgk)U@tbaWeMhDoo5Gy!il!1fP6&_M@UJZI`t1uV)9t^l$1Zr2Gw`^Eq*TI zZI}i@HQD-^o@MEmHHFc3@!AK9s{J6ufBB2T>uD?j(m16hWi1+iUazZ>US7pbeA#@J z#5nM4>CUWMcQQ;sXHYbeGhZ>|)LO=03c!tK4{m6bnvMxZjr5~E7#u;vTwoUAn%6UY z6|CPbduMR!ME%59%UEmY4RtP~m1W*Rt(&2G;=^Im&Nk#QfHfK;RcVfjr&9DjHw%b& z!y$B%K#BWTH!JA;+Zl)mY`w65oQT@KfWu}Pel<>qNAtZB?fecIHL>z@0M(bN`sXgo@Hbk`!E)q#LYb@+Im|9*c-xqZ z?p@sXJX56<*d=TxN0&ZpnA^hPzz)ayR>5oOt_t1+PNQ}=I;KzSS%*SqR&vguvv&9} zK~c8f_~O2Rv7g{B;7#_rp)}0RL{R0U=dP*B&QF=#vSv9OM2ft9D(YJ}arhg@NaRWX z06)Q1U#U697cXnV%J`Qd=c`A5!L-ej7KzI$Qyjgl}1% zb@bxv-#>(J;j!S*`dhF48#Zj>kF*FTOa@Gq7O~Mfoc|Iz!nDxF{fE(UY$F*jCbJuq>b!#{VDPV{!2S50wChpiH zjn|pQywj*IT^{RT!j3Cp`d(B2087~P$kQFG=NpXX;ASC=$u+BeIO{AXSCkAcD&bNI z0)FJuZOz(6Rw$YEqSy&$;Sxdd=W59k=7&~M8esX}uisMos|lPXyI4loq{$%f`&M=? zi9Lk(rsY`#zRln1zf{`A;1>;uf8wCuQQob&woQc1tPyz?r*LAvWx!)!3wd6{va+5= z(^^(T^&Qcz*~QB!9_A;#3QAwaiJ~Xe9*P-G1mbZ0norGU&29G5KptyP`h(WCvs?;c z@PHNma!2>9(^i!bCw}IXm89zE5W?eQiQ%Srbp3~(WG>eY0v`^ZTYhxDB)a0=s0YqjK6pWA{?7gXjo_j?l8^|%*cOKGW zOjAgc5`52!d`gzykbqhQi8NJY5a@7`Bu8Q2YDnbClTLEQj7%P5y)f+ReEdw8yg)r`eI31Y>k1pa6SvHZ6kdk1}9!?LpiC&&2}FB6#J^9#wW zz2|RgtZ!OIROy*ZCb{&vFnD~3UL^(ltVjX37KC^J1bfT+DAOscO_`T zTaN3oJ&3C2XO&{|`^>ncD&*}ZXZ5QGOM!a^H=QSF5@?fBmC%!-%YuL5sJJ)tQkamT zxdvp4=PsoXCJB*J1}SY^i>4Ip0TlYz#SY2V$SpE>^T+u#@vk^p7m*>UPy%* zb%kaP*wl}4Rsp4mh z1gK%nNdwv@363ZVrAM@FO!vLQCuy#Hsb8Z@vAC3oW!vpax2>`eXv1aF+Dz1ZMWClp z6j}l{FANMal1If3)ytNgD-);s%}lj%mA%7iW*`fVsRW+YRY1a7xh?}WKH@1lw8vbYjXtE!ruzF%F~njk)5wEUR#PK$B3hR!+c1-xPJd z2sO42j8xN9BLm#j8${Z2Oz+Ip`*$te15U@qSH`L2Y!DYM1}(Dl_ov&wmjKdtihbGO zZYmAzIYtbGb2P10At#fhq{oe_BiR>*t@U=G+QkszTx?8zs#qj}2Wo|NWA<~{Ub0Fk znW9_@VE+I&aZ?VKST)bPW!0F-1D)w+)sDjjHozr`Uc!}FKO6y2EdT*Q{=Q-j;!#e+ z%@tUt^EGbr*n*VYmE_Pa-8L=(q_ok?%KKzgd$@t8OY*zPs7>Ei6f){D)(``$`cy(+ z4~J#s&zh8>v^(i1QP|LNHbYus>cOLmZvOzlevGd;I`OW^eZ`EP#-ZFk(8d8XJ6FCNj{&1E$vo4oopNl~ukxLg(cH?1;_8cOafKfBY|d)b~Q z-j8arU5>+Qtzi1!8)oBZF(Lq|IX6>Xw~M)Y@GNc_Zu45glBukjPX7SuAmi{!g~r{( zlle~5;-mf@Ocp8t5$0+6p=@S7uZ=1iHlEQ!7Bqs4W4`^q^`zHTkMH^g_Q1=f7f7~R zfpY0)D$d^$W~3#AbtRZ-Za!%Rt9ICx_YgPjSg9o*vKenmnf*{RCYg(>A{Y-Fq1BAmqErQ!kWDY9Jm2_r5IKf@SV8WAYc0H1;5ZT9r1b~W>}Fk) zjL0(HvHZbyD^~1gcY+wZ?3WiyIU{-buGTlIGWW-jH5Vqgg|~(Et}+*0;)(X$a%UXun~(Zq zh{NS}^9xsn%Onj;r2Bv7hmiC-V=?Pv6>tk@dAA_{0H5(!YyEeE{{YiN3LF9iM-^Sb z^?nl#j9Z%aosDLs)RN5_B$>(U4uHFnXDNoUd)2dWYPRQM0qlN7RfQ$it+sRQEu5HJw7&2++mE$T^L+L3Nov_95S`))6@rwXB5~1gLGMWe zNz!{YMzfgWF!-R!bMo*epd4!|z$A(qA5!|X014i-T#b;CZpC#DGarO&7aVAD2DvL5 zw65$%@%Eslu^#50+)WyZspJZ#u)1-MiNW9VbbW zKeal}C9wAc+u4T%b5xs*og!MZ>1@kAv6p0teV~A%d)9H8b=k}>TC-t&jL=VLsy;iY z@p#NIvhIB`w0EvItiyG9J*v~a6V&w~!^vcNK_PfOo72 z=NPuIEc&NR%`PK1#azSsZFJ}zsi~?LL+CtCakX^FRSl1bc; zHIP}&@m8%HcCRoVO#_$_IyN9|qlLk2xTz4RVXO9(>t#4&mfsUw>eksU>h!6=h)e21SA2jg7Nmc%`C7<^Zh z2>$fx1Ar^Rq5lBlsxooXCXFYcI;#zl-~wH8e9aTC`oj;L`}+jkai{^RcWN2TS$X$*>7x2>^MX_0Q_=um1pCQoKYR{{XF1*;jBQ z?@cNs9(!ylMX}(}x_hr*D$AD2pp;kx&2f6Ks&8kk3&;a{tmz%tpS?H)1b{%H%HB}v z9yf=#Ll9!SIaYm%%aofJPdkZ<;^?Zb}=vl3+Yrp$cpy(0l5ZTwS@Wrivo zLeAhG^ki_QW4CeUqsIO=rTn5#6*G=7G^p)hGwf~wo6@@Ce3~{lsWJGm7cnm%2BKuy z24|byRKVD}>c@5~?H{k$){T>W9=~}NvG_(`s>%U$GklX$U+I=mZIx62n&STe)wrCg+0p+10Y9_n0SdZA zsRm}Hf2rbs3@rMm(;qdn=ATLMuB73Zh68QthoAjC%<|IBZB5H#i0@nR{-MJ)U5uyV za!1ihb_7V=jp>l3qe+fAqvA04Y&l!$>9+tH1Og0puBgfG?7_p(=9C|sZ<9#3E+{1~ zFq7MA@{_)<4KR&20k=FEtyHXrhYRe`tV>4FdkR5tgTIG0ikdWhZ#%XqG>a z1p!-m{RJ-20WePhP*sBMz?w#N^S|DR+=Ljas2Z4vAH5+8Pw7HuHwU|lVRImUf|NTA zt0gc|_OAyDCxS zKYALH9iCfkS_vc?T^j&eOi~77CAKq7R$#=jp2KbhB$LR;i)XP1;P|42A$_oCZ`PL! zV(u#X*~6nf>xW9J?o8Iv(Ek8TY}vJI6`LS?<{Q&IFZ|axljcW)7b9*i335CcZb{QQ zu5j^Z4RI|W{{V=>1pHOc0raCCbwR~mU;hB5dHri}wPOW=vZ{-10Q(B%b49V$ z*gK!k1Lm`j>K+GOzOSVO;<5CE?K+jUqY=Lf+D`Dx0qlv z3uS+X`iBN8x78gBfzB}O))7+a-dAnww}bVB=A+9RZ060aMx%O{yAIN;W- zVvaL3#^CPT2HmyWX`tJ)XDI3WuY$Cmp=tiLz)rWzt>G@;R0A1yS73i>isfXTX0|Te zwTw-6UAEhJ`5*Z;w3(k)&hJ-PT*IX8V{Taqcz|S&x9e2yj(9h$nAzlQU2d%E>luz6 zFt|XeX*Mh8YOLf8&xyFI&`AcGl`f--tQYfbbeIEL@FSXS+g_@LDqHVE!(BGST%S8` zDr&H}BoX>na!y!dAug*bp(KKL`%x|gx?)7O`xuIp)J33)k2MwU9c{4#xteAZ6>t%5>4PvTaX`vR;KWnPR>`X%wXno6JPs#uPqTFCA&ifo z6zjI#KQHAYdh=P?RY{ZEwH=lU^gP*DC4d|F+M(RnV&>*!nmw!Tmk787d=KkJxoXw% z1a0JVN=-{lFqMt6%2k+-)FW*Bm;whpQjJzjdSs`c73ReN04o~2)@>;0vK72c%D3j8Xqq*MpVEbhw+cxlABuIWg;q@od$A1|b@e}+P~UBZ zHLl#Y?X?kH!DBm$^|wodaR!r1wg-Xs?=8fUvv(AXHHm5g0^62DMYDBoA9}$4^i!6F zM0`#b6IHke;-acte`4%>n(Eyk695rWFJ1~qrXr!+pWtW(tE`IR|&fTLSO^MCNP_}TH-gkL6PQ==(s`D zpz?R^L&ez9VVRhL9M*D+s-Q+$nd(h!x5obf_^GzQCJb%4k;Qq0If*l|oxrGzw$WGUfS7dF7zpo2 zL}Y(!4a|{F*pNojW(7#Khq-#_^w(1X;;A(qy_eC9*4kTf9gQ>GfQcG@D#L^6EaMh| zmkV+}1XpVf^v^iDnu;oq25X*vL@(tcmlVAsggt;a0G>}2jyBmu9^$V!zo$9c77K?` zyn?Os;wrzHbhdASk$(w$Lhc9?x9M9)$b+V&f$>l1m(B4MTym_SrZc7pNG+T^>jfq}jntv*J{{H}| zSeQp;zO8JLa1EnlPR*}kOP3cMGY#T*6qs9r-N4`laks@A8+cy0w-x~#5$2aydIal7 z0`1GBfLNB`3GGZqyKI52x9v4%xo-i8!tE=F;d%bG$8}vnS#*)N9MRUPA@+JCVX*}; z=H0_EBvXaW3RDsU8VYDUF5~|Is#64$GuwJOK7@#vyEAp5M{m6ZVQw9PAa<^Uka^rw zK=$po^r1%x0oX5Wdx?Fw6*g7Is07D)hPt(}Ct*egZI&?~J?XefAY$ShSb$&-1#|Hj zZ&Azn-UUn~gR~j(L|b9Exv-xc)=5%8na36hx$#mg+5v@2dsd6FNgb&z+fcy4C$OuP zr&KZGO6~o7Q}mV_KqtASQ=uh+f?_$Y_CeD)?C$XV9imtM^RS|Gj572%Maj}D%%@?* z_llK;Tt&-Hwym=U+hNUa9Xa%)4Qwms6Lp5<9xD5Q>0GM^Y%k&MK>q;ZE6wEnTZ%Y> zv$8%LduPi@l;$qFmkD-1{U>_$?4uuS`>@wUfAO!ZR|A$?00g!Oq`QF2u8l0Vi2W;q zU#m_l5j0*DeY4~n>h{_$BPsdXfX(@6gSv{?5 z1S|sqJM0>6m3en<71wADB4hJgKI!`riqwQ?s>Ad1YC z0>qKEYQI_RvfabFfXk{6-mvYcXRY(1m;3d~Pm-ZudkcjK96WTtRgwBcz;v!I9iP3W*ojGC;U&8cwrlk)Q&s(tq-qy8tzqj@8D3inI)7~dd;U| zIIpDQ<&P{>pm|)JQsZ?Yf&s4`EC^#8(v_9eIi|E}f(atDt23b>Nt3mEPSlR7x=8{_ z8~LR(GXjJo$^`t<9V$2Zr9MRk$Sf!AQt&XPK^u`uEvl!FziMD`Bocn~Q0bs=y%Ju= zFQ%#(_5;NYbd>}iE4h_666O`{+)=5vo@<+#TepY4!3fj2+ksWa)i%UN3Jj1ykBU%K zH6Z2lT5=rC_XpT(^>DG4}{CA|jF9IK^NF4d4 z)!>Q}nBqkoZbWz7Z9{dTqryno`;P<7X`fF1o8Qg~V{=tx!H^kKg5R%-jr6CZn?KA3 zFBF6Dc34FJ06Pui?Ztf+e(oPBz-lE@+6dw+$o)gkasL372cPc;q2l=W^N|BD%QEbj z3aN*;Zqkl+ii?=_W(NicHx#h!D=+IWsHup@96lAlgtNaJ)?b=+1}62_Ai0y=&3XJE zrt)%Bxioc8$%h-GcgB50O-wBb0P$S&^&N>DdwkXyakp+FjbxbHv{2U_eNOKxJlABu zO5>BalLzN#N6|TV)C(5~^|t%fqXnAab30I72(Op0`KKS6J~yitQ=MWk#ah#yK=3?Q zKau)cJ~UxWs^9`SD*t$2H2XIy{Lku9%^A)EbiE*mFMvYEVQ8+;7+kuX{<5f=?#4 zhvkwC3ua~k?X@qalN)%a_TY29A&y|wyI6><8Bxz-Y3I|E8&jCXY){_2bnsLYPpV4B zGFA@L72^g(K=J0DSbDmGkC96%LEoQ>tGY|*C{b`}B<;S@LRJd@0Gb68VuC;>e@a`H zk(dx8gZ_=ZNc+3>u6ooM&#@TAO>TwtGCk3h-ZCEX|Al{@Z(*{?t4~VPb_?X zH#g|hiXG&ljUVYRLkznFGc3m6{_g1ZD-X!Ecj^q{#!-c4xJIBhTA7W-+rZ%+-W5@M zRwvaN-R#pBcr%bD031bp=LZKTpUUMudp%xGNchm8`Nvk`ad(?TkiI6X*t~7~hg&-s zA_3l_E|j{nx#XCldPJD!H|D;dhr{89Dvv9Zo^omS95GDHR^ifTe~@ST(L=qZB<`KJ zsP`At;Xxy59Exf?S-q!r5y2H4oIG^XRYXUcS~^%f+Wr}Vvg{*xn!WU|SQlioia%pn zo~ABH0abZEY4}^@5Xj3h?IVNZdh)!_4n0@K-t6>vIj`Bf=Q&;zKg-!!RF++heD&5n zJAWd_I%qzDkPrFytxKW$g|#jk_0q;RENa2l*&U4MDR(QX;8_t|-XE1N3I5+Ei}E-W z*JOOy%{y!i(;nmiBi-kUm5;+d4;OmH;SP*MbNf_gv~4Pq!cOD9z<+xBI7xD49#c(V zMKZ;S-;RDOr_;CE-Z*I^Pm*hp2B6;Uk80^;#Zxi1V431R)uWctE;j7ZQFYo5TEJyf_U%Km+b|=JYNJj)hr9+aAcMx+(A5>Sp(A6srs7e8>bK8&TP&ohFYZ2j z)_rk-sabay1yAN|xs36gbfZB(8aVo+lNFAy^IcXZuwwzp5W3V*7kw+{{ zii}3v4d}^!6sw)$DK}#sa3#bl+kKAp9qpSYLd_S_hSer%k8^;;o%x}D1wvcI2U7$C zFn%k}q>&`glRSLf&uI$qzNueQz)gY5q)kWZ9>CmQNldv8r zID%P5mecywxh}g6>K*j--0EqbJ5*`pb|trTSh#1&9qBO%t-plQd7>58fq$Ecq1&?7 zB&WQTXz^;i0wze7e<93ANyvRa_G452gdXZ_Sqa8WciUqQ}zN3IkLz3 zl<^c?SXRQYCv7`XZQM(X7Z2W|W-l0b(YT1D`(2XRl4ed*8FxU{{6-sy?@<{bEbKx1 z&-pbo8b{);k_lqu{$H8{#+_a`-n3d&L)g(HW4H!j4k<1BS&0%k<}1o~Ai(bwaiclx=$VAjz7Ngcs5wi91C#6t%=%GD+kA05zz6HRwCJo#n-1(k+eS zwC!B{{P5z7h!|2|K#OHXBO}#e9xR~Gk=C>}G`gfP*NR53Jm~X!O*LNkBwbEVi5*pW426$@BRVd z!k-71&En6b@|XD&J{a;N;~=C43}nXIsY3(}w8-XbOZuPCS8{iJb^_etNs^#`U;I{H z4wn4W;1ORz!OI^aJ)+M$la2AZVQwKdR^ozEetgnd<~gPk!+4NIdea+ZvY^x645o;Lf?^eF~ei*e5sDwZr`4V5TZJn0j$uL}cjcg=b1u9;RPw*6>MOu+r= zN;c{&M~W(gFV8gPP<9Q+nh{dQNgz|eI(yH}4YjZi3L@$aym+BK>yAvHZo(wfW7@#S z+LSNHJW=skYQShCT!SR|sSCdR$9Se`A~cTBerns$eLDHhV`)lFfPKfb_^f%kW5*us z+ln3C6S@!E<^5?<6~4@->=<^`edwmtlOwMlIA7# z5=#^3wN@|YS1vi0Jo%dL;{7pK&(Ra|6jlun9oF}n>Ct*S#YSGZ#fJX?t69zKh2B9j zciM(-zaZCN{09@o9RC3EaMkn<_^V#8v0)Su~>S zc^K+40NBMD8yE=^Bc5Wkzobh40FELGi4h!DatR=8C$(uGNehg@Ud(zIpb(<_%LV>BairW5_^jX8AWAWDi z0F1ygf(6Fp8KgwFE#_-n=i>ys1Tg)HcsY!r@Qm zz-L*toTms&6<8YrVzEA~>&v(9m9WygihtEkrhE9qhO*GH?t@ut63j_E9qTXC{0Pc1 z<>XfVe~GV?OCgU@FMkU#tldI;V`mS0TkBR_kG1`++M*CO44mid=Qatx2^4Ruk zmYY~&PX~H6oNEK6k33Mr2U!3U-h#+Y(o_Iydfr(3wD8LgU759~R@Oiqo-0x4zOT5k zZuQv%=CL7gf$kgkj@8@g?8nl)fbAz}{{TMK$K`m7pN>_tr-PlRO!{`NLI3%iEeT)swb^8ARDYu#BHwviLq`@55jpF$)#pS8! z>*wKIu|8*!1bowAHmD+`V{E=35pvDZ46OwDsI0&?W~d9P5I533Xvq4A z0FwfgINoL>aYYOQfB+HNrcdn?K=l-zi4-=rr?LHLpot%7q>(YjKHh?XunGZ)q+_dv zqUszF4K$eMnxRqaSDw>K2sG=Ln&AbLdTjZK;+?eBI!e$3gV=tQ)mLYLhDQ`$@f?ll zwk{i21AqV@G~)d*0?TnsI!EbED0u^p)hiK+^^C{sL1I;jntice!60iP_Zw8avj*6_ zRhu?OrntZW0VL1bfC$yRk@l4m;B7HdAzXqI9?=+3?qH>E_ zCr@GQARfdLUlf3*rnHJjFUW4R`ym4XYMsHy@<+^p^MUM!CTn)(;? z&n3HHWJuG`0`3OUHKX*F zGUguoIoMAB0BZ2RQs7l*tK#x@R9b$k^y1?%4T`XXT7q^JfnGyu;Z`7NF~9b&tr%O( za%NT&D>d^cT3`+XJ;Yilv8qQrR-dDD%auMi>qkGG#IYoBV^JIIDFZT%hQqv5i2%Xp zov8u#&3lpjo^1*V5>Hr}2W zpEKOk*vBrw063&4(`}E5?_LsSmzIzeAC7-onE<;(0pD{>8jZkYz~BQ)LDlXY{8B2% za|VD5?jW5txqS@FMU0;IFvhFz`oOFxjWf=!(O*e@Csno5I6=WE5AVPG*OmITtA#;( zf9!VnQBG}u`kjxtYX~gaCQmSXRy}&-i_BVN`7(c6(Eh4onQ>?)0gyiXf99wc8r|iR z0B;0vYp3+C8sJTBnfbEqF;e0>ZZ`2Wk7SSITXXFjtn38|lmoO$Jn=_MqD(lMhVT6= z(|GvN!}$+~e^Cm!0LL@UdgdL`iw0LxINn7$k#9>Z3$d}7nrhv2eGHNR0LY$dmF9;Z zuv#5t7+-T#;!kqgYFkM#Y3A5zgbqX+KYIK88m;8u>3fiN&Yv_PC^` zuxGzk@?nC_1|<~fa}WpHdd}{dFagpw`_}jMivXAMe_B22BsYq|kGSauM<%|9^!{Zo zB-8YHUPLRAq#%u30MG{BX!sT@jJI)DWj*^)3ma-oPl|FdT?c(#r-NPmZt%UF&C#jl z*F)jc)L;(~e%-27%lZtmk|Yg4?N#igzu=Y7z$SP3u8phC;jOa?+hS?CC9goT&Z)Pk zYPRgWA8c{@)7e2!JI>}!L|j2Y0a9Q@ijo~}s47O?t0irN299mG4KO#K*NT?6bwC@b zpyB|y0GOE?qly_fQ0ho2!KEvT1rl}3O9ha~@ljt7svgOL2H;U_UMx2o&jyXOlkSnU z1GNf0a6jrq09>{b{K#n&L%U_|wySZt{M*XSnV^-O z8=?XCjv&xOT*DHseYBjan6x`Xpq6-8q##@qX~g}g$)gkBk0OL^HMe4s9|Dfqq`@#c zNocrF-k9&`keq|Q)ZoRR+z1mUyi}Jg>m$jlR`xuGMp6LoMKSFb+;LIvf~Q*X-@O{c zjWAkUy=5mEWMFRE&?Jf9wG7&oB#&=l6+Qrxd(dwZARo$Vw!s3mbbdxsRQ9KfNC&jf zig{Ik%Ms>)mY&-PnqU6_(DW26Z@8v4zfjMO=~C%-DoCF->!I|(Fg_|8$u>m3mjNYM zYwb#|#UZ~i=4wT;ytd@=H6Ic*>MAAcNnv252KBPzO6}r@hq%_|E}i=iG+S3)ZH2h7 zM}EeU?WvMvkU63kTNNI}qc{fH1$&98So>_4RtI7P)Vp@V7e08Xd9CtrOk;Q;ADVZH zLcx^dj;oA8kvjr+6%9)Q#F+=TaZ)dxS6PYJp2z*_GsVW0^XKNSF-cJmBZHTMV4sR9 zE3qH0)GaLoOb9h63x{j>)fBG#Zb$soPEdp8WyD#b0fqHF1l1``jI zWx-S`DlYx1qx7#JOt&ewanyQ@ppzBvsQK~1;o8NwAf1GBHS>OVEV=nAIj2bVcwy2B z9B#1cmbrDUSYxM&o+^s%cNchuB!W4b4x@=?ePn{tLGEj#YS~*L6@oZF72V_boN!7= zj$T)!b6NdA;V^>`VxwNP)|6-l0OGoMi!HvDGI=%0#Ir5s4LW0gy?4S9%$dvBg>m)3 zxiiQSpS zOg1gVore2XPS=j=2f2pdYLkP$))`$1T_$#{Jf9!;INFxkP~>oD)47Hk&S{suw@}LK z5Jh~m^#iAmPiJ>*sztJYgx?3XeFOCSKN+4{s^lArAjMaHw&Y_SkhU(;DZgOrS?)KH z{ePu-J|8>a=j$iEoAblGEgwHMhZYUiU5?ZPvNDaqHPE+?(Sm$CsS1_xn>xGsML`OKIXLe|nC1ru{QYXv^+P!~a~8B5g$IG&v!=RV zP;)!>JXcSFv zE5-d&#!^kao`;LbA2;Ja1LU@w*h2qSy6=AHiE`m>||N z%>==dTX)sWFgZ@LPqqwstUcAFi6`QzpoWiXVa$D~iqZ0Z^vhQDNjr*j06#A^F9lfb z*+WvM^uaO@Z-LDfd7jhel%&%Ovs3yrm-?8Sx7)}7MQQzQkNgf#bg6-GjEi1f@tLS2?DvvI0w~>ya2!KzMH2IOdQ<~X>?hX1=3c~AQzmZ#dwn^Zy z(g2Doun{A1K|*9q!KdhvDt*-~K|LW7!o7z^u*9kPkzTiRu@qf03~|Y&SSl`=d+40d zE&FS$a7N!Xx8=|H?63m{SG|1Ekz9N}H5o}8$=<$>==+|oRc(iH+P-h~PClHfX!ZPQ z_Kg@n)QqukS^dWny7!LNQ9Q^MseNj&6BlaWkaZZ3xBe?DeTa^EuYbg!ekild@)BH) z9^{!3y?Bw|?avf~Rtrsen^xqX8`o7{PJ2Rv05CtTdJOCdq*3RSN=qpIvq{J6*jSn0fVtkq=mY9Pki8ktj#z(dUiuUkkcBgu8!vZ(n1e!x;;UAT_;y0yl z*d9?PNjiK3T9?qAIvm>l(q(`h{{YX5%hJPu0Jm~aayG3)=#}NOo83ZT%zI67exuoN zwsm-ku0(4;P_LVL1^E8}xBaW-tW&YN#m95Jb6-#WKpw6`comZs^Cl?^-Dn$w8y}kF z{UULEZjPTZWil}ezy+A`G}CKHTlPR!C_yLrXlVgGpo3Lu zF2b_;_m>8tvXYhtVi1*(&7S>$p)YpImob;W|SBoViBT;5z4EGtOq@ucG{ zA4>FX(}>Ffe$|bAXu+-@JGXT}mymp#*Z!V^{())O5vf7msJ~Y7tGTvshQr~M7cxtD zuLty}T=jU#-{r6QY{VRmm;y|3=DY}NASe^^Ca3 zqs46$9kp{bJuy4UJ}XVL^v3yuaUJ}2qW=KDFEZ8>GSR z+)+RMpXEv+t^x5iKgk1;j<^AV5`Ru>&e~x2j}@{nL}cKC&ZKttY$^8oMOvBxjiQcFzk@MgQT6Y7Q?1}f7N3`|V?fA?9<>jai{AqYH2HL?9gz_t7l zxUshJSvIm^D*1GrVSTNX(6Y9wLLK!S4$1PO)FU5RDw?A0YqKvK&rArbbEM^ z!c^)8NbSXF-%j6NBJj7&25tWU&x+2m7nr*05u^wk?^<8dj5D?$8;NkiB~eKn?f(Fp z`JdKRDRut;0Qx;|3EHM~{-GzUkX#Wh!28xN-5Z);RdmCJ1k92++y4MHvi(KI{tGEq z9O~5vgB6&6`$ENAB^J<)pr7qsf1)S*Ew^VU>J6!?IqlGyn`r^L#KT9g7f3f<3|j;pn{U#cS{+6I3p@6Yt4EM$6Q zsqIoOEU6@cC-9MU^$9i*s7@?AdTo3?Ybd*WavG|&pms0XW4G+W+Xv_gYD=^bBCZk-j ztxd&{N$dcRnsIJxB6nrvJTwWXTe?6xL5gLos&;N^*HkJqAtE`0Sw&JdstQy%_jeTo z5r<;`0K*zZD&KW^B=6d#D=`4J;)L9`gmPV54S8F3jq9Om`rcoBO%nB`eaMn(5VvtI z4WLxbtfq$62VAz+$txgy8YPTcxA2@0PkJT0w%?KsHtrn>*1wvYrG_E*Pz3e~H62@G zFITPJxVJqvUAKcobr%FQ5k2U3@kJ4I`-oHQKhlQ4s}{Blb5aWWX${Ak4Z@^pv`8K5 zTWR1{KF%OLu_J%I6t19apK{-)adgP=W~W%Xs(?7&Vw`C!VPjE(PN@J<8uBuLhGvrT zhGL{`QH`%o?xZjxKGZ2Ds)D_ad_SAkVpzYqJT_s%W1_9c4s9F1impd;SXL?;5N`aYW>yvc* zhMr7En5gAcyszenAW^XZmuHe!U0s?~+ zm#3fPr6!{+A;yZ>c#aAE>XPF)(uDJ=1#m{{S_m&E5VE?mWRC z9%dMJ!(y+aDLP!BG2ohvgKh|6v6`~=`iwVH;}s$p$um_;o~Nm)eX4)IYWhwIK3*k_ zqs!$;I3*e*eYobWxW#OjkY#uIAJ&>y3DrAMeLI1*DmeyVfK53tmzaVrG3~@g z)gL6T@EwTEOpUhhUXU72=bDtbEJbUq8KCeWf4}Wp#(YZU&PO43 ztUKu!vo|QF zl4s_-Im{e>DM%WH!T|&x4o~F4g4WoT0E6C<{mUz}cmRK$ zsX$XJ_pd;>cBgT0o#@_>Wf9tunJx$lqL$lwV5=Sm#;Ll?0Aks2313{UL||&)%q3RBK_Ua4SUmRfX4> z`ii7{*B_kXxSwOI#r|fuo()-T(eYm}=O``axU?IUb6-y9;I0MNxe-}Kzo)=+NyJs*CgHl_q5;f4|zC7k&rW*1o?>u1TWzTYwR0B1)So&?0T7u5(&;*vJpQo7-+T@T&{q(vqc19&_ zP(de;9qY_>?5G5H0=FA|1Jl3&$gBa8??3bNOaB0)I(pU3(%;#dpH-mjVQhC1R^O+$ zp5Ak5R$z_1?OI*#n(5V0TFrsKY9r1dil* ztWm8qJg+B#6JJE;IgU?@wk_fw+oVJqRqN(>1vMC#P++dUg+Olv7_c$Svyr9ijSCQEAg3Z^4O-HTeN;JoCjk*uJ3p zwp~O_kpi|mokfvb{{Z-QjGiD@FFERrsql9a#k)m_jiQr-s~T+XeILH30I5H}HPia1 zW7f&;k=RXAzL;iVajuF;mg0ZUHKuh=6Y=>?#Zxl1^8Ea6K59+-J-AD^4iB3zESEmm z24D(|=&i9>is@r)wjURE(}D^TyVQN0lpNQ*-DMtYUWifzDW{bTAaN91wv>&wK4^Bq zQyc0X>qyeW6NnbFN4U4Pyc{ zt=wCjZYZ{ZVs)M4&hWp6&-bABSLBPUH8g>wQAUx8A+~0MpW3F~uHlmv51s@$|mY{Md%) zwMidLaDWYWoBCBry6Z6{67ftub(xSN;gjUoa+GUlGEBQK(>wuUZe2gU9DO&+^o>^- z{wL~-ZkQYj3-yL+aQ^_;e=$7Jrj}bDR%r?J>nBCh>`5FF)N6eMk)skyl6;exJ2s=>pEE;wppE`K62}Sr)^4Y_TdJYu~$jn>!1Gs zs)>QT*0DCO&X!gNMPuYfJh5jUw1{2E z-nTx3&TZxyU8L8(nBJ>DRC;mmr{gg8CzpFCll2V;CkHA_3-} zqmTyUZ`Pz;vjRxc{(jU8)^-epl71`QeSx&S0|az`&<&AqkkS3 z$ZmZ-ggJgihPKhDAezZOqjg96Us0ndEL3g>^orVZTt%xGdyO$}((U_K%DsP&{{T?W zu@{%}>xDDhit;~5jdFK7{KnxwMGpC*5$c}ITLYIqWk3ybyU zWOf8e;P(FjBBHljW_K8$QHG(hnyeHg$QyVxni-5}9By}-YHLUo6D;>SzcDCc>jp4p zY7_MyVQ?LH+_XXW8sn62NCCfLHRZP4o&fJsrCCfIPMGQpzgjuoSLEz!#q|FGHhjN3wXMikI(|RT z`KsB&upaP08=a`QMKceIYc6ED;M3DI<5G;fA5m(xnq^{r+J}0_`lBp-uN>*Z z+%lw9i|NNvo0i5O5OjgClgZouYTeX%Eu7;BNc`h)erw0^xz~+1$2NBJ!}nuo#w`=4 zL$EWvZ%;PCxLFKZw#6c2kw&Bbon23EN?L5IT;rt^%`r^E_SakEk=9$>KW-=VZMxdo;!^ zt7o>Y`&YT*N<17^@TlSC?aRq%tiR+P%u`TnQb6Lh3tvqxsggkgc-nsT13BnZmS8Si zmTvo3Li0FWzvaD|zaDh$98Q#Tt$-jgJVjk_cl|-8>Xm+Znf))`6k;gA`L{poC9wthZ9r0~-O(^SZc{{V+? z`fa@OJNK!$TjKBm&Z8X8^G3R>aR}x_8Ln;28>RhL%ow3IoO0%n$0)IP2&E3#)e66Tf;JS_)5Y;+FND5K?|=H5gFldu}P+XaO67 z>%jxt`Du(UB(=lO0-bW%4Jv8K!ITTX)}~RbX^yZu(`o>NzZ=t;)8v{3#4535%$_Ek zte|P1dE%3fepw%&cafCpQ@QO)gT<1?ZUH037TB3D$);YgsG+U$IH{Brb7CZrttZaa z1+Cn7EokhtxOFH?IUoby{{Xs?Zt@*Uz0D-r)<<9f)ZMi}A2g*+lli2R-ioM0k~Sio z{p6GPs@C=vMZOh*LA!UZ4^b;yz+I3?(ns36*KM131<+(f!LBD%UW~fy0}NE`e$+Yj zWXk;%oTsPpxwWEj$*D(a?^73|b7zm>g$Hecsr?((cCtN4ZR=7eVac89#D1k=Ri&*R zEJy?nKK0cYDJ&fBY^37)KQqB$jq8@Rg&yE4@$^SG7<|j{w*ZHe_U%w}AEMihKLW87f+L7(tu>_U|cr@wM%PatrN#e7# z-Fh!%SFnsHP*ywcKi+|BUAwF`0xO_UX=Ahs0^0R12pjwgHGYPcOHb4MKjJf#W#vfi zTSj?*(8{0x0Mk@orTHEG1I2vjl|S6(YtqN~9ngh_3Cvi^!5ri*kA1vK)48ipw$hi+ERLA;|b5YA61w%^v9ui?|2J!pRli=moUXd`u7O&s)lj+h8y0xTmJyn zHGPuwB?~@{{W%pnD_2*8N5u9BBk<0=xPRu zlx7%fJ!;Q`g|y@tUJ0$b`53GSjl(bdSIU`QAmQ=$ZlnfNs2G{}ucZ1zGhB98Zcd`2 zIOe`{^)#W%xgn45{{RQ4;mYCFn1@*4P7kQDR$JSsn~F^EYk<=czWeTLVfwR?j7A)0 zHV~GxI`oao);#J3#ZY~`o#wp{8=v=AbYsaLu9zmY70W@>JPH|2j<^a84d~aF7f~hw zr^m8lN#eR<+pL+TL`#@*hua73N~)wwPuip{tx!iJ=9p}_z1$Ae;_dZ8ga-0lS6#^m zw~COS@Ih#bb1+d28|^d23|ki1=}>#mnwZHZ;v~gfJUKf-t*hxKQf%P``+yx=&1U^6 zm0Zp<3pQ;bi3QJ%>*!1#7T!^mt*{`4uNU=hZ;$OQv%AHGe2U-HEX^=^ZSoojLm#zc zI3Bvj;jJ~RZ!&umR$r?5xwzklMvYUwWxUA%&-AX(()iy89i(C9$x2jQo3FDr2XYVp z0IG!jcbX0LF%uP2(LA00DOq%$W8%Ga%HGZ{rIhuqXK}Z>z<3>v1^Tlxa~`uvles%p ze5hh$ZR^1gC4Z$SC+UY&cR%$mVi>bsF%hxmqg?6?#oV{zZYVoxn&u08fG6j8uQD|L zST!nn{Ew<9zH^RcC9kphp`6PW%u8RnJo8cXNIPv5)mG$#6e(V?B!e7wB$m~E&!2Io zrY`#tH(Z_u=e-15EFvYhp44^2UOVVx1F)#Jv1Oz-<=d21h>#A|^gd09OmRv?<|6WPjOneLUj;Aw(b~{8=CPy zQ{hreoow_xNu-<^#QLk#_i&i+$6?f)OkuzreANe2EXaa;SJN536AzqV3OEd`D-r7M znZJ|YxpE6(nEP9uHNWZpYOAQO3iN*FsTtg_;veGbZWQiwk+kc!>+SnvRM%%@C zZV-S$5ww}FPrFK_j&{9)HuMR&(>?8*zt1^KM$AN8m?U6A6O4(icd9U3>QMnMMZQ4+5gy zt4j($?G=!HNa`JW@U~o$FkttulllIkb{f}1T#l~g*S&lf|+V#e7Y?1e_Bn`GA zYqHoT#9UA$GamJL%RZP~#Nln-d|T=;NKgP3^|SJL{CLJQba>q*%_h;8Z?>Vd)1d5l z^EI#A`cQ*&d5^?ZSE@QhWZ0EUZKx5!JIzlYnZd~EGM|mf5|kB!@5E9CW>U+()vWaQ z(~K@Z18()}u3Yc871%#Z@K8PPBYmqW{-wbN(M!aeFy&ImaA5a}ccw{&+>eUTddsBj zWiM=4?$ICbR!)`ZEV~((rQ5)@&6;X?e^7)Y8GVF&c{fHdEwKmsQiMAXJ6F(S>CR9D zdQ%=rs#jffz7HxWaLb{1=BtO#hb|&1_|l5AG8WFp44Or>HIDN+MG3| zK|Pn9zxuB4LG-oj(P z)wyABSvu-vDnad_Md;%vE4Hv&2T0E)46pHbe*uYMOC#Yp5D#>~luzbA=W68vk&Eez1mJgk}ucW`wnG^E{Jn(+i z-RZBVipuWD@1;p z`g?%I<@T@MP}dlHi86QjuJV06%EARUREp|!E#d-NnNtx zte{{fE18pZmL~&oEn_#66IZ+kQ(&={fmcoW5;mFSIJx7c}Zz76ci(B#pPOKQGSYSJ?6 zAyn#1ZOJ`}u3j!B!4KT$-_cX#e4hvn$+|~QO>-NL{{XFZX4*IMk0zjWTMRya*7o3o z1D(Zc80&hlcNQcCipw(3{jX9fj!7E`iX+b{@p5+dKig20O=(zZ5nLXzwr^!OKv{r) zwRWsD+f&Gji=A6HGV7`Y`)khP~pk9}@U<^zIF}6W_P~YDK^kOzacKFTtg zD%|<)LL%jcI+j!k+nP@9kk&$9!5fTOWDe0mwAbvBJWnQ%hs6dEy0(DC_L`nhUqFHZ zJBA{gcviA2WMl^Poh&ziXZq7zU9hlON_L*qJN~W>w1KG_W_YLJ@kw^j)F6lv6>_JP zLJ{rSx&WVdAfD!;yIsTH(!<-^YLk6Ulr3ntGB%oW+)PORB0&NHCXz_CMb%HZ#D()p z#OWraT+*X~rla0*15I-#^+tz*AOrnr{-FU$ZTwJ$&IjvWBx(j`YMO5YJ$lmI)Y1O{ znuB)ZStMHl4-y4*OG53;(2bu|0u)c0m`CH04a0vFYcLz0cBlHf*?}Ayis*3XQ8Gs& zlw4OJle}$L`9VQ(3ZmYnCu0>J)+8tafl@mfQ*f_4iQ1WY65`5oXm<8AiORv3&eKtE zkU<6{)I2@MvvPS@h^e8L;F1K?_VmH5fCR56ZK-Y`b@q`5iY4`%LR25U2%S(t5IKr! zTVv2GK-t-W-kkpc#U!L{%?+7bi`^vAT+JTbNzLi<&V0ta(OR+ZUy`KZrv z3Dm%G0!=FVnnk#QP1{yau+9?V5I`}#L3=Eh2~vEh6)jXCJDIO60jTjE>S1^N(Adj& zOC2xyhZL)JE|qR5H;}p5$H}3fWFV>4_U~5JJ4{wgxF$4>s<+k){{Z70zztpd*pY4l zi8Ws8)>gnV-K1`OQfVyv8h>VBP>;$?Ns;ILnhnBR;1j^@U7WKnxtTj!vSZy7Jk^YS zJWn~`W zvVE&N11J9g70I!IdpBW;mDu-#{{S`5K3*<($_d@z(MDWtHjk?Etj@+~V^xRL2hCFc zrewv|)@5K4_O`ebZdcFg{`E}gKA^dk;=)~a^p4CYVe?xGy_~}hF|O0E@3nX{{{ZlL zl&c4PYnlc)r%EYb%ED>)5HxA#yV>_o+{)hPpqlWEbu16dJCXa>1%!`e*U<5EL!Buj zhJ0=t0NSmXg24RKaRNe=*@b!Jeqjb_TI!K8zuvTRNr@wqm?x`gfjf%i=wxmQk>0x) z<<)nByTr`YQkMe+aVN0@nvA4cB8!X|$PvfhyZtqjT+A`HfVZ$Rdy1LUohy4by=>gH zbWG2h*7CfM2a@3xR1HcuCcNJ(%;SRV7P}oBTyE{?_7dH!t1Vl52o;`nhg>ENu3^w> zksBK6_1=D%TTF=KQJ4a;+;F>yxNhP#nKC7NS2uypE4h{S#bYnu6E4NK z@lhRF%EN4X{@hl%&^;r9&TKnkR$LgKD%d`kc`I?sOv zaco>*5@VgJH&*4gSEv~*fo*PkOm^`f)|r`f)+;Q-CNjpWmicHBMRj={d7z^$%P5X0 zzByX-Z7!B`3>imE)ig46D*9OtIdYUjd z+d}$Rg8?Q`{{VufVX`cCD(;&&TZ9wewQD{|LoCTcPtm|OX6lfpIFr40G7O^=n_^bZ z8p&dIBm=PXT0cpBGQE1}xtm;Dc4BlPlUkM!EXjIqz!(cw)Ro`4KYH>!kJRFthaO~f z@bFW)72c8QTrW>xeOCk4U<(uGxxHtfrXLREKKyM)>b|B+DE{%>g%z1AV>**G2%w8I z?mi;8IDBU=Pv7M_XFjT;RWnw(m}6e;;8-!*dslO%x-0!)7JJ%90tH;Uho>-D42_7i zy28jjndX`F-tf0;klzEfdfc8(cm$Kwisk)2U@`3+YA52gC23l}C&}cGCLJMh@kX*{`KLf-)-bO2?Y5!8EyYzjPawkL zb2qh#O>lJlbN>K}*z=w6H%G;0{V5BbUX6~pqsQ`Q_~o4XCVX*IOtmLLWedg<+#UF# zW4bFUwS;n*TVaSJVOJ|h*b7ul$m+s8Y$CZK{*#KB>GIku9*EYg)pw^cHv8x_rsa@NZR+-bD`CY+vg6{irUiPa@%|c*6P8khzoYvXG!kl& zq~WrhBidH6Wp1gVXl^4kmfk$(`yNJ z&taw}x6xw)J;L3;*i$ZI@Yrxd`f5K)C;HDOlz-ey#a`J)=`W`i{d-u9<-Lk^8%y8y ztxom)HUkbV;H}%Ti^8CQDyfg^d?p&x6Lb?005w4B4z;<6H!WdFa;G(q z$D>P!62WQfF0M-!+SP{*b)<9oja7O_rtftgHSw7D{{Y&rY2A;HwKt}^R~Me*QxlGx zSZ+x(EItSATHX^0YXO8?7<3AXAOI_`nsMclY)YWK;1V~JT|EB)rm&fn zrqyj^j}uwWYti`JCCylJN)7fK&0Bn2@$B%)a-0or&S8SZq>_XY-kSJKy@78{BlN67 z)8{#H7Q)^dAOc5knmTm`a<Tu?H$eDWkN%&YW`OBSwod3-mINJ^z!xcmorBQpvgt=T3%Ox!sS?DX9Hzzj^qu^PbB!f zc%=>h076t{$o^UZ(zzZVr?Syv$X3KK{w>Jl)m!R)qHsFF+z@%I(PPb1{-RqqGL|7^ z!LEKT(dJFPnoT7NL|>*Ci{*-^w1GY+YP{BS^v>*CxSR6=v_1UM&nldo*hBb~kEw_s zF4RWAiZ|0stNxTNjkL`huk8z&MZia6TyLfqBFm)z05R=aTYf5&^#1_dOA|DC`Kkxh z`(?0U_BwYnSEDAXKTs@-xm)T1gm8Wg{{XdRlW`@D{ul2nx}m<#0Sd)&jf&c)!Id8E~;{adK%11A5wH> zoKqW^RnkG26+_2l8Kws5^i|9q+jF&UqytQAHm(SC2ktAb9(?$|GRiZ2N$A8a=2^}W zb}s{94*vkHNgYX?X!ffU;3=(k=2L@nEoo2OQ&%X#DUoG<(OQ4San6_Rp)NTuW<`52 z&oPQbT?dGcKi;2%>3r8Q#3!$8qC^tg{TFwI&08fB>*H48>N*Zl@GUj?_k(ai-C9}rDiHaJq?y<zI1L}eg^q^fJxPiUytt}+K8 zliNu01x&-;M-CiJ9`&<|lglEQmTud=q&Paj^WKce%9>n;0w94<@7Xr)i?$>VNF0Bk z^HVJ1bvB#8>5dP7ntf`%gVqS%n}sc8g2cpe8&tHoUf9$<-^>jWtPqDwKqGjl$Vhh9 z3bdI7bMr|g{xL(pu$J&(D;09H*iccP!6Y-4Uo|HWcWpNq3M*UHCy_9A=A2uT$Ty4w zv57c`(CR0dG~4)d>K3*@noG7ykjo-F4TUOSQgoIesoqC4;@yh=g}ZIq*+ARG??DOa zB$d?zlTzN_sM?V8vEG|#7iLSM7v`l&tpZ55Tf41cm}>B7iWkKJEqY*|?b?hkjW8FU z^`5eeu^j>6RBbMso$6Q;DWt%H1uuP&_6ptj21K8lGTinsFsHRfHq4g|5Ox&h4%$fo zk=~L{n?MzV6QshP)iH@($(kMOOL0${BWwB$6`-tuX_X!3lp`TA2BRHT zScu!tYLpxV3=FTv^xkDafoW6uj2d?7IzseDtaAYkO5Z!w%;O{N+~5`d{?C1U|V22Q!WFz1MM`U z6rO~U7azp;J9AtPrMo$MDcnTWos(#FmyZ=#>byBjBb{5Fz~ZIl;B689o!VE;t&lYd z8_j(iheZ530*m=aYWe5slIzT^88hO(hO@FKz^|PBSCuYB89MwO{v>`DMa=En7%P&i z!R=UG+&W$Adx)wC7Y@U;*6W%H!d#9bv5YOa>U*pJ{{XAl()~JJRHf>Q=2|87UrAiT zVeR9x^(6{}aYtk1QTivVP6)0W6lT=vl6b9B&6K%-wyq=#if8qJOc{%Ys7N-tHk~RL8#xM~KM{pvc;<`&Qwg48s37%^AgX#<; zC@FPKP5%H~!?JGn{{VWw{Y#!HVwo?38#CM=MdGn6rsyGl-RjSlbVeHtL*gA+f!tR@ z_fXllAY4#8#c=a}u)^6!Ep<$Gn#x{Rk>t14gr&Z!}25WdFd2a%ZkaVUqGsG?Iz%)+;ZCmm9oh9F!LUZ*J7Fm_zx{os# z@c{aA2o>AwoTCqd$pVCj0B`oK11`&O`E{jBN&y}!rS&m^%UVeTinYw2GD-Ywi9(|9 zWKX41whX`olK{h}@B-)*_`Rp7^@WfZi(@J}MT zHVhKQg!@zPK(^m%W(dX_-`bmrCqXmXxn!EkMvF#A)k1oj+;cmR0&7RhEG8owc?!6YYKhdn4`&ow_5}KO36>GDtvI}xb1s~(e#YS_yNT7x zy0-<5R34yKKecxk8-~OVQOBCZvAG6imfSOC!VUE-(Cp*$8yhLO2pa<;YL|qh?~Yc3 z_p1^{-qx^3a+d!9NT;pbHXS8&fz4wFKg~jrtjs%7HfNjSl&TA)9wZvi{sp&9q(9z9 zy^iV|cy*s#FWRZO=T{v}wp=ki=D0W>pU-nRod+ZD~~HU9wd*FMI&zz{2$pYMUW<|~RW-9=M1mWn?_9XXA_ zM0?F?_V!xKdMrj@`-#=XY9sQB=<@iW`EFY$jo^ozAN`%pyKrkZ>9V6gyrMtqcdaKi z1+b63X89=z)Hi(Uqs2~8mOTX8v}()wMMlS%!oSF)*&(ej+N0(J{{U{?_O3@27iO1( zEy8WpPDkdE_lni<=4>JOtg6(fQaSB4r(jxe$@Z?lDnBTu{Ubg%u(*RZTkTn9J{PJ4 zaXZ$hc#lP=#bw#H-9od=9tVJF_--x9KcM8vre${r;ZyBWZ?HDnJX8#+fx;&HMNGIs zQ(PT-tmxM8Wj#s?=g51{deVA%zx;i<@im?GaE$KD2_EgL`_s?=0I{|S+zRgUAsBG+ znZx-rtBA6f?GC21(}U@UNs}bkM`!yN`6a)RqK;;&opzeC<}JVk)uKtN?^!Oqwa0<`);vGW zCOK&1eK&BHis~nR^`OOOpH7%1#!b22D@WB^c?tHKV&Z=iwbx2pE4X=qFv zfvX=@TP>Dcj}=kq2MLOMb0&Xks3$%tCFoE2S*Q~=Tftcs#T~txZ@M?rR|ECpPnE2asa5l#m5eKx!XF7md_8aeszy=nTkte2s#gw5R`?$9Jw ztUmRxl^)Z0CK{uO))vL=qToIc?Nt8&rnd#WRm*NcY2CCSoCS(pi^?Yf|$95*_W}hAjs;^$!H!{ncOsW2r zXxG}fomif(R>CH+@lu4-ukYx-O%;EpmjZ^g3;^6#inW#fJ-8DvxP+LUyw;+vu&udx zRJmmS$m4$$KE1aj(+f>{eY@5Zi)$#m2*yVAgzZBuJXeYMtdo~}RbPMK_=d;*&3M3} z>L!$dA2qzL>MJ2*g)YX0HRDkQC7S;L#i*gMA0JUhpdZhLJtxB)7 za%9I9(c^MH_P?k1MqIgx+372S=2vLX^6_1=XKJc+HPYE|UgCag&;Hev*IE8;nkjs2 z$iA!L^x^{%?UU}}xqUTp9>QC?HUb4%zO30{g%1jM-?V(yOQSIs+R3!cq$wg#G4`*c z{XO^MxBmdi<#`{?mOToy5i05m|M76a&jFzpslB*N68Ue#Hv1?~(jk{JZ>XB)Lw~8v^E^s!J z-iv$Fy*$W|(`ljEa#*d@1f93yrCYXyC=4|T;4_T(Lw8J$)#Wm?$*hyLp^h$e0I^N=B#DWyF@-&c z+J+QFlO5)>&PI8G2gp18Q0`um$O%w5@^+%BVeK1_nntjy>`9pe^fggbfu2U!Fa!Zj zNiNg2^xJ3NLhm0G=WkGj8y_)OAC5+P@{5ZHb5TmYi;w{;;)?6(4{7A_PxaKPRudj; zE9|fj0tY1~Akq*-7ZORJvoo0z2Z2d%6{}J@&to;F7+6e2kX#VteW+Jdr)d;Rg@T5+ zxhK6M+*`1&0AOkqP!ZLNAv3TX4XIkrEPtguUtRYA zQn%KbRtn(?NCboA)0Xj$Bt%J~GOKAk?=wugO@MZl`%w83bwtk?A} z#P40TW-l|hh^`M?xH)-)rMB%o=}D+z;>v%KK9=ch%0}EkHNDtlpm~bHew6@o4PVOu zpPJrnkQ4!5HTtYCp8k(R#r_0*(a+&-Z?F}Q;XU0>(suPWn%47HBL{R2;<8)`ANr7z z4(@)`cn(eyO=tW60I>O$v}{;Y{{XkO{{ZO}`-mM1u$4T}aI8HGamJc9v);I`xAA}Y zH7VUg(O5jm+U=zlufc|1=jNz+mqX?_XZ{h^IoL&Qj6j-WX*&=Nbn$tU<8CvNoVb*X z3}qSRrwX>Ns2)z=-i|O`L#PE?nsoW^TZyq`^KE9DFxE#FmVRq#dCq!@YyRY}8unti ze3w1Mxh~f&?cCQ_1JD@Du8)4{(%gVa{`IRAwx(9vkJ6XaF|nzaojRnK_+X{QjFtSC zq;Pq3Gb$Dv{i=uqlKy&0e+bN0TVNSJmV={{V_O zeO(7Gx%M?o`fv*3-gfT?w)Jr8_9HEFHuj#?Q2Kb9ahDon-2_h6zY<@wBt*ZNr^v2; zct7?x2gOP=P&2}R0dsgId9FSc88w|6H-k3mMFT#%S~hZERGcQvZwzy00*)s?lfol&WYAh3;&)t`o? z)?MACzr<5{My-Q!_w?2uBSn-1cLJq0 z-+CV>dL=4`M52eKz@Lx-_N2La-?^_Hg)uiZE-p#iDJmVg=DbXIr*J4u2a1*RsQdhZ z!0Og!%kBj+wO2X`$i4356IMQ}0Oil-DzDKD1|W#rR9B_PCirK1!JB_0NH7wnNHfu>Su5-maZ4HDFSCBB`Bb&G_4AQ5*0o)zX((U{EogtG}K~TrrG@ju~eQwJ?|l znjUvG1@)N8<*tDF~FUdLf) z%_)iw@m^9#n$8jJ`3neRy*0=KZfS&*UXLc5ReW|9Q~LY^gKbd}+{Fv&_0jln2K6hh zE$YCu^IX1xZ6Jx;a~0hwfB3F1(T=8Qq==fU^`e89TxgI%1P||4C21R1535sFTXH0j z4Rgb)lE1@c%T#bapWfV$o<(Q@>on+d>EaoDx9wUg@F?>i{MO+g?Te2`C#%M+uH<-{ zt8@+6zVd!H?N+{|P;%>TsTzVXfn0v4P}zOYwRF(e zH$Po?8=fM$c>5~Gi}-kF*x#D zBZ~;<^&jyv>x1H`T^n=L&F-%Iyz#)A&@+Vx1#o;;b<==Z&m3_sXXdYt7r{6`p}*vf zU@+P@u3mf#U@q<2r`fm-u~D;FY!%RV+PS*hjH}sF(U)|c!D~Kg=TYDFt#-}Hwx`If zb1fp*QWXQ1+J5z|;Vy=fW8IXhrMV zwyfH=+SB_B3#yV$Ff{RAFBN@Uvep5xg^!v^CWo(TQ0Aqkm8L5nz^FOQoE6CNQp@d8 za{^lnaCVBg$+oZkgv`IEThZzZklKC6Y1*}v-rB*ehYH5eQ@?=R0a~sa>1!xwwRHJu z%1O28jyJG*qL;y3Q85*pXw;eKsBV} zMyxZBip=n!+3Hqk02UxsaAp&>DSsqts$>IDGu17ZT?3e@b|BePJc0vA&93OeF8=n-(69T>Z(V0WC z5a8t3iQbtY8ea1|QWW3jBar)~ZlUC@RZyv=(*r*bdbrj8#WREvFvAx*GLp5~2q z+AtDi#{|$n5|08m*vO&;Ho+miwd+D!INGfP8tf8Q2q(vCRm6`_`%juGI1E8x&^w)| ze}_=SuB}|{N=+upi+;j2(H(c){|cw zNUbb~3a*1ullyp~8MP_Cp2wOVx3c07w4N$$tEI=Z0waFg)i*e%sFty5kS^F|=l4qYJkzKF|dB;<`5yFAXP|nnJ3ei-19B;x_YB)~h1CWPTeMjz}g9PLuxCFGs32 z(+ACRZsBxEwBttNIile4cP;y0a7;{4`se=RTP|0Kv|)ul(NI~~c5iLY9^ z3mKx4G?-nfdUt>Y>Y|7O588|zC9=UmWAQ-r0~KMm{8MGs<9Miy9FW^hrI?nKX7!CR4dq;3j-mYcUk~`Hq zt+4tqOzliPa9L$mG3Je?n{{XtYGzhGxD0REkG5|8h2;aSGImG@7=i7?RGG+e&^X`PB+k^$L{gG!JN13C#v?TIO)Vr5 zNamTSO)uo1{s1cP&3K(OGjI+$rt2+QHnU=}`iVU4O=5i4n&1l^=rT!~Z8uerIUP<3 z!9M+}tI-7hDd2G3>ebYjBLd*~s;5Ls;#?ois=D~qaYpRqIku}PvM(>)ty-%{{V3`%ueF8EK^OE-m};CbpsK}Bk#>uizq0{0WF$F z6wQ_IOvRDuHuNkbA3+H5PrjtvU~8McEYQw#FjGEOGZmoat_~914%MG$DW^S8znH7$ zR?~n&JI3|bblHF$*ApNUgF`ZFs6pSwbGmZ0y_U35I^Dnb3P&1%;;elp=kQ7o=~eEu9_5|n z*JGqyHWW$PyLo^8b=jH6`4+uk4mYPQ!9hqMZ^bUa>}!ef^5f{$i`$6L_uGNhf&wRg0;LwgrJ%+rN6NbYb-IY&Mcf0=uA;xFr;1 z-^kK!xzpmgT|)DLxF@xAm4QLT#X!!8I2RBmxVSDdNt#KN&XHoc_g>`9X(_sc8`fph zjMnoK3=el<^IAo#zr$GZTlum}nZ2t1=#D)RGr&#;@TXE~Of_I<~D>0|yIkJXTYft6SC75%J5V(f#d(VztDCf6YSDHcK|4|tw=^KelTkChLtUkx@B9G<1_w3c za7<7^Bv*vil8Q<7_5p)xJ5uTqK^5jZR6#}ySe9YC6Mq+p}-4nFmN${uh@&lT0>%c_efjja=|xA53} zR%MwFPpE@I62OvcOt?L#Y1*@Xrd=7ew<`b`nqD$)O?|KJ3R^ThzUHhYvJTNizrCj) z2BGB44gm=9Q%phmsBJ7&l=Nnp=`Z^a|Rkj{QW|-w`eL|#?A-_t}9mbP2o^=q@oFfltoy{IIgH0{5 z%h7+pUjG2wr+uiHld8iG|A`+EK%;2c$0});ulO~v4N6j-? z6^oMhlvrXZr+#Q`{7o?35xEsHO0cUUu++1^H5A@a-+FK2kO?GKk>QM9KkzFhlired z*wl5zs|g!};)pJB8;V_8{xAOkp@$GV?NBq6TbL(Sk_|{K+|Y4za}MhhwH$4(Ok|fu zA0-0LXh{*|?bJE+6`C1W%3yJXrKg+({viAn)S4l~ox(h*nW(meAh> z#St19Pb5@gZYx8bLMLi^7%cy2QHNS?ov^3hmq2 zYlClW>;aNROt$NIh%?FNrL`E_9!Fe2$vi;+063_)0Q*1$zhL^Dk_ze@Ons(|LxBL8 z;wg%Z%EsA);*yta+d@kun`{;(r%xlmqFmE-d&t{@2Ne?2`$)KhTyA@FMzd%tz#GiX zQhjdrJ>(taxrZtwGO>_Aiep*VB}g3YJ?c=sZ(AkilA-{K{m*Iz+%|^SVE2|#dHYgJ zkCbDj@&1fLE$w&w7Ls<_lb{kC-3yDIq zU=!wvbPIs618yRz)ON@rx~sOUWNvtxRc%UDl!@j|MZ_)JE?EK6Dn*-%df6QInsJRU zk|;75(i3$bH0MC^C%*J68m*}t5x}H*-KTikqBNeunc{^m0>0Iw7E*U2qNX98N2;65 zO+A9FkVKv6X)iz})dYfo=^Xsf-A0qAW7>G4i+~8^d{Ej7_nIU55Wt?M{l_%hw#XkJ z6h;Jq6JhgSQKSvz4UJNY84)eDvI+d7wH*!MVsq(HZmwEL$KAgdi9ZQ!&;H#j`b=jP$o^e`3x-q@XK_DU?dL}7-JoD zt|=x6Nh_x8qqR0 zim6}mL)hz<*)O%rWSKly3#>^waU_tyNC4Mv-QRZm$1z+^tO4*B2{R%G#SJwS#EIWa z1m7*IbFl+?t$JYANA#%s_#=Wmf16gdGHd0(QA?AVsc-(rsli<^M9v(31^(5U5@`#_ENis<84!04GJ*%~tt7HgTD8Ns{`#-^_X8t3ObVD3Tf zTySkWc(R#7>9zj=^S3ySTzIW94wEDHtk38j*rs=DR$L{kX|xXo&3V2HL!_6HFTlN$p%wjnnpv9^49OK5N9#$vjOtM(SUX z99j(y;||oq#5F16d)BF{-v0p525&Q7HzK_Jd{Bt_6_QcANJELLGiHbk z3Wu2LHWjWqIT%061bbC=Z$HCBabfwr(m6`tV;{{UBD zK+`pU!|4{5JXcqjH3=@rn2x?;%YCaqy+7+)Z6>dnraqHJQE_s)w&o<(TpDa7UT{zU z0Jm5>0aBTPw0_mjz}y24zapj64%5$*+NJc9>97}l z>OWR)s#xp|6Qs=A8<0B+?w1;THfEp6qTH7|Q~4DYO*~zWE0Ig1s=;ANU|AqTefPSL$K!C`54-iME3aO@1%5^o-jSxL&6+s&M5JDsPR z(D3d2HQ%*om~UopBte?KVM+8>ciL;G%ZtR^sgVGf;=hM&UBzdZW{mN>OGg~l{{R&} zlXJ&vp<*qv=Hfx*ex|F%Qj(V%eupQMM8mXMU$rImEor;Zu#Gko?M8M2+i%*qdi=V| z@Ke#A-(4B+=GuSWv@8(@!u_g&n1x*EH1c+9vfM&ZBGc_J1#Y!@G6xn>r?gqml@+wW|8XA08Hz*T?x4!}^ zW5GfRgIPGN$s&_8exUZ}h)@08R)LdU{{Y!r<8f53rnI9l4H9Op*#QlKPqlS<5pRY* zsegDTJ38j?U@6At)EaHeiET-80Inq&tI92~#_z>2zWdcNLzktszG`BK?_H#g^M@w>jpv$yIjSR1rbFHvGR)wmN{KhycYlhB_$QZ#Q? zcp|;(Z_Q?_)VQU&41K?On(XDBNNzY6pb9*X3z1czT(N%3pnal|q=WNOaM+Z*p_GG1 z^)}qsEPGnA)3SrrD65z&ZzS(l+=B5qFb!6^vq-*;N07b=yiuGM zPV+JTl|$;}Ka55PaBA)9dn8p$s>Y{6An#S;$wo=-GPxEG?ncG1DahKod+9aA>BlDu zv)ET)k|wa6eoa3^DIH$F`>}9KM*CHpA#Hdxf=1QC>XkmKkzG!larjUPn(Ch$lonn8 z0N9A_o!1+Fl|<@$T5-1Aw&3nN8oURZsC7NPSLP?k{iyL=9O<&8SaK!}fI}iHqCgYH zaC&~^VHD5AS76)?21Hjp;Uwgtv9?k=vd~<2v_L!ft4B{=3Pon$6E^^7?E7I&T)*yNdUw0PN_8 zC;1wUoJ!n4K@e##T$E^vrscT%mMx$sP(EsH%+l+Ch(M7u-Yd?Zi!17je33|xixG>~ zJ8*nbU&LJqjdYXXFKQLr=!CoO(F1Pv&&1-M?0Sq;4eQt9@s#Ql{{ZacD$pSg^6#oEJqm^SJf2}#J3(Cbo z6U92DCh`@^-BJhT`_MlMLr9OfG$&`UK%-m53xR|H!(qPFF|R{N2*fJg(0M0m+Kf_9 z!KENv5)=|XXa%l@C70MLWm0yQfs3R%wvuFRLI}Xfk>*WHvurzRo`28xr(T;ZP=zhn zjwp-yY!U;dK=&9lhuW-Semv6|NZw3}@;Ngciq>-7g-}E+)=4Kx@k}RfMAE(38dx9F zm}Ad7@ky)s3q#SsiJm)BFjgd;&uSC4kU=mH&eYMUL=8q@?@1|1+e5JpsU*SQwG&{H zdHZ#P3bB?gdJc z+wN*5gxOXW-XU)wjhptO1TrR}J%Wks1QKcXud=p_kaUr~6(-kF35mlL4A#s!JbA7* zV{g^M-nDpYW*ZL`V-tIDM0cRwvu*zXGKu1eT-AF7b3U0VwRnU1frC35)fCoefwXWK zwz`A>$9SvG3mh>PRf7^ueCO(MoFw0`@7d}2@?B^bQXl=D!@1kFQTlZO&1dYeWI!zH|@-(PTW%Ql6+FIMmvu=DdtKn_QGac#M(Nw?a@ z5_tgAWKe?{-j$ekn$A3pr+EfB;EJR5;0DPOYUmr0RL;3mppnINc+;*#o-E2*3DCqG zAToX`*3Yz6SE7Vr06Tm{RhhV`@)Uc^(<(SraQdVF0NmV9YT)!*k#Y9hR1N!Ad#RRi zOh}!(R|}&77hjRTdgLMXM{=o(;h28#Gl}|+Nf7Y{{Wf^9V5W6U2~-EL&G2a zx<~!B>xNWrZYgKC$$dis*TNYEWDzJhx16z39d#vG_{99bqR^)xc54l5vC(} z`1F~B!R~|R>NS6ukRQsJy3w}aL;0j^tVjJ?E&lR)q`5Me?>+}6Z`;q%D z7jFTy(1pp|)k61EG#FVUwM)yh)xN}B8aIvV9}gsAl$X%Erdg2A=AW(;UX)r;{)K@b>ps{yKlRD3bPG z4cSl^#YM$iFKLM}wK-0e8_A*Hc57@qMRW0{rkSJBO;q&rVzPb>UGPPXwV2|mfj|7I zEZyd<_;=H1Vmwz@m1>&8qdq+n?;m4*s*jIT{;55x-uIyzciO60z)m_(HJ^*sw!&qf z27mVH_NlJXZhO}|1OEU)WKBtX!%S9Rw;5VRl`fzKvG&NHinC(feXCAXqX^*6FXT=#I6Ku_sR1TPwcBe@f%zH(6`}U4^?VxU9Kp@?!G;0Ke!|UJB<@+7D=s@!V5-V3h$(m=u1Y z8ql7@dImx5APtDF$2Tqq{r>=wntvkB2&R{gYB*+@6H#*2Yt zGP8$CW&_Ug6~e@IGV6<0$=)Q_2Q$RGJC-xF=_a{H6D(HTuVL|Cw-4$3be}7;nqEWH zOM&VOkYd;;`%qgy#W5OC4T;-$im6}4;sN$Xfw?`+4-c4JcX6nO3p`g^@HiG|&-Z8c zTn|xVlx(21?Iw$3sV*Cz#8LLDfy7?AXIzgwQ|w}xxYl5g^Y*NHKThDRFX}k+PV#Cv z9LCln+^PNQShy$Rs2B_PEW-x*t4;?Vmu-n4ACq28_+`N*j2$uL?1`D1P8r};J1t_F zi%&X?_Nz`S5pAq73?i!b%Kj*jW?Cdw`1ypQ*2tbDnRdfn)oD+~Hx=$i1nfmZ!(y#1 zpryo)(@TFFXd@EhBeAYt7v5hin!M<(Fw>eC?su!63h4BdPid-U)(wm|1nCF-HQvJG zttu#Mi~HASmBTKvaYk^GXKxV0(mVF5J}^dQtAEU5dsU9+XJ9KsPsLVocZK|3wd4Bo zD!gt!NjKSk0!C=51MGHr&)JnVuoXy}%v!s`hi3 z0(4p=u{)4rmyh6bDSYyUK4kF{E$mX9Po^4Ja%mOZYI58igj5C+OBtSKCZvZiv={?} zw|e#A6%XN~o$kloyx~DCOP%Au^HFbHyKTn&%{;Ry_UZSJ){PB+RHPJAWK)+MWqB2# zHrcd|+D`-u>0quFxCZhJ6Fi#dJ(8-#Paw}5M-}A0_E;0P;KvbA_}fZDO;LHuGb4py zE*V}XtP7f2X!inZJhzV2p;$#6Vw5RjBeif#!i}b#f85$U%_?VmJH%VW-2NDtJCRay zjBUFK3}EnTv-taDhyqy8iWBAt&O{HlJO2QJu3j3HI=}NKJnrnjoZifSXzyGs?-sb0 zgm2!sKaJTtZ9i&iW&p7oWRHrT9|3mko(IX~CaALId5yd+rBc#(+j{6Wd2DQ^Ks(hX z@pBM8qqoFRKh#LN%1MrR+N=KnPRo*86g-EfS+i_d#yQdkk-t3Guz7*Ppim4E5_YZ# z#p~Hv7!ypyUvqERclq8cqFx_(Eqd+ro}KM{rlGj)*|QtTm$`u zJ#SoP5JU~kR)6|@c=P!tUPC)}G1n~u5ri(#HmPSgxDam!IGU=h`=Q8o8^q}|Kdluc zvua{?J?mHcY$lwfvLEpc;OgJRo9(IG6Tk$2wRv%GU;r5Ym0!Jb@?UlmKp(vx)+18? z01)aUa!l?1)uQpCO3|0^w09pdX13FJgEQb%3)t&Kl_crllTljRK_Q7GZU>5rwvL%A zJN@eTd}zfq{R&*JStLDye&(xY0*Q%&ccrlGuMUs^j(^&trNeEdwg7QcEtR+za2dD# zE1{*;G)rv{F!uI>HjsCxA||16wCf{G0sGJk3l|haKkM;9w$MufzM&tzD@oI_Yc@-} zg)9l+j{|CDsSG@J+ND^$6cF-BJ8e&UWW+~t1By*2N?9uUszO+2nPOmV=7==hpG}1M zps*-69QhR$-O}#01hWI&M%&Vl5_TP^JDY;&54sT$dwr=w>qQpkMx$jA7eKJ>2 zl4eCWZw7LwkgTn4G;B`5$NA!)JKWar9iDE+oxT72@kP1iN#S(E@tg+>y zYK77u`$_HIqhj#N>RA-4A10aVwT2*oOnzCZHm(bn$Qu~m2PTcK%m`NT4kFu01JC*M zM|?O?8qtOVU{QS_8*#gBUR#TWQ>gnJ{^P|>&hWt?c5gDBH(%NY)3@G>a>CsADFKMw zQrvrY(2&qqniaNdZWW-2JJM=Zk+ei`_Su*nrV7;C4wo%yFnf}FQI%5etvX1Ekx=g? z%s88SuE6iL9GSuebwr0)X)KOiRyhOPNq8 zheNE}5J?k9c-K~fy@>Y3&`Fwyddap)k?~Se_JYH_QOCW1hzILUKDc7DpNDd%c@;fw zBcxP3U8WE06F|Zj8!3N6#_F2spm$WO9p8u z{{W~UHwJ_5@5MDjx=L)^({Ego$#e9k2K0k52S^h=s#{GfD#vI#p{56(^p2w0??qdQ zc>!qDJ5+o@y2P;AW;m)&Nz)>Nyj)L_=9;chY9M{8GTqWfnwUJ5)?ifR*J>SHs^RK|;ZfvM8SP*SBu?E2syVCZ#&7fW*KDy+prm31P5;IjQ+6VHAPdy4XS$ z{mC;Uy+{!D!v_a>sG{g(($GZ-PK&WH0oV=eNbj@Io&w)jM=VdY?aykLmgAbi2HR>w z&h$4T;t_N5nv87}-5@CKT)g}d=8KHInnG?lMT;@UrXvKwSZa#mWGkl{JOTUA6~h(^ z&_Uc(jH!jZKxQyJit^{u=v#qpA#pBY#lE$l;?xj^?sXKFAZ0{X^5Udfsz6EfW+da|&GqE-6`2Gr)AI;g# z$;(BN`lY5oC1-f1f9gBTWGVe?lm4IV)gn)mP8Nbn3GpVn*Mq~pwq^U$I!)C#!H8`@ zN&f)unmVHp2qY6+marO8#E64E=y;KC*@B`b1t0KSH%cR1vM)JbQ6?#ZIMUxW-nVmF zf(V1btg6f_18kWbMO-qB*m`2!;|<<+uQ$r^mkqe;&A9oyVU|*UYNggouGk^Bp4DUg zU6XD@h^o(0TuaDwFe0(wk4dXoA0zmZdO#6}GtF4%VO4&c#U+~n5&@3&+Bt=v`-Ml7 z3+1%1R5U{BRtE{BjjM;!ipz3L$={mlXSj=ZY@H4Wisf|u)x+L8wv$~j{{Y%?iqF^J zhU!JlO+n3D{{U|B$Of8o3qVe<{8T)CVQS^3SrZ3})jGGJF8!JwME zD|=VT6-2>Y^f4vK*q`ZEMa``M2{qH?#&M>G3b+i|ql2Rh8Z4!TtPj};2$a_q6V@mvhk7R=h)at6Rvc)k>zl9C+xK8Try zDW2(OmXDg}J=c;zJMkUpIL6e$!x6miQT<{WK_X{=73pwsMM<-)TX-Oxv7f#F8QI7PCIT}Zv^<_+Ay8B2qn_#mDQZAjjuBICp z7zSIPZR^YO{66itVCaWBN~Bt`w+q;9>w|(ZietK;+JpFwK#y8un5HH4)ggsGKORuy ztH?{4HkvK=u7*%qg(dt&u!IH@YNl~;J=cgnBaNs>7=%k*GdxXU{{W{+{G`i#=#~nM zVQKF*VzY?0ZHZyURQ~`IhEfi>F-UQ?qh>#2Rmwcf?rRgGi!t`;SQ z%ub+01Ge?d7~2fHw{7>PjD4MDTeSDB_3iOdcvx&JLZB?Y&ZOXRj@#L5|>1t>@PO9@X6Ms7*P3 zo!c*Du4b@YF__oH0tg@#>6Gr*NtKqo2JdCa zPwb_of;%=@9~o%A_fC<%plN>>Vs!eXl6=)@HnxZT!KJ+KZo@GWXOaCVTs(1AMRs~D zYmBl3MyMU=M;~EZPWpk9=D0R-3hFhI8kb06I+!2rPFyNh>taovv6)$9kQzbY*N$mu zk`+k<;;Y}pOOQz;&x&xo65uN$d77>+I#~;Lc3U`0Y80se?kN{EMiPDB?OdCIZmy=- zjyL9;Zwzz--1*+0?M8@f?HtsqfT#%hH5(C}y0P@s5gZETgXzSyll^G7P!NTH4n$1V zI4G-LhZ?#jS34`8fH>@tH+Bu3(i6oL_$&&15PjlE`OAZ0O<}z zK$@-EZ)D_F)}$+LWS_M$QsS|2<_GIc53?C1ziBj3nkW?IImb{w$Zs>Hr}pFHd4yT7W%!5fa*F)k-bW>iYkOU$UG7zpLJ2$ zKvKl+O!uK(vdR=L1V;_cBWcz3D+v8Xea&qRFaBURk)KU zYPjPsAyfw2-L%S7$_S77{*}%|9fB~5E%}Q7!OT;xmzh>f%JHS1u z_O619t71FxQn0CIjxK^-!L6@-wI_LzPO)@oZ3f91m{iFA$BV5&}6ZWhW)!xdgC$c7j7!B$(TPkMX z@4I~66n@ig1^p*1NR?-k`_FnjU$rMTp%4d-a8akS_NR=?^MerI|;w}YkzfMfwh zxo8M$U>5JS3fm&cZJ0;vLTxV83FIyGR~+v_MQdnQ=Ih7)Dc2M*)Qz=yN`;5wmLJ5k z%A@lr0C$RTxR+B)fwV~RQO&Z9Sxl+mQ3dNv298fZ6yoW$g+nh{Q3ZDKL9>Zq#@>@W zkD8iZ7l{$xfp*&6VCk{=q|~nc2~i}rr3$;4pj@`m7S5Ix3iF#Rea*Jy4#WQdx{$b$ zcxkuoB!Wy&-ivTp6Ua+uc@TV19ROlrb2N6-AkNf`z$o^S@@Pu3#ztI4#6ILYe8kj( z_VE_rY-yJt)mUELs4k44X#^SOr?;lI1McBK1wj))2rRU*F&hY@T5=e*#?%i2y>*iC zpXLGpr)&7H@A?225<;sGdjm+ptsPp3ov_DI}qHsx|vx0sfP8!J;kHu ziuS<~*zw+nq9sC@jf`zv%Z3U{RFf_8N~*|iL%b>5y)BzctN#GWj}-G`Mafwrn{!RGoHJ<(lADrbG`n~2wMW7zqcw3A6BQoAB*Yfx59Fy^M(%+!TT!%KnrhX$ZxZ-3c4 z{7g_CLort8$)!H8KELi>&i$-xaQ@z8ccgq;F>OyLo$9-4>so(t)FLOD6lPUsMwujb zJXb6@prudPN=>buwZ$NvJ4ekmvC!}yK;{i`S=nvxtC;&#bvnsqW39XQe(_vY!~$aBKLk-3k&qSsZYGDr7`zVT&Y{QKZ^*%b?kHz8 zu*8yNi3ffwo1ibWK@q)1(WtOfxaLPSE60np!M2X?1P zF;9gI0BKSriKMvT-hBdZ(bine0Foo(n%R<%ZiQlalU&lPxB@o(v&DN#rrrz@JQ~^% zjXs4^CmhUxAZi@#yi|Lc!-EAm@GGA4ZKN1kig0uUmRSdR=9e5(dM1v7=B!)*3~>OB zzG((z{6~7_=qll$kVMQ!{{U1#=roWB`SD4^0GG3&b1=L^7}9$aP_b99I~FEJnW?r3dgBH;&eOm9nZM2#j_?MFZqEO!Lf zj@PlW3_3!9PLtUFl_+StYeSEC0%UVTE2&txlMw@b=`H|^5deX>?L=^?F zZZ8*L)ee=o)2~bj6SL8&VbmWX{t{7f=$r4bJ`Qdfr8L5dwf2;4^Fw73Kw5j@yB}%?j?8 zxPiXw$fuUl##EJxl_e`}g0bwwO2=*arZto&SGr;(os84&jUiY9(m*0N0zdksYlJ8S zO7BUzOspa*D_gv)sQbD2sXl;p)LCGD^xKyd#HpRBESqH9*n9(3Z*3FV5wSM(4`OLE z2Ulu!o11C6AV(+7OV&yQ-|hYAcUMw-N4xqO5@fw(9o#bdQmyP8h@m2@MxaCvJp9oG z*0j*%kVfG5uRXNBzOU{%s$H&%*cK+;!M3760C%Z48)#6HtQhWh+JeoYN|7WEDnMWm zLlN;63lVm}79_Q(jXF=q6wD|np7tc3=A|9vxC9aVR8~y}7FYwhJkXQtLkP?ZLD&P{ zi>N9nPs(=@OhokoB>kyc*;L|orP(Pe*gWRNLK$@e8V@>lrm!be0pv}1F$f3coy-k@ z@kIpyg3>_ZYMgUupU4d!r04@w$?XD&ptX`Gao^25R2WzWJX48ZQ0P)N-Z&;{$))HH zecLxz-eZ1$;-px(u9I=MDZ2|Kn_i;=49GvF zD6pD0T~53beEd+X-ZqF<5CJPBRNHW4VKmd}ukr(&%EC4v8hYGTIvO<|BurO9)LSyC zyiUy;+WRlUL=CS2Q+(2 zpb!s!<7$1yF2W2G^G~vW#BTs?k$8G+55Ir4Hs%%V30N$>$meP$)E3yX0jq#}({;Uv zUsW|EPRG42lDk5SUVxi-Eih-&2|I)S;)`bZxS*dg6t0VPfmsK^sMgf2(i~|azuv91 zvKu8_wdz{brFIhwQP7ZJm^^VuvvW*KLWAFvQW!1kWbLw%e}7{|0kp!KO%?|4Qpli_{{fD)6-3clTY54O}EwU6}Q%tVn2NfYylpv_m zcII}WHWh$6A(U___G%P?{y|^CmSuGtcM;C?@H9auN%Bt=OAK)5P3Ha?-llp=&!`21 z;-|B|!n2OnLpYZ@$9~j{ZIHIXlZYR$YUtdss^yFg_7hAt{os1_cJ}KIG4UDu> z>H-K-51uHOFzVrmJ+%ByN74{X!;StZ*la^EA_kHAO;sx;V+;yfY&F>%hUHGwMtgS) zOda{1#UAhu+Lkr$B=9!>0L=#O962d%f|#9Ms=6l7Rt7zzV1gLI?hkMN#Yzn+x%X}a z_MwePbheh-KVoSd9U(UcW(6*))@_qQ-ovT3TWwtKDl3Mzg|z*mr4UiVg9EnI=C&_; zKn8wmMU{tvJY*gg>o>F>HxepE%PW0LDUUz$DmSI*+*pzre%n#4mbS8_upI9*_oUjE zP^y&!wR_UJrsB%*Om?Ea9SZBWAh!|TyybBc2vEv@+mp2keM~zIBiKalK4_GEEDdhh zK<#IDmg83Y)U7FTJ)wfm!g<<&0^-!94o2jTDYga6MbMTeV}FW=i?IHK&5{WT26+aa zw}rAr(Eyl{`%&$(HPsh1f(eH6@lJSFh#Gf0){2~LRKi(V3HxNTg};f(PIYLNE5Wo;m8Kj-{Vuda)L5X24% z6(-XH6rn!tw;kf9+-vYPoFWQMq7=_C4{DOuWa?kb;-y?faG{QpHrQ`m{7Pal`ij1# znU)nWzglB!HXT)l>$vYt8z!j$$BG@RfanHgyAIT1d!Q$IGreostE5WHrbl~f3rPpt ztDVQluPd7;Qi4I)_oE|PWm#Y+cp@g1)pRg}w*+^gyJfc4i549$+fqo?5%$`j8b~W7 z4*qMLEwU>(U$oas#nfd%b7ublwr6fAO0eDuPV&O(OhShr!fA<)WBb!>+*~*c?l=Dc z38EWAR6@#GVMC*f;RliPNcg-@Q$>dezbZ8e4M_-kmtL zcpc*bEaDZ{P0&Fe&}c1#^z~_Z1aBmhPq}4Sdq(4VrrWwO;3Gkf^G&5#+6{nfL0FbE z+NImoq(`_x9MThR=eaKXSbth=i-27Nc^?!<hj|rlN>0@wi-Dkm zz>ZA}qK)5zHjz-NTQopc{nc>*kU83=Ez;!9(ag}mTZ&-pCZpZD_Rf_CBnr+_ifTG3 zkGL)?%5g+iONddxnC2;r8sG&?5l*^-Rg-4mpER7DvN{KL!zctDC?Y6na0ZDd??=O4 z^-7DL)B|n1)30GcI_;SFH7>S<^ax@>m7WE8(Jrpw7VHn21^a%R`&7hpUbJ0WSZy_M zl7E5I5mx1yjke~T4y}Qf@lplkWz#XeM{GH_sg&=`(v;e6&@2zo+DymmL-azvsE{`> zC|2*>bv@&8M6-wtvpn}Tvi#^)Id2&O#4Ny~tjzhQxK|pj!9GO=SlehI`;3~+$;nud zTt%h1*lOaSJ)5XTpN=+~ooth=sfO50Q`vpMM3Kp(lB1EF~D2DS{PF-JV3?eWpbap(~uKxfKM8Wu|;o8~KN3`v})g@rZW9GG&BGPm! z0dmjfAk1?#JB}<{Iu=MA)T@oTRRU-?Eooh2b1@UeC52+Uz$+x&QeFdTj$};Xlm)t=sQ}Qi7KP|$B!D;_>9}TO zVx$6m0l=cG*ZCBK%UifDoghy&Ab=1KK-=v=zi?Y%%qB!figGuofs~WH(vt0(C)wx; zEM)i|C|3|P03@)JMJn2&Bu?})>z#7xWrns|XPT0W>RXj3P%%KoFj5qb zIW?1UirDgVaaNRRf@jSJ@ctN8GEVYGk0ojc{G`+E+=Bk-z@{RoBuq`<7?sqv9=U5~JKWBWR;~9gPy=U_c$a z(vhTlfCzW_;-Zl0$vgbfu=mLx<@o-zqTR4q9jDq#4Pqt~L7`p4p#-MQ&&5e36DS1G zg|O$|0rRn?S++ab3GJ8E8}h|9H7Fs7(s-$7OEJ`^MDAyk6x-@R8bsUdKiZ$}KjPK{ z7Di_Upq=~=>HVqU^+7&Bl0Inzr>0qyuW!9DgQO9>kVgHfG}YjM;Y{w}Byb1L{{Zby zWDOv0INO6lTa5%XZU=eZidr^VJAbtpf;%Ksu z2uLLIz3EoT1hHOE^s9r$Sca(9MwK9rO*-Y32V4L^Fnm{?HU>yydr@^TDvYh9)3m>i z#eT*sGzDM=@wu;Dw4ejn_M+%pz!>LnMSDYA3U(my4DVTHzD}6$*$(ZZjEuy<;L<&^ z!%~tA$*CZlhk1#TIRb--V3!7-dwkZkq`sOC*~ld~3l$?y-*4KQkNJ@IJd;mC8N)LB zqi}rJy&(5BECam9pNdJhv9GbLhSLMHd~^LNWnWtp17HMqr(BnTG5T{%zVB>^Oo`={;xcdsxgqd)_0;n1Wf@F#iwsz7LJJSv4 zRG0jxf;Z-^Rop`HWSf@dLAJbn&~3JvSNy<(H6c%`J&oFSrusUVYCE5b##**WHz2A2 zM3WnK`Kb2+Q|YS7Kg}~Dq%DG3t)FNIn)T~VVSSsTnAPWs+Ai(=jzn)#;)0=Aw12fo z!9DEfjb^zo}f;r ziSOi7Zk9l$fHvZJr70+`tP`w(gKp^3!~k~QpJxzRfYNp}fBK83Km~-!6bqJxpK=C( zVnL#Es+!baZ}9_t3xYWA%s_M_UmrNA;+_V}lzq-G_gkG$_y2I8BOz%;f+ zU72H*+@Cb%dvCSSNe}_^M7d~a0d;vWVuBQx_pbfNZTr!L?Ep2h{^nLvfJurir`psJ zFlWJ{kKnGE0bkloaYGiZ9j(lnGg@?RIwqdNT`PG^I1R-OEt_Rd0F^0KgGXyKDe-f(bnFKjhFX+FNkJr*k5vh74HkAZdfR7n%O0|91v;PQ*7x~8~*@NPEt`NvR%sx+^miT1Jk)+4U3WB zf6Yv{sH~B}pS?*1ZZsGG4>1)D#X643WE(2DfaBXU2h7uN;h<;--8)pvxMOL{+9ppw z??P+3w2yFU-UU<4t3@VrE?5^4&;U$sW0UhhOD-73!A9iQUj7{VhUTDD0z_16U@Mw#68a@@dwtl|r{jh1+q@YVo&r z+k1Bhf3*|T1%NOKG54g?*k|2(0ZKGSd!2VuD*REL_ zrp&7z!)k=9ELjbA3V}-o00MRc&3g@S>i#N{2GqEF#XpB~B>e1X%Vb|^whSI7rmG)A zrWk{4lL7(Q&d0?#`d7m%ZEzWrPemd@P}~Y_{6D`?Fx{uQr~L)m#v5&J>=1Mh*1U)u zhqS~h_9BgD@DJ(TQ>b&`e)QpP<)U851sjn(Qj2Ym0~ONl#FcTk-YLX-mf@5)%Wp~% zOu2x<0gm+6RxTV907mp)U(jdK7ZZeCm<{T=_ETk*Wo&c$~ zTGi`fKR1I=*)3d13N=6jem~8r_84L9>2}i`ncAh&R)MQw@fIC{^wlM#jMfJJq zv48{vJe{kxZt!H50zio$=ZK+S!rFgxLZ5*f)LV-I^{{Wm*(-OaxrH30uQf}}&q4w^(TUjay5I$&&ZrQgEK?HFe(;m=a z>R15B0x6(_D|c-pVcb%WXCALbV_@+ZcCqgTnSbs0G>p;#jV;KIYE8SY6p%?(?s=gW z3^`y=H0dwuiT1bR8 zG}G@Qvgx47v^~aGffO}P!o*8v$z!PLI{;{fmA$IKG2BR+aJZLKbJ~G&$U+zy@3k(H zyo`0rP@9+_*R5S(z+59p;CcON7Z>UhtRMlgrO>w!!4dOA%)XGBCBoiahQvigx(YZS zr8`itpq3LwOP1Q$)Fk;dm8>zujP{c1lRJU5Q*2!!w7EM7qdpzUBooCZonRvkh=NBo zuWVCxH}(zDH4=l{QQd8U_Rp}0 zikT^ScaPeJ%kPB}4%8=BvkI?VXm_zg#e!OQI)0H-|Ym^t=uFKe>8sdH^b{XiQYJ(G_n~I z;Z{MW2c3mRyK(Iy)4OrEY9-O>uPY;ZC8xJ~*peiE^hI@8$OFRM1rMmQ$Fc8E_bU?0 zOo^Vs&vTxs*_&OHWNj%3nXpW z0Z_3D7=q9YY)ui;RmvU&{pcp}DFi_~aYd;ON|7DNZEyjQB6qG`z-`=Oz-b3z{u5nW z>H?`qBla;-?prLXW47c{SSe@(CFKW7k~p2FqTIG^xg}aTnIGDVfB1I@LNxg_O+xLk z5rb{M^-8eB+Pfk(%}8V!92#x6J3*c4_t(<9Aea=1aXq`9c0AOi+O_^dl>}h`xM=Qn z=W3B==(u7ajf~K(DN+9bcH9w4qg$%0fJb=VwD(MsUBp&Hr+C=ZOW0x>EeUVwQYn%@LlGDLXj<+S!IMz;~&ZSX@|<0e2#Z-MvY~VAAje#~jY}&b+OL zVmom^6q~h%3oMa-%9$L4us!RT*4ow57!&$eO2xp-usdxgnRQk8(g^N%savFhJ46J$ zsL-XEPtNrwQsCA6{ehuf!b1)O@35$tfa!T$7>>iWB)(ReSLmI?5X2bq+K`ok0Oo^* zaBhu7W;u!|B(M?yAGJ?cH|$JnC}36uR7=*qIM57mXSGdrR=5lhPTjet-5@bggMF)A zI%0On2m=xeNj<2V*)Hjwg7+Qi*n~QX1V=H&4FPytpeXa=mT~kyVc3JGxIF&UJE%gK zoxzAS`y<;6G4`c8mtiFMCIu%=do7#&jnQs382kk{wP@r6Bp5Sh14xwE4 zGq>C3qDow`rR)7h*g<3Xq^7MSzdO|1V6YN5kF`OLa+89Y>~igq;Kh%uu}7CTez zgLTVD1VosrS1ki&w7>*-s7|c20y{`F-zCRB!C-r$o7%MzO80$&5SC-+BAaA~b=G#{ zV>7i#HpC7%nk_7gOo&5TV01{0{GZmG*25KNBp3#aEieSg=gkQpP2p|9o*;^)AByd= zTX#cR;k3#$lPBULic;0vL0qVTvHsNiiUPw3rrNet77-o?+M&i(Ye95?fYzD`I#-FJ zt?{4`2yeeMWD3QBSp(hf+;hbNaO@XU2wp@}j!-9I5!IP@2uS4i+s!4_ach$R>;a+O zWih5(fM@MS!z?QTIq}|`rAVS3+cLvx959NRYRdac`$2#K6r?q;ctgJX_M+J~+yY4l zZK8(bDO7Sm+tL+bNmI0uv7@D-?UB!M*m$M2B!t``?tE0VvKXO|N5Q18Q5qqeOt*wg z4k}%tr*$W7h&1zPT!2XgZM84q*brB7Qzt7Tkk&zQ!Z&X8-@+}kupW4+4{O9~98VOM z%UfWVgaBe`yK2}rkp|*=S4%5G@@Y02x`MdWHVzNSo-$paMVyZz72(w#uM4S61o> zUQV69`_Y!#@>+I~2%c#!+F<}JERiwIG$~UJy#BOmy_K<=T)5EMRBa1RxvNU+5hei! zX<1U+9ZjT;2Nb1k-Uq&Onl2n7Yaol|V^ucwl+#aUtW47@{M-1ey z;$!+#n{j{aG)K_nQk3#tV7BZ_M)Te>tEAb0WK{Q0DnQn1)E zS(TX~NIQ>;OGULXaj8cTIHQXab*$-)=d}zeEOin)ZySF~sP$>Z(Kf{#>4N$I_|V^KqBAxf7+yhZTGfz{KODP^{IG`L^~&<1+d_$FcqV95jD`mIAaDk z-cH}`PK0$T$U{cdF=$#c1H_7%KI^Q)t0enqno5vbC~t-u{Y8ZUOns}#s39l`9pFt! zv2|I35JsWF6*29kulxLyUqF@aqN@Nj_#%p|-kz;8#v~ecBG3p0y~zCA?mzKf!l?mO zpbFOQT3_NS$tuPzE+Ym78X$w%(=nKE>WsTM+z@%DT*K&gbz)D(-T0&!f~1!kRyG5* zIHa^#3r@YAI3j=ZQ*K4mC`Y(Kkx^RTqS~~|_ccnbKY!lD z+tLDVsJO^?17bm-dPSJ}W*|&dheT!BW5iHHT(aMmR1q=b{QlHR4XnHgF}B!^gn*}M z-_G=FWID6}O3ufiDNf zxTZwMBeD6WwUD3m#>aiBMYln3(AL5FC0g5XWP>N|Od75;mfLNogHo*B_r=|mmEyRY0Qnxb31QEvR3kvR0cZ}ALq?Q zxR)^3fwV}rW<>M)MJBU?n{`MzvFd=Ow3+X{ExT@v$~6evxS!UahQwOF>LEi)T9BH**wobtwr=$L?8ee;+tmimR8uzjXxDK zkJ)(#qd9wxuM~1 zIR{XSlewL~D1k{6pRk_Soj?+I-n>z4rmJ%&ZfY}J zbp;OlPZKoz7sF~^V8jm8qWi3losUa}h*Ajqov5vC)t5@@Q#<&fJ-x-mQK)mh7Y%io zGPM4qgF}OGOc%x2tc(^DW(Hz-;+=)MZYfL3RyHTSJ#3QD0)rgUFIkl!s13%#sjrHT z!L+h1kik`LHlTPX$LmK;YDTsic>e&+L^`m~xI6svDp9zX?JIEcv7P=$_N3J$m$CJ{ zp)`=BnSy(o4eTbS24Z&tr4kS+Xe+-IjLUp3k^?T{c9BJOR)t47t~L_jQ^6#0uoW`h zmBf#1$({J^PQ+SAwnfzqCO6zvOF^*CSqTH=?afZo8etim4RBgvDr4LZ=8vISizyO3 zcCSj}tpWV|gEY%(_;y(Qu^XMJZ#Fc(%SI_67EjDZ+fW+wsQ0J!quXNk`QODg?VFqJ zw`$5g?6-l|%8*NdT6T}UJlha;h#c+Oqd8>pt5>Joj+P4UlXK;pOOA}sOprhV#eYY&|n6sC%o65(n%`7H-DOZs}Ba4 zSJSzu$sOtG<02Z`0!Qmle|)l!wMQ(5;M6x8Z%#DVWwAX0y@qH7NQDu- zdfn$(S5Y2(RGZEfK?Zvo2~~7DwlOnFT3G<<7xM%CX?8o$dVt=gmm&!tX{pxkYO^Ik zj|aUdr6py7#9H+M8)`gM7PoLfN!W^=b;-IkleaNmx`C~*QbSD5USj_M9>nMctL$7O z!~?mhvux_q$l7b1%7fUdW;Y@zV&hqJsLGN0R6U@2J$BvOcN8QAJV2sb#HmKLbjty~ zNU-U3K-y|0?nB(so;ZZP){M`~jG*nlC2kxM)-uJg4qiCJU6Z}ax4 zO}w-=gK2STyRo5ix(R}4HnBGgC|Qp3IjLaVzQ7HbZbd7p3kV7;qHfU?>x{RE(-iB( zG@v~ER6*=h@XQ8+_93ctAtHW%hL7 zZbd_VF4MN#ng0NK>0Go`ng0NqpJJe?^eE3&MaNM5U|Y1 zyN27%O+`kwS#*+2?buPh0Ln;^yMZF3Shm)6K|cevS61yOLUc-0ONsy*TerZHbY& z^HGtFqa+?;r=pXND0;~n?jGfZVuDe498k)ZAh#Xsu8y}Q4~pmFu$oD5L#?ZRmKb9-{!e10=wqUR72K0T0ZP6GXR&WdJcGord&9E5Q3(Ipw_*a1~+# zk_3<2??khG8rriS05QbWj9wgFvN!hw5%XN|jJGs-8x=E3DyG$ciB^t+4L5zQ?IKM1 z+mGu^bVp(yCzSxybY~W|w$fk-{{TKJU6*T$OMsp?QVs_%}R6;{{VM-0$6QDxf}k~4MkoCh*v{^NF)g>AjKUBxSh|LJJaA3p}~S?U~^3t z!Ik7kjg1n`L10NWv5W6;p-$i#@GIBsv=s+~u>vNFsA557CSXU8>t4263270vD9g0Ohd`JI{`3$!x^( zIoriP%Bd%D&vQpM08<0E?@>15@$5}kp>Xc33X(zNdXR`G+9gLd%(N980wTJ0?lTt( zpxXnP?^-8T{jyWYL1kT8)J*Ta2IaGC9Ya#F;MA2Ntj)cc5w&@lfzVlb{S9XqCG4U; z9^TCM`|mV6+Ab+wfd*uXpJ)X}(qN5+Lb{CljA=c)Q^?{%z8>7c_V+UpLzR1Au^^qd z9MqN@#lki<7WLq_bh`q(!K&lG`bP7i1)X<+EXuNGJJN;FeXv39MH0~ff}}@kXb{3S z97k#rPC<4Fy{Qu&#ExmLX{6 zPhw94ZCp~?2E-lr=8I{`Qlt)R*Kpv&D2FF-H=*5Iz<{8I2b!MMY)#mEiL5{*Q1KXj za#WpByi!@Yr&N+>Z`O-pn|8QbBkfe(Wq*IrN;k3zKAyx72V+edTn#I~V-+syBgs$* z`R`FKqgpzaIQ^)WJ~gtcm7|?{!+t5(Q3RQhPGfTpB)o!WYC2vGZ0iuiV+wfvXgd;A zd$%B(nD$!)=<;7T?SP=f&l}V32@tIeciN*#!ry0B1$KeYnW;8z2W@fMekQbxTwh_k z(H8z4(Y3sdL%lZJ8!j~lI=jZzF`Lz9Uv8ne9Dkp+J?(}?)TBrB6)Cjs`V#{L72tw* z_@Zf5Z6qFhiivbxvKW&f?Gpf4>83^XG@`3m4koX9MhFncE^#63Y-FG zZ9;3zk7seDhBNtl)7DT=KdmY`YhV&X4UW}OeO&|lJf&p~$o+V!N{nc?`)Xjg>91jhX6E0*P8QN8bm_v0+Mx9CcePy zxn}XTqfkBPwLGd{Qd$O`z@m$&=nk%Vra3l<&<38<-jn2eNE~c|Z7(AiWBN|ibiB8& zX@kL;<6%Z;OT_KpiKsX5%D2|#vIWEa=W5zE?!&DT0;Em7$S_4kH%VyH2BzG`(fd7f$L zRF&g@J0IGkIzs-VaTfgt4vHGzK4Ob*=)BCJgd=?Df(C%$`akx zWeP{TlOjK*M<@oSC-`K@AaBJV7hu~u?E&|pdZ8^s&&>GwqqSN@bWJr$Hl1={rB3(R_uUlKdhcO%(u65L8U{PW_o)6ljSi0K(0El1? zDr+yOx`C260M?R{R)=IOcTS^j=p$*OHLq3~54Z?1OQB1EVnKoW&;`?Jm_dQR+M?RP zG_L{uMYa`PR$@0h3P%Buu-#7(Dc3EoCfG9vkZG)LTVfBmb|<&qoS~%<_e_SW$#^g% z5xq>ac(I2YL;>?laa7xu8eEAHy$91_sF4>VxR26^MK^)8nzF==5J7@`@kLvu1TmBL zrr%vw$hQFeed&Qfx1!-Ne|p8zFE0ovZG3ILGU#UALED|EP{KvI3O|~p*tj(5CRlS# z=j4#Cn9M!lAzo*R`KblZUAsR%(jiJEoGLA}m?)iH6CsEUaGpkh^;Nuc7e;2lb^PUb0>F!v<7{)E%4-%f?&Ey?YC#K#YJbxjXSasn2gp>X4>4-?bkQi*$gb`+JW*DVG^wD@^fOK*byc@IVHx(d1u(2Y3^r|a0u-=F$&bwVtY{8<7s0OAWFKSzkw-Chbg}TSQZ&0!J9GM0ZJX6)+&8BFX zh@cN+J)v1UxT=onBw&3$W zdWf|Z+vuwHC99>}t;HaLw$6Lj`eD4@%{sr8X@1b!2<~gznWVsq`@*k{i~o9>W1P3 zpRlhSD#0ZH`_^eD-Gz*>?F|QRDeY?2$pRP-cc3-HX=BrY;+ECOh5&EKG&%Ln0@)l{ zZEj`&;Em#$b=e$?rdD==@l%+{VumUPDH)VZ>Jz;f_OO7Bh_biP6oLl)*D=-X+=h@i z5@@S%+qt24@(dL-+ksyL`h@rQNIVZI^E|NbN&L^p{JYU=T^>YDhZDl`>*JX&FVt(#m!a505nzm#}X10@M^NgqSB{Xppa9 z>JU!CYuY^{?BFa<`_sTngc3x9$Up5x#!IqdjGA;q^nDwCerBI;SaIG))h*IQu>b?G zVMD!U;=voQilwSgAor9Zw>J?r09*X+N3-e+PA)qWFrafmv3E_vOze2#r!JQY*8RqJ zr6ki=ptZUZ)*OguonB^-hkr^|;gESJ{F-j207wJ0%{W??)Z`VZadLkqN`8-AJG0sz z-}8EHyUTgmF0P_B`_jFqwR8AoO0eLXjdIvV7ZmQtwOfo{Qw*|o+g_cb5S_TF?98^M zoX9(o$)sDXpoSWSHAk&&fdCTI&jO=Q@KQp?yJ|=t;T(6aZYK_w?<*2X?;KY`+nZ?0 zWWnU|`coxH3}ftRwGr&++E5sh$ITv5#@ur}%?YfVmt5)72ipc@e$*vKQs5IL@yu~V zCX==g1dUFigdd5oTtMbbYU7@MDb@$6Y|hOww$t-YYX(UTtGM2YHD5tah}Q_R08nI) zcYgF#Rk*rj0v1IaGTXA=p#7<(Ju+a-~CTAn<0q2DD}W0JQcrW2HT= z1bL;mZA`EmkuVI$-l<2ku+R&jS+)ZZTW$p{xVSYl4Io5#q8nf-)DGL7zG;^&t+3@w zvqZofedqO|rF66z6xskbwU`{9-{#aT8jWtwVFw%UQWEx?AY5oCYV%oJmd4}V`a!6S z)0HtKMhofQFiR;r3Xx{~fTdw~f;TiPcG}50$!Xw|!J}A)R@3?WNZa(K(K$Anv-towXgs(cKgsT;rCJ^O!3;K z!acLHqNxJ8eMm6Dr?A{o5*-OrK_Yjc+_vK20ygv7q#~iUrMDo-6U|yzB-RAc2%%ye z$UO1Q2&T-)psSuio+@?QVgTPxnCFTG;>rT5ZhOs4xaBp1<4AvuM zr@T~~Yo#=*lEluK;+^PQRb~qXMAK`w{{X-66H3UICf&_M5~pHnMZ1NNhfrk1$^EJ_ zlWyq@r$>@F-i_*yY=H);yNc))oRXT_vO1L-NbyncVAv*+EA2dXqI%#$pqVj7W+zF~ zM$_kNnMSh4zKC{WebPwd&lN3gx2DccshCr=(+$5?JuhyVGaS=xe+ikKnV8Zv5fTq0b@~!1IeUzfvHL7XdKc#rnog* z9{HVxKFa%)I3#=t{?((7YROt>tz6Z)Sn)mo0LiC=X~7KwIg_w4HA`}-46QIvuMNIMOpkpkOKQB3}on&|B@ zJrm8Ks91vspEVZZFouvbAP5%JNs-ISu-=Qpkds@{^zsxry z%?{n?Tc*}0Om-riz>SrNE&Khb7YKGx$J(=2q1Hbkwn)EhTe_`kW4P@|8CZ`~kY+#6 zwKCI%Z90qinp?J$S(X8$NFUm!F~DFGD4${#oK%Mue*l_~n79ev$BUQrt5;iJ(QB9^Rs@dJAnsZTFW4zc) zwk+a+XbQu)s21(s!{2ZV5KnFDT0X#PJNBd50hB8uBOdGCmEpf)YZgU#y9%MbM(k)q zRkIBud>+(WmKxRL?F^(EIzOprLj@8!j`XQT_OP#^EZ;>)*s0okZ$&7scAp@|)e-RC znz2wyjpP0)>vW4`snz!JMAOS(?l)0XN+kdhG34(}a4gFSgXV^}7WI&_C$I;a_19%0 zWTe3y?^OtP!*(Rc!d+4B_l|b2E#qLok_Vc3wuEX^1oH>`(~2uu0fe1_o@q5$Lsk(k zOuC6X$Q0!(abS;e<7$O%ZrKDR1txy|sP+iB0Bs@!>57>&rseb>NE+R{Zt2t(2aovu zsh5!+=c#CEe-QvzLqNKmdxwKjE+DrvAH34I%1N*XYV%v#;>bJi&*@8h4vnVuf}|Dq zNk8YBGTKKVh=M^LN17N$w5_xW?f(E3edty8Lu+qt@|BGw?q^{YDOoNOusuQn@igWF z>c*10p1@Q_vdqD)7XS^79DH=!_5JLig3+?X*=?qFH8%=@t*KEAM)9{i)C*_u_Z4mj z;Ejb!!rc?35Yr4c;&>G;Cv@^k&KQ4nyM&35IOe5VV9~d@jlrg@9#jebY7<*~KsJIz zPQt5=q^bL3tcP^euu#CE;h5NFQ8D9q{V3RL;nPjciQ;(_E5ccnZV!V*o6=%m9g@>U z#TrVBkvr2H4=hRFemI~lqoinZJM&X5U1Fd=JW2Shv3AC(flFal1cC&8so|8E5Lj#v zkMnAK10cwfBBR|>t2X_|fCq6@zT=_RD0^9Pc}fujnKX-WE|RwdFz$QM?A>MqSSUPr X{V1l=mS`j%WG|02u?n(PJTw2<^%eH& literal 0 HcmV?d00001 diff --git a/src/assets/microbit.jpg b/src/assets/microbit.jpg deleted file mode 100644 index 7beb049f318622298b33da7774ff25e015e35550..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 905667 zcmbq&^;Z;L&^{p@ibxA02uPQ-fJ#YA=h7_FAS_*yN+}|+u!JDF3oNxuEK7IiE-a}u zOGtl6U%%)3{s-^O{pFr}&OLMHJag|e^ZcFryGrmtOI=f)fRK=YfbgFa{9Pc3B_R1{ zq$H$dWTX@nNs_1ndL^L`1}d|1Z}6wST*N1pfjh zr}&E_Apidd=dIeyKNubiQXo7{g2P_XMD@x)fXNoU`)DW6C(1KzVW0kOW(W$z{MeM$ zNhIz5YC`iz2a|%1qP71>?Y8{2){knBYgaRx{ zi2+(wn}d&5ybBi0$zQyDR%nzR2lh*+<5mCp`SVAarzlKLvH9v6NXCpBtaI0Sds;p+OD1m z<}qZv+R0PSV1G8ryU8t7=;awouip*5W(t>9ii0+9k~Os zkDOj>>huZa#jqqNXL?<9Z>+M*8aQ3k^EE^w%l9YH`&fqaV1UIYCA~pDKjg z0gUS(Oli5y9XN1F>C)45aj_X0a1%rTL&Io!uiPwFp!pGOk;Yeq%~`Pd^+k>tvg*=i zkEbcV$E-0!bJop+ljTuu$vxg|PC|E>=^p4*+r7Oj_a%4VYn_sTzE2|`W25wliVI01 zeK+Nk@<8KCeJYY7?~GuJk5nZ(KiHjp8#-8SnyitslGJzC&5Ry3`~bR6dQtmg@kG!? z<(`)rTXmIip{e{>?{5n-xfmYs196_TDgRrPKfiZS4j-=n?iE&SaxTp28=5Lzf!7Smm|iVxMsXx05jL)=CA z3-$nXQ>B{8u}L!P@7b2-CxW<=-#Zc@iP+51?4`?AcZ2wU2R9j zXKbLlA?HJcZ!%>rBLs_Kuy^zIt%Xnozg9PydlmA6&RS)0*geM&nPpwNQ{idhz;00G z$+LUqb9*qb&Nrp8Oe2qG-d6{Ku5Sy4P=O?vW{_WZk?%U#&xAqnrw7wCdDMLp-CTEd>Il4SP`F^orC=>8sE?~>`B*_SDH~4EtCx5cCHpK z)8cmqb4P>*nRCGd)Fag29>h4UkM8IH?13BlqY=n^h~7*$D;J@lPLzfMLyXsfbhC}Q zpiwPFy`$J8Lsm-HmkJQvbwrVxif=p)PPJ4*kBV38gV-wx zALpRg={%9LdE(aCdFnb`lZk^DCjF;ZFL~}<+|$NrTwo`4PUE8=zTdgrJ4wJ+z}6;> zXEQ7giM(@N`IqDd&D|-lH`MmlHyMgqRD0e>>J4W0#OVhomqPRwj~#f`C4ij7_qnK#pVf?T-xE_UWr}B! zlLmCUjSWHPkh6uc$H~R-h7sk&-!Q_Xm72pUT-gpKdh%Oo=1-$v?91`C1~f`jRWnL6 zm^ew&1u#cTOWFNqsAbs4)~3JppyZuUqcqc;7@A6Gsj(j5X+!^znPpW-%n&9|vQVGH zmerIn!M=J>{se`Zjul>X>w--3e1}zdK4vG}uaI_kEra6o_YJLLZg`BnWdJQ%72m{us?sa z;BQ(}^_|nbHwTkw1QgeM8rP}jTNP$dQacM`OfC410o_614RjI~F_K`(G-l#^Dg&3x zO@T`2IxxU81Q5E5&;WykQdK8pPV&tnb;~^Q7jLlU7g1g1+y3j`EBhYyneJhm@hi?g zoFWHH3sM?H=VCer)zikySL#P`(URG6Q5I=n@o%c;7LvpSa@pC;cC8PbQLV@?rbA!g z(!0x8MgLlqbdPzo${0_>!52~c6c7cUd^O=(FQPcQx$0|?tC2S3jfb{zS#NT}Ta5Fl zm)1n71?vLq=&R()y!0=#lJ=x9T8beS`>xsbS-9_`y2&+P28{6cxte~>*?VmKxV~CW zOggyOqUOZ|Qm@iOm6y5YJ!Epq%QB`8w12BQu)1pR$KkyU z*tk?O+8%Aj%(w~=V&w@;X*0JT(9BYuw%H zw%YpOiWk!2d*F3mf&*QRp^K{_?W)lR;@Vbs8t1W-c40r<*Cr~bag2U2cQ-VeS$ zTu9uUZ)+9vN001>l`mG3(Yg<}b93JpCuu=E8ZDEZbMU-X!;bG3JJ_VZ3xIfPhT6oU z*)j%e1S?EulXE&GGR?vCoK4N7f~++iOC;b3iMiNJe3{Zl@NeR6_2{O~{$&Q2vKy(9l}-? zYm~;4_Rah$8Koi_O)H{u3lxE98@f4ERB@52kRC0Z-Ge!YZoHmpvXMzY?cec_t65&^ z^J^{r!o-{@+?<%ccoppW@+uk*SUGIe!v!vA%XdH*JrE7B9jQh|`r5D#T6$1!oZU#$ ztyiDJy)AC)QX-e!t!Xi)EA3-SCmP61b56P709JmJm4+@hKoA?%@F3=%HJ=dUfTo0X ztwAe~2`();)OB7fD?2WcqVpbI%Zwne8MhCebRAQ+O*b7d`J>Z(HB1obfH!I~rLAiD zr8(*^XZrNrYa&=tvQ&y=rMu5+H=Dx;E=(=<^X1+89Y{EOcj2C=67Xi1QI{PxP zRdHh7ufODNA;%{t9>dKe_*jNTLu2NKAlb=Fsw3m{ zI9^t%G}k#-f;HxT%^l$HO76MTCyr8SIg5z5qY`g~orJWUNCABQ(Va8^^d!D!9{UlY zc!e9$)AHB_*YT_~&myeZ^nr2E0g@(FOV5X4{J>70AzfLfg~}FF zypfefJj0WkpPBrJ?#`-n&6U>sS%UX0PzH=pF=r{Hr@MMZwTD@0;6pS1%I{`~$v8`T zyLDr!Egm9&(KEC-`Ub(SoE4%`cpzc-sZX0*O#2>{*sErnFl^Btiqtv6CHIrqJO(>g zdv7z_gxBa~neFvX7BtPV0(9Fit5(oUT z{et@Nx<8keTBhL7k`PQdK&iG}wl|H|X{1l9?KE%iff-9Fr_R1r&bQrs6E+CjFpt zpTGsYMZp@;UH4o=YA|_oMr5}(Dp=49J?}mGU!HQQ7ylXs#aiouI2TWXY$QkK~5SaqiNwNH`@=<}1C>38eB zyif&iz5QZJc9xJ8mLJX0RIe9bnFB_O<8#bX_1b0R7mYtVy`n$b(yND+1Pun)mWL7x z#%bNO(|5nGP%`G@>=(|MJ9X}%bq?9CxmvdXU)>MMB^H8CI&up(`*5xe#%f!TR(^fq z+}j%utzBj1x$c;4{k*5CQrDz*8uzBrp{nH+h!ZgZMQI`P`k|n3;rK1Va_lkS{!g#_ zRL?fWY9uAZem?UFzI#tsvU?p*65*F{62c_qw#Zn8X=EMinw<8UcG*}QtDk6unzDl0 zxL{(qb!KmKhhsh(1-SKwwqIK{|0;^(?#xm_+qpUq&B&YQ84#$ru`@W(k3HE30n8L# zicXian~A@wJutsVwvj7XP)d_k7?5n`+xw-x+kj0(1>lT??;U}Wy&5Q--H@tv z#x6T|qZm-;hIK%r_D}#vc5Uv6Bslw1^1!!GLLHNS6fo%Sb#Tu~gx_jT^7n5`HoLna zTa{u2ROX0PDp zLk!Ieu-UD^ZLCwhq)--HY04AEF-SXpUP2-mEXVzDMe6sQ<#*dXRAa2R<>dSyP#P&B zja114_=2GZW?gsX*2-CL1#U_9|DLF&dtcZ5eezr&&wFa8Vxe-q6OZbSA0Kp0=5U`AN5iGL1~W&zW1Z+6{G*BC`;8f8^ZrIm1xh%|h7q@xR!N;x)v>*veQgZ8RpZ*`nDflfeF z9@eaE!RwQ|-@nAL=0y-!KsZH0;1lf1Ies&(3n2BZl2cWNbfc|2)44e^Vqv1LsCPN} zaP?Cf;o(g9yW=6$lh-FtlvG*{OG3r-^fNtO4D(m8o@1}aZmw!&l^jb%bo&n~}t)Iw<8HQ@uAa#M{JO%{66FR;$iX~0o}IIgF&-Rw!umc4>m zzl&XYHEf|L`r_4pMxy0QuP~ex*ym75#z~gy3ms~V>i?bVkf75A)qeUveA3t$$NVvhB93Ew0KHh-@k(94fNeGt6qF z{UN|v#y#l%v~^Eh90=*!Y9%QH&}e|UZTDgxjwR5Jxhvr-}K+CL0Ta9T9 zgjt-7Pc4+Z%$ADNPyFN8oR*X)8GmLyN)m%v%|9~=$BS6fqM|0urBh9wTQh&u%u8ea z@0UU9YxC#H&)9u)*#e9`QmWl3G;0LYIzGR9VCC^hkj~jcwpM0o@2+IqSJp?#;6$m3 zwr%V*M5fknAr)1H{J@e;3=Sp@mZPW7CZUu3)|C9QqeEX|i^HmGqS46G_cYz; zB{q9zSL7;EQ&0{F}9oinQse5d&$k6nebeVXxk31Ju+8Q8TSPxXauJzbwe# zbJ2B&o_ivJbeaV;W6%UDc3ba-r8E|a4wmTT#_r3E06bIOVhErsJ-O~WB*LUwpLLdq zr##%sB9Ss+f<&+;kgn3tSN1jii{{lJ$b|k+MqH=_2j&gcb3JDhBo!&jT z^Rep_xEWt3cat$`887no$zuY{;lP6fp9e>}a-H<_$Twe8=-5^AaoL%SUM~G5czm)r z>mfCFQ|3O^_G7zwjA^8KH0?^+g3#GG%yEDYIaleE;sMtE^qujAmD$X#Hfi_nF-K^J zc0dDyP5-ANUGGrvWCdf0vd7B6rXx+OvOwaZu1I~up;vab&QC506=B~tGkpPFbbD}X zjeY#q!pw0di#h(qu1NWOEsYO?N}d`2zVTk3-O1+FO1?Z|7#P7ABc%q-yoe zyG)Lj5i2e;6_qgiLJko?gnRHV+2SjEWg_ymo+kVWL~Bq_8&=K77No`tEMgXC!lW5> zRg5TU>Nq)!Sw*>u6zs8|NN{mWZjL{~s*Nre%qeQ zyjRzubM~bUasOqXF4&M6ocdnVX%DTjqu@mMG3S1Utlh&olXI_m`Q_=V-D(b}e-pRY zuV2tgl5+WR_KT7FI6ix3@Z%%_aG9VtYQ(}Az}nW2KZx7PkA~9RJNR*mBS;dYps>E)+yG0EV^0gZifr&N^(3bdIwgKLSHgid+S>q@g%b1jhB>3c%4j$;$k3=coj z>HshRXFmI4k1BJixBNkk87XNFjOs%oUgX;9mZi>`>at970=QuW9o2yK`jW4+pXJkC zUV5I2jEZ4mp7ctGqoUU$#zK@_&TnJ2g%+yJJ(I}cTKgt-T~}1tC6+|k3Ey}5Ox-Jv z?!}qHg|j8k`s{(hf74f>>L(|sdNH4xdw$%K5{#_(C1$XH^1KE`0gm-mOSg2hlV-h;cCyIt?*$f7T|);J=_~Y33C;sO*<}JobqMi=1sedVH{UpLR~0(DgI?*G326c z6eq*!H1OXt&GW71F<8Z^?iwYRmJ8QglB>T2ESrK7UVB$}$eV8RCATlbVfuC6qQutM zv3};P`v#Ct1rBvqfdDgIZ35wDI&VTyN_?vcK!fe~fTkv^1-RLqje=BPn>lecm#{(G zQE2+z^VN^Kg7@9rV+@|b1T)P!30{n9s|hyjyys`)2~fF5cw$4OW>P8D6I(l0??kXg zMNy!3rPiGn7#9TiSLF+68AO1^Xy8(yMIfaE&_R;Rf-Z*HmnWM}R*Wt?JB>*GzHkXP zEN|4m(zuRZCFsoJw*hj+doMIW%P`jM&gUfbvc6VAH)`-5B1ZaPXD^(1x?|-1jaqK6 zA!gI!?28}Y`Q72R5Qg5bcxQ?HPvH!0P5! z2Qwg=mp{AD?|z{LO|!#K^Vj)jIl8)<-AV)v2}kR|k2ZqR1?|3D3sJHvv#o07Wgy)< zW53x&Pgw{CXM}8Ln@X~f0HNQ+vnf61<|rREeSWC2h-b)&G7#XIXCv2+>f#V|NP0Bg zrN?j2>-=E4-dUIVr9JlR?k&AD>_m&z$wVOsh5JhYILnj%*@C}H{% zPY39vIwJJp11V`R{P}Fi{eckO-n~vv3}l&^G&W3NS$gC0DJ4~*LF;0F< zpnrcQTIHc@@c1vm*V&Do_O1VR z51m=!qIqpZ`!H`0km35CVd}ag%>~lIypYLTZ^sXlrpUfB>(N+Krb_0o@VbguU+WPB z6yn?uK85repDr`?ENInETZ<#usE`s#65obhu^E9vSw_k6z& zzwdo`mO;n$A*1AQb9zwW?Jb+QtqHNT2M0L*}@|U25 zY4jYBVCFxhL0-@0Vm59zf1op%En5|V2p!FQO;n^oCLy;X*iw+izx?C6UhcEA)Nb_M zS{3?G@m^tkip*F(Ai)V4aP&Kfj4b1$!u{e9@~_leH^p+PVKw|;O0V}LKJ@cd_AjD# z?tc20a`P@H4fDearafDfHFk5kB?h}5eob~s{gXj>t(f|kU;++*HWw%wESlnr`{H#F zkmz>MW!l#g7vS(!2U8(3$|_bk0pY+~m1GGTZIYJma)w_SQO`dIigKhN z>BMX1-bq(wfOZ1<+Mv!$d<|LJI1n2bxGM)??-N1tE0h+#3pm;0lhi+EQr2I%#q1U) zI1=%3${h-Rq4{-aP23hu@E(iB8w5tqDs0nS8u^VSaG&TidX0ob7&VKu44s1yY`T*= zsJFs$3Y3e@sf`ZrVoX@WmYc`ISKgj^CXcH3n=(NW zG)L39vc~yCwTG6D1xOxzam1JjgfBQ=yaFX~Y)IC)B%}U2tMD_gidYjKlb>s>v1?7|t ztZm8POyi&!b9z;8l$O+MzfsI%k_9eyl^cIhYLqD6{Z68J@N4CFZ#SuZhSASuj67IS zdG7;eRmDbesrh?#B-W4TW;0$Eu-@#)x-kg}r}E zYcA89_?p;0!*=Cb*%`ak?I^s{W^!^Rb-Znh+q+BN!v%{yJ{*-FdffF-RS$?X1<8u> zqD8;m>_A&~(#btNq7(zb(u!n&snD>au+zEvdGEB|Abkk=a~EbuUZj^|`h38YWlj~y zD{yG%2>NKU$3IeIWqr*=-DWuNu*S;?NNa1>|DuNV=XS{0?R;Waw_H>%gu`>gUo-1m zL0^5z$=)%$A&};nk5vhRhbSb7$$F6{R*Cn&F+8%yGs-YA{Q1-`MB*kibS77jhgYEC zy_aPYQv3U%Pkl}4F1WD!lbzi88B~6nU?ZtYb5)eb!*CyHq4k;5ZvL5W8Q;T2@lM&! zt|++Ix3U0li}r+H?PpFN({^EsuER)(B@$ z_vX}=*H3I?1L}1;?z9u&{E@8iL_Z(Y#~1S%^r1_=(KO|Y%JNm}Ng~Fv?ldHRD8FnV zEGC7B~`Q(KWw|ndM8Bz2pjz}iAv?R^iS<=JXac?SXb3%w;gES z+a@jazTR2|K3a3R$65Wy=5;Y>A@Dput(E0*AIeTyK5TswkH(!)M2l-~1)`R9SCwTVT5ohyvvIYizzJV*6OL z){txXE#s+7M8<*-4syEJHr;aQuzmdD18Y0arooI-%LU-9q_Sop)scZLFzHCwO4zbJ z616)<#5&kscC@0j3S!eQI&bE4+vhjtdoShDZe`{VoJiqct!J=o(*iD*b|HG9t1oQ{ ztQ{HZh;;ClAEiFWHNH>f($q_9Maf_NHv$UOp+VJ~$Ob>96mR@w6vuf^Cr#-?q7~|v zvpK=5CH~&ZYTC_Jt`DeZvQ&NEm-N~YA@#~qZ6Q=RN#;dE2#zr6bXp-G6FBR|F z8HV1-$Z?d!T%8XF@i~(pb1+YXO9Spo=Dp7ug}d3xPR6O$&uvP8Phcm6wE`q~#7tml z>hQ#WqZyk7`{xVQT}1>$r4UUh^Zb4w)1LU=@tyj-iq-wa;KKrFbumToY3W*4q15mF z;c(j;e?Q5Xd*l4Sw=Wn4V9whz$J~6hV&-Cj!O9P((yKk-puA2IKWg~?*%OKXycD(% zQa4f3U`Na0&mdfO)9$pyK3$)%6SG@s4uok4G_xD5Ovpk)7^TV58O=r+($|yO!kFv# zl+U5JUj;ujnYrC2Zo-Q?s?C7#;@?0R1Z^^@GK-#Su0Eu->?IVpBa9j^L>q02sDTc3 z`gIQ@V(KWZnPQI1f0XJ%uG#+*v;~?99^24$lxB99g>h@X@mk(SKbd@4zqz01MB~#w z@2Mu2Ij@p2;fRp}?6F;i;Y^@GbMrQv&6dkM+fdkW(3OXDQd$*eVtGH5b|HCTfA8rM z>4huGvd;HC=Ys_P4M~+ggL*HX604eDH?6zdA1j^|T~!CZDX|hXz1FwcE+wU<98dpa zd<`+q@XE^hZDEnqt@DvEQqVfiQ*c+q)Tm0 zW8chkg_Wu+hIj4q?b$aU3nxuxw`XG~kfU49y|;9AYPxz5?M|bwED8S*^~GN!C+N@* zI%D`|_Tf_g!ItcoBEff!kt4xf&kFtdjTXGGBHx{8K|Du);y?h>9!VkxF)Z;VW%xE;`H@qKy!B)wV!#$kXn>opU-X)FZP zQlL;WdD_ry>=tNsI~61%6v+NW8!;yM@%6rfV2H_Spn%^?FF2*;O#>9#q?Pqm)|F1y zb(nCC5#wpJ`aRI~8-v!>o7N5J=wpNxskq-a)ARgW+<#0Ydcq;?Q+wTyqb^T+K}Bly zW;1Qg;ucL+WFLdR9(bSRfSgvXDsQo_c!jJt|4=7bIcT}0xH4&);-Oyi79LlE{d*Ql@M<8SX_Z|1bM001_5$L7^1qHii=G>9bL!lQ=q;nn@gbxiQ|ZX*9|1`Ved(^*IE>S9%v*j~LSU*P+ z8?MwF&KGqW0iUf4!*^vbU)kI(v_iIorH7}``zuPgr&>K%@jzQlX5Uil2R@wRXZm!_%o`e<#k}$TN(ENT_6=$Pt^Kh?O~EF;@iVn8!+z;BMq%$e)Q*JCJ*Lqx_ma6S6Ih9cxx#IJcg}Qa z{oyk7pJ;R_#mqd9H&Fu!5u>2C=&j7>jotrkc zj(X*Q9zAy@Ix99rxmtc}4m5zcv3KZ>ZP7)xXRf<@gp5UF>U;mAi4cHhMafN` zu?e16?+3CGP??5PG71lhKzF@w0M(V)Ni#8;_uJJF@e))cyFWpI4m$*R=n)L1>NBHufJ4#G#4Ov_US^+u-BiUP~dnp=3X;*cOx;EUTYbqAei}JNF7s$KOgn54ukU zn5LL|mol0Z8dUzi3OF|IYw^^e%awt_oeK77glrNm!1KMvbmuduSw&@`4cmR^yk6Ua;J%Mr z`Uh6~L!7t~Du*&4wQB9XJ2x7)K~fcCHB*w;Dmllg{VOt&GMNR_xApNyR=16z>x!b4 zm&!TTr?lA4Fj2p~h>PAM+c&PQPa^SDmj!->8{tVyLE{j)L$j+l@r`AFI1(_I5iwid zyjOu!t<{Zbw{*DOivL!`&qVKI=e7b`6AHrV)=+xaJ&IY$m+8Ap@V@%fmEa?<`k61# z^GH5yXnVo_dAq=6UMWwi*;Kgg03LUJH;qPTG*w4#;b=hArd|E@w-o*+8pC%^WrlrK z6mPC<)#eUnVH*u?5z8^*rCajCxMn8*or$uFp_hFZu9aK#Sbu=x#qsw`8z(E&iaBO4 zB4gKjR5aG777P+JJ7q7(F@}6WSWXUQKT;AM*Nmue|T)Xy4MYr(RG0QrYz!{=5;th1o!ToA^CeZa=! zgqrqI*$_76;`2)o9ehCyb3dsev%>E+=JG+UVWHd{NEe)zsAik<$Lo5BO8Eg6U3cX; z7j)-d*4k-u}f{Z)K2TjIp0TKbI2H&^4{l`)>^(LoZr8}c3eI; zHI(s?vXncsISh=HY4Q%@+WOOyh7RzdxO6e$vuWV?o{@gaXUsRZH{jO}kaN))WAbjwP>$>&Xi#e&P7hQu23P)ujkP$yDaP@SM~= zJx08J9S*cu5WUxy<1!lIYT7;nA#)JvT-5b}cdaPXk8NEL7s}W^5U0r360H800Dcq+TFuyPDo{R-=*ESJNOpqmU(hygT1KGo z5G9qZL)G(m>G zlLJ&bF)Uxsfilpda0osW^8-#TXq-EWMGqHTTP^g&m-8O_8b+V*n zxwgzN;=>6K7IrTw-Fr5zlteX3<`?Rx-{%^^D^ntBQA^=fjweM3def2TWG=f3g4flD zqe_-vs*ryPq=Nf$5@r;x1(2f=V(;)%NEH>4Nmf_}xT5M&X+E+WYGQ2k>joC)RWuFC zIb+d7lOX9|fQz#1&CwQ8yH=FmZr~nDGkcWqzAmt}Uz(wI^vAUzU6MdKUi~+8gw&y) z^*wYdni2^yn3HHiCB}yfPlv@vIp(Q#pyX_Xh@`d4oWJ~#XarQJluhUJtjVvDZv2od z1;kxFcBdSrLW$3sAZqnc@HzLOeky_mi`SAaj+45&E>rn&8qU2p*Rb}N*vS=xu#2II zt+1<{RI4awiF?n1#MVDe8HK@wv6KN)2?t6Q$LHBE1V}kVq{ER5j)Co`R>93PmuC+w z?HJ0pwlPcd3OJWHFcaO!kp^(RL67 z8a!18FYM`mMes~d{(!RwO;xE@6I7J1X73rE{3UoYj}Qh6AlSL-5p%-KmJq-Jz2SNNu78PdJ%6vGe}QFYR5`lYh6Tcr>?;y2vkI( zt?K`vJ>Fr7CB#H8u7}SR+I3n(z1+tHiXX+gBbXUP(>8?4vQ-VyShceJh>>|K@%`?s za}LO)`NH0v#_>Xdq0Vzt<<2Vj+hbcJ>)W1Nxuj!`dNMOGip*~%O1n1Ky zv9l9M4D_`y%M#Qlk z61bnBL63~1&QKl?G0dY9AAp;yo|b-v67{(HVzkZPviRTD=c7ooi#z^bHwaPZGtbso z%`|hKjSyspCQ?hq);vovKABm|ZL<=Ty^$*%rDEM(%-kUFJ^L8KWL4>@6~G=bwBu%I z?%;FqW!Ael9ldT1=y%Vm^7qv1dc`O1rQp88Po9FNluBmv-nL1yqDTC?=~gSrqrkOl z4$Hzmp-(g5Y5Hs*Jh2gJpSbG$DzZFIY%@J(%K+6&w+bguh(3NDwutn(r0=RI))5nT z`EaYgS3a@bZBbQOlIk>9+uE)Ra531RLIofIpO>#0UiO18FXUh)#+vEE^=X1OI+ewv z+N8ZeP0Uk&wfIx^t#ThFQ41Q2ce%s)X>IM^h%@ccIiGwMTk$j3ysURWZEZs~IgGTD z`CBL#&DdZg4uB5_!^LNM(PPu4n|GGS%9Ut^p_jzpzv!PUW&@=KC58>6OXPb4A7Q6j z#U4`h)UMXczhW(*Uz2`YeN98+aR%D4sC5Au@!jUXgiRJqcU8IUfv-B>TN^YjUVFbET}N=C%C-wX$J04&)VgXK)wA&&BDvjfxDcueB!M!E=8t=!{M`EVK8d8DeP>L zhV09{DLNAQULFg9LAP|<^rMJ~PE&WGCVKN3-x|2elZlXV`1#olY`vqqXHYt%ntI|ihLSZ_0`fV6y#F+5kwMl}XDp5{T|NBJCt zQfdOLWj`>xd#4I-nJHh!o>G+QyG+S}?23s+7Uq_>!;L~l^B1x&*~Z#3&&O=H^cWlM z53S_r0KY07dW)B+?JX>&w6zP*zHbTFS3eqY4UXi%SUben)`iwmB^e97ac@Un*lNsq zHATjS6d0a)8!d&Eop`$Sv3OUXQ;xJAVLe0JZ6gQn2m*F#hfrO09tLh2plv!lhwt!Q z?V;7dUxLv#-RK}fE(RWRYhsTzivUjCI+LqmBN9psaL@wpO=5u#D zXLCA4zX(;@=OS~`SYXHj**Pqb-83bC?oe5-soOE&=g``Lcj|X^WRm*zkOQAjI%pYxh5DbhdZ$m# zSHCa%qd5OgjTTI?jfQyTmC5}=DjGo%E@Q1yKB8*3@JSA(_nXr$pv6<0tW^$6A?I9v zVDqVc)E#Oe%%}idcTmuJG4Y?V;fmVg2NxIjt*ZpL1D>D<`~H@uR`cPnz1OLh_YYD= zz%<^&xeraVEE`WX;15*a9z7A9ESn1#U$q9t(K$ZE(+V+2HPlY>nRc^$MFqv_4tvb) zAcoP{T@Z<33j>6A^l76 z?(B*D-dTipb&<}@Y->o};+6VT_jS8@8$LJdvp^-{wSIh!d<$CNh?0*K^*v-euDb8| zqfgU&63DrO@imRIIG!Cl{C!7c-1^QNy5x&Fw7jsonc2HiA|3?2(AI8Z z(E6C%Q}1$Sboa03OZLs>xSt=165i{x*omlF=}0AYZ!=#btGzPXY8TSptxXf^9x!4p znXM6ph6+hP_-Y7Y(}SUo^JT9@e_XCeuMsM)6dkwuL-+~66|Vkg!>m3J1nf4QoK!by zA3a)+QT?D!T?;9->ZiQDAGl==74c{Y8aLRXMy*&j4KKQkw47(abbpRN&6%8Q6hiLj z@urQ9S_LqYwpia+IcE!x!=qMA7AFf%i6PBgn#a5ftGUB=cJbdt^`cr2O)U{t+UhMfbsiKOoA zPU5bfOhyoH-2Vh-{75wL^h>(O15EWc zcbgh@Xfh9X-fCy$IkTA9uUEIC3;|fds{aza4nUh8Ag+X!M11A}nVx4$w1XS7SNS_A z1<+0Z*7xv0#AU&VEB-Ej`|w3Fsi*40%s}W1CLM&7kH8Wgs{=XJgMCF2`thZhrtOwg zZ2RQz!&4>afnyGkxk}CcKcQgugBxtty`gtw@rk?3^na-=3X#EP&*8KaXOKhpl_N*T zmdz%;q>*W}xuAR!)VOb60JbY^+!PeBT^tIY4=45;Z+_piaCkf2ys9LC;aWggL_m+x zSU5h!mLIiK@Q!PMQzI(uBDj!I{!2wm*vMnnCwx8_G~c#p?#&j*i=iIOLz4`Og?Lio zkKeNc93Jj2^*0>L+e%I;%X56GI$mGVpz`z4zK|rhCQ+pJzDM`1z=zcOVW{y(&wcyc;ZRiz#BgwmDm@Mv->o^5MLfcIIP|2^9 zUs@uCwgRd+<~=+`{%4*D-Z|g--E`JJ7m!P zkyR<>d~cVtejMDO;`W<{u5zLPhbH--w<2Eeg;^m5pPhzsAGrN_9RGo}JP;nRJUMhD zTv}LHZzB%%5AhCM593|<|!S=72UzPsmSM9(vHH0LaHXHWLPi8Di@ zwZ8r!gf=cS02PDV4?7twcQ?cJOTcDs7bY#jqL>pqPBo*Pq{-)2ma)j|f9m>t@?HiWhIhH1U+DQsWPxXJu9x`FDKNIEy?y64MZ9|T26>#F6RSSVO zX{&Mvi)5MDhM`lG-d}>58#weNRb1f#AkyXHcD%EtIo+y;iJr{tP0OY!+_GL8wD~^( zoIqp0*2L2W)>YmA0MtHn;f3hl^Rd{vRnL6c!%}RP6E^<6?-HYQd zpB&&_wyQuiI{CMquAWp+?yNZ{O6!7i-}(H zYI@(Pw$MECtE5Gk+>?5%mpLYJHCk=Z=LJ)u!|4K2iWw_N#1lB z=l=jXP|5TUq5gT`tF9_K<*2PK3dH#{Xd_giOVhg^+&vAbi}v$yX$yH)s4 zBoTxYnK!);s@mVU$L@B%Bj=LWI=yt;*;DjCXTHo~K)A{ZxL+B{5L%N2E-cMaU7FN} z$W@``T5X53?YvV}u;joH7j`x+RINoc1gf>F3=ty;BsROU35AMdZPppZG&5wSIP}(& z57}d_I;dK!YDG|{5C&D70Ks510j6@e)f`*sKB?yZRItbqsNrQz?z+{dRrB_(lbz>M{?l*4 z`8PFUeOICR(Tl&TVfEgRXJT2j+I;Qj;3bxQlYgP=`_1)FlsKUre_zmB>HP<7Tju`& zIGkHx1;KmKyA7t)<73lto+Qn#k=yRV_V zAA;8;-ua~&VyR|q(RZ;&B%)gKTY*z1pL6W|mnUydP3*c*@_spfpV^0>@bJ&8Jx@{R zyiG42=Ik_cYwz6!i|O<1zpdVR&zf@RD?JyYZu(w-f9JkW@BWCTFTiisuqb0cfZ{Qm&2F^SxJA4kgX{Tk+jz&z@)i+gUfW7PVu zWyAc5$fqW8a@c30_nYSndVul0QkU!3EbDx?kwu-KOYV0z%4O+YW-l$MPKxXkCt7P$ zN{mgV%WG=rSw}zRG;Mnx({ZueYPG3W!8-+tbpHT1AQqa(epI@wd+Z|2E`n2prZT;o zPc^NXNmhW)EJ@XV&tH3Cq_}l08s12n#N`lIa7Ci0nT8-#XEA*8`Q^w&nJO|XA;D_?*SPu{S@Rx?6i!ltz@dTYUa#fcE}vL; zx?gASytl?SpF`^H@cwAi`nS-u+}?+r`TLJygZ6%zzu0U~pTE)VzKGHIKg-InpP>EY zeW3CRz8%1NN1-~fc`rF8dyi)9bsqa4H2DHk>V2Qhx|Gh=DQ|sadez&#^Zx)TSVjxA z_BZHV=vF!Jo4M4%-Rrx{`;SK1XnuL~s@M!%VYPmN==}sMb zXJxVa9_HOowKeh3X(6mP9Z~J%O;vU>HLZ$RbaPmwQS$9}*VB7GoakVEL^b; z7?mL5gsEL1xb0hPbpHTbdH(>*OlZ?}r6yici5Dg;4g!=}Czd;JrG9qv`sN21E!Ojq zld!SV_rCsrDQQPve$_cQ*ApDJ8rpjHSm?bG97?Wx2bX%Uobp)TO8Q@$uUnMz{{Se| zERBoC-nZ(Ve`~h0?A~ec)doA!KC0F1xeq_PbwO`6tImU+5l_ z^Om-{Uuk<^TIwl|-;eO1#YSe!&^z?g^qYF`0`rKI-F3a5;@4fU*7(npQzKI242!+= zt(liy$oN>>Z$;{z#bo^V%GA5GXVQE0SOv1xb7jida^?yZIvWd1?KQO360@i@>QV7p zeJ`W;`#2nyVBr*WJg3F8OswjN(-${gy^mtvdGzeNUU!J)`Q9-zxNv1Ng&B;o)rOt3 zHSFm_YTP*EO`zwo7W2xx{3;#h#;`)Wy$S&ot-SXG!%PkpA|U zLCh+znNVIB#uM*)HU%ATtn;2+0}JEO7ZY|iJ|{!8##|cfCSq zPoB48-5*ip^+}CgChcqeSHH^@U5sRjS+aD?GO(VF$0$^guCpdob6-p0mAem7?&An0 zrZw35{VflP)23SIC^j$k9_7z>cf8^Ie&a#Y_1y2pls9^7XA3rDKtob^CuLO}Np1ic zh7Jo6V;rV#bp|6CIf0RZidD;M4!7#>Gx@=IB(VlGLdusBjF=;r;Y!foNBUFe&n_4i z?E?Wca0P^{B#t&LS=3FZ9T*O`rDwNz=b3N$f2TVhU&J_81kGj%DWPJvu5=Z^tbndg z*3B*zJy*8zuGMWRZgq82Kr#n1W?8t{F)p-`IqyB=j zLHcLOes)?{mX;W8iHwFZZA+S0SybSy9c3!#y& z2-;HAUDQ@t;9F%ai*{}$OuU9YPujjk&_d?rYT4^r4jUbS^oow(WzqLq{>x!^!aSmo zGCRL*-t5NN`B#I`41ll<6xasiuk43ctg_CFs@H8boc5Lb`kwdFZJeEjN!Qt%*AUul zOWf)dg;R?X1vRyjmumIA_m=E?Z(8ZnXA5kq4$gznynE)g;Z>}*B2RzpuJTSEq(inH zFGHcyy2iEQ1SdF&Vh-hLVG>F)ZC(Ts3e9IMgkQa?b&OQPQ-Hw}TQV}=arEDX`2M)c z320=?5=|1XC7B0OY^;XQp#2BnK6P`V_J2s;9Ue!*LRowoOFXUH^3^iNK; z&v?ylgWr*<<2?E-_8yV%oX^RcAWF$aU3JaYYio7~O$%O1&eh__O30OnT6RZGQ8i6- zO}qUn_8&kn6J|X2j2r@Hl?&!ysgGlR{&A7S(@rr~=f4F@`%$IM!-eAvES zMOO?4aHr>mfdx&c9N4;%MY_wTsvtv zdeY2Uf(^v0)n;Z;O3ZT6U?gy+3~!}xDT>4c4ORfG<~9{N&!{|$#nc!pTAD_uK#-P3 zD59O|n8x>CNBsH2ZvDg8?EpJ8e>wR=Fyd5ZUXko}sQm4srRsjWwxjcpKX=iOpX0T} zZ*O=UTm%R}3Bs%mx+uSCij0^CAj&}lUkOTl>g--t!3BA$sy!A8@!3|>I%}TA$^6kl zD`Kwe*FQ$P=U8#3=2EX4KS1sA9*gunkC8roMJ!*S{R^qPVvSf!B+lMhQL9S9tQIiWUIn8@q8ZBgw(r*c zKW4JY6POIOi z8pgw^w)6revZRYf4iytN?R`Pe{IST$K8QGC%{Cq`%nXo%)ah#5ThV@t@LnUk`b&Et zw#ab5Cuy=2)~K%ZU4>1Lndwuv>Gm5A2U?>IT`_&@O|S(IY#uqjs9HEcCO z1hPWqYB*U=)#?{6>78Sw_l<>E8~Y`qUkp;VmweCW{aV3-i^#5i_t$*BiNsp6jeK48 zzf0V575!^{^G}%S7PfZZQrpn+-$nEsC&`{nGI5Z_96h(sQ?$KZb62GFE}!UXo^A4d z3)Z^NaE($HelQw?#xF@IzPhokNDYt{L7T3to~B`1P6$HVSw>u@lrvvAW};PjoLk%gSHRVj-EOi$E-{q@ z2tv!d-)&cmXsm4X30Pt*A>9QXcJ)yWMJhV^jD2rV`ZMS5U+7;)Impb-C&~N}(+if- zBaV)PSwmjOJ8FOd1kC@S{{p{Z6)YuHz-p7-cqEK?Q*fvrua=>Gslx6O1{zJ%bu zVds$e?Y_OO!TD!F>7MoRcZ*jOQ3L}TZDSP|Qo}Bn+H>f7KO*^EP0KpJa^Br?sot?l z{dP>{LpNeHg9&Cd!8Kx>w9%#EI4zH9?Hibq$atn4e=hKs92t8OW7R0P-)?7iD_ zO8!zoS;d6TTn|k#{ zAZV^*a9EZ<7cUePbnLU;XxG#a65pY+knYgAUh)XOM=jdvGKXUy#Vtu=> z;t^x1pABX8(l#cD`^T$q~?^QSdJqpDSyJWF6BB%r1lE6UqDc}m53oLdS8#IG*U zsdBzS0&Pmd09}bVY#!^Ve?hDB1%N*GUS{&H*mN$Ghk}D+R6^CbG+kG$8 z=1ecozGCKbvjviqJR*S`3ydVT-Flg@T}E80c5C&YK>X><)MoFeN3ZvOd9JhQyPp{G zj%MPUG{-US&1rjgyZmRaBf*47Y#;R2%I`s2xADK0snVUk%Qqbz60jIX$gKM z44i8fm-6mw_5rW5oZC<;3fSJg^sdWlp-d*xwuyuhD^Ox34pxmNzrwJmq1(nu=+j^gA;9Aj_Kr$90{32a>9BBd_j9P%P z43iR`i_$to_|BEBs#TVwen|{Mkku5HNVwtw^`VG7xOk;QJwxB={N2V>X2aIKlZNtd zYxPUH5gM-x`QeyYqbDn&_g<>cgn6Pn?{L{S=k6R-rVuDhyWgaHmquhpzveF|6RS31 z1Cp?nuvsH0dEcs*Y*SwR!fW;3`}3zcTdMj4QNeWG?*OLyAEET$82J5y%V~lO4??;> zcIv)4N#KT6xmODI-_p7oUUki)k_#twy3km-+WOR`_G@24upJ@^vi-%hatgC5d^)P% zb)QJ|FN&4+y8BDHPT;t+B-_il))>gek)aEkx^FPhbw01?Y{<-E$YbjBUDwK7kl{@Qtu$V%manoK@ctX{mN$S1U*UdaK z&0TKBw!dK_W-vGjZl2Y#GRqIBsXFplyeHxzF2Csh&r8603@cuj?Aosp_J2v*wzi|l zd=p#-a11F+y|1+0{Qm&S-RV1S>#O;9kSePeoaR~dPp5XQoQR4)I(V?cL08F8=))G) zu{o8~jlDxF>>Wb0<@=AN{%q%|bzfR&yrY6nmfL&Jp#7ufPn0g+^shy)k`}B3xBDNS z{9kE-fe4%m*!#Cq8Di>1+T60AYU=#QfLr!^a6XlMg;d_{XzKZmIp1EV9kkVkT)2&8 zt&sgN$KIi0+O8$|)e-~SvHLmP#_%#vY=xjCaVf8&f3sfP75b(>u3VIU>+0g`Lv4|*A@h%5pbW>uk ztsp72Ut6#&aAqt->$q;v3&g~t#Y~CFEXZ52a^?44^nMY+gk1DLb=7!Fy_2-_FDv7y zSShT?f`HolcW>*zH+V(9-_yI_Yxs-F2ox%uwoKc5N4RV=BL`9Q511O^Vyk8ik|=8w zQHn~ASlUQ-HmMg!^!LuZGVE`AjR!f*YTakE_gyC!z~1#=ZsZf7qf?;2UgmK=N33RG zgHo2P7W>bO`xS!PxC3X>9oLokO73aTrrvftzX;}ozxo<{pUe4XWIm3_WNNTDpieDFCNY3s_yqN1jXdN+Zz1V$K#e$1gbXP zr`9vR;5BXUBg^`Ir;G9LsgLV@zNgOXONmZfmNsl9u~xa8khPp1NCjr4k|br-cHW)6 z@ZTyRCgKWf7z;pHsLP4fn@;UIOtQH#nZ+%uY*uqD^`Ajq`J0)ncYBX|SBB^;a;Yqk zB(XB_9>3@ZdiT%YJYM%MwZ84~Pn7^>3C<~_w)(TsY-U0@FOv1O&dUa4)2 ztm@WDOshzV+pnv956yE6f9O^z)zT%?#~BQXB2%ZD%Xvx;hv2VYOAQeS4ElvYmxGoBd@&VzcaC?%i*d zIYw5@&5zU@_ncPN{P)Lp-o3m_bK2gYW)?I2SC| zY`L|u)sE3t4J%rztFVgIjz+a0KP*004dYN+*a?>?0G9{UKR9y#k2YCL{gTTiI!lBvSDY8#LeAYy-Lhfsib+nZtXH9{e( zXS#KLPgu?xkIcGD{f3s3Aw=$j*?lMC%IdR=7Pi*PRZ5EN-#Mhe1Q$(9DT zYub%wNF#l+Xk!Eo^r@{)UN!w%*oj zKFKnAUYd^t@y6E3BW;w~rgd2$#it&z$0%R2Mr3~OgB?% zn~*kHUe%lRDiih^ddW>zV~|A6@6bIrjQM`P>$`tl-12@2u2{GxFtOf?>V7B6`d5GA z_kBOFso<17PR4WG7M74O<1wPpUP+;0n6qja(3!!^899a!J1Z@BW%!!gAFe!O$*=pr zZ{a>>nzjwf*6l4)4eq|4$8oGhbULkTH}&=HU8$RGZCkqNe)nt6*tMgwG?x(kKU?}# zJ~-4-M#_`b6+z>)y+u^v=xIgf=RlhEbhC45+54Ea7GZ@b+SXjE%v4#?!a3Bs(&Vd) z*;bz)eq$ITdKJ<;fN3dtO{lJH%GeEX)8_&oM==7Utb|vdAj3mTM1b?d)fR(lVj`p+8RA#el?=XCcy{{Yy0Kg2$n^#1?`>pRN( zk2~`pc-vHt6X(q}QjEYO9FhzSfJ?1nZv*Hgg8~Lm4Z%sWsk#88UEi$y!^Ii($DQ~_ zuv2KaAya=xK#h*U8taU?sa2w&yOt_fvlu%{m5?smRc_~;r<>5$rtqO%ztT58SgX&* z;*CO>3|7wVbQWOT-&w-+E}Eu`%K7Qp+UdT6dfG-amM_kx!qsHSoV8+xsugT&!lN5g zg7W3`RP>g*EstObmuD5LUbetxWly3F^iK#nNDIX zDqN6a23uH-P=*nJSnR!P(W&@nnpeXNT7lBQ90s^nk!50;Q+9rzdv(+JCm%kA^sl6O z@6Ntki**NL+Hb$^UH<@=c#1)N@3-$#X}2}YJZH%EKSKJ~jCfbl-ml?)hp_Uk;=qP z+Sq1>i8gjNb6aBCbynt`ZG&}|Ez+;FuIfr|-7QTe!vk%sm4sQ?zTTfvwcBedR}+Q0 z(cam=DyW>AcD*~K-aE+r_MO{1PolQ2V@Ap<*nN0IGQ{i4NoKNcO?52RqBhi_!uh3z zP|l;L>pg=@tX|7|!Sj5t7g#GXOTd`7YebGShZs7jjf#kjy6%*9#BNueDw!?6YHKE;~z-+htHe8MGjZY74$vL2Jesgds8cn znF)*%USVcr6r>!;B)v8miul=DtgS$9^*>bD=sW{O^{+4Uh3~C+FP?!@6MdUacA$zF z^xw0l+1b^vYMn*Pb*gUYYj3r)t2bdTHu@~GMNDyaD&M($-&;VGNrGO@ymk9aROs>vq0`+&$J1)h5Qe_QJLe3fI}K#6K4phRsl>`gA3b{?^(@cTmsxKTNokZF}XURtp_Mbd&wi^#f)%h2;zl!U0-!XH5CYY^c z1`MRDV&4TYRgH!jIfr_hmgJ`B1g?D()bA_j?Qhjuf0xhfPDAItYGf~8J5>c@#idtH{V#<}-wOX&Ulx~yBxV9lHA%GH&$v8;e{A{1l`*U(~h-dE07rL^pO z986OCZAF=Hj3#qYW0-Nm08_1oMpWwG%80A+8NdM*C|nYc=#(XQCTQ4|~~ z@nXZQLh}R!3kb1ws=g%52fOq;)x3{S^#y;K$Ljqb%(+#gwkM*(#vq00f`z(fCRWuE z%ui6hS5WYEefl+QVGm}W*E19V}H+R z;8j${Ckj>%B#R7Ol*kp#sL>TW25yCY|?!3$t)PL zX`!c)u=+F7U99sz4)>o*ZQg&zeV=_Nr{18NG8BC3=U!yj0wXC#G$OlO*G_- z)hq6OCuykhy;syF^UlBO4S&qEi)XIbSvYtk;F=PQS+Zmrur?@8Pca%x%4K_-NNo*# z_FWf2&-wnA?&Ghyw)3tvK_n)3zNPll#aLu~^Wwa&uKxh3`kv1|eda6P4|D7{48fUY zs}+z(3I^pBrc$)Ql3+kpsPWB6T-J|M8!j77S}zm2JW6T=IZayQ810HuOs;2I#oZ&X zdJn4kN1KG$mWn3Y;vTw|6%w2va;ypu0K{>Vmb&jy?QHz#$PT+CR0vu`_#Yw-r?=AG)2a2<%`YYIT#y(-6YxO=`!npJl39_lWMDO}PRmJcWc0H!~ ze1?a&*sWaGB)DKmo)AQ;X90x*N-;8+f@)C`cA~guN@jcCZ`pIc6|eeH-#=@9i06KI zMtc{S*nCV9rV1r)uM%u(7mO2J;pRBGt5`V0+f~-V`&+w9{!`-iAJzJu`yV>#BQLJ5 zZN9?voWo%soP5c_>AN1g+IGAD0H3_iTYf!T(f5y&Og75!RQO=mTB{~VEDj5GXcucK zcy((v!j0yRuDb!GEVpx3U=xrF!q`}(*M(-W7h_uMsj;@#R8}yQ!IQR&30Wy;DqJ%G zfhQH1Y^>$9`%C1GGQQ_gTro{xPGk%+%0a4fNec@=_ECJn;Ig2)cV6MJ;`g3!!4B`} zU!ZyoKj+@3J%iMP!TkQBz=$0p$FZ!g3pXni@FT7zQR-$Xm;(ngGPWtixyS-pv~h!6 zA=YkGqb3<&v-Lf`zs2qQ+kRm`s`<|fpgxP{8GcMH0+bNY24NJC!p(Lta7fv;(T1`^ zrrT_JzGOFFPWcY`++UcG?>!r1vhb=ays4V|FRpz>k&MaYepvJUM_A66vDx{T9F2|t z08jM&w7f=f%ZxR^G>@Nbij8rCy49p>FThhPoCeoA`E0-yYOFxl2Y?F>>X~2>W|g5j zZogVR7ufud%)>1%a%63Ztu@J#+RF*Y5a4BJj21N zW+m>6Iawg-D+o>{`3v>^-<@fz>esXBJ%8Dm@pn5J>HgB+7V?Tj7%j2~zwWHIhYtS$ zLDKNK)b$l}m*;CeT?a{_<>#s1=sB*VVa)XwS(*j(i(foF7NeTC(Q|jU8l7dG$3LvM z==QwRReZJO+1GBLSYH1CvH6)FQ>($@`dwX%&C3@{S6&rjG9wBbD9kgjY(fiZ(CIW< z(?-kLc1htl3zqC^Wa#UIcV%zXR&||q*VZq3`bOzw%OyuOh*nQ3r&M%nuO$W@E zzJTpOJlE$kvv1$M51IMauh3o3BjBFP-g&QG&@_2#9W8YE?JrZ+cy8O+yy+gX#C-Yb z-nHXCGtm7-w($=lMBeDV7nmInJ|5yfIy>L@pECMis%$=b=Iq#u7cFXQ2o&TglQP8Q zJVm)x;eXV4Up0Hhp55Cnr_Bxxw!One6#A98>uWYRt-5t}dLOF&Hqk-S@PC@AT=l-b z_tAS_lY9!b_jaE_TBBB_>#hrRqPp!AI<+U#jLL0JF|~vd&UkgTrZM4#Udg6W7M7HX zYQ^SlB9*Xf0)>!-T6H&?cO6$Ft7$0ZC6i>a$Xdvh1rQD#5>zh2U>Z;APTxJGZ78`> z>vBQj#GE0dRhcF&3_$NXzT*D?it0zweumq5Kbd)wYg)_)Cka>1z$6}rcV6|h@n0V` z<+ofWpuMMU^F63f&ze0S$PR$c2oOumKSK2hhg{?C8!m(&n8QH(1!j>t7(r&6ZEDuF93 z*ViLo&v0X1cuX;q8LZWv%GH#Jrt7-`sd530st!eGFfJyx*VcP~opn!LcVPmoL7lp@ zM$QSPHmgHQ5eE?qxVg36dLN)`{&43inM$N^8aPmpxTW z`cE$T6GJ$Lu+^Co`HV@*-Q9cJxcZeLy3Zy@v-_=mHuszIzjo%nQg_mRlgR2k*U4l( zE9fz?#P}z>dV;y?eJ{^mQ}k!0{PpJl08@6HZl}*Sp5;!L0qPtgeKT9C;XPx|{43hK zy4mxmFIhG2*2p z``I{s6I7K+#?de}25lX%uvy|R00scI=-NX44!a&r0+ zb}riH+ma&&`sWtH3o8j2!eYz}rg4IsHC*7??x(7w#vv6!jKEYLV;5nS$OWO9iOB5z zV!ol?Q>-sQ`Y+PAT5o}LP?#wURN7f2O?iOJJ$I&U9ORy##I=6a?$x<&r$OdE-_88J zPw7udmcj35j_^ftf^AwRo( zSa!DC0XC~0iq)-F+;~bZ?_r6iVb6n(hUqtoX_Qd=rv-B?x=zU{8Z}MNEJ!imt>*-$8$oW1u)jezG zt?#|;{MVEB4=m<=z5Y$6_Zs?*#})IAmQv`&F`n(*e95ZzF8=`K-&gDd%J|!7D{H>Fvv<9hw2Tgtgl}I99`Aa1kSw0lWv4y#}&~6G@gV z*J49njiv2s>?#VyTW+SS0~Ynh)HiqCXOm-9YRz-MvT~-T#iWV?x z$(vra?zX91<$R;uHu~>Bf2Ag$oqYCQpYOCe3w^g6s`Nh(;=YsK{Q2abAcL9@T}x1SpD)IlWx! zHg{g9<8iW}UBU#(vH&250%U@AI^8l@+J2Ynmu!6Zrhn04=oS7&QS_bW)6hH%h1bVX z?^ZYpp4RPAJJS1aB>B6_;2N`l&6OqIyYyD?Wnf?{T)$DXUX91Y`0GnD6tcO9KvGAX z`PI=`KomVmF*>iX>Wm9CV(n100G*bw-Wba4v9Gu#o8D>$Z{DF@7Awg@oM~i2M#ez0 zQUqa*!D8<2HT{1*qlH5;aVL=w@GM?Iq^BbSy3FxTn1o9f#y6qrx}MX{ynZ^LqCFRk z^4||gB=F=4yJ1+^e*XZtzh7I$&!B9T%vSBHvykL-pzU-VW&Z#`ug-P6Pe0%9yyH{Y zdKZ-Q{=d=E4Hq5g+!r0wcl^GOzw_-ES=w{k3m$!|>AR0N=2p=>izxM9Rr%kZ^gmts z_r2ZH^WJjQG+fDQ#GQ^pC99KL7PZBR7|qYIeCwvS75fiH?zrD6zoPmpm*E}6D=lp| zG0D_s`+e-Yk1F%+*7e7z`Xk-+-za!RCeGH=Q-Q9_&-Z?xVC1T5Z7ih&HUS8+&ocDF z)C^%ELNt7bjkbu8U6k0x$W+-p(Awy7ZlGOPEU5)zu|AENr?FLT!`*rR0G*30GSI1Y z%!CtVB{?}>$S_Q+Oav3Ka&C2<&#LkM1Ev|plh-)6D+WnY;03Mt55>u@Sdxs42#%lA zw{g;WpN!b)exmnL^RI?ABMk_VVu(S)QsXnq&cv26xY1=&eKCtEh1e9zn01T|q{fH} z(_OeBEq%P#*EZ}~AvnxobY9J8!LpuDTi^WXW?E8Oj={^L0x2Lb5LYTN?W?8(aTVv}yUkzHlqj$XfubBMbMR~dGHhz(| z=Xm}yC~am@UV&eGAp|p8)7$WROgSn(z&yZ>ZWaJf(h% zag_C19<#LSwLVI|jP;cVd^Crj*NVzwP(^bTnQe-wiGc?buOnNNXss-mEDoREH@;cq zRee*{JV%_NrPf^7F>w1eXEECY3J80}i&9=FmH`>s?D+0B!4GNK^=I>3?Jo1_!GII8 zt9z=N`nk3ZZm^~=Y&uP5oo(55Ua9GQg{r*wo_WU|s`cMat&O=$V#TuJwcv~8npPx^ zklkFN^e$^P{x6}sSRCr&Vz=Yir({%WfMw`T-mF?xXR9nz8!=k`SoE77FjE3KlVMSi zY(zm6F-C)jDDWi*CmFKu`+b*)aU~`|PgpR4YGy1=xRWs)857GBR!<#O4*=P88=fYR z!CLJ-M{UD+u3%tf=0N5FxxK%=ZzvZl;~vr6RHedjUfI!D4-|K;4Q@M4==Ybc@b5cO zE!Hx#_6i87BW<-4kQjCLCe>6_Imsgu-g}2fM;PgQ(lNG;Y51 zSE{DCGb-`zf>$l-rf4I zx^#@3jZc<&2QBCxr)t1Dw$cy}Bu1v~$kan*s02C=Qd*iGIikHqnA+>Kb!{B3uF|9& zRJ37I!|9vrowT9RUckfBzfS0Qo+)@BSV(GQ$!1H#HZ4t2tTC{c17L)DUrh8rPU1c- z9K**F(&UREWRo+5KsDem`)BvNm=i{ zjc1s4Js+9%eP@~S-2VVu>>S5G+WD3L09E8X`$MPoKTWCRUSrCz_Mx%38(Nyi*rONe z2J1kDWoD)0XJonsTIF<-dLL%r_{W>4d+(cH2<3i<^nNSJe9q01R$6oEEu`A+TM4AP zhEf$+r?OG^S8wo!i9ETs^&;A9Y;Tkh+f!N3rJxEY4BIDfYL780W1wHs{XOXpoXjl` zfcc+8?NfS_(Pe95b2yH318>o9L4YcKOd!oVu#39v! z{aJkju|{v+(pI@56NE5J7K@h+Qv|{e5b4NV;Z8-9*dHI)*3gKMTL2cWmR*s9QDl&V znxTvRp4Yd3fNSph&%N}VAB%X@8U?Ga<1;K6!j*$YvrZ6lSbhHh(rNjR+kGd_cU@-% zqU77`kK}b;QR@D$&2c=>m-pX7>ONi5sJ(a5Udya?YS)g6_LNt%)M|_lR1$ZeTANr% z7VPuNeB}CnU$y02hC{U6oAzSqU6RA@r*D2EpYNe^0eLtc3W}`t;&sV0^ z+yp;ieO0q`hMa{~0lNPHN6xhQjT(*!a@Sk+pH_33G9EkOmOb|>Y!_V@vej}n7C~s% zvLVP);uMrI^Ftliq9uk^FYIkTXliDAv@H)pu;%7;NH^=e*JNp50@|eB!kYw|hgE z=dH4G(^SQdU7W-!-N@C~ZZexk9uaS?4>zw5=__f&Z&ec?Pb15EuD^J}6ETM=m$lWZ zeCNutVA5B5ude!YoXnEoetYLWi)Zn*ovuytDEs`TPCedkXyA- zvR7?ruVAs2hRCr@Y7@1ikJnB8S=v^!TQzQ4*VdcoR>e8ncb7cDKI@xDc%<2@JX${#9d z^bkF`~Lu+eLK9_2$bePjFYzAPsSc;Rz##N zbw5^jW>8s~%6#9<`( zU|EtlRhYBN?ZJ1TeGI=d^{q#Rdxv4Ir^9G{gB7&$ir&J#M=$fwS@cSNTIYurLgg_+ z7sUl_H6?I4j4IZ!A?#i(!rs9&eQVgfo6iAkb2r+4I+otd23aMtBGzCm%pZxY*u)LB zL0Rej56(2BTSj}0uPr?;j?dQn@0xoLO0@)dsGJqG``+i{KOUu-hP{_d^zWe9$eGON z{%_zt^K)VaPS>kqi%H88sL|}u`oSy%Q)Fs#o*cm|0uHb=7-cI4&Q{pIg+P2*u}bYC zxD{PBvgWH+hpcf9UbfHD{fEk5VEI3o{XyeBr&;n3(Y=?+pHX?wo&6K@hgInR062U0 z@8`dN;I%(Pal9u-^D?Yu{iTeYz-+54n<4fI3Cv|yF>{zuRxwdT@tF*G;o8DgP$uLO z+ZZr8kh}6CuTS0J@_t|G-L;-?$M?RYZoZeso9v75x{787PCC9; zbBkc@HE_wnlZd$i6Sy=AtnVo3?RyWUeB;W~GnaJ@(P?hj7<4&f4$Q!dI1*`z%I}Gc zn>&zZk=$9l(l@-;v|Edl1qZUZdb*e;42u_fD1$d$<&RS9j>A**xIRKXxh<5 zrt5B7(CV61!Paj3wD<<6?!Qj__xnT0cwf1GJ?Xxp{L}kmyZrO}ugHAU?T>@~Z|T3y zZ?$@_g}&hNe>(d^(^rTQk>^W{^HlBy+e>id#a$V~_@mqlK>J|;F7N{>uUsBcTE21JKhZv;^Mwy`vlgUQ5_k~J$D(|V;k8i7$#&!D?`3voW@fkN z-zoKu{FeHq2eMswX;#SB>yuu@8!aVZI@;cS!E6yHgi)2A#MiLe=GoG|u-Llb7z^lC zv8j0VRjXm4FK=J;*2CpJ57V8u#(wGJJs;Qq02}+C(LbEuOm2Qn`lrY>pJ4n;?0-W3 zdwrq2__OZcpM2NsPmeu!=s(RpQK0ThuJkjmp%|gJVG2C2Wd(~Oa_#n=*IUrsW-J<+ zg|J9Ywq#_%tXk#c1Vpv`{{Sz+amggtZIX8W$?3Jyn>(Fmzo5NhwPCrh*e6fAsC8-W zRh6h;ex^44Hitn>pIAX5fMin3d;O-jz4Z+it$PjEoAjJFJK6eg&wMG_-`eQ9YCeC< zUunJ#a4m-jL}pxAv?)moMdHKV`L~L3>UAMXhTDC|_m?;>k6*3mxc%o_ z!uM1;F6NIr>>gkp&z5=y`^e#XjJC+x@0YHoRgAD?WMeZGIWFlvqtd#Y@50FlnaLOe zAdFd97&XRB;ASm#>2v;Z;#xE=!-LTMqWu-{9z?%k^j;6i{o|!E(>G#S$!a|ffyZ(_ zpM5&sD$i@edB3rD9#i9#m12sIC1Hls27MW0jkoAJZE$RNd;xjBo6!BYz<2=P;_7V^ zPgAgy!&??|EzH0v*w+OVD-6OVhiY_`p^Le`)@tfw_BOpY%y-{M?eTA%FeMOt!10G>ML1d4YzxLIInC`aVAyE0>Gfp3`px!GjUjJ zDgX1o8O>4dwAKv%q+=_Aqp%1ZD<{$-Z{htg+s;?uq03 z0q|}!=>FrC`PlktngjB{2R<5Emu}d)`>PS zZv7G6ab9z~sjPT!EMlwQVQ4)s=$+sR5H>JQBGtc>c&HdTJlg$x+_rNvWqv{68^2g97OON{ zNSKmzTWtg|Ln}(50U=MzIZ{K=$0hS#!PSE_rn4^?l}N;iCgx4Y^QZ^dSrsKtV6$m% z-m0oDuoq_Uqj?gIm94B;4Jxl#T3KY{FcoJC?Iqx1nk;2{c7^DJTPJbqUqf|fQvi4~ zlbMl&GdL%LSva|?{C!n)$HDj#@){PV+m zzjEHh!Hj0H9jLp*2DDdAxxB^v$ zU&r~lruwFFdZ6u*6o^j}Hx0F*GbJ^y14u%jx#$uqE5Ahj1dq*Css`A9jpJ6?v^U9Znq;&UYV0`)#5um?;q{j0ub)h{>3o%z_b# zn23T2r{SMGYwBB{X!k4Hn|$}r-o@JU+uncYKVOA+n?ff5vMs4~E+Hg%P zS6aD@i6|(B7}!o`0b@W>%_3ta)#5>9kBDxA?8)9NV?9+`r2PadmqQTW}O?A>szl{?HRFIp^O*- zu!{L+@c}wbDYU@XVM^56_OGc)L>#}W`d`!;GdYq&6FC?;nIoAcIg5jg z^G}tZq?+nVwac)LuJ2=E%=14Eri1BO&-H$%cgMNgK_b(tW0O^{ zBV1vSPG)ZPRfvys?p`~_CaSHoASUEo`u9=u&%Ab!wP8p^G>dEA`OnEbaY0BX&ujI^ zLU}Wh93ReHuhA!DtQHy&o(0S`Ex|2C1LBO@7+IRlw7894|er%qgNUu#un_m}Fuhs^$Px9=XgMDQ`rVF1V3guU z(9Fca946&_E6J1#-S(F&@}rd*tKQ(pU$G@xq(v^ubH=X{MW_eArwx6`*xGb`V$V*a!esG*Ga*8v9hWo@GJGUPp&8(CvGD9P`@Svl}WHTd%D9PnvvI*=wrN z6mCAJ?#QDvGo1O?&3*T4Vl;}*iD=^m+XYs2_txs@nwt!bzQo{?WibYCLXwz|6oRdua>t9szrRMj%gdsUZu zxMxT1{R!~?53%)bgIlHGIlIk8K)%}QHdlkjA-<#Zr&Plnj8$uF7?~lAjEOnI%z!)) zk|>PH%r0N%FE^r#Q@^oxzKPrZURl1@>olKy~;309^H-AMstUPn_Q?%VU0`^mEC~ zoYQ>c=Ki_1-CYH#_L!3;h_gi%)D~<~YqjPm(RhWXOh25lF;9Oo#>hQ<6gxT<6Sw zV_6m}q2|KN1h|wTW>FK1kjNV=1`{I!RwpKwVh0j+7wR zD=cy^Y}U7)n*PH{JAGOmz z^nF)7exUP?MSD%hdD#5X?p~X+!Rd#|hXpDKHI zWAf)|>RuKYazq-EXUT9Zzu&0Q3)~yp!j|Ui2-e)xF`YWE0_j2hY(>>tVNM zx3<)Al_h}tRgU9Y&r$kAa&Zge{&wKKKk040Ow4AF<}L%%y&M&{b$Au*=nK=E9)6H2 z_P)j)LwrY`d1aKOA1ZJtrzZ;aAg`BUkm93G(iwya_YX+BbLLJRy>F-Oty%CrE~72C zuKK5i`KdM)PiR`ri)jYeTcioGvcF25b4zZ=L+jfehcokD$bt6kQLS#;wd-q;hmxw< zvc>xc(S1b84?pD^O3T*yuR+*wG`$C+Un9bLe^L#V)beY7oyd7<6|bf}cVjstIXYjP zylhonkkAr#R6?C@V=_S}f-K79>@W+JP1Q`GRPtDYMVA=fr`cvx9 zGW_?A{{T*}qmSSHZQe3xF@7=g9;Y5rBE&rGUF}~$bmUW%HQ1GMKR)_jqxr|4d+%Oe zec|6ib_;u{^L{O7)wCWHQ#Bt(`##SyXEJnuIQY`gFMV~UY>pBd<;-RsawG)VuZM*2 znN_H);03WI0S-{*kZuz!Bm%4gkvi1F_&`fuF61oeNV zdu%fgm+K#*d{5!(`^~4Z{ZPSxj1c)3%9&Afz4~*e z`L}?ZmEEtaHtp)99qivr?C{Gncu$u3j*IBN?`qtq#r*f@@9zDE-8+f9O~Cqgb7il8 zHiMRsRhffMyUlo^DXyzAXJ3Zpt*tyxIr|;{hnBT~%6AZ9UvJn3ual{>^gm0tasCO% zX`O3f)%{K6zdtskmYa5|^_{KHIO2lS>>s4-Gf<^1PL+JozuNidJ{@lKTUT9wlc#5! zXS)4AWQ#ImlKEGHyR-DqSnIX^YvbHIZgpPsVIyS8P{ia-cvucDyQ+v&b1o-V1#ztJrG1&y9H_XX$+xUti_SO^b$%AXtPpS1fBSRjro2m*{?uN&}hj z&q>|+nfm5~!~H9L;oZNra=tU^+Yc@2J3a@2`Yz{;_uhl8;k;MSecNG~IVYN*oqTGg z9GntcL#6jl$E*3^(67|z3?mi*K$Sy)9?bjxTpKa_N-nO`HXXlM>bK4I4=pRA1Ihh`J@}D+AXLZu+d1_N|w*LS} z!RuX?%%UN_xEvKQuDm6$^2C3aCx<7_NUVnflrR?j!{`6wQOIVtqNY~y_M z&xXIL_cm|W`IeYv_IF=R_&<#Zop&tvp5fIq&HjJ2Wh%YszOjCMv&WQnw_X1LMeKd| z=LAEpu7lQ*Q)Vz=Y_-Z%TlRmU_sqzRUmeWzJ-=7bYk3|k&iNm3_Hg4ku7A<$`M(L$ z{Ug(8uU_DM1CaNRbJ^hu6qc{&e-fgVwStH%JulI{#j>SLomy_Z1$n6X`-S&kQMzub z6_awtIHIKw9`O%A_pY6*k*bP-cHI+K$~;3|D>%w^yPr+kAC&VlFOx>9rbDhC0A1G; zT~tN~itnHGYjWVtqg5pta>-f7L7X=Ra`@Kw;;*kaI3 z`j2^YlJM8XTeY4g8M*3ooXtV8>z;)Bn!lKi&!GY>zYM zz%;FOy&qtPc z9a{Lv8WlY=*m^ngA2=%lb%v${5tX2+Z7Um9k0({%eTT{(8AiUHv!Li4}*o-6oh4UUbuEXhTUyw z)4oo-r%i#)j=!3Uno@J{Zv)ug$Va0sSq}kv0PekCnpXe>Wfb~81 z594~TYWEGfW@Jk>pFDUSWkJ}87(TD)pFwi?ubi#*I{sp>xB8Xp!>I7jIrm>sy6Ex0 z8R%>dtJ6Jia=OU!PX+D0`+H+o#rc0bw%TUDTXWueK=Xf_D8Pejf_ooh=&t!co4CYO z3W>(Rw-QAJ(wq9!xLugr3Ra5UqpwoWOXwW}Io~+2tZLY!v)TL4n|c2LHP|<4UrqH_ zr1)pZn*1iVw{f{&8>2(6s#5C7u6qmht$VLWWm@yN+i7K(%aysDv=u?Aub1jCTj4bB zgJ{}VvaOv}eTa>=g?O_?dtTp#dg?M%7NB;T)FElw_j~JG{jbh?=vBD&&wlxj&H?zB zE+OsMTjbk%lof*5cG_+Z#Nb^~rPqdm+T7LWjd>u<%qg=7BERmQ=eaV#*K+&4j}ggk z`JW5+KDVChd;TZp&t2}UZgzfc<6lNv@E$k4?k)KbTkbo_oXo~*zJKs4Fvw;srq=%e z=+6Adiuu>B^~+rMi*)|ozONd81mJzg)o-*sgUr2?pgYV zw)Z}Y)J~5@+3#b`zHQWiz_T`eZ&QD(@~$W|GO2`tnXT1IU<%H{ty{Kt#ma?u>^32+ z%ehNZvSmAqNW;i0yDi!8xvewnDVt7%=nkXg?pYQwt4dD0GR>itBvTF=yQ+JCW$bN6 zxz|R(<(ysGdI2n%QejrB*3x*&omBCPVt}=**{M=XdMz%?`(HaOgjs~Y6=`)V!uDMr z-*?t}AI&^k^3{)9_kWUj*~>MfGhy58HWWN=-HNpA^xX#2Z|3~lClKI<+%T(iVC_{3 z_`?>$W=-oNE8gqwdxAL{+P#AO%7;c^!`8R{{VgTW2vCvd4Fr4p7>7V z(sn*Q)cc0JzleGF5!G2<; zkRUM_!kX4-Ij34)yl&gjx7{a@@>TZW+eu4@Q?6ReGXZeqWRl9$^(s`D9^1U-^=i78 zvJj&tt;=JeDV`l|m^#O?_jzu$K)jSP;8Lwb~5PlfO$0Jf~yxpli#>n3ZHF|h(;79~>qp7HKmd}aoR%{!i>RGps_^9I|s^ghpN z!2I|0522SQhDVh6m#}YZ`R%`H-dJipv*xa^=&qNT`NyjFJ?g?sFobv? zoir6#w>sZh>m1i(?w>pOIs*-1DkedshFb&?kl^*NMc;D15bu4`c>eR3msz1wmR2>3 zoTMc1vW<KROQKZQ`PhqmR_~#k&Deux;6GEvMRPUiDGCB zGhUdmUk`FtGeplt>LzvUoYnVP2c<9LRmQsoo9@E#vu?` zGQ-^cALup%k@?@5-)$2^+Ix+G%^wf)k7V|Jl;@ay?Y+vBy;s+FcMTq4^M_mXCritG z+w5MUWl&QSnLJO>+PV`yIE9*K+Vyz+13_So-9*(Q^&7dp}OuXndBZpzPP# za~@;O+Ss}&8pjxQ7#4Jswx)I}TwY+gn&!qWjR*`g!aBIc9jMBSw{pIEM|W!7nmKLw z>};g@Ckqh6u2!pyc;6U1Ahm3D4rIRdQE3miF86e<pCT#q+YtiAF9~_JKDE5^!TP zv-$(s_C|0OHFw_q4~pCDcurI3PO52gepBPk^#1@{=$_1>Yj3RLyjOYeodL|mnQHt$ z!BtMM64t}ckLYhhX>wmV*Y&=PxZXdz^nJN&d|${tuh=$F-!1TOR_Q{g7u0wCLuvVU z&fRa(y+1kgzo2@Kz+|HfCV4NOII8WtNL0|Y>MCo=5}TP^m}x^=pmW!a8ycorg9q2# z`>#`H$vlf&8{2hqwSkpIs-pI`KEPU`;vH)>=VrC03MNQ9;zU6x&I zuoQ)qFueI9RJkWVpknv~KdS=;Tb^G4OobjJ6 z_0M_eizhIShlc#{pZ9U)7UV`}Ld;;IN^z7w4M^*0KiEI3S#l4f+wk$t0@o#qO zEicM^FX%r^eNQd z=i>J-cjy_Jg(a`>@185*15kr>be}-_C8*@SdcW7L9aP?A3uR24u}$JNok*dLuLCP1Q=nk;A);6+ zV$Up%infxzr`Zb8Uzl22cCbrMHEW$0$!XtbQNr;D7pmi4t$mtRuVFF`G8Q^M`;z0` ziK^8;5nYZf^j~M)c~_6uFieo5O2sBEh+Whr zpRjtrRb|W6@n1c2N?K0EzoLDoVtEm((A1~M?fnOq`ElK4zeDs(9G91PgDP!3v(a|2 zJfD~I9Qu8m-ue>ElVt0BQ|9XHQ*j|Lj)UlbM7A8Sf$MwC`#CNX)jcP7mAs3^J&V_N zt#6-rCvm!UKQ!pQd$T&8b@OLY^iN<~o)^jV{m)Z+pF7NU{;#s9)L=g|loFY2$0D&~U5U7tKOlYbs(`N+;Ac zGy@>Ttc;1SFCZMT7!TX~i!RHUwcB1=t2Q``g0v#Yph?K{J}&A93RqRxn%Ci05Zc5E zeVW%(jHO~iX01cpUixFV`Pp!}x(luF>fYm^`By?}^uJfyRM1s#H|5@i z==U6&88p1dy7w-aN@iP{{{R*Fo~gpuC5JnoqWu!wbKXIsChRQreRj>$SIYbw*nOLB z#_--eI-6VluW!?BGN+vQ4(s0f_GJ!R&-ra5Zrb$5sA!1z{`sy(}wgg zs>s=`hEZW6uAk8F(c%%rQ~F1+x2XBsOx=q{RO%@C1OYj@Dy;%1RDUV#p`q*3~ zY|T%4YwX>|#dkdnTPxw}e3PENUT}iF*ocu!Sxu(Y#d|gBI&C%8UZKcSJ3p*Ecfl*T z))1&`n@-YXMhK8f#}YsbI$}Yry|x7n&sFzso^uZ)G@n2D z8b=$j_x}KD*co8y`7TRK(RO>DJLaxmqxU;LDcAbXVE+I%<9iNc!)Tj#UW4r$?O32P7?H?JfPg?2b1X4-$-0QxRHUmcTNx9dLvfWZ`A)3t^gYL*Kgsz@ z`gIUQ!NOZ-WoJ~odm*oxkWh!9Zc(rX3(Pv^@D@JlyInP6L2dV~z_Ql1%0~5zFwE4h zODp6evfrojjS;mJb^xri3nbXHO=dO{b(unz%Eh|3Xjv6DRF6aYugU&ys^=)@6f9!w z)_q7UEEJis7rSq0yIaCIaw#5%(>qG2*@Vr{{SN9eq!#+VYfkU3I@dp1+OxlTEhu4u@yl?fi>D?mbBq#$zh@ z@67ty$OtB~#on`~dn;=tdpiL+sTbGeIPR0tLHiiOp>En7r%u0(;T_-gYpYG*=DG&R z)3KxBb>UU`rp68^@hS{+tmW*~j^3?B1*R#XWvK1BU2jdv(=S=*x{snczl}8!LMzT! zJZecdb~&!AV{?hc31Z4qYN^477>e1I_HT4*j$XJ)*T3w2eW+wEoLFsq%bL@y52I*8 zE5#2OrCM224$2&;41Qwlsi7%7bv>P|P3o)$jsm;ys(cT|YbMSdt;w)KmFy&NG5Dj2 zE1Q0cY@TD01?7$BN6>Efo>k}d1{_bRhmG3%_psi~$;ENMG;#5m=lucgn<6=mOTst} z&%bSacVX$4-M@}|i{U+kwA9wM!2Hs$ME0JhyD51tm)|;86vUQS@z0qlkYr@di-}c& zO+c?+`;{SH(oR)nz(X*^*ffdN7GhZ-7!x*}c8!&_C{4@4xRo3Z1W76@wTAtHYQpYT zQqykLe6UJgC02A@f4A4)eI?*t4NRp8frkJbRzYnaQmw1CLkYB)bxB0hMVjQ;C9!*4 zt*NZbc3n&y!u1~N@{Ko*!D^|Y{I!@!y26(^nlB7Oir`iY87HnQ`I3?>8un(BEsiSs zhhMc@E342xnEAiWBox-IfM%-K*7}Y~PCZLgt)UR!uV=~X4$7_sUiKanfmSG1b}R~- z{{Thzp4G*YJnxM7Ik_VHp7ZUSbC``EpKGfEysy_idsn6F8^d!ue$#EtaR@tX-}ROF zUVB~Voo~GK&N#_DujAh@)(8`1I?hmI*RNo&E-hFRLoj$5V-{d1DlAH@IbtD`7%jDN zZ5?fOoyTJ`A{Jn2JX`gtxo2x@OdC32p-vT{HyT!@nulRif0p}yDr~k84UlbSi|ZA2 z?B<Pv#Zn0OIc{77!quJ(4tm#dw8ItwGYP}(a>Hh#ne5JtIti|1lLr%UhNi9u;FI5eJz~BT8*hCY%39*tb!`lvQJ_e39>e6z@M#s z6Y{s7FB_26!seylMJ!EQ7YHncd1Xp;8)c8WFrqwZfMn%5*ETd3 zor@;DXV#T2{Y#9@YD(3eC0(8RAxmwPEVdV&SG2WM(D1a^Vc88mW*tj4ibORW=as%P zN>`8|;UwCXyaO;sYuTA#1lO>a6TN5Nem&6F478RUD%xNmhaDSJG`3)D)5Bcw# z^-b>o0Iu1_E1cAIfyr&QzFEV2_e@MFlgi#*@?B#VEzlWey+%2Wu7zwux)^H-v6+!L z2B27$FvAL5Rq!?J*p;>7(B@6>q%yWKQWA2fFw<DOB$;lgXldYyf@69HSg`cQ?2OV^OqzjI<+>$Ig-T8sxZN`4IxYHd;b8r zZObre^L!@@>-_+6{v*bE2hcko2-x@f9%s|K#@b>A)Kd)?mh;~4)0;A8Wqw8Sy*vij zX$-=_?l4j>x|LFT7-+I9aAsjA0WSvNH5hIe(y3zMlY}apthZY)$iXxOJVmx8?$wR_ zqejpq2v|?CQI-ZrU2|=UeOjn8)}7k+Y^|9JXyZWZzL{3FdZH1vl>^69M|R_^*pg~= z*Y}h)kG2bC`B*huk9&)?PF-muQC1H`rLD5z}ybou7NTufqJ>q3(W!-SPazYtPrY-H%^qB2~-z zr|*bg3K@znOr;$QpU-#VHn_I zj$%ZBme^4-*XL4J;=M(;7bQ5WXGX<_rec$s7Hr~Fe4f*0j593BHL^ua8A|JI6$r5K zWI%()aSE|D>Rj1Z*WB5hN-ox2VphZ7*7nw`xKt{(W9+FJQbtf2Pm%M2jAATCCl^~| zRmBT!OEDoVI=Lnl*DkP-AE3QYc$vtS09My-wn15fr#IaF>vC8D&UnV}(0UshZX3gS-oNO6tC-=u zMp2@roFO zVzEGFg9N;|D#*(iwi3$>4)DGgMN@gsPktZm~8i%rBl!1}3a0NY1 z%J3|q!zC$dn=4=g=$qK3yABC~Dp{y5Hl=%OscU7lx3F6Dl!d3Ox9(Q~MG>(JH!I*O zYAtk=a4Jn7JL7h3w^MhT8Vt;>Y4W057PCQ^+odphlwVXWUN52hPmpUJMY_D>lIK8X z6YDawav|qg-96E>=NBdwn_i7{+suki#6w7woQRop*FvuBO-oB`XSw^H_HqYb$#RPH zi+*84eZ_J=LvB3AhfSp9JraCx*F7_vrT|GAit@jR{v6Bpx`M=4UvBMI`Rt=!0 zc5w@vBRKGYJRFAz8W6_HN@D=BVoaEFh$vax~kE%9pJn69T<`eBof5}YP8 z5mrs-YFI0(a>=aMSygazM=PATG1zq$b}O)yyLCv~w$U>ckyT^yb{p5++uLrFkk^4~ zuX}%2PQ-$3$+nP9AYQmeSg|@DS_6N+ zvwB!V6!~6nx3}iIj;osF{VLZNf2rsBzS}s?i>lCRx|VX6_x##AeamtpNUQm;$h8e* zLl__}%ws79h-MtIWdRw0=>iJ&3ldWCT&P)6PHvKNVa5w}W0P#SvOz8)TmFk- zwcG3ioZz)!kH5k+WeKU^D#@7NkC7B4} zwbj=vH`(?70C4J0CPpe&znaI~ty5%l;`Msha*ORHR`RpS17*y}0)j`C{FhyL_%|gA zHV#-_J4}O#aRQo{oFQ}|NXrH>!nnb%g&Qni#n*c*Cg(GHldN#e$~Ku?0-b9%89Ps>Dg41f$uY{ioU3-TkmSfJ#8+yi>ruJsG0egQ z$m4L1#EwDNmrgG%0t+sh>x|?TpGWuZ+=^xdr!q1KW=L})A~I%UGb18dnVB$Dd|&0r zzoemeyEs%!WoNUP9C?NQ|HY3T-=z8;^!5D5m+@(O z)mE_uo%gl&*K6qb?sJZ#RU(H#`quZn+dEOnd3Q7^bwILu?$f&Ldgrg0^G`jc0D^+O zySM3{r_is8^Pef9Me^#)!8wh6yjd^RcTsb1v$p8KAXx=tA((cz4%#}KviQ}#YK&K$*soWzqE)RVpR49f z$5=!J2Dob7mu-6ws`L)Qr_XR6RjAae5Xk6$kM3{euCFEF{CZGg=-quo(%dN;95;va zv@vrlKX~=)_Q+G9@vj-LFxflTz30+)WeKC^J{hUE6R%0Fi?vJ|iLdHiuHucIrpAwq z@mPyqgXs5yiR_hD8?>7I)rg>&kfCDtDdn|YRlPpfTh&>qt>pD5GX;bU1(q(|If*4} z&gI%|+I>5~_+4x|x}BBuT2@zX6I7=qrkD|A!Yvab6nF((EV?X7tX!;#nK`a_V{Zns z?Sk-MwD<2<-5kiqVH9AVPC&$n=0QZ^WMIr;%*IZ?&c0*iZO%XoYgxpZ3;|ip-EF$Q zn|E^Ystlto%8IIAcoQ(>o<>uxUapgY=4#gwmaGMZvg!Vc>sgpsu21LQ61_o}XVE^x zvxs1ho5Fl>mYXJ9zpQ!^jLfg)J|UqJN^ze_`s;FY2buZr&r~qQ-1Lv5wy<%7PsF@E zl=SIN-(oo3dA6J{qV)cpk7csu{9}}`@$CI$VmfO!-YdtqGzqG^IGtmndA}=R)B@>m z_9@eHn!zDrf-GhLV6ocQVP-A|V^Y?~>OUv(x^{9kuf7OlkuI#uG>+PrafZ6Ti7A-U_#ch610%Bf>#lIgj92p?0MG)OZ}h*Twt`cW8gH5Ss_?ig{{UO{ zQ<7Pc;@>_l4Hm2Y8|*KF%$er=55(zWpR&Hu8jduA!>;c-GuYSjpD{(}E2#C&wUs3E z6qeZySR}w|wA5U$k=sBS4^SqTN{@*A5nQXf!VoI6=j1$ zY=QT^qqcg#EUoD_dOCh(#unq!I=UL}H;oOiU)XJ``QM#yJu7XS4>i;ET}ydSJa=K( zx0dso`(D?p*;3&-4ewi#XG6iKW$ONw)oq+iERe#PAt4bEfm)darbuAsW&$bcc~2|z zcqbA7Oa{~?!iUCmUXkB)n^xe&e8f9&5!uny}W}KS28B z@Hw2yo+IHPp+W9GyXt2!q9c#_+lWNL&$au__&LeM_z#R$s!a{g*c-!%nZn?HO2|64 z3+bOzEQ6WI^6!~+jpufIn(dd@t#L6aeeM3z&pXWNFHXTbe|upoFrGmrXHa5+se=d} zQ_7n9ZP&72cLy=CuM|w$w3@>8u;`9gorAFtecz`oe8d{!W~=5c^`M)QLEjoBE%A>o3vy z1z%jhQu=(G%q$mA+;2Cw?U)2$*tu^92QVsXXb>t4@L_2dE{$DREyruCv5XKPD2o7x zLhMHW0N1*hqy+kMYsQdyZ>#5@c# zQx2`g{@fI~+r|lY_pLL`ls&*Ed1G)GA0A$lx`#&;a2 zl>2p`KGyV|c7w~kYUdfub=}Ul#yx`XlB=2Y??UFe9oI3d^y+-3^INL*3tSy;vwNWP z-&NLmf1&oAkImI`8E-G`YAuIa=I6ifZGprZ`L8D7-s)+qakPzftvabVrzEO;&E~aM;&^+{wA-A+iSiGYEL$K-y(8(3 z^v-1FgXRAKKB;6ciZeD9tFmy{8BU?&HV2U#KXtP=r4>nGvYJ}@)lXwf7>u4L$?n^% z^PhZw%b8J(dL4VxJx0Ht;pIMWugaRwFt_w~sdHX2>b6y=^ml6<2wB(&c5C0Jh#kLTQ#mJ5>W$|bJ+cNr80tw9(VKhibfch9wG}Fl$If5 zAR^4Ja~w6=Xkqmp$K34XrKFrya7j#)ULa^o>`H9~XJj#FmSVOZDFvtV4=>h)mRa_X zSLq&ElsMlS@>=$=O`Si`{kF-HAdd|2G)Xb6k7V|3?Sq1=<-P}`P&Qb7ht<0YERoRs zyXTg$Eu?Mz59*s17DXQv`OPb_GTOGSV=IBB$#H`q7zY9yFKF9My6QV4crJ$?O>KF2 zBDH@#^V<)ZSb?=!TMk7zb=h5-#O)_yHQTJacxX1_35`#p`hny&uPfTjQShxl(LR!z zRw#Mrt-F-mzl?up;vOi^Qum1S??Ce(o5P^&s_*@}_L`@a>bh>nd_G&{{Xe1hjpOWk z50-e2dQY<3FC?T%&Eorx0Bonvan%=P{$P4APjU@ zI}(;EZ7ohlTfOYwqq7Jy$=7Q%I*nRz&fyWQHIuVyvcV%SR+Qdm-)(lulB+e^Pc-p# zC67(7X!M?u^QquGr;gRubL2|rJ^UodS(w0Hu zIzOfDZ+1kUYsP#g#pUK<9@pJ{52mx6@11<#sMvx;9O%23^|Ws;TR3R}kQTPC-`2O` zVxd0Utm|zvonnD4aq1PM;`tf1TFxY(9D-3|me;x^lqqg+D;BzLxIhJ#nM8Z8;-Na$ z(l~uuDsnddi1d9+zN4ou78u^IX-758*2S@-KM4AcC{(X zpxY=QYd2l5N7V1^z!Aqa;@)DDw6$k_*X)tZdH#lM0J*Nhv9{|st<>_mZDlQe`MB0D z8`Jl`wW0D}4QW7Fs>-bCcRFsvti7P%cq4NOV7qEX-$wUdmWP3P7QbhP<*OReZR#|( z`s%x7iKvi}Q!b}v>Rmj!_1<<#Cz=qgPOjRKSElbc<_#MGC73H&b6^!oN^37vCMYhs zL3J607%Q~H*5CF{woW`K=#a*Yh2|hvz-IUr<{XBn_!IrZI|(0PDl$(2quyAJ!)x|@SBHN4}&G?8TSb>}2-kZzPd;~kR;1`<-r zb7|nU%Gn{BniAQqyoGDfAy?=e%FpI}alB&fC;_Dw_Tm$#cE* zE}^RA{EvC+_sXA;+3van=e(g_m%lwXmh#@6+i#hz(fN->=(>JGwMUinc+A6D3h`pPU^@Wd((skVze)C9qQVqw=6r9@LaKtkY%&e$*V$<( zS5mIl%pAmtA>$iP_tm=VIT0MUf$-R=YXoIwhY+|FS-)*-$$%1?(7SDMr~$1III&zq zGaa+kA=wBuwW(!pZ*6kxEnL#qv~?8b*25Sx%LxdwTAR+22~45-J&TUdf9QKu)ys8j zTTOdhMBZ{S@R_US8c?mVYAhzqP;muGN@VOa@%T--A5iDw5-1b~n1n>P{*Ehd7{I^o2g0-@$tX;|*;nr5CYWY#DhHY}f ztZdhShUs|N% zTp5V6Yoy~-3QBs(rmy8e1KRPnHy_3r?1&sqa9zaZB|XKdJ0<{ z{bO6RUSUq3kO~^b8mv^ysfzZOHxdj=E)mUPX|4*h37L?Bt7V#0Y;{-tFW$F*Eb`&4 z*!Mn>X`Hv4Xu3X^zu)P3A1<%#J*OMutmr*!Z|83BHu24Q29v4vTizwh-tK#=)%^2^ z>b)lX@&0R7cn!cs%9v;vm$q?WNfa{0xr3ohaX0KpNg9TMKE;HDW=U4CP|~B1SX~H+ z^nY~f=PQn%#eAL{6Vm#2@^$`C$r{?Cbf&aS6_90(=cI1eqVvvu80_wS$ErD8==>wg zkWO4%V~iiJriC8gxb+W0Jy(Kp#B|1I+Fjk}^}Wsg4x-Dj^C80uiE=Ei!DUI88j9csGO%<$(`9VFGP6Uj@KtX{ zD_v&YN**^})K@WNgQ8Z$G+eEQ=}ldTCYHp|!>07ZS0S$3Ca$YrYL?4!-D&yww?2pM zH)`C!&;0Z0KAW+vUEC**dq=2hzEG{|{>0xn*mvqfc`u$lXVkCxm(Kl%y6-imukl|~ z>?pMUd*)GwhOJf?UUMRBv=YE1j!7KZ&0-Taoe_p>F-{YWKxZb}!l`=IjKg?fKh^!8 zqL4~CUjXBzkD>NIK-uQIhVg9zhaLp5isI9YJtwaE74g?_o*AVkPV3wKBGe93@!uNO zEx>GKn^R6IRll$8e^_pV z#J+X0iz?DK6_%5+w?~|}y1%8;zSv{1F@qS3SF4`Rf|LrIEyv)%VyapCGWB|!O7U5= z?jD^%HR@Gh(jP3S_!cg`(PdZR$)(s5x0V$(RoLc1R&o}0uj#HStkvK}os1ZjKC|C< z-do0We!0E#4uhoOIEH#=^TqogQ4a?5zjpMcc{bC~Ii6S0Q{-L8Z#?JA9lyG6xUNCm z{{W+Po^__$dFKTpVmJ<|3Nc~Wm6(JsPDJuWDyp5^=w>_w%w}1Y$PIR;kzyud;6&qP znd_d>ya-h;E9XsN<=;v6o~IVBZ^`_fQ&GnfvCNW*_HS6}fEmwt=Z!-S&$Rl!$a5pg zJU)U{M6+a-X^IHi><7^O3)KBT$38``40UV%*VcQ5uY|c0WG~Tu2iAQP!hT?`lV#to z`Xcf#n?Q*$qGU=fZFTx*?XT!{`vWixD2&8dv9^B4(|XQ7%`{rF7tYGlk5H^iZF|A% z9_pJ4V=ppobQt9s*XewWWsMjDf-+T>*Dz#5GA~!w)*CC3VHQAHv#+;ZwkbJzboU;Q zvR9J!{`sl-OH;G;?#Vsqe8k;JJZqv^x`aAsFvfJyc{O+G( z?^DY8-zVulj5-br%qu7iB_is=(AK|d@c=Z+%%nt=oM2|awXDn;lW0gaASY;|q$<1< zpCnR3#``a@ZXy-0;CvUE%lltr_070~_5N$&n#CRwm@>O24E})hov0+k%D#Hn1NOe5 z?VBtN&o%k!5UeAWnpK3BbPcJy-S%GJ^Y@VhniZ^e+kb6;$Tgv)uD5;LdvD9WYI5UG z(Onq%Pm#Gq2A8xUy9$~>-)!{yJ1w*z=v3jI2JQC!*Qs^asB*r4<$6b1+4bGV;53|< z3#+Yj)tcwA8+!S&Ra(bfEP@+SrjNyP&4yQUFk1LyvZ+Q1J;>;Hes+Z_>Y~(h=Ys_|?hLY2@ zT<>~%TRuC&dcQ-u+w;B;()Am?+Pp7<0u}&)iq4fM?CpwRMgtlzTLEcnUNweTA%u8y zh)^C`B}7wFtUbh7$;h8(_Fc$Isc=6!Y1@9^t$O!Jp;oudd_P|pGHwx!H>%IMdPedS zG30+g(60TLs(XISLl4dVd#FPNDT!hb*4jHOP_tpFkWHKrxVw7u%o1`Rv1YMrD+Nggr0LkM2rckoWGPd9TK)oMn7lWXtdPrhM{qCeQTuo`BfpIjjF?{`vWX# zoX2zBqg?KD%)MGQU0Hd~($^Q~9N1Y(1`^Q5iy*~Io9x%Y z4p+uY#ef)`U6YJduC+~*h@r9)>Gf}B=>r#2jrsSPW9;@G$J<-Pavn$KAptFBK;%n6 z{Xf%tYsyb00~U3hJG&agzukJRpDyzx4qx`aPOnDR-<|pY0M)zZRZWgF%l(_9@?S;V@mtOB zmGzwui*h=?*V#8b?LEbBI`m$Kxlr>yo2jjod~?o1Op3S`NsbX70IwuKpzs-zHd2>@ z!kVv};q_E9wOO(RDOiTd$Q+ih9?sX?`z#S2XUII|6E?jE(05ML`Tqc!QCf93He@g$ zZe)hTyYz05^qzUZ7_TjbW9WPRzPHZw=t&ei)H%@O~e>@4_b>1*LOqWA#+p7@aRO!sfR9{7aVBcH3R&squXH?)rZZ z9*>04Z7=fYUcn|%Lfn1Eax32TNUX0x_8pg!_?uAm4^QcRjimFQZO8S!-)nnO$N9ZK zOWb+SU$o=93%sVoW96DS?R&`KYxXJSI_}qVq~yHEuJ1Pb?mNrS4P>oqY9Az~G+2lL zVo0V4SuI!)N?01??Ce1b@>06)xImxs{OIn@<&H$6saIi#!*&d=St<}Mkb`Tqccvx-^FP_Gu;h_hn2`iHdiBj>&c zqF!ci{WY-LfO8)zlY;oIb;n(e)qd4 znC!VdR&@5ffLq8q&2`Qg<#{~JbjM--y%5X%p`4)UJJaJH6e#Z#h}E zMHensvNX0yrlpq6G#*FI^}QQ36l&PmY$@qMi?AyKj7B#G%9;>L98pS|<+5V{>kBe# zlZs0gxdEcfj;y!^o!N#AlBC?K4z|?we23f zebtYVe8PpRKBx4Kz*XTM9YlqyY>8&;PpN&u6a&=jiIk@GiY6Oxr@O<*BEjRlBi{PA zMowl&hItnI(v5cN9ky3y!;Yht<#3DCeA|jB#wEyYzNg=Bc(#j~MC_Z-Il5bRt1lAV z#ZDT@_w91qe_7m++U@oqJ$dj}BF0~J?EtN=+b)XhnFh_lSrcaQSl4D$s5@*;%@A)l zfv%xUoo{+{dDmIlD@dCg3mU+XkKE876Bc3(j=(#n(xeQ>|7xmJSzV(S4_WGEiI} zh-j3hWGEG{i+5lkE;G=56Km)iAD;MX#&OYl_I2Kq;=eyoa~bGfgMZU){Ef!|>^m=| zI&81=zmQWpc5*OS)FO5Oxk)gEmIEQ_#JZ=w`$p1oN>Sr}FYdmpYcn%2e1pR_{*Amw z%8|xXr;5N7+||hIcwR;@Nr0zX+4`RAmGgcj1r~OjI)c+(Y*^tCHv}y0Df6}ZzKd(1 z*7|?9*ZIeZfCiS&)Vt3p^A_&=-sb%dGs`RNJ1*~QoX?w5?>!r2zH@-|O)roq&^w!H zp}_Z@oYJG>`Yxx?dkYnLpDQm{+j+N}-}Fw`N9UdY08Djxz25P~Lr~3{_1q^z*UoA@ z=ZmC3!hymP!Vtw}fILzK>L<#`p-N(b{dcc=R?c%Kk16FG1$nOnfbxdMWy(6r=JWuPQo;r-ipi}=w1>2soQr?k-)}Q$~{wM41PYn8r5xDXgG0^m6m2b=dpL2BZW*>t652@mDf2kYqZr= zo6b#V9a=%L*uKuz%2L#%tNGWC^J3Y7gd8NU4KaQ$>SiEiEdnQ@X12tly~_-S!o(Yf zN?Y(G;#P22OC_=;#jPuLD>hS>p_;vFwzfiRR=$dsb~Jro=^eQV#%6LKJMx~tx49^? znwC*xLj0`y*0OcpAFDgmEyx3|cAmq*bQ`ZMb^gDc@}9Fv!`z8Yg{jC>C5mc#`u=-n z{=l;KexvtqxqM=yWkgSbEmS?*9YkGM(7+mMi9((Ma36YVc zYS*T0Fs?w3d&%m<>fKswj>oa~&Nqt=6s>M`wYzRtj<%>OaMdnZWeuZ$sMT?sJ(EGl zr?Y0wo0RMqv->JF+rC@N{eHKGa$U!!)${LN*m#$i`Zu6#`98O!@?B1o(fuo1va6rXJmOfQ@V+eHTHc?5U~QT*JT&B7$~ z2CTKYWxDQH%cQZAN_nqi=r8krLsNxv*Fm|_)UulTsw+H6JXuF?4&jGP zu5@1e+Ub?Fuj3sLeXrNs*>YYL>Rz8;$UQ4}&TxLC&~vo;!1Yqq@=NXYS}k8M>zBOy zrQG=UJ?hzz(3OX~^a`+EGw{*M9an;jmv&v-v*^le9g`cI)whgr$?-6t=5r{z9< z(=6i%qGABBMAp+&CX(xmEVzbp!l9L@vh}fVXX%}G<6>b0WEY77A)K6RgxDz?2Z>NZ zj>)VuLBjdR4jG2kRBk4N@a-8>fT^i0iu(juVuAiRsF5kQ8Sx1TU z9>cnhOQoJ;r`K~`mqA{S5y^I)=9|j8zShdMoNni*r zDN_M=7I5$o6h8SVXQ=Lap1XN`A;2<);pR!LmTc3Zg&)?GZBo0 zHgQ-vGFTFI&48w}64r`bX|fn#B@9Ev)YZ#U257c`l{PG>&Lwh3n<{#Z!gT7w#@0so zsj!_ZjBRN*DV7&_|txz^OOV|*F4r>#O}Ny>u3 zan;CErDr)40;sV~H%yXI1V+&r5a|0qLg=sBxKW85DU6wWmn;+owj>u`x~Q^r+>Z;Q z(nA+8#H`#YZeoa2jRu5c8WE|Dk-iKSGA^8$940Kzx#TfY^pSx;tj(zg1F{ZEojt5j ztC=F&uDqpKuxA1cJKs$D6SFf2m*E~u+Vx>bY)KiWwR&1$U6(6=t`-DDMBO|uY#oBIPC($2}c8#gu1t!6bX-E?%h zp(?MHiknsI$Fv}zF@zZm92{XrV#h2lGFcd~b_5dYwU%=%Q*CTu7BMjd)~Qj3P6W;Z zgz)MWmM0w}k|l%~nvd?ft=;X*o(Wi33}9ods}3ujhF7E*oo6eVq~>YYCpx(SD;tS4 zt-PtjyGkOk<+yOzI_nEL5|te0OPn=}I}c&nuE#0ly~ls%`mal?;hT?H+<12H)Vc=w zZJu@PS8E~*_%U8=WzRnD0_$WX!(PA17$ zK*T!0Tre#T}jl!ma# zk0IHXW8Xc3)L|ZAc_)SKd)GFN@tA)gHUR#&z02$bSP3Rk2n{HFVFI>(X2I{r#hRK)w3(vAzVC=DkW3H*rXh?A{JIKu*?L* zDPkIO@rNu-F~JrIE(MebV=*iP0wyw(1%^#lC6J?&nZ+_BnEF-yqkrK#NwDGs!{S<( zu_~4e+=%yoY`d8!5I$$%$Li%z0H2R;uDOE~T&sD%BFRycB<*xvw#we)lJP-qi1w?j z?T0{(Por%4LJrHmwiMn@jkz`=c)D{XUzLe&PU6Aq24Lz zH~8x|e7k^kYc&e2LxLK&93Bx?k`Qn-W&wi)kbY$2uo5O>(ywqUoE{Q|k%NUQFm^yM z2w|2YtSDIFV#%^%rmP$i+R1^idlJ-FlAChqCF5lzZ9>y{mLwpc7LA#&F9ytvn&tyB z6)#oCkYhj?(2OR&u>sb6tV$TNbvDGCBuP$K8x%mcu+}adD+jOF*!RmG4XtcjB_!b2 zV+Rgu<-9Yi?LPOhoGcm7Kl$5P?Kc)vmR50~lvqeov_5ylMS*Q=4J$jo-sZ<==Uzvb z!y_uo`maaTb=Q3LW!rl;(@((s_0LL?mL3;%7N)9IUWZTYKK-5_)c3k7eSebceUDu3 zSE_fWtJ@L^0EKN+Lujihr!!|s%FDwhBF_CRnJKJ8+kc}kY0b`e22DNuH319vj)1VI_)cP^i<%<-AP*7KbEM^=2u6)&sLK zQvqG1P;CNg1_B*{GYM?5Fj%IM02~Yvv{_FX6N3D~%mJGbja5;nR_4-Sz<600p=Mw> z0#t}P?kiPA)&x!si$0fsYdrT{kPsD<@pcJ>k$l-wRhfWRV-sQPRLQnhw#HU+*85fJ zvj~l5;U;TmVXsNKRso=*QB)_{w^=O9wcQyz&!qcT4ju{lUxag>#}u}~uCVf@zd)ts zUo~D|&ckHEug3O0eeH$cAM%}{F*6oKx*w$ZZ$4)wtyf9u9<|i@&y#sODC#!`{2G*Y zQgv!r-TOba9~O-_nENk%~-EV*U;ttOK;*HZ`*VwDDa7FE)hD-W= z*AL^gtF~o`#z-hmWTGsrMDQy=F&7lqm0*-(uv|kZV=7n_*&r60ss=vh!+*Hda@4>X zEyYA&jJk5dDXyejxhT2-QDX&o{8FXM1!So$kg;I!4n>Sj-)Zf(n%^Ds1damK`mQFh z#4^o}mfn{}%2_3X6K5pFsK$mK0uLml7I`pIa%HHoc9m;QmMrorL-UuN`yRT^uwqca zx9tA8zBoBnyTZJpJw@Yby34!tRf^`B%pE_HQ-FHZ=G&gzu-WW){te}J>Rer_4B8lX zkLI}QRP3JTq3Q$8-D_1l0F7~BR&9!S8{cO3)O_ntK||=TLGwLNrTD&w*?SFFka}N5 zu7vn^3r^KV6HHCxn$3w;lWTgvM&EHhGO5NDa%c+ilNp5huNW}1m94C4N=63>u{jtP z;SB}JuwJ?d7FgN(Pp9lP{CAGjMoUhyfSAFB-0X6Sess<%%<&v z#|(t#be`$kUDkNdkWnO<4Q*w;ft$^1v+Z4#o@32;p_m%aJbiYuAgjwD#sVRx3Lv#x z3DIITs@!U7U%0u60xI#3=Zke@AVN+TbrYMfZ|`~skm>y6!u1wCQ?40(ayxU*nN}8< zYrIarVWM%i-PUgI?s|_W@>VM?J6)>j_Ig%bcR5k4togfTQs$&)=Ajj`@y%ufJtx^I zcqJ-s^$$$)&0nPXXC>&p;(YhE?rn4YFO;gHsV`#lS(ykh6ygguI`2x~`2PTy=3K-X zSBO&|3In$*C5qtYV2oLn&Q!|fX%88G_T^4<0||`Hbue|1@4b({v0t3>KQ6`N2mwxo zMVxlIsa;viR?c2HEFn~wBM$8};{gv|IL6%9G6x9X`UlW9G<=869D1Z|M@w$Q4=^#xxD|nKz9NOh z*~ZgT%{%W#?Dtpn{8yOsCwtTS>BGZSiPzC8EpqBq@(L2j71?k;*3!=R(>0$o+BtCQ z(YU`w5o)D$ItZ?*;7pu*H0#rb_WeTv%RPE|Tbkn9^cvXecivl5V{g(uT8|CpJcwm@ zsY`LQq^4ViE@dxb+^x5+_g+`!K?3Qn)Zx@~a0K4P8p$FcLe|V0N=0%muE*KvI-QQY zj?va}0T#NT=Ggro*t-%PKbClu1hCSnl1w@3USO=Gu;uZX@eCJN5NVxtoF>R&!&{Zv zD;H(op*zjJ4u{a~wHHok`{fp4NtI4Hc=)@jDedO zh8Z>0HU`C;J5Bcb-oKUPDp|p8TFF^-Ea|<6xqA6;Ci5wTx={jTka)EfFT8>V!j#5F z0Tc_DfN~4Rpm7Ph<{GCNT+3au`XkWx)VzzuHKT=<-47Xh0vqWb%h0sE>%??G2eaJ0 zTAd}BtY*}Mh7iqSb~n*s73@Y^3U%$)MbDoIr zoPLMi7_!AySGA-EXt$WnEm-PIP%JoQo(+@h5MFb1#MPia1O;LSz4E110riXH3;4`$|6lHp+&z*`hMLWUEtK}0}ivF zD;B62dyi4>?&&5#Tu236v z!N7MY0(D&tM!IHe+ZcRRLsf31*RJbXEWp>x+gBgeeeAXV7L#4I4$iL%U9%J7vMT5{q%t15tNEbclh!?N0I zI88NL*RgGA;p9xrQnX;GvW4Yk z-LU1!kPJ-=-u>xYqkzCtmu?(Y^*l#Qqdmw5@OX`>3tg!5P&)7OOD0HCM2_#~vQF$u}-q*YtXL zEhehg%eLQH(|Pv2S!OOOa~EQ<&J}kW)SJHP-T1$pqy$FFirsL`7<1aGat5O;P)+ws z;%dx7t6IOe>UP(*T5fNV1m*i!jcPU3P4_PvoVtpwPiKB`pJK+u^$4wAsSTLJIRbfB zV2c1S=5OvFS6GTEmCctxVeCzM+$VdNrS6sHehsc`n8Kw@P&n$RHgS--I9S^H1JK?c zXX09{oID2rMB^gWTWLZXb5^~>s@o}HrYyihRdyAP0!@>JWn;XIC=}>++l8{TQE2Mz z{dV0(YOlq@1y^NMy?j3d4<#&Y*A)=PMX|83b#}I;dtrtaU29SXb*%;oL4>a}D^k03 z(NfMX9?Ue^05G8mW31lS07FV7h8Wet%u#6S)g9-z`WKFP{y>=$nAG9cpnD7Mgu1>Y z3bh@T#shI+s9L(-JD1a`L`d92XqJ zLm5`pHncVIzV`n2$NX<50jy?X@>8vK&6waayvki(mdUIWlscm=_WqU8UQ+KfRmE_9 z52Ehx9H|;!3(55>e(Tpe%j)EOOPxb6&!Bn_O|-JeLTl=|k1*u%IOpek+w?asXne1P z$+hZTe(l>kl8RcbF9GKYSmZO&J#U~rUlZioTRy$&JEn0u4vS?kc-PkR{5K2FjEw9( zpSrQ0ed5#^*Lxpbw8d(*L@nfedsI*Y7NWC)!9%Nx+L(kJ+69hN61y)7d58{MOeP6gEX-c4oUsB;mm`O3Wz`{{VIFd|S-L z&~tqu86=64b0)h1qL$YuOp#0kJJLNfthp-}8t7}YcW_HFb+mjxh3l_w{iD(EqmJ+{ za~XHN^?r`?;#8Ow>v``Z^Y&%UkFa&ydq!F>AoIZ4`oDFvV4lgqgjVM~=YZA#6|ZXa zeTFZS@;)Cuf7Caw)5gOfA%?Afr;PJ{Q(WBJ`=_I@JmDkkeIPna519^b)!v5_8sf;FVJ+-Wol9y z`rIcUWR+zRjEYUT1yPqOzLkoj77{Bq6Rp#enx(O&SS*@FV`ji37keadGdGCWU(%qh zZ~9(iO@J8*NV(R;GPE0l%Gjt&>R@brx4Zf8hKmO*y0`&blO{}Ppr-&0h!j++cTPfKJk5Ro!74S zTUS61kH)y0{;k~Z$y(aG3$4Z-4tIg_x&n8-qtUO!Ij;c;5lkZvC^+FDH%p??-oY?LEHqRiWm!v`25#ZVQg{Upc2|)BRVYaHmnL<$U*^ z@4auKc+%US21 zSD{Vca`cPA=iVdDXL0OZ7Rl0$LIn8l9nl=BV5C!Q!NDwpT-D02vyqmat?T`(vri-E zzHd?FCc7DxH@>yiTcOYJK61+#Xj@{&SFv9^PTM8RMbo0XcCH}#A3N5n?nunzwTg!} zqam4ciPUB&jGtcYf-BlOY=+lz7c6Ux#A-Uws=Mum_q)B>aQ+TkkD)%2+Hqcg;CuzD zQBvHaVp_|hijBcDG9gmy^xogtcwYi832qQVVS_Pxs437443a0Pu%3%OZ?5;YY4cul z!7HKkZ$RH*Q{{Yfl8&p=J8SdJ`1hUey@%0nr;hpm0G-+T*QM|7BaGqv{{U4L`X1x6 zufq9g4*T1CYvo6e;xw9lCdb?R>#;-5ytjd~vD);$joDqA99NI?LapDR^*43AM-@=L zFW0(dqSm9&eD^@B8$PqQ>9#qe@?SHfbK5;S_HkYd%#P!6>E4UA4L6i%LZv=wc{38F zPQ5)1s^H8u%V>hnXI^cQcRuUCwlp;UcjI&t)!ZOn^WD3P(-nEICi4pT4HqeLR*+qf zcSaepN=D`Cn6*~r{L+QNH8UeIbRg_P#KHLj0!&SY(Ab`|g2HQD!%)iW*s&*OvsSxH zg_V)m_xoOtitysS?Vnz5=H#*w1q6=TwUU#dNCo%od(JG=Fs>B-c2zt3k4^)FBBPgUmrCAszg07Tda z72#ahPwic;+dSWyc=oy?PixqxkkuWI_t`p^uafePDuOmWud?;>o;S$6#;$A~o%gGC zSA$9A9z@a2uF2H30A=VN)zBpxeh0~PuS+avp?Y^(^zgnl%QM-1TVi~7&-|+x{{TVv z4bbzR6UkA1R|uTCm^w6L)a>i6Dr&LSRhEh!kKX+m)|i$Ci_3gPFF1K?J?Gdj9$?kv zJSU2^NSY5N4VrelF76d+SEy^Af>fG0Up7=UnF<@L3X5#WVu`U%?2=6^m*7c8Re20t!$35a)4tp=D?hB9k^PNdt z?tRaHoacscb(vvxq4rWQc<3+gFNI*L;9@AvwOc_sA4B@nMhnq!`*ES&1zN-ntW#iLfNF7i6g6C!sJS`1#hujr6f(mY~ds( z4l0YQH7>S7z*yJ<1-dOJ&a&>}hdW1Uv);V9Xk4clyt|3Q$DvRtr+w`fmr?5dFMx8; zC4lioq%DUs%&(ObO6A-$!eC8_4X3mB+lb}7Gm1+KZ|**iwNEkO9(WMWx7hpJwKzWk zvFx6Wunt4P^!}&nJsIjeo5kqOLc_Xs+YWcFdam|0zFXuKmV1w9^c~Fd-WleS>`iR7 z+It^!Wn52+a>f9_86$6^duHJHKLzFOB`eD!U4OcE7PY=*;rhRA_1&rSUIFK!XnXH| z=|fTAzFn29)g~&@IO|iJA$rPe+SNscRcRV-!#dBWH(3!RQLXWhCZbD}^xsbJn>m>S z=bt<4nvAPvY)n+UxbE$PFHm{dLW2QZPn*=Y6Jn_~Yp&Kn*w#)$@$6hQC8Wau1zWJG zuTYgU1m+P5P_*?aj4`VU+O#NDy6X1G1P#>lBH7l%QL?0sO>NDyhF#J6_Vd9xxENw= zDg`RBnQ+v&uTs5Ufw=~9A#x|7^`Ao6haus-aT_;Yz3Ka8@}3jtFmCGom%P7Ah42P@ zSEFnd$ap4;*ga2tT+f5~mR7=H*%*m+k6QJ;+-dx~$3z3B_J2UxFNN^FH7~`ZCv)ze zi?EJY#ytAO0SuvyccOb9{{T5J3*nxsPRUBQpFsK*!1KQX)Oz2u?T?%A&mg>^^zVJ? zV@u#ZR3QVH^F6J)*w_&1>pKi3zuAeM8%~XM|IkSIB%JEo4*bK9lMl zk(r9)er(Ym1WkpT((TuwY($R{cTZf47e|cZRxU9T%s^uSS5zo`qHv9P#T%v*M&q1e zT@5U+CpFEjZCWk~n>Y~3xa!nR*j9rptgcmv3xGJ_Fo8m89?o1P)%xD^=e-7ETDvtC z0^D=gXjPR{U3)1qcn}Th&fa?e0Hj|}BjEg8TCu_W(>o-Xo1E)NU>?ylnTbSU(9RPD-2qRRLVN=Xf(jh}I z?34v2`^o#YlZvx|H)A~Pb z@2^qfes{U+{+Y5@8R1$_T=g!Ie2358ZaX3d*JZUC8T!|(bP=lZpBi11?tPQfuhrsw z6N}h3l7g}B{p-^1pD*FuT^23!z$aJf{mZqntn$AHNmngBy}mo>Perm`dGr3Gx%ZBR z+FuIt%na*(hwM*Z;{Gd9%M6@tzfJVIT(0v&<9chpq4igz=Yo8Fv)5?4t7&WHonN?i zw=i(yhxwmDVbXA~YW6*x!Dd$QKO2j=IXNa-PjTrttf806&nmiPVXNdgTrzorFKgn# zE{%$&w;U)X@|czttccXww{TOH0Fx+dk(O0r;$YiYs{tI9nOGhYtwmDIk_9~KozW_0 zx|Exc!*YAyciDW=$D(rZFe}BEv<=Ogt))eBTLW%LSjoxVdryC{S0&+Go&z`D(de5R zetX~=TqpGY)81aA!+ie$Z`QpxVjOpc^Zu3U{bPCm03`5HxbZ+m$uc(jm#^;UQ{|pG ztec+0>U)&)o;T($ifO8=U1!l9YqO5=eiFRA#HS=T9?R&r>+t>~&QhSGvu4L(?tN=Z z%RE0@+r9-k&djp?1m<@onjMQn8R>HgN6-h zQ*dyS=1{Qu(6!>#48n%TqkA>qoVhxNR|*wYw&v{C0kL6Aq#{Y!6U2yNBHpXny$a}Y z{sGQ}X5ZXBCjC!8`N+ZA#i8_ss#tKDCr#CZptV<7>1Z@1>o zvpqxD_lKty%(8z zZlaA`v2C8m>$?_H@xLOm+Xr+rG(c7f!6JP zBcUqGwNFiUv&lfOKj9s$9mH7-1f|#Y&aOBUEs2s8KJ5oF45-%}Br_85f{Jvv_FG!I zZ(O1;rsI~{Dj>tdn!uBFcFHadVaQV2g@aCD4Evv;8}g2(y@_cRWx1B_YiiA#F%@gB zN%t)*r75gddmm%;TWREeedjYLdF`HuvZ=#-{mr^3ZSS6gdY=dLnESt^?UTuT_sDJi zbJaRb)bjpE=Qx`5y<5^RdH0;rXR3P#L{vP-=lrq`>pfr4HiN9>e2dSX)mrpVR_d(R z)Oml6imh)wcWjPJ&NQWGt@WNFK3|ppF-sM_m6V5`@eAP8$-rCMFBQ_ zZ`ryrqv!rf#*P|d9@pQyUX-OdZS^eCQq>Ga=_+fnvDKpN|`*>QC>w!|&kipycsu)uo8wh*jgKV z+I>559DjiM`T8%hcXtO>&GCG9HNNTlUb5Ds%)C~ov-<}8>G_Axy4<;L=Y8sZjkW5z zt{Ixahf(AC=Zev{MKJs*X6$GB=ld79>gJPT&npYN#CRSyE zNU5+;3$jHDoP1Snc)e@|j4GvKnh`Fzb(08T+ySiFURXD*g1TQ_F(BL!bWg2&D*jTU zEW=hBFk$6D<1)w#agc4XV#cH`ImH)fto=kGhU2ibd1qTHdu z{P7;!>07|$d;`xjdFy_Y)V(zI6fm~C8%(F?{x8gW$FTG*q2>PoK5BvXHoIR@@47pS zp-!$&%Vz0U8Red93sK)QnVQAqF6LYbArKhQl#Y2Bu-!eG2v-ZUP&M zv6b@y(N$Vg0N$ppO^VkkyO10 z+j{q9Z#M8FG^w?(7QqKb^I3 z#v~@j&05kCBS^9c6!urL>dIlM&uv-fwAr~>pP2??Xl%lmX?C}H()3r;aZC-U z(!Mcn$@piQOQWdtzT=?VNs;2{bNoM= zakJ}w$I-T@mi+y#EGA33k3{aeO^fCQlda}B4=1E+RCK<--)@JB?cQNMPO|D&wJvX>_Ru$#mH9<}nH+9)|y&mlIj`{M1IejG!h{h!*AY+m#Zd`3E z3M^fQTiI(SwI$h3KOy@F6}AM@ct-^S-F;ibYpCpNYz^5iGP6Q-o>9*|h>U2ypcbxn zOvX^nh_hD&%*8#8eHJ~{KpkBm0~q+VCxoDUsM}!~R3uKDt-Xq^h&hHucwLsZMzDZG zLjeyRr>6TQFP2eW4p1zH)Mf+_Ib#izVu1#eQ(fq5y*J| z05m~852tqaRl<1!{YSLj=P~45pu#V2I_|rw+-;vVG+fUa!g7vxdFWf>qrrJ%TU(A7Ir;9Y0>PQF+-i>c+M`9g9~x% zoqBW3eBG6;K_>Oyuc+zw8!lVGHJ7YNCay4ND}cGN0(n^EicRNW+?!EnNmBsVhWjC6 zLnzoV1DD~-%SEl~8XZS!J11Nk`g;W0^z@!>t#nE)C~Z})7%_3Hhq(`|hD5^`mYG~_ zl@}7JishjPAZ*CV$Eq536_WXldbElN8*!uvV6+sjc23 z(p9|Q?5>(LJeNDJV-~Tuo16A)bM$8hWP;Al{`K7lCFZ*g&9st`wQFU?T=DWQ3bv~S zwkbts{=+rhKDN~9=^8hJluI!Wj7|XDw5f$;>8o`rtJHSeot`G*Iiyp#%+~ouMjEUm zD?S2~k`^r1xH8(-D>|zjhatsXw-rv!N}y4e3a~ip&4FlHY6A()6iF(wEQ6XZM8kq* z?oAM|Ba>dj@)s7X?7d&sx&Hu{%MQLq79tJ83l`#@#K;q6FIrV)Y=W_vbp&Ea*q2u~ z=1SgF@G~BX!^pr(m1L&HyC_DxF*z(8Fu`e=AWfBuV(#wn6jFl~}WXvF) zh1GPIwf(;P$$3@nee-uu$2i@sVM^Gt%Lsm1Qkf$=8uf2_MXUKr`Xb0?RMGhg7cLei z7!b8fL54!))0ImO+GTeo3bz4KITE})$*>jCXl1OyURlBh&>Jm*A&Q3V$3vNd)@hY! zZ|pSM^R$|#{Mh>Us`LK)1P%a3{M z-sjTtUI*rM5-NiLON2`>3^0VmUb%CW$J^M{#@Ymm-C0$WhEpPj8t|l=sGT0ma@TKq zI~|8f;eKZR%j;iGpOpMuaS>M5rryL&s5Ft0+D>aa9`63`iNe-c2SZilXcrZ87zd$B zxUn;A=2Tv5w$GG~SQO()6$P)rB{u>en6pukfU??XsDf{pzXrZwVVp6xcD;8`=bmo; zzpr~j{GZG@m{mfzv-O`<<34vyWm#=}#7i?H1PLU|nN%7g(yj!v!FEZ+3IYaQgCz}i zMnf5jDa?Q=773;p+{jwMXD|q{Q6}QSCRjC%b>pt!99OB2*s(`|Zbx(9Zx!c$Jv*Oz z`d5D(cfQQ7U>tj0Sjj(PT5|BS+QNI$x`4Yf(AKlYkXv`vh|w1uS05j z4!(~;x$z!8zjOB&SDqvCq~#Y?x5>|4j!Y7X&8=}Rp?B5yk4>;&9aiNgBT?q2MzOBb z2*Rms3Ts+p5P=<4+w9D#b`@3N6`dI43eJk>Ch-7Z;Il4P#AXyWvzWy&sVPpkn|`x> z)b-wZ;B&hA8|jOjmx%n-y>%$r?>%qSc<-NUP)0Jd;s!||LRbZ5cao4ZSuVaAt#U4V zK`D7)86|BgGni;3!y^p>wnJDrQv~?{$&pJ30l1=MWVvmADzRf;retdXHrE)q%xdG; z_o=1lJTz@Rzv#ZP{(14YCjb^&^stEqHEG?l(PGGO9*Vgpy~LSWNZ=VI(o&pRI6;=$BcTy+Gg% zEq|3fEuyXT4S*a%oJkhAU}>CJ-C(Z{VQ5Yegv#1Dp~fX{Q`y(@Um5UC)&=gD*!>Hd`H*2bP?w4+DOns~&4?Q!wOLBZ zLkE!<(rnE*Hi!b*4z6u^n(NCNfW^s+aMdGYFqHFLmSQHvVN<|tj5%w8!^F847mk~% zI~5>`>m#bUM_J11oP7_ibQZk7f}kP{VWsVE4p!9dH?S!t8rKJ{1(2||c1FFNuG}eo zVtgdjd2*9hNzj zE7&npm-48bX1@5L>%vrI*$Q^eO`}%GV<5rISUQPdjvDhY(Dt1ea;!2%do@;Ztg2ww zdxpY@N|M|guG68%@m_y6eNX8Q-;CydSkk45wY~NaN8o$`LtT)ELNgB*Kui|kiMCNQ zl?cEv!pcFHmt2|%DaQmd0?8WS!J;H}>^8lLu53YAuT&&bOd-di*z7^dpv0nLN>`Ex zWZ5HK*#yfZ%X6>Ou#q{opvywA0FpyxwjlNO_B$M`O$>D-wXDiyM2j12dZkBYiNRU)a-iB>tI<<&Z-=vtHybL zE|$d2HW3S~ccLE@LKkYybgT|lZo4xLQV%+XUdqHE-a-M9ee^ZEYcy%v5t%tKIm4A= z1-53@TXkxPmwV7_v>F6cSx)2G{ZEVel}0RL3Nd)X6RzTT8Vr$fQ(Vb2mD`Zf7Pyxn zKp1%CIEZ0J?3+u>7{kK_xWJ07WvIv`g2KSTuKo$i%QS2~`s!O1pB-3hkHxmqBX9-hFPiqiHr2IboXhZLQs} zud1qUxY1Nr1h-)+sMs73wy}l)FjBk9$ih{J)2FbTYpiNN5p|m#eK4%$ zTVlf1X1_WOevP*<>)1_PK5X6T5J^-ino)_prSDwZO%oez-~&2!5V`b~<%zX0Sw8^1=R_jSi#br$k$ zB}=lAA-?%EnxGkH3|I^qWt_dLU6KOaqJhfng6sh>Vz&vZjBX+QS8T{_78KN$YrOve zi*{>WZMEaA?)DV0tncmW^khjKr&;Z$=Pq(1FU<^dsFYZT1$7l{NzUE$s_d=g;KS+lx&2nyUcX|g%Ww%v+in)=ad-$l{rxrzkLS*+T-1X#rt#g5AJD3zME zEL;(UG$09VbVXK7H8SKIAZ)EdlUWOZ36l_LX#kT07Su!20Vy!CV9=ZHRa)XimZv7d zL4=XaIKJ`8G$PIF^^pN-LQ>FuWwU9NtY)^QmP6J`DU~qT5X!l*vJ~u2uox}DhNa-S zsdj8&g1|~Kro^xS7dC8-SU4tK&2kSK86g-muJdgoErTY9XVpI4N!;pQ0J^?s%$0Su ztgo`^y;or5O`DqpS3=Nh7$&Y^ux3smCJD`a$4FOdfR>7v_Lbtn!)j`=SI)!k9a{8e z;nvq7G&pwpK{#?&!cY__rdx8g34w`9rdkD(qQwJkY|5@Wj=hdp%92Vjiv$VF+YG=N ziIq%_zgTRVxK?o)kmQ-NQDAcx2EfRw+<|Smty2BfRG^%6F;74<8$rgAabCS&Ga)-S zYXdMv2-&n|LbUEsoAtG|TVZPwN!dn5m#TZ z-3k(iOlfxvL!4mBR~4<+F|B1nz(I{I6h6`|R}PEOu2!=5a;z&9D{wqm<(iKZo15*L zwsqnALc}(>SCBhcrlV|!w+_WtVK%b?F=m==g%>7Zmi!rIJtFguHcM}veF4;DP zK&l+tdn-XR6>_^!)xNdXRj@*f2y7rmR>*up40Xe@yj1F)nwqeN(`w@@6{XloS=wx* zki2v?728=A?4Sy~YwXo>Sdn90n-H)Sp2&g3Fbf=(9er_Kv93s*66~ew3e02GLgcaS z1Ceb8UP>m$GeC}*@!FJ4{m%1h`kb#Uiqh@2V ztI=%d6=Ny0nxnbfUl7Yw^%vLI_ZwZU`th%!&GQ;9B;3pO$uM&Zv>;ETJKt5lBz8G^ zQ0&ur#-&*$4+c#(u9MG4BzRndQm|g0Jiz>EZ zvuviFaoD|4iYk^wT}(<#YR6z|6pA+G)?X64mCFK7E4c*4&?|3pC>Tv#oI@bhWo%Jn zSz=uRrnE?4Foo%DI^ey(Z?@Z-s^~dg6>}?X!Lm>o#7m`T2?YW{=Av#C*Rr#&^Axe_ zhCnEy)e5_!lgHK6+r4XCszJT>UrpKC%36LC&pDko9m{1hwb+cqj3q{DI>^HlOjoFGT=DA)Mov7;et|jE%kO3^jgYGf zhZ1TaaS#O=lLVx*X|QW0Lc2h#Op?V>&|UXWW9gq6IRlb4X>)#0UX;*IkOR2T8%doOv}5 z1fhziJW3pR1|o(Os%**yoVJ>#z2-)?4Pj0I{MPEX6c z_gS(#CpIe!SDB4MN~&vyOt3MS>eV(|qOhD*u*9-hbIxhHPoTY9ept1D1~AVKw=w44 zQN>)?Iiv$x$xW9g$3xa_b+z`kQLcR{)OntcNnw_zx@}i#muG9(dQV}$+FQlOERPsf z=yKk9;o92x=8#!Nx)fGe5|)68B+^Hl30N|?SGZYm%Z0STiKvb5rFvIexw6OzoPulu zsp&lH#5opiED%H#hD+YXmi%Z80r z#Epv*E$Z-?t2b-0fy)zI%t?;XX#G>@ z?xOA{tsQ+dR?8Re-@N2%IBy-`7qoc8kjFO0^Q`Q79ZyQ_cG&rbL{`%98l{|?*qX-D zuZ`(_Gt&BN_~2DqsvN&&)8H!d+Rw5rh5kiO!K10vYIRkBZ(P~!cKardo00M_DzCV-!4pt5 z1~XmsfK79e7_nqmcH+!2a@C}4j-ZJ!Yom^3O0@`7kjkzUqI;1RVZt<)F~-!wQpy;+20{g zGxPrdm7&%w<$R|1HVOrxE1b-l%&Srv2XakrFv-S(s@U}3U+#7ooqnHHrqxstbMNf; z+kLCfo`c4GDR%Y(s58lhuvn-gQ5eo1Kt@32NETF=Twzs}S5DU0% zD+;|f`bX2dsYa`u=JZttm?3iDx3=qgy~}Fz-d*E*?VQPvW$L?bXOrXW^quMpW4ZJE zCnJ~gf`M?PG2*1`J8x+3cNtq*pwnt~wNYYdg{#|r-%Yu?#dE(qc{w@K7;~AJhFD1m z!z7jiTqhW0XvZ;QF(_xLdnaYHR=Z1}=5*Tn6^&@V%e#Jyspz&3ug`f$&$(@;5tA@j zylV15qae-%i47{~QeLbZ#%cirYoL?ReGT336|3jDo?lC-qbLA7_APEY9;c++Sf|bT zZ-frQ)+iyVun~<|uQjIYtT{=^Dqcc#rYu6pjWZ(CPKTuWo3y-I&To;>b9Csri8k09 zt?0T=%X<1;$BlVi9hHfCt28lHn`X!gPZLFZM^jaNfD4;RafWH?ZT)NLE#_C$@tlgO zDZ2Z1DTNGGowq^O^;cERc}I@<94Be0u9BZJs;XT z?V!`pbDCXbQ?FF(y#VWWH{FL(wUuZ-T;daE#tNT-3w1lWFeWgEh(t)eV#e3Q!4g3F zr?hrAgHg|OJno-cu7rYV?$2Mk+HU&J+jivWJWs*xU0{PGa8Dps;5M!>VU?X3A`vxk zNFwWjX>7RSzUSY4D||Z6XOQJN?OkM&N|pO#YhizN*!5fMwRvwM@k>^msEkYJ1hH@_ zHj_chg2`?YjM+}bV@0)`F)f{HzN+*sv}yT1Cyk=5HTLTtXmBjux4G;3{)c5xkMZ9n zvdgkduLDa_v)VaC7^u@%=^t-g8uXhfY&Oe&zJzZ5-`Td%tv?;XP_cG{s@jdM8gwhB z!Sp@PPq$Yy<6cC~lPy`??f1K<)!;j6M$UCgC8v?{dy9cyI3RPc_RmV&&aXw!XsWMS zS~azvAgz+ou-$h3zSNh*{HLMIEs>l$juCMkbH$R_O2~!U6}4auO@)klKehI|1!_6I zLq(_4YiZf8H+6gZ8r_NPdv3S2=xx&EzH{^DTap$aotX=R7{)6NQdu%XgbGq*l#8}k zIMWfm?VhjG97FVq+9c#+!kJ3) zmaAvBBEX93)8|ib7h0`gv96odAvSj&m-MdXX`#sSoW0E!-OE}nH4Ud{Q(YFi9_O;U zu0!XZFJo#!+dEw!U#aFgLZz{CYU?&g<2>H-@YYR2hjr}!x6)-Ecb(SjDc7k|#d`ND zEq7AMv2(ibcJWij{&EqwktbUOs9CuUuM;5_5fWfzkYS{l8CRwEPfXknla%DSt#+eT zs&%Q?xtq;F-Pi55TaNR(=#N>ze7{AOa7+ZjnJXA5Y#>?|&`Tyt@@uV9YEAaWO9Z_K z-aAWr-fM#4dEwTR?W*FLmTLu@x^1sj+4g($(s`%Pli3?p1d)C;c=3h64 z$&F@N?0ZfIr(u5}IpDQ+kDu_?B&a(qWk-6ywDxvtX*3#bZ3U{jP0NZ&%T;q(Zg;+s z-dK$n$=ux*IxZy#k+0Ql?xxHLkP?lR;phfQND--?$?P3$P~|v&YgtoSN{~Bcl-aP= z+qW0#x2^OJ=Wsd?7Vrxe)?=7tF%JyI8ck$Q2BefWA|kz9a4Rl7ZZo~~2c>Qoq4WO$ zIp?*T8mhYeWuP2c!G$f}*Q)M2ZM|Mw#C)FXVabvdkx78zq@|KL#(}5`aMZ~XgOs-u zPv3p3bDEzu@|f0a6=uHUTd%y;I*VFre%oc)ciY7BzA@wWEI}s-By2qljIAq^Ck((= z1ho-q<==f*x~WnANyJjPy=|KnF9U5IJIYe4D&TbfjlX{<<6b{;*EqEqC5GaxUf(hOrO zRdd;frdDwl0AwOs4r*~3*Zaq$-mTB@d^VnztN?4dn@WNvG@EX#HvPw@cK2v;A1-LE zPD-KJD{N#oyA4GLk%HL_$y+N`5=z;5jAhSB_MXj}-XG3*JzN|*p3hng30B6kHYPi5 z?_1~{EuD9peCw^dCy6j(ZH_45Su2w!Q*%XR3<+y1uGol?vZqn>muGEUFPiZ%y@sQ3 zl+&Sdo9a;6j@v9=*>Ahv$7`qapP%{tti@L59eZNLHdPT1bOeHlXsuWuwZHA&{#7Se z<2ri#I<&34FHwEQq2?8+)^cq2-h;H(`R{;fws-w9`!3i=pKP{m3fGnyOV7BROAr}i zozGqNuB@wSIZkZ~*QWgyQ#Lthpf)Kmm{aN=p=^1tm3Zv2CtAQ_RT5+cCK))FMlPOR zWH1$8{{XUkH&VT4A?F;%)$&}*fU83m;thr+Iz37@1sTMepSsWm6>Zzxj^5l>g};g#Z8N@bl!p6?bFBj zZz?b<;yjzkb+sy3+?9Pj8#na!1zQ%{ zcGz~?UX#D*W0dhvA-!blEx88}aw7(-hzk)2wGmTXpuIcasQn>zwmx;_!7QrUyDN2A z@z_NxN}{PmS={tKTH(s1lo2T7fWs`MpO%YJUv3&q$75mOa$Eh1Xvu|!6UJ10)gCw=dJqkUQr zH{!Ki_3DPZOI4b;=$+}Qxu?F?WOsgr*E?V5A1?#f5bTl=fg@C8OHUD&FokSpNS$>q zv(PQK=w9jG?6Z#Y{{TBfCZhH{p2FE~_4!Win$>Jur(@I~vyYPN4L(MZC0$^CztbL7E-}I*SmUN;zF*6iqh$#!YQIc{c99K zjH|X%+fx3|(YuF_IrEpA1=?&FRZ~kPju;|X8i-PO5oZcZJuBUNSEq>b?t4jFZBAO^=Ld`oGyEhAAS^Aqw+G{AGyQ=*MruN%fUT^dMg4AR$HPN_nnlmu*X97;i zThg)#gNSnFrv3NOz2&Q(d-I-;V0z7-i*vA~jZasv*6gqAHrl0ZcGNc8F3-{T3E+Nz zDsP-dx{&m$wnoVmFmg7=HHm_-}Xf0Zh9>>ym zx#zz-Y2D~mv^wU{zv#Wrt!?Lmj%&RC08)c#30m&`@2xY=Ye&oJ>L6EuadDYMGTl2t zM!-WmzfHfI{{SEP;BhR;Kr;|FVAwNJ&~+eE^)SfJTkZbOzkPo(@|^`@t7faJ-OHNY zs_Fq(ZaSH4^U{4seq7JbzFjcr6`m-Fs?5nMVCJfih z>_4~nzRuQpKZ?}272NH8+j`m??GD2Fce>@#xwz53{{TzsJvnl|2j&)<3dR*z%du`G zS+8=VA&Iz!FD+uT)jjiSd!BRWxqW?g+RNDvw&qtM+l?*8x;C0hG1K~oRQ^lAcoy}& zPE^@*&ggflIMXJr8s2}UDW_l=xAs3^-a{*K`aW-;Ea$omh?^^|XbCMD01K45k5k@a zJlp14MiT%wVMMY>Sj4{ofdiHD#xc14-?#eC%GDnd^8H$x@l7Wc+dQ{HcD}O1ZBoag z}NybJ`rYO2_V2Sh|28D7zToz`N>%rG0S(VM4+`fYten< zsJA{x<0EF0?`)`0*id27+T%3VEmPRSl->8N_Et3BDdDy-vk7e_w5yxIEv2oecDy)i zvEo!c2i!Y5yIv#4E1|SEHJGh#*{he!E3agxyIIOI{{XP|_H_OeY;!T}kK$edhDMgl6FHVMupq}WU)PALo7`!}-m_S?+(ZyB_rlp@6`zZW%6Yqm8j zKGv%0Z|i$+PU~II`1gc0jKsxqHk+W7#$c(q(o8x9PQhE@iCK-y{;BMpE&9GE;=3qC z`nyUlsi?T?Hw?MZ>2*656?+>WRP-BK&nxg0FiNGUXyeZlD^qNls<`Z`nhm05XXr0P z+1%i~t5S`&p3ZL7Q)X2yxLP&X^z60#Ee89Ce`Ovs;WYivrt?nos2Jip3f1#fWs21K z7M=>my7cY-hV>`KvhyBmNXZnPTLSH9R4rT7ZaO4swa|LkPYL1vY~^IdRqPw90icTs zcv#BJQl*Ct7TfK;*S@lRch8*8vuApRTdcG-Y*?#pDnab4I;Bm8?dPob4b^#n&IyIU zw8A40{K1u&3I-m-wt`MHY)YIV2Jc<<-rDqX{uQEAlMtN^{jh8AV)~wFuG)dh+g)!@ z>0OJoo=M>cPS66ucOKDG9hjFTqNftr7z`kWNXP+L+WKd+^!K%%BjkH3CAH`Gn(c*3 zJIFZOYqjdXeM0mIdY`0iX!(cFlnJ<@rWftDQpK)?$KO&~rVd2qg%kbz)3#PP?i! zP;A>jKH%xBLcM_$)pBjrs1=ZY1!Enc?t#j0#w4gCl79P=XPwC)#M={>EDU!8p6stm~+L71Tf!^D&t z)NV;z3<)uLL>*h!OTP9F`)xd*h;nMS+LKnc;o93Z$9TPHUAJIDovIxVt#%I5d545j z7YSomILtUOTMZ1%b|N674A4w9R&&p1>3+@BUe);TmFyZw3sg3>EGo>MOl=Iip}%8M zu~(~lUe33i{O7DwZPc$vQ@z_fmm{avYG7N{ zsj919%G?sVGh!>7SF3gPO1ke$=wVz3&78CrBCECt#v#(Q;Y!aTh7$r{!nx?a?bx_H z>%>!3$7QR_O2b~?O|hV?xYX5avX^1%{h{i-&*wU|-~o&vg*X<;jKYwJatPLChFEHA zfUW0e?7o4%j!(w6Y`zyb_(a-%hJaVvTn~ zrHyrF*;O^#n5|)5x2W#2pPc;Nsb03w*rN57#T)>DmO&gfQ;!t4E%*NM+q8Vk$Lh8# zY$&r~qXq(3V&<_MX|0Y)XI<<)gy}q==k(Pz#xQV!a|4*e!6=dm#4)Odo=LzNY&zdx z^o{8A9y!Z%;cCh+*9eW+MJ{N{sDiIoe;BP-Netk+eP)W=r6={|+D zR~IiTILydg7B&VQFfCZki#^A>dIMw5ydIoQdjo$pQLtR=?QXQY8``lu z`fEEr^U^vv=KN#Dp&~2BE(#Xx15`dj8pTLtMB_?kCK{&f_rA62T_AJ*ImXh~Zri1s z5ou|iO|9q9nOU!?ELlky)N$aoefEQ-O*kXy1O4+6*usS&WG2bkO&n;w(d zeFtkCHr33bs}#JC!Rg$sm8uA)_4nY_uUy%- zwI`IC7FSx@FEf4a-QBueM-6p#R)pHHyO-Z`X1@M5d~($5y@VzUO9VL&wt5B?6S)8n#1Oa;lBG!;`a3_I+EkeO*70_!5k2 zqbANaNFac~@We6dF$aO2?vvg98s2d}0ig*?ds9v)zX`Q{dsw%a2{^8dakHx%pO9rh($=bYF6;)bq*s}1hw-Rio!M?uB z+WkXmoNocCT}M`Jr{>PmXq}4-y{fdV;k3O!yl-bi^S2O|;%pgG>V0a>aZMtl#`*nQ z5R(?)?0$&rGc{ahi(Z8_A!%uKlu%b&c2O6`$ zjD^^Na!9Roe%tEXDbRRkp%-a6YGu0VEV)+bSB53m6I-e8dn-EMKk&{>6<-8oVA&{J z@PN-CTMEWNZ`&bOSy8>nulXIc?9 z?OD^PsP{hIw@)qLd=Se;NX*M_$OP7Oo0eH7I4zKSTF*@N{;B$JHsz>qg05MkeUCzJ z4dms~*Q0`=>b}2qd`HhbsKh4gvX@uu+Yw%nsZS;6Di?h)=({*6InKyf7t!2e0r}E)JSTq zV{)roUA2LL7Sy+}pV)d9{5kI|@$D+>5^^vw=LQaEB4z-}A*sn;6CQ&HgWr3w^}k2k zBbxJ_MuTepdd9BR?Ub^c=&NvmseWi}x-S0!eq8sMc*dZTRucG(TLuiIB-UWNGPXd3 zktWz?2#eisxAlJab6<+{PHOB8LS@-pRNS7vh27*+p(H9 zAi%>5Ak=BHVGdlUy7kXb+J_;-CtG=|Q00|YvkkXcE`@zp6n^#E9d8}+PFisdCyIIx zYU-(ClyvwnEQZy#gto<=-{@YcwfKHxO>SZlWlpV+tm#-1B;t0R=RulpHt~%b_2pJ% z4h(^KLPdxv$jF=rh$*eucmDI-Hou*DjY^MQja9lWorM}LyISeaw&SOv?)yu$c%LBi zddRMsImC&Jq*ijHTVxuV?`lcaf^G!&=q&9Z5V?xb@TU`d( z2D;y0+iWWF9x3Nk;vv|ULn^W5nF2OdYMe;70n2iriy?6tV6F6ivFSZ+jVA-nRo0f% zRK1-^y-*A_clP?L^m!-FqLb_LeoA zmmWB3g;rtZczrfsyH8=~+HU*YUK(!&@_jgCl67p~>HTA^uVYx5N0|A_F*sO;ZF?VR z^$S_0@mB3gQ&P(-fk=aaZ>>toulBuN%3N2A`D0?bWlM9DlBcNBM+X@KWC1YPOGe*G z?mo4#JqMR@^&70In-QW81lq?%mWIgP+jadObAEB)+Lcx{4q-0@#PT6>MF6)56p2hm z3vdLm<=d|J*L@3Uoe!CFRCg4@y^FfbM+-#LXlyG#W7GN$>one9;QZQh7y=Dyla_>F zN?6QP09h5DIYzJ&b`E2kwe(M2^gXh)9Dg(jv8K0rt!=eke#2ZFimKRDv7+^k`PKMO zpE(^uSjMF-K^bFmR9$(^|a5E5-ckrCe4GgbXz>;K;!fILyVw=2=n3B#I{p+IKfT&FMX3tMk59 zO8Dx9_K>hPXF<#lp#PJoOe#?zBqGc2SC$RZfX#w}Tr%GSZ_ zv(S1st9CmY{Lc@kC8~znjWpj{tLfOGtrp$?FqWb55wnl@A(xv*lu1iw(A@!lP`71uR+cjM8muN=g_d6#YqUQXc(E9CO zYH_r@=Tm4ESZlJIZtp#})(x898Q1O|C^fVLSoWmdtX<1Hj?Z-6cMapdXajc-z>G78>5hPA%j)atUfue)q;%G`ynhX< zeuH6SPIWp4X@>PTp_cj|UEJ2M<{lZPSZw<}paIwAG@CC#yv%JLC!N~&dzU)8G<*){ z(|2|75ySBHw!V+)-LAqkoQF5BeOrRE*=q}HM8lV{>V1K$U+0=qwVTeC{57xOJUg`P zpmmRCtH@pHyOhG2&+*!$wbuKOQgNj6op!-Bkv9&VG@X`IUeveUrsdRmk2CWva=Pw? z%NJ<){Z-!AWG|xLN1wUXZdAoTnde!$=(1+5Zb=qzZGG3!8)IGO{3Q&jv!)`Oi>Uhw zI`{Y8=T)&@lZWz-NH6pqB)eW3&QiBi)k|6xyyI8D?>ZM$Liqk4W!!G89jxd4_K$Db z+RRNZCz55Z-_rZ7rc>p4T{kDR1Qnfb$6r`BsAj*b^vyHVP!UWd|3_1r%pXQ{12qw5+{cKBZjKS65t zOR=l+NBd`6kQuM?ZdS#7?mf3=Q>619O`0_>sqpHxOw#U?Z_{oaPetdv2R^yL$eLa-f`w3X&tY$bavaX^F9MjS7}RX&1=~- zE}p|XTWiI5d}UnaHbosEo@ zXnfm{^&bAwMCpbJg7ujnrdKY)S zI+{HfC94ZesdBIe(K_A7b=lrsZfC^!KCijqwI0#vS1_|#^dnc%0 zClxO$@tAKCwH4IhxZLkMZYxK= z>uly7&L_d?`X0r$RQ)fMZN0CtoH5pUR|DB}zDaAh_FIPSZz;yo5VBC(*_C!1&XaL| zD`-{gGEF^LsKX=tS_7+Bi%sB5=?=KWavxo9M$6u@A`)>QO*s?WT-#4t$Ua%D|(@k!t zwCOLJwY+bh@m`hG^8S12UX8k#I-Ea}^uC$XGbA~0BG&sKV(gsfe8-0NzS`zsYP{>q zy=&06Od-~A9xc)ON_^typmv=Fy7jbrJqDs$GK$-#Zab~iDSWSv@O$rkvEw{{wR#=w z!`68}nEMB$UL2a9W#j(4>35v@rRH8I>%OPA$r|2q;XTK&pPTWWFQE1tdo}9owc7Mo z72UP7+-yZi*YNyxPV3O^aonEwv9eOjoX>#szNNm2tvX*a+xuTznK`NQeml|o&Ogeh z(7iWi=7yhDsHd;VCQik>4b`JvPJhq%-TwfhpOW)Fx#@Q#^0yJ-K7s4|!;B77#Or%k zX2_D&`Ja&cAEsZ-%G@`G^&YKH!*#x&>b(okHC(3`tz0&nY`=fB=ysQiwxf{Xb)C;+ zL&wY3`-kQRtIj;*)VuAZL{Q=UpRx5V*-j&p^1}5VxwC=K`G+m)9nQxFb=(h=_Fe6t zIOVtgh1hK$AwxmWYjay$U|W6v07-b#jb|O_9QUF63-}*C?|mb6ITbkHCg?pcraX}5 zym)y-n+`{WuiN%p?Ss)*7|%LjZcztUZdzC=Du#O>(Y1joFh`bTFEnW?AV-6tD50?ZT|qHbrd{*mGzHC zx097PKNRl$Uw%*_$#~Lzi?u8w*7;wT`v;@m$eR2=hxER?zvFy9pL6P+J+=~&snt|X zZIzvc>rTj}rQ|s6S9RQNxvv?u>pi37M^EQ|YwBKyvW$ux7ngTFiNBo0^1elP)B48d z28YXeFHrP5`2(%tJaf=}FKMOoo(HAu`+e=kniPu5nSF<}QA?fUcz%D;{Tp>h&AjW; zJu7oKHTd5q+j_4^$R%?=QA5^y7i4CO%{Z@A?=Q|}4g<*jFVQzyF2hdcI@N zb$uso(r&D{fI~AMgp`JxjPkt>``WtqYCOZvJzLUm<`q9O^50AJt)5{=pZI^IdY0Ub zmBDz2dFxw-6fcADz5f8Xbb+JdcwJ9b+4Or$_MaIfl`bpuyyq{i4*vkXvc~hAy{^Lf zOkCG^KbU(zQ#we_XMyfLlc9o$(0LYz)BOR|Jp0O{tnT{#lZ!I~R$>q;p{3;c8XZ4v z)L+tgr#b81gKG+CaDFN1o~yach4P**?_ldr4>ew0!g`0I+l(rFM}+s@snJ*D{8K^F z`d@Fl!)^?K#ED{2tkCg%WzO%n+m|cj_We7yd<b>RWVA1pc04?u*D{V6<;e3mA z>YY|l=eT|j-(S~n_Inn(a3EC`HN2kz$m+Y_UFqAJ&oA>2QuOVXWYggMXVE=JY$QR% z_;+*ao8n^E$#{oX>zmwxE)&Ez9?RC6wLGUU$~pap>u+}BqHCt6zel0bhVRfi8?a5# zb1v&P2bpTOhWRJB?^CR<9M2c&zOBB($k2Hfx6(W75wGMtr$KYkdcKDH&j@1zwQ6)Z z-bNd7mIp#rfiEaIS(AW>pfF4Ggah#Z>;zBvm(Erc@J0X zoeoscc|QeXw(mM^qm1(+B|%n(iyieyzjB}&GBHPjq+``tMrVR4r|PGKDXD}!W~bYdB3Xq7Qmw_dEb?K z52Nkd1y_plJAS>^GAn4f?gLG@=sG>_!(>)*H0o=4UNcFr+G?MH7tV3<<32I$Jq?{&^%`z7(*0*@WrL&f-EXIN=L26u=Kg=1j*Fn) zT)N@JrjD;otmN^$m<<2PNaTy>F=#fz$a1IqIGB z=K{9@HWR<%%Q@0k6Y-yB;sl6cs>VTxY=Il zU$As5Tdvn>boBN_Rh?eBTuK%^O_pfVRF-L z{pV-UZ0zP><277{bz7E}Fg&Zl{X5dxqn!^K%zD47Z6+o(o++N6+DzsvU(awnp1v;h zF1~PzboJ@kZ@O-iZE2Yy%XrmaUhZ8H6nxvsJyX;#MqqM2Idjf4w)Pch|vFTJxk zH2A+R>wO!0Br4{7sJ%C@b_(>qY0G+VZE)ZJ?V_Cv^w{hru>B&ba^)C< z+&ttwL!kA3p}B|3_`Z7|QsNQkyem`heS0!+glf~)(W$PkrZ)ZSTZ^xVn8_m7@x#?^ z%(2h%?*Q%nE!ya*!tq|m>H8eaS2^RiJwL1{%n(+;Q={j#8j52z-?g{7-S4)0D^9}5 zUnAt&zh>=NB^uu~^FK}W%lVj|GsU<5yV1@Pw0>94`tM%($=CV+0Frlpqr51Ih1S;c ze5WH$uz^eO+3Wf}*4q7o%)zI|_}@$Ey<5gdN9B4?MDA}8#;=LO-!E0XbBp10UGU?_Q)nEKD5>?CrpALLu_Qt7fLIsX77 z)q1yN^UT$79$(-3Uf8oRV=yUEqf1#%=3Kn}jki8#3nD9Vo>#NJjd>SAg0o?U0tI*BPBd(E_c_jh!%HJmS&cixA*ER2cF1R-^>EG+D_+EFtY zaysra%=%AC-^|K+UoJmQ>8#8Ki_W>fL+-E4Mz4hPZ$R&NFj+^5^6sb7x&y&qC*r`3Fnsy(!>FE9DRMZj{PrmhsZ{jh zE_=tcUcuWxCParKV6rkZ2&V)Hb0-))=BIbLb_+TWJ^7QN^b5+jqx1B8C!<@874p6- z)cT(0XCpHsAeRCdz$TPrjueIra(*y=!PpqYYrLm`^`AkvGBvo*BpdfHIhmOf zQxg$6F_{8VGnhGw=X_$%s`ZQ<4NsNvzNz2a%*uRsig%uuy`04Jenp<0*)}9~er@MI zm+3d>BQi2`GBP4Z3PdEMBPS-eFXa1wLh6}=8hm#G#JnOda_U*_|Gv;=^ z2d8NjeK(rvyKeT`W@|W~GVVM5)XB`m#z2-vOk`$eVdCVbDsjGLz4X2LOxNbT>W8HD zwz5Y@=X|H5cNfZYUBdalXXty}%%#V9ZvOz%I#!k^m-1>pyVu#wiI^Ffh&;i<1DuQ; z94J>Qb+y|gIDKXY3iN*(vc{P z%*dFC%q+l+$efswugLjU-_yEAKn{=2bRLh{S|dG27v}w+f3$Q(Zfnfn={-X;7pC)$ zYtTEpc@eAPeBZeA4XMdaW=P~=MrL4?PG(@i=2PT6$9w4;=ESd?^U6Mn)Z8o$FQ0P0 zkJ;bMEq@2+U6-NnWOBbB<~uJ;=--mQSIU*?eU+RzD9jnmg3OEvV+JxZGGKWhB(duK zJ91;1@?`ptVsVG0@_i?&cF&xhKbLWywcFY}8Xjfm9;@hf<}))OivnQs3nf`Gm=I9I z(P%cC=Z+S~nDAaF+P9Tisq$`z*!!{(3S3u}_db`i7=bC7naEo(qcb9B12SZBTz8Ic zJ!7ei$kF*{E9+gYteC68`44;PyL^ETU(40%JzMfKSLQqyr+4?~GG--GSrY@1Igm3s znVExIk@C&YrF4e^I$t``cm1u*jMedeaohL%d628kd9A-g>gFX{Z#w7u?&{`1P~yDj zt?&0mlQA$uGZHZbGmuf4kvStzlk)C|(YLK34tvdN`X5zgqFR0niS!QhVHq^Ie>m$s z4||!5WDo<_IM_)kafHf1ZJ=KfRbeeTaDa|$q|G7L*5U?wIqBMG`q4X%dFLpB<( z1K>T+cdQM6m+$?9ux=!2dH(3d%or(>oQRla2uVPcjAjroj`98Xsq~p68eb^q zJ!iCeNYmhapTG2d)?kM(ux2wdCj{_tWOE2|axol#mu9!sm9IqU*^s?2oM?R$v$|QDo;%I@&qLi#VMazl zW?+nrlxAj5MnMH`L(euogVQo3d9Od#`WIPhW_2EU$@g8|`6#2t`L|K%8^g?BA?DBY zuASon&v~A&(K~BnlbMDFF)=V%kvW4HbC?0md36s}>ugR2lgc!nr`XzqJy)CY{+-#~ z!;NpAdFQ3~_l`!No_TMh`X8R~skdUzwF^3H$N`$ptV4?pvM z_t5sGkzbMW_xh(#nK`KP-ZR#FYlInv8Im#(S(rE`IWrg}GM-1wHhz)QWRmpWbJT=Y(*q&cMX9S5ZL zcQPd6CMGi@Fr;%cFmo~{Lz?p)f2Vc!M>A9BoR6dT79h@tm+`)p-C83m-g)KTk?1=z z$VUg`-izq_TrA9qBLR$@B8-WE%orjPrJ^nEqG?%AiFpU5_PaRKc_(@69UOrwkDKv* z{{W%BVoD&gV>2@|2MaQC1~VcN$N0}->HGMZ4Hu8p^)AJ@F|FV{_q+66mSm%l^6j^z zbcd6gPch~FE4+NhWaebSE@C1=XCpFlGIA^NUR~1qA4`%-(Rt37(K`!ygRbH@Udy}N zNkX}=II-UJ&Wu)*&NV&PZFewrye|vW`WEV9X9T8VGI3=&k%yTfGYiVxpPb(Gu8{Io z&-vD?(R#btnX2J94?ynr(m7j?^KJKi(X?S)$C=Ng^-qz_H<)vNi``wEERl@N$;^z& z%pAeUoIF;8%XOZe*uMa^o^!|gKWS~uik@-8cm9QF%PMeQW8V56)-aRBc=ucB+rrE& zj6949n9RnE3<(IcF_n4v-drr3FY)gN^zPGg9G8}QU##>H1g%${@cy0Nzb11AC8L>{ zk&-haClV1e6?mT=?Y&EN85zy_R_9U7e|isez(wf1T!;&ax)Vd0&$pGh8ZIeo=48F`Zq%2#b|u{Pv|{m$tcxv zygy^z?8r{H7w4OwL*KUqd2ck2N9t_M4x@nc?^o>ZVc|r?j8065;T8@I6yXr7Mdg~0 zO6_e(H&^EzPowuY**Q!EZ6b6#&((K_onBdqg|L%r`d8IzGSkrFJ(fs)9`nJJ9H(fPM8>3zkioYr~g3*P!B zz_TgvK5gFmCd{%E#(76o>6^JYR}bWy?^fU8=44DBWX4Wpj$r0YW@N=(BDR}0%rgG~ zn*90L_j`JLr=EIesqdp8G=68K^v=zhg)x(nIXQz7hZ6!=I769Fh4Q~b@Ao7b9JiHE zs&uWvT@N_py$`y)7)av0!=m(Ff^tWn@$jOMz%#p#!!i>x%OjYH4yQ1_y zhH?W#=lY*O>up93vxnllF7ISfHMyTMyXbv6!B^67dJgNhxG*$14?F97ZTu8QP8JSC zn((qSGbb}L7AWSt`p-OlRX`hE*LBbWr4)BsG`I%|Rv<`_pa~AeAy|PR1=>Evo#K!X zC=%R?JH_4IX^~)s3Q(c){`qeHxtxo+n3=QBUhAyA)_=>rx}aW(_}0rhI`@{CLuH3~ zU%tfSpZ8xyFBCbVUPUzANHnhz+TTZydp@^Y$;!xP5kHt2P!UR)E*K3Wo)c5&PI&BS$HB-TOd(H&hmwFQ4Gq z-M^5+)qMTA;PR37M{`-I+3eEsDBjeCn~@Ce&~5{2dl71p4z*WO_q!Xa6Jj@R80C)`G1c{%}QGQ zbyfNP(_^$!qspQ3-n9#u&~?zUWr4F8=vXzaWijv74pbkvy*p%A@pv1;b+xDO9jJ!v zze(L0vpjw=jXL8PKRC?$mUZ(F{nH#xYaPoFokbD{md&6YUR>&H6Jq()`JNETbvM_N zcKzA)rf*H+9`@Vd&8yZ!{sR=BEIE+c-Gwnskt7Qe`EB0tMzx4kSNf>nKj>+`H2Yh{ zqdvag7bz@@Z%dXYZ+0FQM$T2xw|HT z_lQ(~SnLcee^L1ywq?gd_2SLF<;yr0eFqz6?_uoc2;aI9 z*E#5smXjeP0V3lWXS|=Q33gve$UApDKC!n9YnK%WeB`kZF0p4#G7}c93Mqb()T-vv zaPzNpkkQrYh{h2VsO5h`LE-#ht44B@v&~i_6yNZ<_|zqeK)Tx9>~;>sgO5{hUNxWu z`KVC$h&^NlWw`J20m^I#!{*Dv$%n~VW`7y&FWzx-7CtHb=8(6o6e#@FA!6z7(Q1a= zuX%~bI-fl5bH~e2Nx4gvxTTx33X)`lACVN_z(z&{-(HV|7Q!abD_`syowj@?0_^4s zeU*!1Dp`s@w7!a5w~Z39dwPE<)Ye%gWoBkZg)={2jFWpfJ;fnJc@Ut^3gVXn#RoI|D2$Elm=e#lry3V9R%b zM1J_4cJ1TD^waM??grLdbdT?Z!x*xU{y;d2S4&>%kp-!_Ro~1t#WR3q(FCBEMXaa; z&Nr7>Sx_x&;d7dQalP?_axFmVvEz;CPg?fJLOrK_3{o^Y9y0ziKq{>`6tAPWN>UA^TTQf$+zQx-Zw2sN^_x*>=9$a?UhP`$tHeyqFL`J{OmKw(bz=>t{Ky z^yu0HEkG(r6t4(okj?|@BZKJ<`jo7E=q2EyYPf` znvlN7xDZlLm90kHErVkC6Ul|}Jey6GNo+>qj8J0w40>>!(P?3|#d#_2>Eh4Fy4#*@ zDz9p{`-o>KL<_%NzAd}j=9495izkNA63_tw*rlXO76z)N?%EDB2C6wNgzJ1)Z-Jd) z*Kr{dLQ}oE1tEP`YdR$8Z+YRC@GyE4MF9pjIsznN1G8nZ8E1U#rupGbDsuAYt3&kC zMoOv&!&Goz(Xfoj^$TV~9#OxvjS+|L#&=6#V28qqJ&SK!{)`x!bBubz@WYY2yX(wB z*c{!<4s%!MU^`uft=n~|BUpkVZ2L-T9K`uR?SFtOKd6_Y!?WJ=&yNT)_o(xTz9uNM zk&&SmrMqLU;YS@PquJ4)lg6v|&gTurbKmz8yWO3C?Mro?7T#}>HNfA!*Stv0!n{#9 z>G`+Vz!N=2>Y8ZeO5@IXV7Lq<{Me*m)Lo1(T&X@k`3w8PFVD1F#_ zY2(UZ80E|ar`(JaxgnlND?L(uRP9OJY0o9Wds$<^@+!R2b*wVw_%7|>mlj|4$B>tE z$G?)7{B2(C*YsuT9jjdYx95YaQJ$y7!AOm9~F@pC*6&@fW{`l5&O?=VU^p^9575>1SdB|Aj7u z+NOY?N}fbW{CG8(vGgnZ?w--i#ESn;eAP{3&{)sB;=bmkIGYxYjEodcAHwTyOza4J zsx;X;od15_e_M38@%rz*_K>wH;`ah4s`viu7GmR}#7>A2c6Gw4$xj?u{*{}&_}l#m z<|jL=w6C#)cfIcSHCXHpok>-DoN(T=n`;;^iMQxuz)gxxuwrLe)4_?H6W|oOjIxO@ z_L48VC&*ALY(Kal@v^4lfn1et@32XK_9-LU_nP@ZSc#72^ZJi&eztKt-+w!can7(2 zC_ePypm0i5%AiGjO#SXnhzCpkLD#?G+V-#{yy9%0Qy(__^k>q`M#IB|^#IQIw%bo< zl6IaxBurpojTmVN^?rngb>t|QT5paZH%wiBw&0Z6wGZywy%E!UlpMeQ!=4YQ|AuJh zM`3U;cjHO#f8N`6Vv`ACD)o-efq}Bp`BL<*-*69P@^%+3S2h}z9*W;S)7da0^V6MH zmbeaOmCeYa1EcxCXgW49nt`bD8YWm4B00(48;bs3_SvnalEN7|Y5gm~_voP)SN^zn4CYWZPg z^3l&)%4j!f~2sq?Ca5BRq`}0R(8^p&G6bJ|NS~ zuiLBZQelDXy>R;XDE{SIr;G3x>{E|TAHsQ^^vQa}Rl>NGYYU%@g^7$n1_K+n6&Uj7 zNQvgq$5~{--)-l{FTtVm%}MxNi%WFm+?Vz4%dZpo%9Q2XK1Am0^>5gai|(x=!neQA z9W5veB(##UGEO!Uz($Yd&QhU(oppmP2W$@N1#E9Ve1~@VOZIQIl5ZL{hhcjK%^P^a z!1wFT(4J~BET>8e&jkI@B-=zZ>yV}F;Pz)Fv5CTaWU7_W$(d#U|I?|~gg}J8VBZF> zZ=o?Nx*Y2vwi-f{lR_y`34=2EGuV_&bT|WWnnPsE;nm7@1$M#j@56u$gVclYwDUhB zhOQ$6x$aszt`oV?BdGhE8J1Hn7ablI!3Ucmzx4)aJmw1bgHB#6T|v?=lvQ&b*YVFe z`tUywWPvW+&?sGAZk)4=;63t6uJK?lzYAWb;saF2zM_lVj!t~-g+w~+CCkHzrrqp> zi|4aXVXM~IDQqR(Lh$dnlt&t_hK_RtD40K{HAWym63e%Oa{UAIM5k{G9R^BW3Jwk0 zcYDaf)t*>y2enu7*-r-k6|`>laVF6Ds@u2Q-vroPvuUL63GxO~1qSMH$78QAxIROq z8OlD8^qg|VV%|Sl3n_FTSy5KfqP-w1*5sB-bl}RDahqfzuW8@jP+}*J-z(sre^u(yxSL7WO+gukMv&s zoDUJ>bWBl{<@eW`mz8q&hZqbibnFxvp8X8ERW;`|wjDXWyJ{c#uy*+K-}}1mK#>!| zCi86P(#SuWS8FiSGzOf(tNYr_rz59U)}C>Ja2@k52Df^Yfqv{mE^t zJuA%00T=0dZ1`5Pa--PT(#?5U!|3wOvxwOpjNTeAZ_Ti)bSU?nx0^`yvGCjH9(P68 zAGN6p8hiOyqh915zT0G$=KR6DH~oBY%rV*DvDsaRSsM-H%ZkQ0$h`OM^E2}LdHEEv zykXn?R(K}pul=N>B|gml#5xF9d(G*-->@a)9>^z4Q6U9I@vnIBJpgagTJ$i1l>^0+ijvVm@jIiqQBvhE)=BsFD}^blM+3Ru+CM*JnF~AY z`TJY;9%AIWuhH5aXgdAnx8E>I04z<&{6ZsiOsq z>4)eo-?CK76vd})eCN=_rs@jTo!<#k&q_pOZQpVvVkfbK=_)gc4y-d;*pVYq-P!ZI zx!gf&@2&<9+}Y>!p&*rl@V*Pz`_@xlcWP-XAIF! zWMGpPOPCo@W#Mh;2>Ipi)n#uCTiQS;{b>|f4Yrsj4eN&lEcoJtcy)i8q7e)r^xx4 z2-SQ>!;-D|@9DdXOLuy3Kh2M4J>Z1ZFL!>!l|5*tE{7*>TfTS#poj-jIO@yFl6&xx z$asCjzSUm)L?dnE2MWLZnyd3Z?<8EU^o$j8^2@f+{X;W}>5NynL(#y;Wjwg=4WdmY z6H79~Mrc?$Csh)7Gh)5ElI#Vw-5rImvEMJsw;TsNf7xAxN+izy_qgt?`@S~Xyzr>w zrNo}WJ@c`Ziyw1CoY;)~gvp_l$RRXARiCOW45{CTC5mu1yeT=WPuB#$vcz3T=un@A zDgTvN`O;!AxOvf$FBRDC?szce#Q$p)`VL2W<+CMiP^eS((5KLSu~RN{>JAxS+jzl6 z!s^5q`&ukTY+`U?aw2i`ROLHi{k|8bSo^y3`RUr`3_t4Cf4!dw8YE1Er154@$P^i8 z2UEu<`JYx`HgLWM8<-)Bj@Vi>k-!#$7lK*vj-cM6V2-g54V4OWH-%j72RX$jJ~!JT zBD$8d7fLi~BRZCg-`4M5W_-;2CZjJa<(NPW{YLuc9$f>z`g8YvK|bT6llEN#Em&qg zRa?2MCMX=pe)(A=l;3>rTG{W7m*K=}K+V|?V@1l$l!=*00^veGl&&&Z7|Pdh-Vrj# z+aX%9b5lS;t@~v5DqJ;=(Y-RQWyP13e)cI@VaS@RtGk<)G=sGLNLb={wu_HL0GY48 zG@{U#-G17Mz7C@lZfQXH=2U_Y$u(WLEBI)*J!EA7<%HVA6xy=;!Rew)BJ|yv&yoJG zqQ}0Qmxr=)SnWse|MMUvK_7Ie`mF;4JkZf#5^@GGty6Rc8f}}i;MX1DZmQ&GawmS4 zLi4_G<*HkmdZw}JIE0Jf<-un?;~fsWY?{=GhIo{3($2UJWT+eI(Bhq>m{C$1jA4a- zzl)n)80TL<=PLn9ob1`kjP?kx0Mq%ru%Ho8;}(gznm4B~gp-S&F;v3GF_zrtW}j(p&=` z`Qy~AcRt>ia!xwcTl*&k z8E&}ZC5zMYR$?sbPw>^SuPjO9p-9k6*=F7j@c5?)aSS?=3{8iQ1G5oZxiHX^pp7+3 zwt^Kt1cVoPcH7tL%RZgE-o2;onCiKD%C_KBz{kE99{1V%KS9y6R)GZ{0}AduVKM9s ziQ?;F_`pZULRF@Sza-Em_Fa-6?Zyw~Z0h;~e!|KsAU~*iM$hHeCHH~C-IvS`!8w@& zlO`^FqM1aF`C_=tm8OY0`A;%XVZH}f<_*g=z3)y_h#ONz4`Ga-`shWm;uvO3$m(A*U}Uoo;EhtuDpad15A(P6tSlJ98h9 zA?1?xZrZ1QF@aI~gjqm1o8$v--EL&3e2cWaQdT&hH2dhFlADdvooM(0kS)#)E%KZ( zroAt&@geO;VPS0J1D^Ce5@x_h(>_fZ5wQ#)Xv_$f;USjw3B60TsQavDOC&ZqsfHO{ z$0}-I^XcO`gMJCdmlS7AmZVtoOs~lCNS@l78}UdUgLNKxH_mPdLnhxNCgv_+j$wIC z+l5Ur6)yH>A!l{X#AbKKEWdP~uw!aV`B)N9BrT;m6EiqKmx70gHo3UaKX;-Migl&n z_$Un~5@$_75>HGCe2o{CnVOkik>Ml`06+;aGeHPkW?E;=R?+%5MDGqiWje+Y1m|I zvkzR!pL42mw(_PFpx3A|u-Ir=)}V3WeIUj_nOg)LaB^i#lZ#_xU>$}t81-th8`$Ma z2LK)ndQQc*;j%JWvxcHI>TxSaG8)fZ>yB2eKGCXpRWgo=GI*hw;&Qd54O}RZU=E}l z-crFlBU$b!<*Op-%9fKmIcIMjF7_aXyF9y2Et;Jr=(npqZeW*+&ZLpOj-+Y>w!^+& z>^&!OImOakT4H3omRloc;`iRyn=iB)EY?hfxa@Cp(_3p|HcEzx!x9}t3PGx41li9V z$x5#qgd>;&Kz7t#Z)}slDVwzH?n=V0o}kF1w6PR>kmqPNQb$qyo+m50wuuc~=_VyF zy@~J$;BB6X_Qo?dd0LtDF8GoSi-PGFr}e=z+&R(->Dqr$NoH*jAN$q5K#zz15-saq zRgq=Sw3B_yOYz>eetlC6ppEeoBH&cjMt`#3LUW2sy=4+^DtN!35Yl-5d98^l55-;p z_nRP}t79+=zGBkfuB&(CXmpvY^-U7lHTP=}#!r$W5vo@;(;5*1z+`)9!}|T55~ej2gI{OZtMjEH=$kTqQkVzD5-jN@BIPLA9F#_N(ozL>6}! z#K6;4KZ&C82WgIiPWpxIn>JDdr)8e4P2@RN`K2`x988%$f|gpFYn$y;Gs(xU!D9wM zcC_u&)KPDaCPpi_&cJWS_tA*&IXaK!=FW{8#+odeH>YNLj72xNR3j{Wk=O2blrM87 zUF!YZ7b%jNF61Xfs$X;6SzK&11Tmho%(LNCb9A^y*4)xN>cs!rzbwE^+-DlXYsl0a z$o-h95}D4q@@}-~3?BO4Ah|B*G8X6S)qc+S5#YCMY0GFKoI8cDeTHjuZ)p4Oe%16m z*jLtDFGT&6qiIFr=_=CpeO+Et!p?fqMU&0-rgeuv(f?h5 z|9=q?laP{;-}~PdfP#pamT>!2uViAtk~fGlu@%ag$)-+%q@-qzV^Ak1PY0BMq{sme zSj;t@Sxc83O>&?B6Uv7e0qNe{HsM+FBs0p-T$L15M7=x9CR>j1rkJKwN_k2tN77Yc zc9;^>6BjdB0$(X+ql%HnkvQqcY|%=D6qO3cbjTpO^@Kk{^!P7hn(gT$B_Yo7LXI0k z)xO#QG8h0x6)$zlU}#7Rvzydf1OYQE(g)O~GF}5->vf2sl-?QAhoSD<$1RgK4_-91 zLsjt3n{P2A5uU3$k5K5QQ24I@YKXC2xBY_IWd5p3QBrrpnk5$q@b_v3?aTrS%tr&b-qxl@dIgQ ze0=Ebr=BLZYMPQ$p13G2)6kN$dYa8mSt6A^SQD8d09+X3#lqj=001H}Qu*Tq(n}BZ zLVYjWnrz^zP-#;2q`iJ?2H4^ZK^vE(!F$gY?cXIf9+ww#;Hy6!wQrKUm$PI@Sxr<@ zETYb!83MgBtDeL7RMlcPwW8@c;F>{G&;YMyn@LmgWyTcv>zd$f#vN-SI!nDBrP*kz zgqZVK&P`JDh3VwlfD%F0G3C?3I~#xq5rrrDLKe`Vb)nG?W8=)DQ0(EQnTgU`;4N}y zHRXwalh?S3LeMIgYEee{E@C(Uh@xj^>C!_~RlS)uLpG?u=v@2b(f&hcx;O&^*qu!Z z6M(^ph?zgiQY(r<#0<9(x$GY)#TpIOTUB2ov6_FJhA$!<9RU+H;M}Ctka8OP74H7P zT~Tvwt);H?ERlsmsUrsU&l0fq3L?q3EFr^-J0-kXhR?W#Gl`0>Ts_@{!Zi&IU1H3- z;Pk7wcqr)r-}oSooSkrhmqPghBdP^~7 z|EsWdr}cj&(79fLpi7>6JHZoiX((E zdP{myz9f1C>wDxB>&k`{&g1aspMQ;us)E8bjsR+a9w1|(dMH0B#6f#eyQLxxE8Z2Zoet!O<^GeH(-Z@J1hBY5vSJru) z{Oo;Mv|ijOiT-eP+ozOWE+aQt;a2Shx@R!@@#e1$GXSj>1#1zZ3a7BTR+sYkTyie_ zX1#;IlDkoIU@0Y%!empk>gxB5V;ah!QY!^>*F8aBtCqTM3*2>n!Kynu3&nVZL?)}} zx#}wUc`L31O3cPZBC*!N!N>*`3t}Js`?(Z0W*VHCTD8sg84@R+1NnKqKSEq~CvF_M z)$u;+Idb}ly{;p;0V~k=frDRjler%5>#{+*F$bsn`Dczu8zO#-CK;agMItvf-HIO0 zE)xTG+A+X=Q%aYaSW4Ua6GaA4S3cIG?1`;i&)0cvO(GhrEUKg=n0baxPO%Ufs@|!b z9xYK6E_V{vAMf|=nCzj%6XnILFmLSFr*3dcM3kPOpWQTkcciBOMJoV6P64QEbIj$e zIWcT$vwbR$h=7;MS~VoxDnD2LH7x{y;X$Aog{n4*Z=K}|U~f|^eCmyxH2kFSA}wcB zEi}~VPVT`P;(A|he*IRhob#!|abv~ZJ(DWm8fzp7kX0#OZl1EGp$FJf2ki2&28yP# z@NIQl(06mq%LiJxy*KEHUKn|2NSrc|JDRp;DqrECP!X0Fu0}i?a9-Ddt*eNt`Lp-v zU#c&IQS|Zcfw^Xg2gOFq3^7xnM(qc1Z0jYpj*zQM7|U6@R;c` z8sG1I^k(gKWqfkvU(av9em`LcY z2Zto?U}Le?dZLVzk;^y#mCUb7`w&N}vj8Nk&GiUn<9oe>_Xi{+o^u8cx# zgRRZwMt;7hS9+CqYEFoO%rn;gboZ_+ShfrypeZOWsl>r}>m*d;#|^lTBJqh^J~^6k z=(d~Ni?g;^kvD!sd$@9j(M}N}QYSH-*R#f)J#Y|N>9Dp5exT~_=g>}3kjNiuN^&~Z z`K#-g0ApG~+ZhUhiFKPu`KWB9QYx7TQZRp^ZLR{*TE5T~iyztHV3=!zAFxJC8j%-%jB{#$jlZ==l!S{2(S z5}D(N8{RJH_kJ7MZxJs-@y%OV`q4JZY*lA^+PHWM55dLB8jMUCcXe7sCiTB{Ti>`s z)JsVi`k{CqsEu7C6v?o{~_zT6hU89CfjXc!qgV2@3 z*ma%ULZR+>(79FlLo+>qu^s6Yw_ja+hkVn~@#!Z7BW_{V&D>_sFI|g-)l{r*S=&lm zTV2aOCh)M)C`~3+$(24DCZYIyQMPJ;AL>Z@q4eB3x2L`4%h>M9*g>-Yl9Ow3{XMC; z<7cr07%rTA+x(OCZ*M(TZxJ}bhlWSq205B$`6CBYv^np*p|buXJjn$l4WHFQ?YW@{ z=`giCqkwRblo@m^S_KcH?d;PJRw8w0K0EIBLBooj0&HohrnGM6^+GyJE^mKl%`de2xoUn7NW zXLGiz2IN@grkIz;eGSCNa23)e_R^)0jN-<7k0n2BYrA-eam#aS4*4) z;cnfsKJcj2h4&&z5`|{H3ia2)oU1lkJ&c{*q)Pg%^oe0#V)eEgwFL5jw!X`_X?xb4 zVPBmrwBHaBSUZ!l+tYusd|2m|+N1Xnf}T$FY)V(na{PYFr*KxrofHh6Syr&I-8F5L z)mDvllum}C_lwkCIRV}U{%zN3 z$1RCRA$)cgZM3H-9yR0WH;Z?NWH13sVuTk+OAgnizI30ygx6$gUI!uIJ5gz2K(5I^ zWb`NB2N{=eDtu6|fMQe)I?=~Kfswffnh9K>$lmzsd=58UmJ7E%n@Z3+Kfg+xIc2lS zjagO~!sNA~p*rJnlw%fSxBkdi_gYoo1jlmdnUSpnB7lnxRF$!%fpy3gFv&OJQNs8y zr!$bZ7{Gmr%PU^eLXY}iHAECz7+3*IVi}Mmx!Q(YXxdOQBc zZ^+hhBU5jQ8Bu{fXRbhZGc+-TWix-vjIr0}FfEZ!%#1?L%&Okcp=o8#!kU^F&Q@>B z1;R97)DTK_W3@*Gp4rJm_r2IPsajc~YOd zxdN<$&@ZvkRA?S5*L~SHc=4Xt_RiQPxBXPR%ZHej+rSlK9xS6&FVj8I+p?_9tCR6e ziFU_m&ap&RdLxo23vFZPDHw)pXP^5%FW~!t>`1^b%2jsow*G6U3I3ACMNfS~&ju0Z z(FQ;M@^kaXVNPK)M|%TcxFF?UMb&TcXM#xILCk`aLLkW8X|Oh~%g5`GqH6eJ7(R@0*NAvPg;nXdx{6O{_fE2BXbxcB{o^B3ihma`ATh(5BKb*XSW-Dk0KN0p#u`aIkY3|0%pWvg!nEs zmdxq9$N$xn5k>nNb)N2O$(Oe3?PR->Fwo!AOQ3I!<0vdhkmr z>{j-4^kg-vUN31m$=;e zrN^r;J^*!vn`+v)zYd4S)a?tOrL4SWS57A|ROEvK2{DW9;ZBQb^XN|{@1RE6D~Y!J zkdo;<%~HFu7?H5`x9@;meHMaW_Pl$(zudcEC3kDKvsq`SW7qH$3F``P?crR5i-2YX zm`i*{W+PO|22<=d;ZHsF-ax||v3$dug~Fua3&i=L=t zAtn`k9Rv^#Jdarr_8n9wjm=DFm*b;Y@+%=^%_`rkzsb$hRn)E;K0s~ny}{-J+wvyk>-g^NQ|Xi1yZ4ah0tVg~#nhQ` z%Yy8$tDWO~WfBfgEyDu~Y}9A!1jWMx{F(Q>+B!LmO3Snyf{Uv88w3P2(U#^F`M^_a zpQLog1Z$nU^dyj(H08~=lWPYDhX0(-WquKyS`PnszWnc*nz3ZrCxNnJN1sAxxnbH1^!ERQPzCzJ>r3LxfFSnXGWpfKpRE-dh|^P_K6H*q>e24r*gX=yv*9WhGs;^rk3vZ4LM?B*7{- zwx}=ckbAJx(wNC5rHkgT+i-MVrF~1$3(y$?DVJvga33>tcsC)O#i2b_m1kP8bfJXS z3>Pd~smPFE7Y27PQtNanG%7Ev28hep845Ito}*8X>P4BB=iTqOhxPolHo3`ux9&Ad z6_>@hnR_NqEYQK<7Y$Mnb2fhaj&=f>+1~X?o!n)~6o|<;=guGsN>Nf6RN#e~5QT$< z<*&tuP|DSgmCU*M;vtBrzMpe3Zn8bYwV30YE;Dm_qBM=E>Vho|ei>~asEaT)DqfFU zPC%^9#gD<)O|&>iqrsmBj}VGr0N+|lZELUrZShM>1&!5uHA13Awt4P9(c zPM=@Ar#c-CLFvM;L)+j28_RF-}qMjgM0 zfVvs#MDvr@)Qz6e$BlILKZ(7#by)35w?Hy5QgS$m5gq6?usM7-^0_%XD5}kw^7~qU zX+++P^U+JfPE$+Tb)762`M5l%i7Aw^7y)tqZqGv2oyL|+OOMJbX+x^Kt+Xlzs!fAF zf{Wkeeu?XoY^!fIGm#g@RIih0k+~FjU5^x(+kTs-GhcFC7(C6+=0B6K5PGp%_lX8U zv!h<^j*v;>hv3hgbIVKW_6poZjO?1Ej9Nm&rWkzOkuvseVoz1C)90UEh}zQ&NywHm zZAwCOEvyg%$lkFNq!~|pK9Q6O5nnM>-EL0l6aBGmY#^mMD5vfQThI3;A~!?%m~ zjdnknlu~8u?!rEF!)vS(=d@bsgx?{=$Z=e>z%4J|4yr^*xe(WKK77?f4pHEYt62)p zWj~cdYlCvp$p-qq&@*7`NevPIwy|Oa73wrBEqAd1Vw1F?El?2@mjoeijuiC7IFo?@ z*!Cm+c#u>%w}xX5lsU^WyStD(n4*@+3Fp*slnSjLIkOYLEQgty$yb)3a?Gu_;06hM zAEv^AURg^hTs&MMu;FX4k~@$RS7fRQ$~Dtx9julxM|S$?7qG_|a4w0{==1xzmT|4D z4itJHz4)xV6y)AbN&%&Bc*4-p@lve16cHJKspl49UCNxsm?U{}Z&Cz994ARn^#C+7 zc}Ux29=o(mM&|S_0kGXx(9X&j=Wc`v7G;cRwcw1nZDqUu5*oaOY$rGso`BWH&qIf+ zh&5deuT>gtd;5U4&{}k>HAS<|v9zdWwIlc$v_)Kq3Su^D2nA3dKbWf#u6LWjQMFfC zW6XZNvW+g=`0;}!`r0};Vqg96?Q!qVTeX5ZyT?4UjeR%Sy6A75h5y-J2pY1GKjpf9 ztNWu6Tj&EVm#PX2dsVcFED?TVXjO-yt=WND1moN+w1F5z1xWrhW>afaCWaee$_IO= zRZNPZRj{<4j{Ul4F8S%N?8BH*wYTkOZ$%HCA4Xi{{CPi3{y%`*Ma~yB=l;)a7sS_Q z0sn$;pCDdrpD(}+V{69=fBV2Jz=>K?6-YIEs<}kxUu`N``&N0MEI3~&AV&CQI1T`x zq}HyNjUgtsTBug)iEI9;rqg;i?>PRUnMHT=$9T@yugSOl>*n}ZP7lvtMIL^^!XLX_ zHFd>@{o4I~qAb50C!jq1x`JNN1nHS=(L_T*JDr@0s_ve)E~ryD*;f74n&*NgSOz3* zUn=DY@bP=*T4a#ErqFA8pcIUBxprVC-?#q6cl1KDN9`b`UoxKWw7(`V>l>@}_eTT& zZ1DzXztfI!fS94LtLTUG@1qIWC!)A5QY;FJrM(wD80b?oOe*Tp46ym2KhNeYX_Vk$|uh zuH9x&=I%WT|EL*w*X582I?60ZOanQBh_!`V3tWcm&mK~}qylDz2ekEGWOukSW(GrN z$8KEmvcsOa15H6Wt|_Vr$HdYTyd*Q>`P#Dx#faXM{(v zXjzeoIIm{=wDJNcf0BVtCIl|RPmXc+8MD9fcrn#&KFe5383EJkFiXzdYGG{K<5LJm zKYH=~6z*w9g|}9FJmqHpKY;1cb6wqzo0b+b%$BR05`OrBcTMX|vMKznp&LR*ImV7M zsYQG&TyU9=Q3qX9GSZ_NlBqt3BbkU8onlU<9Wpoi%0&bc5m)336&IDtC@?#2q%I}NsxK@NH$xhft*%3 z3LGUs3Z^tFtD|U>I2Fiqku9@e;91H28lKlfb~~y zbhB}nwDqL;FZKz+n?*epA}Jk^%_j<_(-6CO>>k=EO<@*q;T}8U@Dm2cm8SxDPuL}q zmDp{xuK)rpZ}I?^R8e8|x^!v!r1_2}ccr5uP$V?_#j`@S_~jQiOfMfV_lM^q|3^MD+ZLin!$YKrdRU|b$)h_6NYNKZ1>+lIS$oT zye3LR6nI3nX`ska*L$)S{yFOjU;AhaQGpA5sMWnitv0^tZHKil{*{+aRCiy5y2~QttnRu_ttg@0hsew4JUp5g!p`Sclj?q=dA*&#WM}7qe zirEYXZ;S#4OE%&Xjmkkc`k>cAdcfEEhA?wyouKP_(#)P&w^vW5gp2lD_HF1rQw8=M zLQFr|rGekQR5f(YQ{WYE79UuGS3|v}Ou2KY;T3TenGnaC3t<Y*6Ca$uWyw4D3PIOU#*ZG^FM*<+)?hNI&^GtHEB+`Jj3avjQIsmp&%hTw0tS}6(g<{ktpN5DzI%++>mrM8-jt<`P3($}q%7T7AzcGCOK=uuac zUW|F`iCEC4QIckZx_Pr1Wv8onc71zHR_+04XWeE>ouD8dYOv5Kt{M z{Y-JO+D0+YP$;vhwUBt)hB|HsF;ib-RxU@DX z>h&7_dwYEIvY{&=5X9I#?rVd+DQ9Fx3s{)KNBC=HZii~bO@& zb^e^Mp=TZZa>EItwfpWRYjUMi?W3coA9>N(OBoUTpKHUfpYPsV|Jr9E4gTBchZb*g z3aiZRrZj{4a(7me)*x$m6N1fRXpSskio%qDeCe!!h(oE4D8%JlhqXkSJ&ARH0LHmZ zFUh-%t$5b!NFHAsrYakDQQ-`ocMjc8H2?6^hyL`pq{EB<0n%dM7;lGcwG>U&>CSIx zPf@mxrB5yzwsSA@+ocF0_}lcn@HSqyfra`NHIlDTa?6xP7*s?4RD@J()UUl{2Xkju z?W+=YkJK%W(X;2uGpR~a#M1TR5@}%_Z)g8}xBcdQIl$aJIca+o*XXOS`+vxo*_hGoQ_JA!|)v?qT zTGI=6N2cx`WN3hNiZds-mw+j)iDyBT;$E)q%GMZUeITp`h2tT(h2@Wo$`fn)ScXA~ z^oYDTdiUy2iZUHo8Uk0lXp?0khWwnOm$F9KaL!hU;Vi?o<;w4kFiC(m2?YlanJ8$K+fAQ1(~X`nzvi383>sc;u30l{9T;n@ zpe_{3U(-81?pDRs@JVOkm{UxmSAH?H^W`tiB!beq zAUHotzY_znaiIFRl9+(2O80@|`Iil=MQiac)vW1cl#3+#`4k-HCUH|xBKe7$>Rh+k z_IisAMc?Y0++|pK2~~oRyIIp_&8jc9vYK)YzC($a;MEQ6uo8tj(_WdQ^x)lm*wy7K zP+>ssnSAzw0mRQ_!<(MEI=5UYSQxaZpGn0q1q!VhDl%%r?lqsAmA16WAow53!E_4Q z#APwQN=(i>NfPmaSZM3YBplRW&2B*HV}TJgL`S&LENj`5$ktOID@V?nMO)xG^z)06 zE2+XY92wd&QHUs@r*mzl#!~h&%5F~xd#~(zs`zVp6>vDK!E zPRci{@~3(yu%W=S3+ej?y&Bl**$-)R|7L|(-ep?}2-q?4Gt3`_?W}f$R$hn;S)XCe z=iIg>zAS3}a*$UEE8KhAxP=t86S0R5J{UNoo5hC}S%lqu4|muPYTYGAY15j=DdnC@ zy?Hn=&9c~GS@!9&E>$X>mZ<^BPP_FgH2KK!_}TvXZw{mYZMr-$pBDGmSKfs=Y$10~ zA-fGW4q@#Wt<#$FL;Q<*3Gy`e+OxifR1~xzEQQG|D!Y+o7Lx9yyYM5j6&olF*`eE$e-`QQA@Bc3r2uXzLk)+gGl5S_&VqyvuN5DAto^8GXIu(0p`h;OMSWF^kZAZHK)+@9ZF2l0 z!i?DGa67F_YUf?YsaAr7ox;Q!^W)w=B}78e-33T=XUqog=9k+ zv@Y~WIP!AHrL2dtk?1z4yyqs3@k8`nqAg+l%%llEzf?n(}f zjbD8GRGryq5L=3uI4|b7S@lDt@w!ZY@0#zAzk%UD^FCj@WAAaj@W?d4|03+K*Z%GG z^TX?UEr;3op8Gs@w+4TG{oVd;xx)AUjbg>^V)xxUcy3ZkAqp7VkZryYIk1or%^x^F znY#$n)-Gv~(xNTPdcw1H8g`vzw6XS1;pXiJ-h0C6>Ta0wyN&ZJw^uIOmp&Ri&0Ig9 z`~4rljDzad_3OH~*4x5<|E)9LeVLWI^`~E^_6LTJR?{Ztc$wkfe}F(+`RLr^Kb=>a z&t~)q_R35yOh@5*56Vt|dVV0aDESU9d<_%CBljW&wGCv7FMSdvon;!9YmR+5mJ9Cq z_^OfFMWD>7=H{)9>kGm54HBV;MVrSzf8RB+yOwy>;N4M&t|G%>2x&MW$KcDKgTI{> zw=KJ0YY54P3!Ex0oz>(8vh|OgbKdlRivYyICE$EeA1hpHJ{{e#zpp-aZv5q*b5EtG<2(0!wbeF4=YQYS2W+@uG-Jg_ ze~QLF8#HV*U6T!cBKqd6amTg#Ww#(+>UdeB;&gu5$c}sKBviy(?`u^IJhwEfVD3+6 z>VBBhs{-EqFGrzR#V2nH>87`;EA&47T3W7aers?Q>HDjq>AT_eDK_*aQJa56Rd)AYNReeLif-}`opJr*W~Jujxsty2`lnLnaHD&wfA;9F zyuUN5KoXcmY`FRE&F$d3rjY^em%OvL-ueB;^&4HSXj^z%oPNCg^s@ztMMU>z_KEzz zmFnSjOY;fcn>V+9{to{Zti}Tb-Q~>w{FlB2MvsF%ky;J->#g!(XrXXxJ#k~K=4>_x z(88s`9`N+|JhF339G2|czT__p+fzM=!PHVl$h$oA&ntQc_{BWSKXHb zJ8B!J#dp_NW)I);sW!DyN}8|k{kHB|7pxW-OW#rosoVMcoA0%uOX$zh+Q8Pl6NOA-T8o6@PzpWJNTKjZZq|J2-1A?|r|<_W24+rdez zw6!H?lK1bho;_3(>d;7>y7+i6lGQv$E;RcJ`FB>j8^_q_IbC~k?R;I96a+i<_z8db z%9IO%F}wIAl&f-PFChi=N&I1`^oh^k!oxK27F-C$*iM8HfC-Ll?(`ink zLUP^%+(Bc44?uRMv+U&G4*B$+J0K-wH0#(e=}g z@AFB^?7dqpN(TL>O5|GF-pg0`w+_FyUq$y!vU}V=E|RG{EgW>VJbk%6bl!5&iHj_8 z1%O!*@06|6e|c*o&$iAx0*6kOnlXS1)}bk0BjP0N$^B(3XX2E`=;MD+r#!Qwm@V#? z`BY|a%eCv3O?#~soZl552_Gd7)pa%-2`R|7Hyw3^hez$cb;akLuUrdT2~3kX*x8O> zdQ@n!&eZwf(!lCr$WOzHzGeQ=?cK0zrW~h_j1^nYe{vd)jn$QY92xhg)aJh1`R(&M z_4fBai9f?0+|2#qe?GTjUBK$Tcl5~5b9(dTU)0gL^uim133XSL!w& zjn&S4G4A+z9lUcUr!e}!V)pBf?)KpME8~`9vNnb7nK<@z{Z00%>Ep$r9i``|F`-H_ zG1kT2Pe#t$t*o0PGB1K3Ph0;?+TNcyl{-EUzOdd_@h#$dlG?lT<1A>I?{3NQySlp0 zfxf>5fASWlkAF2TEcM^zxdfY?+Z0W48UV4hp^T zPmR>0``LlzTLF4W(-Q~5g0g=)493Z0XKfvvqATa8?Oes2o?m~3{+!!;yxeCU5XO~U z+I+WoXedlkDX=0tp*75#o&K*k*A){{`MSxq4J+&Zm>u_R-NL`V3YLrQg*onRtgkl}RmS~R6czEd{tv)Z z_hLs+c-VMs>uUuH`SbhZ-Z>w(oE&F(X za^pkDozP+aW<4eJ*`Hy*g^8np{<)K1@?i`sqVOAM{(eErVLt+X3H)dYYPkp#y;T}) z9jcUU(Nom!&R--|y(K=Ap8UrBEWF14E@UI&+rmf66j>?a^=dntpS-m8VP^U$>b;)$ z{?{PO>|O8mr`}a^k48Q(oG&I_gog|L_>++ zuk-%!(B-$(U{KK71mjQnfAiC!Kl>E#l*d)RT2hpZ+TqkOeueyfCvT<(FS#}Z=H6yocxU3GxPi%Hh70UEC78GJ{CtEN$hILq2%|BcUE>f`m`%khHudptb zSRo;KFStlgx~T3tSD|%wQriXFqs0q~`sy?vVcnz@(0Y1);OE(Iv=cPGQn~3^SIK34MC0oI zdQtde)w!bodFsN4*Jmbxri;+-)=uYxuG?=PP3-?FWcv~Lxcuyw9J7rxk(Vxzw!FF9QhPk4cLik#NqN1pD(a-KqnaZKDWRt2*$1tn#@Y^0k33;m5g8%%p zUzj?%W@UbU=&h1nWKz04(P_fGwHe@Bi?aFp>Z`)VO6O3yb+M%L%5x{hf?&?n9y#-S zBJt@ur)`hPRp-qVS+#D}{?AKic72=6NZ#6)j$WbqTM>l==j`M9pWLCv6Q32Yu=pVB zw5N)S|D;~k%1O?=&(D2OzU5d~@~ecM)5fxI9QELZGTNCgZxTO;-xxoAn^Fuv#xfnJa|*2Qa=CLS+Mify?&%cV9>{v%CV$74O^MJ zj~?6&XuHb(!LM}UdF$rx_oI%HUox*&|KoL9@8cZzjejxR)~PR z8y>5`(pk58V!t=TX6B0Wl%j_3EJT^@bR}K<;|5Wxx1!4!PZLM@5v0 zDKPtOe};G6<@U1;vVtqW31j|HO{GZiLg(0rR=@Rr-QiopVK?QumO{-uGx0jugO`nC zVeiVrzuge6{&P_5;8U^V(-#(e6lcP&aNDxwbUK&|cTi~pH!0X9&ul8(kyrSfaT;S= zmOn4oBEMfgcfr~6Pj7UaOg<_1D4Sk3S0>-GPW%$$e{!OD=ltDvc%5|7VY+c#CAcEU zc!|wtdHHGah*jpc>z#r;zZE{U({=PBZZ$+hMsvMV;nL3eVDm~k`>rJa_z7Z%%u<;3 zrLRum$K-|B>VodFZt%B_zKe^{RX@+6t7V>o-pJycGNluB)~G|?AF@!)4<|;FmX1x0o=Pl8NG&LRvzA|&SRHK+oeB5N`WcYl+e zUow+>igOnAI7$kUJu8%1b#*sjUDBzyC=dNnGr+;V8Tv4|Fb zvA3NWJhE>TD>WT^$++$HnPt9{)$u|3AbR2b!r7rz_VCfkuoEZLj#4Gze%k57ZPCY3 zE!(Tb8`n5tDydqKYteg z{N{VSuOstP;p}B%h=cQVrBH2+(Ax!8^I@EQ11IBo)z{Y{CY+fgS>4UyGzbU%> zp(wdnEnn0Mc?-w9X<_S>yWWvp^m>O}ROHG}E-+*=x7@z7csLL^=Cn^me#Lg%U6`j$ zzJcs^_~Z9(?y~v%=6S(l)y6m9c3;h;eGW74$i6k%O-kcRv#2r5;wQXPZ0iKtqICLfbnLktY z#LMO+fx+Ns$CFGOpXD$9a*gz{?Pd;co%|UNJ{qz&%20|QD=xof6ul$-h|D?N-7Mv9 zx?lAD8}HdmBgc`q*rm2ci4`TER%GTn%iArZ#Y9f-eiQU%tee1+qnXEG6({YF1?Iv< zcQ)&{Bk1NJiVkirJu(eTE;enqt@T3@uq=g!wwB-C(3iRnaIguVU%VcvHW8Eh{6?bs z-u1>zcZtT~VS&-*RN+l|i~QHuN~*Teu=s{HX$O4Y#z$ZObh5BR&6tMZT6ctqftom< zLACH;-owNe7eCT`F$ILl{(nK3luWk&_xoQE=Ko+YA^*i-rl>&0Y2-nOc~&~g!I~Tx z2nErGRROS$;_+yD5H}BULpOyA(esJJ4(tNTm)D9yTtY?N$Z9!ZXXSRG2hr2W!?j;9 zf>rDR%Bl2#7yzP!c0v2NJ?!pb_=GUy2^iy6>&KBkJ!?f?E-s>_C9v@naWQy}sV_Zx zyoauV*o&Ige2U?QiUEw>kuYwXJXTs48-ORHl!^{uSGEyDpr~Az$$}o& z+EL>AGze*$G%F#w2oeBXTO`3>*hJ71Vrl4r6wkOD4FrNsbRhtSndL(P2o)a$&S;t> zA53c4j!s~FFMZ9DMx2v_8`Fn~r6wknGw8zr79K|g0N3Z$24HXqpveJ>W2J^g5Rg1^ zUc-F^44vwvCqN^w{`=59yNHEU(Q%;lFjPr&p z;y}EMPcDI)~6WpRrc~ zW|gzQDjopkNy?tNabChLWP=kP)?YgHL`hh=XL$hrFC0E9DeFU%2&Mo?I1#x)LZy~W zWb$&VD#AUm9=U?|nsRPEQU3KS(zrP%JrBmG6=#^sUAWzp?;K6TgqqW;woqVd=@^kr zO|hQH0eJUHs5f$7id3@cYzx>s;_qYfv2kz@V77iPuU= zLx_89l{i=ygROK;(`Of#T4M=jPVsy;=^y4JVn$WZ;y8j^pT+uqZ^kkL zBdXCdx=2;AR8tU^3XKLcu~NQSh-5adaonH`&gz1R(5Ge-RA;fe{+xn&j+MEm?qfLP z8$_4-RTUAtZ~pR_ZD`xw14K{P%J>vJLK*NVU|LKoo{$LuvCv5mXpHJ&y|=Pqy$`Tx zoKBL|^#xZtl{p{&qX!`6^JfzQprbs-s@>R~+@`2{=PBu^d z9HRNv+9=Wz=(@W$C|Ws-c3$)c2@PZ`HDb# z9aI)+?FS(j$k+`N7t;GKN`B15kjJ&ul1UaA7Ho1br$adFZWj0oi#l1GCdSIUi`0I3AyyyqPZzT2!VL1Tga$Xzd;UtyK>)$?dZ~FuorqA}85HI@@_| zBs(&V$h$;aqwLt9&!P!7CC_S=VAoeT{kq*YyUm^LMiU=716IG!ASACMn64=`tr%{+C~j>l|myj3Y%9vm#*rehjM~n+vrwBt*<9k z(a>V0AI@v?=T}37g{T`gAF1S#ri>s7S)^HbRTU8(7@l(UOu>9Pa?fCrK4t7 zPCVGz*i<`B)tunPJo3NwDpa}XcSEV>eQJBBv<2m{u>y3XI0S-WO>^f|?M`4xLQ8nC zD|=bJ-0_U`$w}$!LBPIA3ZRC(T-11w)MmSrN5h4!ucM8$eV7q+W}jrpwE&M`#$vpu zT9e(oqax2jUUf0ydaVS$2%L_xjl7%XJ7p=KZ%#SYRmv%-+qe{*^c)>6+>RYYUynrZ zjG>J$zNCmpvZm0WE?Kdg!}bh&7p@_jgPB{_qhy9^UIU;k{q(EpT3J(5`k6zoUEBp# z$@YfuriHp}nvp2>in;0TF=-AjU#AItL}?s!0qm^l*-i;Y>eCiX5yT{k4-kWUp?2I>h3-{Fk+b+l}1- zc~%*-$j`8;OCsr3iq+C1kaiYm8}s4awl!X-7dJH~{4QM?!G#pn+ny{|McQenIte&ZXCQPKE9J`3i) zjHmG4=Y{gF(b%il+fRs#a^Yq-)pi)dLt+3p9W?U7Sxz^dfEf^Cg=iXr4O4!8eK~x- zula7U7L`Tyv-V+;NMJf;#^pdq81Tw_3Tk7eP&7mzg_mQXQ%;^Mgy-MlHqCI+|i3zt5NeajdGU6`0{ZxPpA zSb1U^2v=^S)%~U9Ro`d2rlAL*y=Ij%!rS=S1=fpTZ&5|i+)`cfwlqxypAJk>s+{Hq zrl@ClXmXOx`^N4xLQv~)p7l{>#mkE2XeUCQcE!=rTR~TSYFi3kT{nr^0Iwo2X$VaE z5$#<;^!&^_9yWpq&})ZM5@qP+6Vokq<*||5i4 zrJ{W9T>v~!CADE3AqHJ-*r|KnA~#OYYgWs{NQ#vP5PgeuT6C8&OIy*W)AoE#uVMW} z-Y<{`gUD5t zzBbJikC)+fC5aQp(-+EuNHD)`Z7Qq8X!S0ZsP_%X8hd?h>OUq) zB`jVt?g7)@&$vQCkW*+eyZ9X2#bm-Pz(FKuPk@kGw;2TzGyp;ceZ($%f_5z<;f z;XO>DX#i#;b16BUR649Q?2GS48dvqH?aZs-_c3Ti78Y*uZkqbJ6Ft);k4&(0K06WF z#VK3HNBCd?rD3M0+xZc^Y%NM%J^OWtQFP^i{YYU7jqVZSP&*Lr*`g*gC=)07TJK8{ ziTRm00i1M?00z(2jEJQdrNKAxh{F8K*Dh~d^kq!ls0&wR0vk&!{Ur5gj+9R6U zPV53m>N||zwgpNJ0L%y1AyAynq>5jqDJJr-?$GfsZY&f6FqKN-3bt1Pw4%5H zN?YwbXmE{0R^AABbwp_sR{z=*Eu&oNt7x$2dSlFRGGakl(6~o4BE3c#dIj5i3r7(9 zTq#c_OsF96JJ4a$H95g5S=~|N5fDBxy4`vJ8>^3|GiqC_v(E#YLg*vX7B^0(Opyn+nRg{@mWB1fuNQ^2{@KoI|me!gNU7~r>+M8K50DY z&C+g>9x^a=4ty0;R1Uo#xnib;EhU1`7uOXfKofYH5r$9E#m2S7$NY0-H? z&^|s4h%~pQ?fHpj00YJ~B^uxuId5Wg!=J$V(H}wRkG8zi>LT+*00UP0T*Jt^S&!wb zsnUnAU)2;~=WJn<{m4uqPtg@><#rm4y1vp%4W9Xe; zxDkQeN)J`b(peq@WXb?+YHBFJ(g{eniRDR3G#za;YrSdw>qszDVjO@~m9}K!3rEcf z1q)YYss|!%fF5vwP*0Bm^v;cUc}*$N&}tJ*Su}clflAX&eKHokch--@Ymwm0REDE- zLvQXh8?k+GrQrs+CiCj!v>OewdI*M1lM^~LxM!Z+SF0s(VjhfQt}IpD${@r+DN>3X zZ2+Q6AQp&6%{L5tiUSA(7AgADw-)kdFE`It_~lu8}jM_cbcc}oGy7irnaHmc+ zG*WZQ#v;3=A+9Z)?`$QY)y6$3bEtTE7&WH*llbRor)p9LA*T>ApQ2JFte+k}W--*a z87&4ejM#Y-(c?B4L51cfn?*;z#LU}a25uw~@LbPfI&Pergw&?)Xfi%tH?}86nhs%q zTXWb$lL_#{Q0rJ!-EdTv?}$|!Sa;;^k^);Xc=h_Pn!%kbFHA`b-8}!Pui2OLtG1lN#M#xc+kB)%`o#V~89viYQnd&{owq(4x%f z*Ob)&VEl@8#Ymp3aUz-yvq)ejdNuC%@@mbb@;6TqxBJ#oQj_%s4DuhLBO2$ioM6;s zf+wz~U;(az1)$x`lK`~K4tnqb88HX%vV(olt$jO`kMfr?5MU($EcbJ|f1qfb3R2j^ z*r_(oyHe&qSAh!TwRBsgWw_0K5;zgmws_`>DIN9_*d(Pr025S^<{nOvPoEys2l+ap zio%l3x+(i}jS5WVcV5s`%Q4>61Rwv|i02g6f!}9d5DP{oWU9STx%LcG@m3kjp-&~} z*N>uL00EE~XBsos;3`%*wJ{gnrAa&O48(T1iq*uU{1lHtl1bA4Vj?!bJH>OLFK6lc z-Z9*)RRKx+n;^h7UXB&Y>~UjAcT_k4tC;nD++1C-)B|k_9;Vt^Vb-{gv;2bRPNz_<`Vb zgt&1|RSAgv6b}^V3F=w^yu8aEW_(a4Q~{oEd0i(n7}8wDn*|)6+0cl`LHiAfw6$vb z74tJf+jGL5{HdSWQKXgjwu+BC@j*A-#R+cds6L;0ff8k+NX^G`^Yww~PhtY__LE*Z ziZI6@d#D|?agEg)xOfol0y}r(VsuG}r2}yA@kWfL$`7}X!>d%KBs2EkR&c${;y{h! z9-(}U7mmS~r`||S6PZ-tU9+{#p$TJHy*@V-CkDW{0b-Y?ux1Z)`V`#8$$~?bnP3;K zSf`KS{qFr(4d+@7nM8VGJV4)7RS-R^Q;C;jo!<9llOnmWiVxnd z6iR`BlDI*QRrH4RRB%uL4;_Bi0H2^mQ05xsgSdiO(7?|fxgb{#&pT|kER`yo(O^L) zj2{kYnNvozzEEa0SilGZ1TDz!9*2rw=|)!c^~;3K%mkbF)?(K>dh#@kGtM#^%btu3+8) zOwQYuPqnwC;V;oU-)7RsMxq!KRp+#+G;O9x>@Dnuq)8Tf&@C?;DDwcQR2b%2+QR_b zu<2q3bAaN}z3u$sq(}IOMpx6)@x^J)6bTns@e;=Vg@doC|l2)fDWOo#ahQyRhov|9`s89PaYf7AQ4*18@zz2Lc!q=az=#m>vN6(ubSPi-*9? zDvzMx48#E{J|P!2R1@8bzg^nk~9C%6)N8B)4&P%>jgqbv-cMeRjj?V`i> zp86@)4umJt#h>|V3U+rM0p(MF0EFr5@@D4PJY;B z5@93GBXL>@R*a8G|;GvmReU&`Zub}KsvvggY< z#VZf_^Scs%ghBPPw|f6_#U*pZ!RQaZJq)@ZRGL_l+Qx3u`bk-<@ftajf{7<`d(t$} z)T;aA!5m6*Fy)z4^ZtrVCd>3&Jy5}GB!09mt|#_?{PgqA{ToGy z!&5t*k>l|cBR?fRqa2!39@&1g#LteASqyQ(OOTp0 zz&KJ+EfMXi&rB=$Y{Bj9nTrSOD+4H!?w%%5mI>d#e{sh3Bp$69iv$u-X>ycoz?Yb6 zjLeT<=cs{U`Szssr$f=f;T5YJN9EoYZ;u|&C3<_4LRTa(2p_yT3XtXl$D2d4<^*Ge z+b^=&@aYastC8&NFe;iV3{S_uB5M>)nFXAK$}=7TQLc`Kc-`x6YfAxtX7crR?`J-w zfBU#Ao&27`7T%}pXv8ldFj4v;H?lF;D^GuqW2Vx7ENO$b7PIl1ljj2`Z=6ReMW2QC ze4sU?GEn6P(Yai*<a`u~QB}30IWomgKSfJmurz*G!EkjK=~<%~_+!VUY}O z=+bybeFm7--8XGA)2>c?t7FXeG1~{`>o~*s-MzE1+u4Uz1OJX+rG!FrGko$DxvoVTk_V`dS|l zq(XPqz#|uj#|hPJf|D=u_*zgAJX+$3Pki-mMM>G_v%ZYlc@I)6bv~Jmk9qIvC}b7v zOp${JaFv3+?w{%t~m57wr6eMZ$u?L$6g?8)e%ky2*XrFv@qG(37dH#l8DfbZ- zYP=TZ%y5q`#lW+gVs%pc;WbGW;~*Yo_9`uI8vtP5VNZETXo|rB0(Mxyj!_~#OmWk*Yu1}0tstQ)q{rM_OuxgK1ivYu^jeA<*7L_Xc=26`cMZgW6tw}os~wKkOxW- zCd!z|J+tE|Qx$~r$VhZEZ^jcM`({z#oE#my7-=~GB%v+_HlovZsY1TcwH%Q;wbFiY zGajN|Ma+0zFizUDfP?5B8p-i^oWK_2fQ&oH2#8HAN=?U(l^S;+M@q?*Pb+{XIMosX zCU1#&*i+#$l7*da3Zhc8uH+!?;gPsuR#ustWME55N}Yb3K;(c*O;x;5ik%I?C#R=7 z$_}Fq8mEMY1YC4e2Fm!gH8WEB#GnH<1C+k9N%MT}WsYD``@OWM`vfAM-tHuT6m9l6 z*P;)Y+8kYTs6;3F>3T&206#Z`5-7sZAp0OBEKwR@P)9l-rK4`o^aPMhH7c1{nd9G8~B3 z2f%97Woo(@%KVavq}A^mC)ly0(s&|(fG{SFo6)QMZ&b0*_Fk3?R#@19hdtD!h+jOC;!ER|9X;RNDB9~8)N|>hwQDKKMzpDB!i>z3u`zHB_pK@pwrR^yRZW` z^h7~Bl@>g2o-&MHnh1rEEI)NoR0lh-k=d=t0Ye zw8aq-0PsTf87N*qmgd=O8WMt@nll~MfToWh#If*^wZsSgCAHGzvh7&&(mq`B<<69T zQ?|$G2mZwL(A-EKbqVP5)3F&BM*=hvXr4&z9DqJUTR;;VSqMUj6Y^nNAS^9wys`&| zn(ovFD#H-@McWDyUHGmss#I>6F>GC3jN3)uz%7;rLB7$5M8x)Lqqzh30!|(t$-YW{pnFrYaOs8Tz=Y5zH;bz(Oj`U} z`eJ%Iqb>vl3Wuy3f_Bpq@N*t8CNyuBeKUkw2!_|9%XqaVOp&KpAMjPQZsZO36!)*e z&(_X24tJWb$ncxnE`&q`re~ z;7A`_8;KmzQljbz2n#pvQwr^3&6rm9+^Kwmg7@8zxa1=|Df4PRw2LDJ07cWz>0e8`%x9s=JWwj+!w?-A zm+jC>BnlgH!>jRP5V2})jbP>I>Cu)AvTizdhh=lrAb^+M^OF=_p0Aps%QJz*KqUZc z`0)tkz*y>ik#sU z9QAV|BDBP5C{5AvNr2H4DOcfk5Do}>eUAr0TLAHTqjC>znqHG(d|$>u8s5h=q0579 zW%<-2JUKQ)Kwe=_as}sS{=T7DxqQy_V9se9eTpdS>&MK`)Ol>tn8AO;yAnA|;E=+3B zQfSsZmLuEDh+Xx*G&LIn;uZmD8GjvNLNVb?m#cjNHL1YzifCH1hvo@c^ifq9Gx^hj zf>B&)sR1KTi7WF9ZI&~?ruwnm7K|X+bRIT~eWru^-C84}E0BRiHA{$j5~~cAz8y3M zt&a4Mr63idZrjpZDb`C%3;2TbU+-+NrF3?HKPqbP^lYcf%=i4yxpoNv2r!pgsOZ#9 z9F9}>CytC7Xvu?^dk8?*Okfq+j=wlPD#a1YFg}|}t3kXdD#hN|Ts!xQzGK@e!I)0& zoZKUI|qFn=(({_Cv|se&s&A|R{p@3l;Hp{C-f7) zDy8nz?H1-%iSNH6G;gz`w9C}UUw`lwxCbnUKgf)YW04xKVa1L9E3RmZSE;=b^iK#$ z3CBaAhjWM1j%n}7Y6{^n45f3K=@BNCyPo37QU|1qSKc?rT(z)pR0VZIOP$4Z+v|Q# zQLEb3^+(FO@mLNmym?3%$vOc4b9ZOHeBgwqS5B`abk{=B+X8#^tYKSvcYh~Y^3w>< zGfI$L@4@7|_3d84g}PTW*=t>S6hCPpaH(R?wOEv2zVs}3{ziu=#eB`gqwV=)))8~-l0f8P-O`Mbmo;`i9j92k zz5NSc!UTXug01^oR3=?8uk=DGNkcRuPD}+}ZNGmeGt|4S>2~o~q$X4erdyV_8(rGbY2Wnt{PCuu zZN*>v<} zb>vwkU+~h=Nt-8gnkPsr@b`4@mV-35HfrwcP}h1EgmID`w)c0rt<94C#&}UjrR(-D z322kHP*#rQ>}kWvyOVlca{0{s<2GnsP&*qeex`Y*cVi7c&hjNf_HP~DxAO`aL}<_6KT`_Hu`}#8%j_)M{c`MsU_twZaQgS35Uw?* zIn3agX73dEGpDWW^qfM6?D3ZPa(88F;%-w8es?Qju_>^g17Nu0k- z%oi9$*M|M;@Rm&FoxaZOckw%@gSo^G06Ih7i}GiX<+aHg&ac{vd0pehBLP^z@BE++ zH!UPpZP@cy4~n)~q4W)6S0@fmPtMsa@4$V{L+-4e{DLnM&i6>Qtt7 z*Utx{PXl!CRd()bzxX3c(P1Jo1b--E+lt(WO@w0$m!CaW5GGJQWZ3dd z;N8SL`!ZL~@NB)pRZI#XW)pqaWI9}g2I9iiFqL7&@Flzdd}r$0{~PA$nKBU zhsSc-t|afh)8k+IM4NOXvgcFl+3%CplMT12J7*WZ-y3YX7pR1)c7C`Q_nQr=Db=}~ zZ44pb$!!NK((8u)4e#FwI*+{9`J^;F5Vqi$#fjZ|)kfFUJd#|qV^X|%MH8ho`nN?P zc%n^Idr0we&jNNmxWJyg_v$W6&QMR|iQCDa(Z5BqewJS@>O?#PM=#&bI72_bsbqOw zYVYjT6Up+X^6W}qy7zQJq9Ogs&2HOYHli=T|GWc56<<$O%3oQU^YvZPJ^8q?l|a`0 z*Po2!D!%tr#;Raq>2|TF$=$+A6YbS6{f`A(ThA;P3$3JN_p7o(T6q8L(dL zG<>xFsiRnVw(I)S?xMHNlV4lv_dZ-WXD3SqpL#glSh`RO58o>=-mq_~E6(=_nCcht zXq+i`may*_m8i8AZx_0D_RO{PsnUq?#8|4i+km^GRFHG~%g@oc_zUk_M4)spZ zW=C={XOWJH7AU`T+x%_%^nWksZRTA2(l}YFB8_INu*bE0)W0HcT4?Xt$c+s*Rd(sp zf|<$VqJ(FMY6W|`GOD)YD8fWkD{yrq=>9~d#{1T-q6T04ay8oOeIaG2jt&o;} zPr5ggl#ITJp3m8`pI=GV8tZ63b9Wo`T9>Mzah86gXW=DTYsrwIOWZb8p6-*WjuD{S zw`g!w6m|@hOUju}9h<}(FdWF+OkOWsHJ%R$$x~7xYWwsyn|K5row*1#Rc+0vD72zY zg;~{IOzfJrWpsI)Bnm|pin6bII?G!q$Emq`vVELL)TK1iI@h~wn+a{uVo}TbG=VbLnh`Ka&B7hWl_=?1D zK`CS_g>$OGfFLE;9-cm2z+1$5@|nmkS%8pTY*))A{nk8R78=^Wo4{uE-~riCOL?hN zMJxV%&u)q(3d*uDAN2n$HyS0>JTLcos;?)O8)^EbIzG@K*NgU=+bInz02#Y8p<|0) zezO(e@HBEPc8d_3O>O(PvqV2!+UxEpNT@?$C`LmCirHkYulT&>7e z|9N9S7#8sifkdTCxyyLz4+!vjQ5^ac4s8JV1aQE z#1rSSaU?#YXF;xrZPli6eXYJN@Oi3M(1)xw7|^mET+jM~DHSCYR}+-SNSFGSkD`!@ zQ8ABqW$bF(Y-MB_U;ugnPE<7yI~$8{=6E`Z8Y2zk;uk*L3+}@4?;krXCU++?N$17*-{8-ne% z;ymN}KW@xCaGvB>M14X+`0y0g0pH+Qqtc9}oc4S5ns(s(+O*I)h#d=!7;s682QF`5 z%Z-?oGZNgvLd6sCO=WH+jVjgnt)84omrJ_FN_UINb9(_y>@M7Dbj*?vJKqrg)bh9* z{kO0ln#M>+Gy2HV9-|a`oEXIjfTmx?5VV?dd5xu%RqWupsSrd{>G&2AC`E{&29nde zJN0Vk%h2N#iZ7ZQjOsuMvje#t(`_7Et2UqyPGQk0tGXIafD5VG=E-0 zeSqKtPe2xoptUDbeE@N8Pv*;_*NbuOqnS*>6ul5SeA~@iGxt4@l`1yIfpk+uHo<0&BO~EhvZH z&zsy5oa)O^uDO2)oevV^lN;@)n`AVU{$x|G&VT_Jt1($*zzCIrAaKAYJ*X2Ib8vBK zX{AIuUwaI(e{^HW^6vu!kMa-@oz`o$+$!p3w%yXaW-(Vg96{%5fyF~Br+hvOef_ek zNQ!z4pY*_pzq@yj2Lr;>5AxIlAe#H=d={~+ak)X+AhE)0MV%I5bnp_b7Ud}XE$zpB zO>fLwo|d~ZgE4F)EL2k;9eQ2GcJwW52(WZ!=9F=1ycP{Px=&mTrj0+KO##v>#lG4z z0t`VESuC(5e5YyWphC4CgAn36R5%^)s*(8b&=7-xndsK$Gi$NclA~v4)h&@rU<^I7 zf?2tqCAt@n%dNJvx3@)U`<>)dd+S;fVV3LCxQ<^232 zM>lT_9dPw|t04h}dV6a~`#$?FW8a(FYmaJC*lIo<(k4`=GS~LxML0)kJ`x*ncHKJ%eG zhh92+1ERxj6ipf4-ZjHvY1DDQKKjolsXDjhjZjf# z_dWOeP{Kp+yxg)pP~#g=sx;Lo^Q#Wi+JdR+Q)zCr2Kmjt;)dz57{B$bBzEw~d&0n8 zDoM4KCzF=*^?iMJIdVQy*|Td;pWtSZ^&y**Bd@?nN$UkuK`4V2^gn-803}EMDkGem zN1RFQ9u#w*S3=*GIpUF|GX9oLDwSPngG%HC3j*!a{XbdsAOLh1^27L#;@<_Ur%8z+ z&R;!a5?z|E3C}lV)PK&7ytyW#nh`KbF{Gn}e{rmxtu%+3Ox3r3=uNyP9FxeVV{7-{ zwIs^gH6hbM(}w?Uewh8=<^Sxkg_}P3H`Kf;`qjJa*UF;B>;sOSPP@(12Wq{);#N0z zXTt}6o5b{2TqlQlW_PcKk9<$wyb0<1wJ>jS`Jepe_ptomI_~DeOIFj19T|!;A`jGt z_E*&2dnC)6HrT2*WDGh=J?E0O~cb!~GB)N`r&ikHcx$paV zUOU=TdH;VMvHNAQI}w9mxlbu8w$d8bjkL&#-l((xZQ9ZB9^NKknGG`4VJ^6EOVf0X zpo`;Onl+Akemm@jN5r_P_WV`Iwn-0)%D+epvM0L+xw$(1TB=IWeJY>Jd%J7pJ^j2v z3ksw!CXF3lJ`*kADV$8r9GTJ7)KuHyGgr1PS9mfRN9<(7B%%}$T_=J{Ju8Q!wH19F z491U3dsB=EmPTKnwj`1j-J3#xIx7;Q+D>MBHoa}aUQ8_doXE}FRv!`9Dc(vl6%5Lc z-I#5P9?C_9-g$9gX9l)pCdhWNhE3>%ka>6v+M){r?7?plJ6(l}_!>5|*1<%zWgKV( zACgBRIFOCAaS8`0HP#gC9~;#dM)w!`M(+vxdHhF zN=JmWpwbAI-iSe-PRU|SfK|{VHyh_ci{U=9r<)kvquF-pcJP=>wTJy0=dA{#)YbPf zAKPX=HV(px;BAG97Hz>|Id5T2zpg8%@xFIRXH^XvJ{aU6c_ec4`z4LWGDdR?n8hn= z2TY%xP%ak7^eioc3nFu)@^&f>+k@bdsaK?*me(sP8h?QBU{V#VCL(wz87~Ky{h3+! z%}7r)eC&B;B|&J?xSp*z>Z^dWB-yr@#Xrwe;&_iYQWOu}p@qwpo>)_xd{)j2J0j1C z67{TK-Yj(}j*FP7;m6RZA%Fp?F zSrk4`-9gofe4JDi?b|W1=Px|6Gh-vd`z-~`lGvwumU3flzF+E2f}O28wR@3o- zQqj@2b;yj1(Z8^YIQ^rxskO=TbI;r$3tSlqogF@E5_J29`NCTBG@&6Bqqg1`oIFI)JM_ z&3eNR?xMF9bsfp*(;~o~@!At2eetK|dJ$Zb1@55^aZz1IRXD@RJHy{ErQQKdfDBj0 z^DVUFOb?MYR$H8RNbl}*;SR8${j){Ns7JFri7abJ^S|yuPjhm`t_+f#!w_Tm&Up%O z0aixBfg@ZD2d3&^7I2M$-z|mMwJY=gc(<}^1_F+2l6S6DMVQP(E{?zLV>HXQ8EdT`f&XQ7?7ymsr0{fYi+~R@#YBg$Ty) zB>GtD!!UD(g%{t)|8k+N^#U&$4w{h4?{6Ds;sR^r%)ei1xUl|uqLrG$6zWenizkl1 zTZk+b-$SM_by#wbTZQ_QKKJhZ5x4FX$-(^XYj5WiQH!MiwP(us0xp+!e5*s`;8!h@ z*@d1%?xE8g&|ctD;ES(gJ43CJrVWv%b!s~TAvdddxzs)$3!uVtQD?a*blMwJ;N>hA zxffUnrKmN~5kh;4dd#6XgtDTPzbi)M)iL)}e{Ogdx&M_GBu9&x*!T8Wt6t$)5yFI* zEDS?Lw#o`&Dr3?<7|?2mN2=hh4P@kG`kV9X?MgDyUGWVCz##A7ns?(ooikU6;7(4F z4b0{3Bnm7{>S|KMn|E3+{z!xv8vk+qLsm{}t9R@vc%;9-oVc87GmTcMESHJ;$lr*+^?t4c@-UO{!%CxsB~ff#HQX zyxaFnlyhQA>tCE6nH-n(=8Ry3P-p!Ny{A=E_mb`>4q8 z%2-V6aKu`R`8ayb4>38S4AIJK@fjx=km?5dq!X# zlrSgj(Y5LxB3O_u#d|pdyrJmd)iv#($qJJB+|DcDo?5NyM>#*NPNvt^%M=cRJR)LH z1Fe~GQaZ&u1@JfunfA)D z7Ns(s;aepI>HBrC+4{)D9{+!zg(xbjzNF=55!0J>^+{3UY<7eEI}kI+t-N2S5LTUG zdSQ0O7cnNDnKwUQy&r*5=Oy>&tPh+e7q{z=XN!Q}M-vt5-uvI${j)_n1eFS$LS0@n zYrdXJbf@?_MQ#o*O0J;Os#bahT2_z8BDS8nex6Xj*FHaV?a;T#f7^(qyfv?F#Ecb} zyPk9zj=lz02)ITswYd=_6RQ9jT>_#9xKPl?5x{>yr=9glK68Swko$wFxy@=#F59v3 zeig=P9d3~a*L<w5%E5EtA;x$V{z%3g<1%HGbV{?N`V$8Rb$J-*d|DDtnc4p>sJp33U z90{IFxGi?5aQ;?QxBq$?_}BQ%ilmj!FfEmy-C*rGPZHBb)i#VQrFlzox6s!yK4gs7 z6IG|pDdb{d2cIV4T_S=HH~cAx`Y`NyQ~oo&XI!C@`0%3e^==Ut#=)(({y^6v&`ldt z(p~pd3MXi{?!KXDZ1i|-(IG^%pGS!rqhs&u*x{PH_YXDGrFLgMHCToaP;lNgjG4N9bcZ+@e|g<)*Ej!mgS)0B_H?4U3r*E;|Ao_dF@>HT@fo!H+lW7F zY0y!q<3wW6`*!DzWwTVx@}+(@*p&@eP5l&4%%2orT)*LzxW>@txz^R>4`*MgWwWz8 zr`f?8k!t77dlq=@&Cnsg=32Khe$_4r@3ONz56==1L%?hIzh8PPlGtxd`Bxka1A%iGXV4<)=do?{fokV3v=!E) zK&?IuqkU<62Bav-r_z#MIgdTzH%e`KZL--p*v`McKbyw;lgK}@N1txr)}>?G7MmO; zvcY0aIkMe-t-P~37VwZ&ht5Cx{D1c(NekxI?oW$qO2m1F3bG{#IYB~~WZySKxg;&| z9)iC%xn7LU4SKrQoT1H<1U0`Me!ui+;G$SE{R{SY%r=}mTs~yj?d&br2#Yb3{H4qYn1A!;i#lyiMOzv(Zhl++0 zV7)bh9+|0aKL;Y+EGL{S8vgx~jyo*?!%v>LW(yMwAW1B8f?7XKmf@Sf>M)1$z2qk_ z;#NX?+7ZXMe*qTxh!%n{sr-kW_e2gkr$ntvytk>9i;)vMv^$?CkAI;~34e>Bw5OQi z7)HD$-1JW`cSB&X2;#!a1DJh9Lw`@tD$UT-T$>t~wA0?glG)f!?9hR3Thur8`u28U zNQT>OLZ4KXos;NPW%6)+l5V^e5msCS)84Rq@22bQ1}kni1Eg{z%%%$?oVkyp2!nj} zb=q*_`@}&pnr>77%lEE8@XvBKM&bx#A7q+4gFn`$oDlc*l5jab$kNG{H&H8tYi8Os zU9k3){KDtALXql!>=(XlQ500@WJ{s!SPB;FH4IQ@orIP7E{J4S(3sD+$zDkK>6Phk zGY*T6_<`*TmSVm9%jW4`+2=A!zreI72PR%Ae!#b`4>H#~(kjjr%jHrxh+RT{W)w)_ zNv#tT=^U8fKSi2+9q5VgE3j|MAW|UZ8q(Vs*U;9R)+mg#>T>J@NN(H>-)c4Y&KW_$gRU}{u=r4cOT&Tj5z#n z;;FpJ79k=bqy3wFgnnr}i|?^Z%P==YB1TVm=%jTvriS8Y)`^HM3P|V~PM%I+e->{+ z;YloZ8+mEUjQdi5qgd~+9eECn3<2@ajy z$yD0FPzSP0WlzWRzv`v9_t6tmuc zdAu(2I`EnqwnUO@0XjG#`R`#;Vd^YC ztI5d09w9Z}B`YO-%wt@-D2?vXn0b#B?Y8^r%;P4p<0~l0O4WHNr~PIQ5&W)?LBNu7 z*{dQ=s~(wF0b8+YFGvZd<14)=BmwS#n^9_PYkZd*P0_4dqZE}C_%2+1#xE%d zqE|QY@)`KYDTvhwWW>^-n|>dR5+N7GdWKttC%&^G^E#b%C5MOnGq%h18Q`87Ft>3j zg5JWr`QS&ag{8M`m!*4mN|f^yWNb5Hp57{=zbDb@3MF?MEK3)ujfujNxAxckofBMk zR7y=_Fjow3N_k)NfoEm;k@;s*5ATut@#pW8Yb|b{I?%Ly+|6=5@;#Giv``PkSp$RmXj1Z0MjR?IKl=4hkz&jFdyBAhU61gr5}-9$ zxz@3Ou7WnYFg>_Ef!?6PXlKj=`4hLS{+_~8s1;~hKX*$e((LkXD3aiy`>30Zg}L&3 zCOAgU>2YQ=u~CgGW$-p0~@(k6aG!k{iux_Qd#m7f@|AYFnsm_&GDZu^Sn zkd(*pi|Rs9E3>lv6+Lijhmbc4AfM~Rg|#Bck(&IGfT)UST!YU4W5B>EW`f91Ia-jKJ0T6x2(vaLoG>SmIw>bpQL z!>y@5LH{Lep{7-Ef=*5(|J5^_2j`R*0mL7R=8lnYdtjUZ6tnK8oTqd{7iQL5w_aMZ=*}cBx4NdAX$!6ki!95FFxeGGy7Jy z-EhrNN$hsyXs0|7B!K$>Xziv%#l}IaITy(9iDi8EvEsFb_<}Hl+i3D_Sq#$jgZO^2 zoj32)PnzXHA{(;uU$r-mpkpm!W_|@Z-0j0X8cyW#rGSkWRKxp4ICQ}|wi2Ld|>TXW^=mt-YnNK&&)`7=rJD4p~)f5~+P9(}`DqSk~ zzR}pAvKq%Z@$=s@m~*dO#6er=r)@%WTvmi)o2G4(VLbd_tm zi5peq^e|3ox1IltoPS0f9NZ|~3WVbOb8YO_Ejwo2$bC$f+^K8up5&YRMm0u3-b9f@ zNd8Rhj*D-{jh%S}L?>0WFiXt|B`QJsv#n7-M?=;Q-mNPhRl=%0Wj9{$TMs8?HWPH6 zli#<<&-}B=qfb)*8$2QFx4L!;aNeHr# zi`+alVBzeXX|)*xD648x(XmibwLf&VjNeBIc_5!W#ak(9jgUpAD2eXV3kMD2iz%~H`*$ye_(&Z#Gs#l+Iy%POnX*n50DG_yoL&K)Z= z<*(?Gdy?ZOjufazu1KlGDlI~J`++IRjR1+M4Zls3odqD(TQLBP^aDuhmK}82rTrLz zqW{K$S5|gn@IQR%$gQ@bjCmm2=imN_bUp}LoiS;g*Jhn@Y+()d%)6@ZGXn&+pNb?H zIIdSbyg>v@(sW@`5$bz}HFSyNcELKpl!SP2_~(?yqRQ8~i!vFIdxaXeMFziL5`8pU zdBP!cD-&}?IX0q|JGJ&uud>Np)cjTaxy})!O#JOHRfM{RJ8urWnMM2zRA_u_9naQd z>do9Q!Evt-_>e_?HJ^Te@`!yj&v)ci&?!Bu!5j^N8`ix)loIW|Q6yL&OG!?;S z#HfO{z0!JjFZ+vLsn4FQjDE<>r0fO3{6%X%}p~pl599oIA=DX{e)V zc=F-}DfLk8hHZC2<`Jw^Cn}t~{a?MP>W#iPBRoSZJXAr-c?p!Ib6_CcI@(;QO$aX# zjT0ptgl6l-SP*EsO7~fkX7UdMOjX6}C7vdsSA^!IHMQ;pjEU(gL4VgRq`=5mcMpY4 zV7^XDo?Q#HhXLC8lYX(jjd|IL(zR)I{ApFc9dN9<-J}aOLc+ODi=nBrgXU$>5W6qn}*?DB&)5`3w3Vjr!DwAro5_1 zfVR<@oggpVom=bGUfblzUl0LLET&0ofI_oOEXRepT)Rr8| zgX}wg?tkL#e=q&$&bi+B_e*+v*R0d2JLZ`@?xkvG3+8nTWhTecRn~P21!A$G>`sNw z!o~wB)?Hm<>Q5j|(*w{x*m6LC4LfMTusip~1&t8ff8wE+dPTx@gBbiPJ=7?2#`;ByKyYayzJkpHL@Zv@FPAVSuVDh0bu7MqNdu}-0$gw z%L)f_flM}Oog4Ttlg~bo9!>*RqwSO*q)ee@*~nhdWs7NkmH$P zV{?L%mOnuKO5n4cF|H8Mii zEmMr|7S+<=b}AAN7X&5o-Ijv;hX(otcTVbAY2C**O%I!~s>GBkwW5Ij9hs|Db@~Mh zwzUr<59iRt`Z)FTrr-w3i2e` zX)L3?x7?(LgK4>ghm<5(=5)-Sz9`SA+|h1Dl@c@BOih(t3=hiQQqA;$nPp~Oz5L;XWL;(5evA@)aIxQK8XTVqaJOEx zaDHIy>Xz^b4VDk)dJljyft7&_wGzz5ZJ5oYtf_dC#fA5H>?G0%(@S&!_ncUJZkx1_ zN6gQq_hjNvV!6#G9Mol?CYte6b-b^I$_a2YBy~0eYU*v*&Y5i`vR>Ukr9}eyYuS8= zQ#JT(jOsFZxFcuH&!cHn-gyn>@b`sb?YZUE^%1p3@e{4WW84K>Xnt90S>?={a`=k_ zJ|(S}c!>*h>v7e2Y_2;UXEtF_X-l8)D-qp_4<$<7KCsE`D?vkGZLYJtKGwK0YwO*!koJLWA>R0Pd7;0)w;Se_>vbZ0{O9jJcoLSjIHOmP3a@kjXJt8+|<@+V!@zllo z51*CB3}cVMNUqlLJjOAPm+cq(aN#MvJw8<$w)*MVdGQxl)1mBnb}%D-R79mYqdKQ( zh<&(!ojhs5BoZ(MrU0bMEAe+bDWiS-kzbOv5U+@BwstxuO+g&Vl^tp&{SAB5++yntI#*wgm=HEVAfh#+PRXKt4w)8wh*&W;a8z!Go?Z57~U+*xwufUwf6AHX;l$w5O@#DNb zbPFsm-s_405G!e4oDT+3N8e34^%EiRQyi@0=RWD3!(cmRTVh{u%D-G>92tRo7$}$E zeeZ8kuHP?-%^fSM^Cd2kf@whj(e97o=XY|(iA7H>gK92DU}evEyXcuWB`E3Cd=Q7g zAggJfALAs6la7#hD@!c$R{EG?YIQ0^x!8%A4)3-TEWwX&&VT^ko`&;|jpGjnztXs| z=&$nytT^Uds@!kocFGf59CBuhI4m2a5G`aPFrEM}*dOy_8&eDQs z;f=?W@gua4YT`Np0m^FXTqj0kx!HPY+b_U8y~ySj>^U!Xee|U4P-s)r?dk$Q)A2h+3-dXByCS(5ALJ$*HVnBNb$wB zF|W?^Fwioa!xV&s;jV#0TG7%_#Uts_*+fh&}Qr2B>;@IXt=UNvdW2rk2iC7o8W;>paaX@PW-a=7{ z;1NgZI}Hp)YRLH(s#0Ngj=el!lDX++3uQyZsgk?UI~8%DZEm=2y!wigLxCrKNLN`|%s zN!Pslz;sf2vl_xLE!-*nxOOeIIJ8fK9(3lJyvfhGqZ8F3b;oBQoGWQ4RX9UrysDZz z{AQLqd!?0HndN#e;vw2u%#RB#SdO3QT5DitVg#(m6CU1bM6#dM=J3sqnXRWZo4m8)+XC|>OP4q|1ExZ8)D#m5j zop&citN5J+7?G4M|+B{noT`lw#%{Dc3@>bG7z-Gl0<8qLOOsp0S6xA^o zTT1~T5&q!n!nxc76qSP#@hGXd>i0!N?(p*Lh1_cS+J>|Hi}P4Os^WvGZz=t~rShH>(fhG zxQNY*s&@U!SVEm?wpNJdW~^GUfJhNA{R?A%TjfeD9Ij;gr&;;d(M$XZ8iGX|<&0RW@m;<`nAFKgcme1H=IYr(n9s&jlzE&4YCsHgITrhl|NnqVD2=NA3hkr zHkoQQa>){m_`4Fh>3`^x}2yWm7)nes!^S#+Vl~SD7EIP%rWNU!Ag4 z@wBm4s*5e@Sff?eo(wUmyhbSgerd;O+|ZmVD?9Z|7UxoJIoD(Nrmws~Vr8dY)4WkB zSMU^K)D@wo`gQBk-EW1jPDnS^uOIL~Op=9nuB)PcF#l*!(0_tHC#fyeKwq!_49_#v z*l7$^oIfqvR+tl(E7kDO8$6QX9)f?a^$p*nkZFT6%zQV)6H8XJ}|<^tN~vlk0* z{)y}467sNcS1dk076WnIfvcyF)=tI{zG8>{hnMf{!K!=YnF+`Uk!TTJbXti-d94*IBGYfKrk{>Tvvpvj-gCEpEBVCwRFL~v((luOQoj^oat0}=zx(On zk$hpw)r#G3M=F_}Ppo@1NS@tQ2KF2|?(_#J51!>F?^TLZ=};DFc8&56RTb0eGuI#? zpcK%dXOaw_Y~fz<*ughcHGO<})T0KNH0x7mSGDMDSN|p2`MS#v&0pr<5vsJyU=kS! z=Cktq{g<#~|A&o>4KV9pyV&K3`nwyS%3n#G!GHk068gptHQP~BG6C~c_(nr_I9KdY z{Mx{T~JoV+_{I4pxpvaDw0-sfa$gV)tyd$zuVFiokI8 zQl?aTG0u`md3Z3$tsL9JZx2bi`ug;Wm!qzIz9ozL7Vmep$uY0w+`~yH4VYXb{ud`P zJ}M~ExPDH~tB<80D|i|C;)x>2PSAwW!iBl2^2Q(DyRoDU9xHBa)>ulXEvV7-RpU_3 zBlZ{OzIVf)JitA-Y^u<#3<4%u*nKRfs4)hM)*#Fq(x_}$xiw;0qGFBi`X)g_Wloz&9{C$h_`9Vhu|Bi^`oU+yr2%Z%Ed>EzgZWVvh>u zy@EQI&i{NGEQvf8Gv{*O3y~dMh_`Mcg%aD%n7>(u8T=rQ7s)@h%Tl4Ka$ zB?7vwT)`|kq~^ogf*o9FT$3wZ5X^JjlMjNMvbs)5rjv{}EXvp9cmQQ4y?kRel6g8~ zte^R58B5PdSc`*n5@9Xw@eRU?zh?PY>&7~iLsL^{+XW2Z?7$jE1ud;M+L-<2|F5mv zL56honrL0!eYIz(#7HzQ&ii5^QK3I{aeXO&P#AJs5mINb$`|n1^EBDdz{k+qnRcF| z*{kHL$SZ>AcB8OU2rW_*fu73CoqWx0u@9Nm7VAvf%V8(gm(3NN6;SG&LWzN?_iUNE zyk)Y3!5~Yy5AfQF?MK=LcVj&5Bf2Xi!k)NU@izl@MntJAP13XvIYk;zA|wTh&$YH2 z)opmMFU%cpc-L^OOSYvTdmiMzEUj`K@kc6DW_TV54%hR2O8VC@CcQiDvh z;vkcJEXaK{f&`3c9er8XSB7vFB>7dx#l|7jsbBEt9dm^&J%NO8CGw8`SBQK9gxm(b z+5~locmFm6rJgSMxra`42ULjr^Zcv3L?|0k^7?_9^pbh7=tU~7rSbU=0y4>T)po8x z_uICX+^fJa(W_>;gZ3$g{J9u5Lvx#;ny(c3a+EspRkL-!ZE1ZZ{M%j%5) zQ<4N)udH<4C^eGo^!Lc9niKICl8TgPsSH=y%N(4GlPw@LLz@seXV)sZGpEJ=IUX}r zrb#gBxm|XgNvRI10^L)oR`15zNcK{K0U91{jfJh%oM&rxFBURybwa{#e=+3r>n?3w zIuf6O1r}tK`lBdLvME;+HMOogbRA*fEdXl|uyQCFK1l3wM%G(*tqkku06C?b=rT}gbSIb4C|8jaa3si8 zT3x#|==Acvaul3kZYAoh@F^=3k(nUw9e1etKnyqKT$sg)qIQ$aH3f6euLSO+je7E? zU(Atgt;gg2q9ro9#5>I+Ogy!_UMa9&E}&RYl!CX+`2v z*4@`{rI86w{W(KWc?6@sxbE~&#rq@VXQO6}zhBbUL31(#d`yY=0=krWc=rOFC2Y8~ z;nqyt{^{=?6-~Wg?iyY^F?|nPG7wTZ38a`yx2AsK4(Z9*vGx2=LT$dK?<73L3)LiK za5oA&^3XU@6$2@GEAk+1(G642BRe|+2&y0=tb#K#5$XV)8ilFyaD|AUK+>e4o;}ED zf-5Yb5Ng23Ii?*YjdM0GH*gNpUN)(vw$>?7=vl^91Dm}-+}Kn*e|4D`dxQbGKMSJx zjBRNKY`!dG<&|wj%mmk9xF5e8e>x3dohyS0i@)jT80J5fo7>-fa=fF|ZqSkh=*(^Y z58c0BR}%h9P2Y5Pj3t~X$PON8+Ks+@Pp=ced++?v7-z&!#$^VQCiIX3sM$;=h$4dF|7p1v?TKNba9VnEY!3R*d0KnEm_vC0G*- zVnLuF_<64KE>H!tpCcO+o)&Ph#oG#9vH6$$)&6o$Zg+K}x1S@R{M0A#aq@~m!93S=V0@{4mAmTM}H*V7w#kw2R+B0J)HBpxm$fX3T@< zOK{O>+cfRvklVdD+QYt6W!XNT_y%30ekds=_0ikQ;(R@;2TvP}Hvs*3-Yft2)U zy9-NmwOw-b!-4Woi(eW|*obo2N2OBzd_7)H<2ZnIdmNs@JF5AFY3n)c)5X2z@b$8^ zsO1++IH3z3&^uE~CvaM!xlU^hGI=FVQ5Cwq<~IwEMQ41Ch0bB(r6(I`5O@qH?IJTr zys5iY~NH# zNeNPu+j^^zCJqCzoFUFM;#;jW@qW|#w(Qv)IgbMxj?anDh^5+#T zYtjYb;N+!?OpNo=Rtl5aq(*=h+ly57A`c-WIbU-v0VwPZ6z)meH)oc2wta5K?X>eM z-p-i!y!Qtc+qr}MVu|nMMqf$tSrKn0l6j^4Ee!lkgWvT7^)O1u%GR?jvir|~^^_8% zWu{Ter56*^-REMw*h(cwdoa#!4}fxQC$=?1i>C&*2$s628MGAIa-UN`^+R=kWsp-%o{ zZMiAdt8)(~fV=+ve(B@D)3?s8(hgEL*|bU_bVq{-}{aAKBV&8i`VfJP*YW*ji&L<*p`;njt**eu%y3U z^u&3`p9Qt~qoL%3UKn-*D#u*SeuG@b)aM2s9rbI8CjC)v^i?6i^gU? zya+^`#z=!76>#J`zI>0-k=TR)!NQ$I$h?|IL<#+qEe=S}WM*mpCoYL>!7lxR95(8| zeIDe{jL|iP9Q+($Iwi;}7lqCHf=tUY6k95f2w%^Aff8=+cCpE&D=EplB znr5?ZC5`x$@mo6TW7!`Gor%8P1!TcQ`6ZuLF$;vHJeFRhkAtGG@J!5Y$ zY+S_p?0vz`O~vj2ydH((>-^)D=*HtMcf*S#vU3e+d!gop^21FTMUS5| zL=mN873NYpGV?y9^t$^A=fiiEnyX_-7TY0`DqC`o4a>*P`j`Nbm6b&nvF-kn<-G}9 z&~%`d%}EkVuR&8H+$kAZ*Ao+MNXc1at~Pvp8Cf=f{*Bz3Y1TPP?!0@QK(Q*d>^IzQ zTszb&pB9g>!GHay6Xf?wiZFCdHvw zf;R%EV?gBpC~p6iQ}Wa!K~82_7_mjwhE!pd=@y$*g{trAqk?;7^dv+Lb13v4NBUt4P>dZ||8JtK`9;r zlx6q?)+Bf~c>??^G1v!Si2kd3`|%8%r6Y_Qk7(gh1afOpV~Ro%Q~}n18>%1|{oe=8 z6BUK3O7p&>=Vh-?)xA>5Vu{rIh;p93$`a=K9M0-lcCg?pp^!{2Z2q{e;S@J%oo7Vl zVMI%dV{NQ&BA?&l%{!UhhEiS`lB$}7bjhC0R4QBBu;~UfwqOl_GrK-_w#t>3eLC&D z<#j5#J6AO_RO)-~(f%k91ym!(#*YDTXx-z!&8NO@I3cH#O=0>Od(H(&{nV5_(3Y9F zmC&`Ct9hiL^_NAknyY~~g_OldI^*LbWr?bEL`(79H_TR`SU8o4ln$j)MoFV?hC0#j zydMC(ER1L13BbJ{9y&?g_!?TbW^`FrAA$WFD*ju5fdzGW>;Km>%2azH;P3lzD2q~U zc8Q#EWbgX@BejE@4QI)%DNK_P-q$`e0vac+_vv^_N|VW!r~)=&tnF~`aq(Nd@-jA`LL~!^6N7rH<|$W-RXo6 zy-KV?&Of6%?B?To=z|po=1+nMw?y}vF5QzeNgOhbKOUd&sa(Fsh}puue_eO<-$?f* z#seZb1rZ333PJwfZ3W@q`OGu;%t?HlB(74zi-Fzt2Q~{eI@p|v7KMcaj*C)t{vck> z7-CQj=B_1~C9#$(wS$ap7OLIdkSXOK>V}XIg$a^04Q}E>=88lm7eU&FU7c{nT{Lcp zcT*%MLehFuX>;tfO3z>4{js#prlu1kR2uR95<=|Zeg;nh`%k3=`Nm;$jrf=Q=$89i zyCHgMsySbGX|^2*u=1GEIZXDyvaEk`vX~Y>vXoCI8$qPkZ)bo+yK6c_DbC|R%Vzn; z?$6u5ADfSat?WF*N86f@yCZ)TO}$wc*D8z8K84Y3Duw!S7e-pC!%T15S!I6zk9R1EU3pa-n{m19Qq*I$rF@Hz`b!V@|C!u;gsHkpdJk02sL3{MD2z`u7vc zc(Kv-6kbLe)bK!T8fc@OOp;i36aH<@Zf(yfZ%Oj^CV$Q;tINwi0NpBAv9dE`JE6BF zG;P`PMb|QS+4LBr$*j#+%Oa5uTbtF|1 zGD9=u%Rdq}tDWq9ozm`ZTORS{)G%imAl(8!E*NnCt15M4%MKwTEbw4ty&z+i3U(nn zI!X0B_)J%`F=$LD&wjr&?78xOPm$Wb^sq|Kf`+JZ>039sowLH!83}?mT3^3_V??rD zR#wPvwtiw(h))YUl#EP3g874*luV~efgq+z@KX-3^wckQ9c~dpBiK2jmy22R9z@{N z6CDzy;hQD-E5OM;c_vFqG)-lsYw%q!j1toorK!C0Hk=Z1ki6M85(ZQw6N8kR8lq>D z&>S!%&L_LAQ3Bqxj!s9Argm6+@H zOah;Rvb5Ewg?Iw<@xq4H>HO2NZxD?jzV!#_Ml1u}63#CdyHj$QzX?4Dy0R-K=Kt(| zmg=76H-x$GB=67bUQ(y6b?|?GkW3Rsk~-Yr0&KIZu+@UlkmMNY0O6egC+1`QFi17J zEk*Nd7I7KdV{LCsLb{`6Piq6WzbNwNHOnNqypm3&g^V-y3O74G2)(_#lsWm zM6Ssv$NQn7=xd^eg)q-j)3=E!E3gYg?1912kGr<}0*1pwu3*e}o=PpXWMY%)iB$9= zpqqRTcwdyosTEHz7HAbEZ1K(SH`wxiDr%G&C8nPvZ4eC3?SEoHMdCD;y{)=Fk1o>} zWuKJwec}UTmp_D-cEG0VUMW4ekAOy`^4>uk!XL({y7c?o!3^4BL{%~tCY8GAk6;Vr zja=ly=c7jt>{)r)VJZm_`S`VrHJ79VM(ugVu-x=$xp%zjGOWDcpqf8j+`C5CAT_Cf zbnqXlTK)EjgMM{w;sqdOd+fE=dCN-Q*~L{-WO5tR0#2{7c;$JrZUXUtzrCr|KGA@= zi;w6#FNqAGs}mAF>g^6MZ#o_Itri_IBQ%?VX>>Wjd_+GH0kzampTQw&4_SiX2JMWY zC^eD&uQL6W{Fw?{sKF@W`xmc8j+i1iaem@hVTk~hNx>$mSCi7PleacA3PV1AN zcgR9$<^>#${f-}l6T_r}-c)=crI0v9=Oz*-1#~K9eVrvQhDv)Mf7M?<%hh=u7|xjs z6v=$1t0**`_=~U-LuS~D5zhyPvHJd$Nq}uzXK#!{nKEs_DM$&Rf;?)LV_7>Rar9A> zcM=63Yjuxqb0#!U2SuizHxk2|Eo+Nkt^sz~7`lQlR5ZD%3^o6}(z4@bBO2(FTw#=2 zwVmiGU~V~={AR;v&$orbo~0seuCTK6-n0Ng^$o9`f!D_U0CnyP^Y_KdHzYThHo$GK zT5&vAqo|Fj?eMtg!qiAWHR@T~fxH;PB4iHRl63E0l4nU5eFteU{DaU?yoI;ZU!#ca zXUMeE2Y9@N2PB^ASuX^dsn9>AdsQ+LYZB-WtSqrj5iMSBWdna>n*1+T+LE9ANmBFF z(_cL+DySFusaT=wNE9l`xPYo%{Vfp|I!r0*X+TsqHs9Mqb^->tudQ!F-12z*Z$>#+ z6k)Wj!g`x7picNp%Lu4~e&V-s=>0idT3T$=V_1@%eAl?rXwgUWRvzLuBjXix^n`kM z8_ge?_~tUOICKwK5YSe)c$%mK@|a_-jN8ZZV(ZsT&4ybX!jw8+oE8F}*i=5+MJ?!F zDs&Q9t-WWtcOB4A_U%$*87MTkws{|tt4lhI!9%sg9hS+t{L5k7=em7g-=y-$MKit@ zOo@wUEiSA(sFQz?Hb=jo!y>G7jx8%JyyAR7&%zHKDg-Kt_UCa)x8L=fcA*_31PkYs zsvxftsa%u*4Cn>v>-@(SLn|>Q)^2r>qiEI{k*ivXCaAPg6?7=5j|;@<)~UTXmKyjf z*D}Mu>?AVcRI1V#+W~KpFHes(VlXT9$efi<{<$V}#J}haN!&xX*&E#Q_Gg&`?%`wS5#u?j4Za35|`C_$RFnI`Of=mw5Zuh@YZw z6unVeP$RR`=V65u%Z}z)Faz?SKFT_`f*?-~$?Y4TXIW?vSdND+^ZDZMitst*Fa{nJs{{hbS{{R2u{hZUD zwscXWY8|m-Rj3i`lthTt5ThtZ2wJPus`))i5i(q!nVnkJOs1ecXF&m?{8jVpU zRja?J^ZsA|tGy)h%GIk$UU|NrkNe|(zdbA}P@^ik4_*#RtLS$y%dO16y)TN-Py?+1U_SEIP0m5=E-5gL^0ky%J>nZtZKXEG7b0%@ZyFqXAd@d zt)xfQp+l2j@|2f|rq0vV=oNjGJ_?z~vDt;?%m)qzlF~E za4Dagw_y}Z#Clb0dge-sJ2B%4m;N7XrCe%-JqDEzcYmGKMm6(eTxK)i*#y3YXY)Z|i>$%x;`1$#H zWa}Ey{rFBU?UBxP?Jmv2xP2LiufH338xqQ)aV0WTgllNM*uG3h1!J}e@J2wg@3>g# zFq2D1Ur&NpTBvA{pOk`GvF%d~SM4n9lEphS%$?8F`SD%h*Em)Mf z3RsB$QX(BpG)VasCJP+^WxCIH^TrSthE#rzl$Uh_GtYfA_lD~OW+^;{$QtSGX#^*B z-ACM~Bl2o^@x7Nkk-yyw+k1RV*YJF512*n_6N`!q^&d(ZPYlem#&ad^OWSXhKV0c{=W!UcT*=5V8Et8cNLSI0Fv`pnd&&g49d9yPQ&PA^;D zf3AqN=P2hGi?=M5Yb$AesOPmh-OlS`pOsz?G50aojT=|tI&U(UM+i8(Y5D?>_P*Mo zkGLlWhkl1t88YvyrwW*6euuFDh1b#!2=a0vv<|6#YxD>CU(lHGIPXBo4C_7Y9y^} z=-fo)O>FP7pF)u=X0&qt{I~VvaDGKf_}6J&&=^}K70nTk`Ko$AUf+UvGuk7gGF+AG9j(GEObsk}K=R`u(JpGtY46T&1<{aj;p|D}zl0i&3i4lASm7^F=mtH4h3vYW$ zTYg&h~IuK3G;iC1L!V$z}&B} z@h7Ca)@fdEL&n+gSlmagwoA*1Kjnrvfc&T_ZbWf7A?o*`fnh@VI%uX)Y}Yc;relBIcbI&q~{vA2hqHhdr`y&--y*=Zyl>ZmjnL1^sc( zRH|BbDwO?DwS+^I3xa8J+_JG_j}X81SJqjp#T#7F@fIz%DLI#ITBSyiD{D4w%iS|&n2sRq)6q-Yy= z{E(JGatHIxlUM|qh1hec9+92e%1{1H{koHw#C1}Phe=qK-q=>;m8OV5N;G;nSB!e> za544rePz~&)NP|R;6s#0*c+|74x_qZZS$AY9^9AI_-{2xX{#!Y(9Gu zTVwTH_!po3^P57o;*w6r>zrjToHLi{-d~aW+GbIjkk4$XOo8FlkR=a4iLxV@E*5NT3;GYuc!8 zD~hRKh}sd3Mq7tZt$!`*qabcn6jk$IsWNPmj!d%Jw6ko5iav|$#_Ax-4MEzlQF7Zg z%QbgWsj#ZHa2cLMOdYbyJmm9gc8y{fFc|evy|fpQjQB#w$D;jbZ5RiJj1INFK#O=% zE?dI7s3-l1YZzAe2$5!v6mwxbuqRl_Ch&-5(2Ah4NrV{X#4-|F9R(>W-(y1};`~`+ zRdg9=`5yOqvq0o!`%1wJWW~a6BCh9ZUG;(H{91cg?Ky%!Z6qG*p|CG0xLbI#xeWJq zul%h=UXE)$EDzxdo#;J|P5dqIM=!7z;okOW`1b2oknT28tzYPSav*!x6f3#tkq_kg z9Ew8iLx355!ta1L@E|`SemTI5i$>$4NH52`ua@mh=Ew{h*uBkuHWOXhhH1Uw6T4&c5X2 zokm6deexNVmU+F>HK3y(UR}*~vQOG3I>_&vbI8%_rb|L)600=}Yx%Fe!1s@0;8Ur5 z=46YSpJxh7jJEI2*;xIBa_zR1_I--9jW9D?VJoBDk+HY2)Hi4JT0JO&@r%0g+2<(4 zT%~Xa-wfhH%d8>2FZ$`C$Kg+_o8r+YQ{Ll@kdSOUE#p_zHU>+f@FU!FO$D8s^;Z=R z4_dsL94cM*R}uVAUrUF?4R{dT-zB^pB|r~yAm)SLyY}^aSRyhUWofALs_K5}RpfaP zE(xripeB522~$3*6##EHRvZ=teCo4|lR#9Cnq?EfXc{luno zmrx>qtL3(z%sPin6CG18)D4q}eN20pA+}*=;y_Nf|)FDq#*kp0_W@gTO7|&J9UFoK!R@HC&;oHWRw(y

    7YryXoe&RVtmC?~_xh51Lex8N*66~La^RN6^1o4Z60&6ZqLi)fYxdJi+ z4L`gddv__@BZnVzl!K1U<&H8yH#g41ST*LKYAASbA%g#)pJ`Uz0w}oEW6iPO=Na)< zbX&$g4|UrbW&pdvOw1r$OLt!=j7RjT9wRN{74vPiCJo@H?h;F{QKjnL^o#tGs+h*c zHt(SBU*S58$dzTDog#CL#gbJZXm+t$cWG*tmk5(eU)czvHW`Rqe6HsR+}2cZ>?q&0 zWnJ9ZQ3Dmkm(g9ZXSw;>KlG_;(HJ{s_LDe85Z8f&%`Z&Ssc$hrSWP*q&mR6Gb!mKN)+t-Y40cZMzT71h zQTW9Id_5lvxyYh^?$qS>hqK;*o6)Iis=`42n8Jn;iFZGOotRd48AH@#y9&%!cT**7 zGdOoxR)fnH4-?kfyS8n~04h)%h)+>iyxnz-OTuJ<61??{BJnh>l}PNzcPGZOYxYZ27$=!)_}T{;aQ zsCj7AplT=Q$;~Lgs{c0bg~0KhH|~#XC`s23vArZ!)UPL{$TPiWcYRl>&}CU_mm8D) z!qD{{j^qm-iQYra%`9}F2GVmJR!S>}@T<8df`--@S!yxkpU!?I0yYoaR_eIq+R4Y8 zUA%dk?h>;q0M4A#%n=Q_a$!WeSBuP|{~4<9$z#XEtGJO7WhDz@-+(A|9NSQrEi14#8%t(`2+9au_52JOY80=_PVF1WRtO|u z(@k-X=n4#v8nnM5^+{uM2rl}mO<~QB*3kHN-NwntL^r?CqI!SWq2@_hmSyAIi^dwBN1V z{?(=Q=U>rR_jjK=va97jAV2anD1Y%tRN^V#wSP__`Re9j#&1)Yf@^WOjpu3JN(-SH zrP8Wuen4z0)-Pm7>SId$q@9-<03i9{=fuAH)&Wdx-J$VC_u$c}r~9L;M4QRD{as1D z4#mS41V+^1{dK%q;}eU}5&&421cK5+AkL*sy23R^2ERsseZ+UMsERC8hg8KVDjK6o z(gf1gUOaJSXaE^;`^dVNgas;~tmsZB? zEzGtH6bl0k3Mx{rgaSM`9`9QCiLo!6lxc1Wfn6CejQvN#t(6Pw&Sq+pi6K6sDP*d} zSjL;fms+p2dDNYK5FrKxJ){}z-*~KyHLD8ak=u5793Hp!kl86bn?tQRcXKM?IBRq7 z0Z?EF*09Pdv6F}9f=3RPph1~3)H*uoR;#HcOmm~nooy?{>>&Gl$TXZf{qx&}r-H5? zj%ZdB5>uCnc!SV_9S9HvAFR8Ueu31o;oag zOaAhkxE?0~r;<2v)Z9^NI@#z`wOybFwcSWJo(3_F2nsk5`L8{Wk{?wXQznraX!C>6 zz;K`I?+JG3Li0jCO;zE2*wS$y**^}1fkUvKjh1piXptE zrN*%}k6xk5Vvv8;@%gRbqj^8nxV@jOBkVr$g#MHQZ_bVL03rm_M~NV)^et7c}SNc)!mOdwq%?HP{@i$9kus; zTamDQeWIr6XR(?|Pl+Z+oa>(_&a;zVo>(|cs|MKktt`$HE(zZFY**sey1{XZbLBG5 z`dJJ8>l*STEDWpKp{=FnRn#*z29t_mq^gKUJYzS{mcDBP3JbLahU|xRTAG?FQs!kP zB%(R)!i7Tet0OHUCA{#6$1uiIeG3;Q98}md&qXfb42ZXjOK5^$l0b(8>&_dp$t1gr zE!0Eta<}JK+$n+!(1gt4Uf1D7*?YsHTnk|}l1P(ze=>QSBAl1=?4AmRav=z!)N7Mj zh*S~fdy35`Ya}u!V}v3Sk>2K8U6J(jl(iXHp9A9Qjk0W3J^MBu*K3|m+K)z#okpI8 z+CvO0-F`~H^Ii$MzIk*WSFT_8f{J&3P|tR_fyX~{B7H;^1CRkR9#N!n^l4G)Yo+I? z-iiHkt6(XASjMLjJfxFvqKQXsMac#Ny<{_eP{^k}_qHLjJt*y>L(kk4uom2;6c&4C z7HReAk%%wqK!nS_TEA&-;BkAyxUTMQ0*GFCpF+ADim?Z!a524Ou4^Oo^g!F(`MFT(*(Ugw@;T7qU&;1=?TWN8Ep=!QceYJ z))|EFD4X9s4!MzQM}*Ih{hahYWmDUBu7ho>EmFpoACWy}=ZK$3Rh?RzZ_es#5*z3m zWnLxj+T!vN3U%D^v@v70@snPkW-&15iB@*t_`OsFI74@z!FMB)hGNGJ{oiYh15d;g z-lif~0h~(} z_;prJb)N@rp`K@GbCb!LAkyG#z^WH|(hg0fjL&Id$^b{RSX91qF(|Kr$U&wHxq)NI zLJ2Ly(y8ptP#Qw>Zn_E?<`hN|XGx0bo#d9EQt7wZCddsSy(C)x-q`1ORJT7^_{6!4 zI>pvo1z{H&+qXPR0W|z-Q+-`h5QV7St0%Pc5-5_@Mn`Ye=VId*Ku_4pI%=y6V7SPV zv_v9oZb|9!F8%@-ZNnMolJNMFeBG<>+jKjfT)TwD+V^s zzg*Q80N6Qqsb`fcyLJ?AB!Oog4ULOMm`XOAi#DM5vB~6B4tva%RiJ4?Q@(y%N4jnl za_GL`(fg6Z3ufBmOSi@gpYZ(FiO$6HY->AYxyE^Y!d&4@yDpdIFP^BnmU>j?Q{-l{ zPoYYOnuJR5t6eNRCSL^i%g2*#k9`H@TW3lPfD`81HmjiS$xDHM=vOzfd1&qOb5HtW zqbk6*j=T4fQ$TtSy=2hoxw}xpcygN-{?OPbBQT&UX0U$b5<(Kb$$ zE(Cxf*06Jpmz;CcN7Hs%;b=Sij-GS^``G=oI#t(;3=>gXFIGDhCjuN-#Zpvbog36O zo6Cgx(_Hn4Ys5t0Nh;fJJDr4C@RMRxAc!&-UJDCc&kbXV&DL36_F1}fa5<q zdU7GMlf|JNmv)Ab-GWsO70|#@0rS+LY*mve3I=5d3%*0tj5I?EHd@LjHP zR9xm}Ql=OWdjcqF){i5=R>5phPJrdtHHlEEcw#JCP{NV-d!JL45`x6F1zpL4@#iJV zC%XyLtg-OY*R!|zc1$G);DVQm=RAygD^&0u8>#D{#)b{xlZz58w z{W~_Nv0AJKWT&uf4qB|d>XdrqgFbFvTW*QWSrouagiHiYj!!YJKmEz=oDE<2AEh%* zsnGOV*v{!Ml+_)iW5=KNkI+xAnSmZ$s&A`A1!~Ysc=NsUp+kwIiWfShpLEjRFER1v zu6;_!%g_>_*LerE+4fmAaGdxvu;i`~5)m`8UJ@FUM(XORUjZtV$LTxmlh2dn`WLxo zv`a;sZ3b`wNrceIRV1Y4o^xlPY~ftJCg91CM^;4RNJLbj+i7X{ zm8LzH)rv&_BE3y7BnvJqa#5Ea>eOJj1mLdCt-D!6`^I047qGx_`*-g8SG%0yfy z5D&MiwD#>+DH+;1G0#k%93P|yI1$cAWfN|<{F&ZV8h78W5VZXGk>^(Hmwd-?SJ~}i zUYH5ZY50eh$O^1N6uf5#6Bx^5#BcHUFq@| zwa<$9e)nZt|MrfvO9B)i`oLdRl+H7*e(NHGo9{LIFQ`bo&rhR9#kUtTZ+V9Tv96ay zrbJUo{as}}#YFT90AOgx7`a?74`Nnp6tSY{>iAJ@Sac`ZO70jp?*X=fZeK?$-#L9# zTY%!Waj$a(1;c#qWBr&=i{Q@$QV*z+zeYlHnW+AL@fwFc?w@yGVvs2O_2&oFNgr|P z{`s(y-i3MRjL#>ZzWvhlY*jpDwxct!@Zo1uUClrb9xvGe?ux&D@OMDm>1D6X#I2@j zd86J#+dLqvt*y{=+c}uUo$SvcALMH@7_@mDavN9i-%sDA-d%!2HX?Iz0RsGN36bl! zdaHwAd~s2cySM3k=B4VtHUtCZSJb;8H@)zs^y1;S2kwg!IUO1A2w2`XoDjQ$AQOkx zKQIH&onUx^_rD+e=YwCsyZvc9KMp?r>pSW@j4YXzg1(w%iy)@wC?l$hK#laXj zyuZ$>wP#UHF28#)a{5$ME*2rzq`mR^vO|~8&c3GGCN2E{1-vvjbExJ}QJ6C&ZeW?d z$X7i--oTAi&a@eB<*XeWUjuoaInputn=?(bn&x%Z*g#y1K?@G+&E7Zqz4$^0NAq*A znU3m5u|s)7>WQnFFE|Fp8mdT`K0Y9{mpBi4LQI_llDtmwcS_j_?TOMs`~E#RsB`(N z2Kvp?5+*8A9C%|{x2Ff7POq)aG$@ElK{;ld|NB^3rBn$1{*{AY+zIE-mn9HChW4-f zTpy8ACpnq2D`c{EfFq~t0794Fe?^Jk{p_NV_rs^?Lp7kX;T@>4w9@7!o@9MW>p*tV zJvsoynMKY_O)hTipgc2@?N}M$b7z3bYvc;Nw&#vRnWITPLBtjIlh}VNtz-j-lwxA4 z*9&{&0NrW$ya&_#$P}1rQ*@HI_VV?oF}b$bMhg63{fh9gK@g}>_e-08CgLwC@^7(b zYLi8Z&Sd>8`_ccRntc2Zg(Op%mm>a(L@()eOd;8zt7mfbrrjAmCOIKR+C6zqvT)3w z=RO=yzw%!!z~`UL`U9xpU-?*f+Umrar?7nWHP>Elbj0MWZ<_%?Wk1o8!*it^c{Fg9 z_(*U%oA&ot@~}~3mOvP`Q{4=(n!lNDZYYyIj>_tR%VhL9<4Hw>3g{j2WA*~-R~|={ zLb-(vQ>rLHPjHYILby(`;?dFzRZqOEm(|eUFG0hU_Ps3vd#rnDbP#0&5C^qni4rJHS6FP zq&fR6f|3+T(7_v16X9gC-EfO8hHP-?DJqcF_v<>ReDt z?4bo6|-`Wvs+jEHJi}oBt%5BS?Kk|jwuq+Ml zuBh`eIch=Etaj!!02m^zfp5wBf#dNP!C@^W9;LoM6Y?k!1TkYlJ z(j12k~00*7oQ%;cVeT&Cm7T93%`X{6m4_`lef|P0x zXZYj5DZ!ioL1jaJiEYu)y2;Sj2P0J$F8E1*JgWxtG&|yrV-c%1T!{mSr|kp4=sV=^ zpv*So(lkHOofkT5jeW%wwD|g61#dZWI|_kG*oS7+=~~#>3F39yge{ezAi>X6Qoab& zK;I>#dPS60Zm%PTD~YUm+gc4#hLd#WbkomB^II0AP>>SDBcU$|O#+`M)k(+-n-!Nh+`@|oK#TBD2DzGJy3Iv{DJ zZ=_{o8jSi-P|QBLp?l81dW9)q`M>*FV04?srPuK==a}RqVnQkcvfTqW2FPP&`RZ>r z8+7{0s;VtdUt&nT<)7ACJ1PJ!d2^osUxF6;|19wb;QWb$TDtNEOaFhuh24*d4P5@& zsoAGHh?&?A*NzjBX8#%WWER~NYWnwVY#feHfvBp2RYypMu$JfOACZ4<*2Td@nhOAS z%MX)(S&QvLP@eU!reHc&*C4M58P&m&z4IqWr&F-dyOaOXO(<2Af57pAk-%IV<7}Fe ztN_Ss;MW;z-!>lL`Q$>jC79D@N3VRscm3p33^xAf-44Njrn4uk{}<);e~B$Y65iU% z(p80Mmgfu&c2ulcrEjm<<44T?iFYM(f5khL1a@-Z!6RXx+aY2S*gyKI2;CF)bn*GA zgzJGPF!wV&0g_UY9G&ikRKITE;T3A$7}l@Uq!=pUWmw}IJ~FQW)@NroqVgi`kyUg$ z>^apH9kcXjSgSj3S6O+DWYyzK1udHYnyx+;v>e<-{Nd3qf|ncO7lvWuZPa5}ccx zC4(dVwXdm^bU={xb$Y}HqG{+PwcbE4N@n1WG6(Aj^CZRitP;}qYM+~!MVFk$&|isB z@-4hm&~h>*hNQC~;zMVN8JnzEBmWs$mge4FpJZkz^S^h*gAhM{? zzq3^;6-U8p#r%O_2LO;+*6&RZS&r{Ne~Qd_4hpx6HWuyi@cFfdg_(0I2ec;Xf#K4J&6=8P2?JW1u{lCYvNyWc~i3EJKpVHksYGPu+ zTnh#jL+SyO{FnK-pMS|`n58epM~e(_jW2&4;5X|#3Z$s zTq(pHRP!}>Y<%a7zv=Yp3L6_53Ls}{qIT#=W?hQfKEBtz00bt z{j}r7ON<9?ZEe@>>&gEL^a++7l2Sc?RfW!%vwSrWmgGBI@1Gm5{+d*+=~IX8YIwX zs%@~FtMb6xMai2b<&O&OaYn9T%K2>mLs%@xOmv?D;<5AO@>x=ni^Q$^)bY3r;*vfq z-H$#p``J>1>jv}#`1dBw&X&Vw;5DmL1{nTZrD7%cba!Q;R|w#_VYdWyHFd~$14eO{(j1>^T!LCGM=~Ugc6I70^8A3+|JwI z3a3Y;s~`ez!Dl=MyZmwf+zhJjC`dE=42z-D#b7g?E&d73joX_S9W4Ez5yYu!n3H!_ z;2}rJn8EHBYia^N+U*SCRHoy$Uwd^MN7^98XL#sD zCY$(GQ6d*QqS)S5% zyKKD4479yhzW3dc8uDC3kh@FV#=!7*oH#fr2FyTHcgF`a2fF-|yCVZvfnG#~*owa~ z(_iLoW^4qo#vN_8U9|`aaq=^F)y#*ioC(6|DEw)vK9uTFSti14TT`9izs&{mm^X~| zq(vbto{v9(_5;0dmA!L3x{`>i7OqUNk`hX^R8Lo%f+Hw?a23sVsn zQ2fG34~LRo z+t+6nHO@8qhc8p^&d}k%UBL9jGtn$Z`c!ObgF1nC@09EU&Tbi%c~MGl=IDcZBivG|WdHVCk6HX-->Yj-e|(kZChbyA=PnHS97luv?HuEI*YX`ab+ zEaS5oAMKA(r9PqKSKwg6+Q^krJ5UX%W8m(}q=@4vm;amndMa6IXF-Jfg_jj*2=&&V zTDc)z&r$NTW$K$A(UobRu9F`B+@#teU13C-OL5hnPDT5|pPQqVTZ>L>Fw_onzvXB$ zsdV$E#7kJuUvA1eDB~!)+&g+q>h0aJ@zucDJYa0C^#{W74Jtr@bZA2y_O((INpYZ+ zkr7BIvTTP?vzd=s-B;9rB}vW9HNZ^JJ)Z4$%3bkYfT(|qqoGEtW)o3S>c@nJ7F>>8 znD+gB>)F!5hKi^*(WTcA9niN`%Kp(AVn)n3i7y)#6RB-CSX|VV0E=Y_+O1&D2Q7&R z&{S$rdOZ5_hhT6VAIO$Np2&xXURI9Jh@UCbP(EC%fMbjOPT`+o^gw*rOD=svp!ibK zM=aslE#Ijteh|%F4}K|&(gqHY7I%JU2QG_z0=!)0Yv^Lu_tNnG)jMB7T;tvnzQ0o5 z#9%!_(c19f(LFONz)3AJk#_nWsSenO9lvVL=SWf2AFG5}GWNXDuDCqJp80GHfs zHBze=f2%pvygLNvSr-e;BhyvEA26}4+4%S)Q;>TMf>%~1_P2tO2`-2mDj?-r7lI0$ zpOd-ZS$Y%-x7tOXtxUPj9fc#gn@&|{xs*JJP?rZI2e%}6GF7RRQkxzKirGR86gEGO zoi|Q<&rodV3gOHb{J&#N6Vs8} zA$}`~;p>qJYzAg{fXXJ~TDm{FWk|Wuw=>XJUgd76RAt99U7^#4sjw|4hgpLj02qqj zFo?i|Pnf&E(A;gY)ol8T25P$>NS$c4HV^f|n4?UDM;-&fM_j3%z&@M?#=PFOvcH_jRmM! zp4TCAd%hquyCMg58lzjkYK5$gdU_x5oIoJGjQ^@+&5$If;d4KqC(lGD_BNRSz+C)i zwbs%!>90^SwoX%XF$V|d_m?P9<<_mg%TJn4S4kkT%x@0W;B?(3gHAAZ8u==Ppr5+K zB^@4mhH=SIW#>ssq2Fx%q_;)Cp~t#!MoDi^c0-v}Qs zXAv-hcwmk#=bGY67dd`UOnV_so+Lx~CM~CYU)T&vak?z-Cn}$1-=}cAF@E*$6j05k zSSP8jwme87VJTGQ{i=)D<+LE6uyVA#U);2xlT(!YRrrgAhNZm`9+gx1g`yz*E@Ol! zNap?sj(xZB2T9b)g%mhP6y-j!WtF`LYNd;BGmQHYV)hq4 zoQn5r&+5Pcg4o~JvPwLk;s}WMoo32Jf4!wXmvVe&B!|rD{u-_WeaJL^Hc)0?ul{b1 z`N=-zmPvcjb%Q|-T(K&pI#eRl*PDcc>zLkzQ~rJH)h;nclIja5W)7y^qf(|mOO*`B zf!pC!jn*eSb`=x_^KGkUqlm0Tn|(dO>~ABu5R;c;ON<8`DyI>G9X)sN*R{8MT#y?v zejJK+@THSuaCHQ)ee~D8AFo;&3>gfuc=K&7BH2@AVV0xJpP|(lLtx?AbKS-+P&@0Q zQ0mXM+9;mrv`w&qKIY8=$$qHbP&+vcxhtxgz4*Ax-Q!5DZ-z*kNGQgIY<#S3`{hf} zWZ?7+DdtK$o|GCRS#`Rfk$B^1W7B83FC+(^@1o>>yKP+WlG&HDWLT6CXrhm+ z^E&2^=8^R&r@nkMFc?{WWzqq(gw$k7a7oxE1Y$skN#TU>Um*%h?|g1s&xWfHkXx&& z7l{QP*J9JyJ{*BRU1cixTiLewfE#YD^lg^AEZ>%D&N|PcaQ2g#N($Rl07Ujkc6#~4dGB}z1vN(D} zN3bz)R`@ym_)v2rJaThn$Z<#R)bck1GPMR*x){uF=BK&2rTIQ$xcVkbV4x^Ypbdkj z1|A;XT9zfSsujiV7jEhu@;6!3MgqvRWEFzFYB^oBr)$M(qgCV5K5sKPg4GWu32v75 zp8!Ej3opA%Dkm~2cS<_lUTraUY)Vo9L2vzY()H=UAy5+Rxzn-e9(alKU3(9LuE{C# zY`gj3Bz3fABC7T3qZd&0A7By_`f#%NS_m|QO{r6ApxaEgEs~0Z~cG!d_CDTb!3v$l7AZ)b|8q+)|TpG2+XMF`L2gyLbYO zhMED#w{o22(OR)FOlx|>B_`O>D39+?{~vrM(MtG3fZ-Bt2$>rEEnBCj~ke)j25ejt^--EwpQ83C!YE?o zqUG&%bc+9ZIGG>m6ksgL(%nYw-;2L>`_A;bs%@3)>2puc4A;#LJn^)Qc%*kfQ}_FL zf@?uFosMsLqr2BW%egSz_b8 z>NW5F$pGb8YU_A$E~S1SaL@TwiV6$#MPjIq)+g>G%hug}t$)Dy!(l~WZ(R;Rq} z=`AJ+P@UhyJM$*0`>gHwQGp639nx|<8fkkpV413;iM9?3&@~=Kw7*VLNxVBi0)`#^yzN!jzsiOh%jf-lx<8p_F&!I? z5&Dby&CFte9*aBz0K!^AHlYYHEe<~xBkx>??F*QKq|C_-@d6esVSg=xm}xRQmmkb4 z_XW+i!|{8T(YHBFdB5k&Mhre;&X>)8)H$dV8N+9uN0b_W#KiPdoHOBbv+(}m#*h1c za+F%KU2m3s+$Kd`Q{S)1-O0X2DEeXW1ki`B`Rw?`4MZ92wz|QU#M_d+I(fG#HJY9J zMsmYe@YrtS8JYys2gf=yMQj2^%UfOFwzm%}M4!(ZjH|8L^l-t85oJbjp5w$cIFu>z z$tFC>FW)Uzkr9%oPs&$mVu9bcyG7QTy;dAvR&@L@LZFX;QxMt-Rzt-1te(e z8@z^`NR;IVf5__=sRW36C(F@3`I604!rRH@G~qxw8QZQ2A)MM;BCLu$b)>{jR^~N% zM{`J%5^!-I=ip86M_0oxB!-OMl@kl<-xZIhj~r?&^ftYxI3#GqTwS-Fo?kZE4;nSf z43@nWD=oXv+c=fiyaz8mVcE3zd z6R*8b`@->FqVVzFJ#kje0+zNu0*`WnVjx7zw@Tb_pvS9lTHcHTE zWXEovz9ipH6@qP?dcmfiv4N`^pA-*I(EP~l~v)>#vNPxy}h9gm3CoL}5%gOd0 zbfth!^EmB-CBXYJ6^%FSp*CH53{5^Tv|GI~@0-Ud6EMNA?Pd_bMM9PEwlJttVl%Me z)p^&QVe^Bw0GWsMzk97LyV@VMsH=9hN_(&-T+MoxqV(mAr8s1jr83RZxwvBFkT?DE zI;xvu^EERFmT0FgJ>EG92W{RjssNi# z`l3{D)pfC{bhNM8&~sK=*cDq~K4<7a63chR-F|tpiEK~V@69LF)|RW3B=ib3$CH= zv0pd#p;O99Z$0Zg;g$*fZE>c-ZP_^xkT5vs7(1bk47GO&_Lq@__h$|+!(%zmNybP3 zNz5o3@YijMgs#&7a8+r`Ei-_eL$-xLZfdX2$|rB}y6%_Cl%92Bx2Y^gBMGnvE2pDp z+v!x)4C;sY`9-Jon?k+Q6-(<#8f}QWRzzYU?&CR=v4qmZS;)Q~t4aJd85Z!w7Ybh@ zNDN{{N!;8NN@%AF3&?jPIllk>@pCMIF?2pMTmjiQrZeF#casph+weM)81;4~sto+@ zCp$&rNHTF&2g3S(5)q3lcwg3I-`Zi4@)neob+1|LF|z48m!tySEQCZP)|Lq?qf)&> z7Uwsk*)T7QTPE)>^dS9G@z1$9@B* zLik?qqYM$__;4YRm%iG;c-Dt(T1 z6_OX50wt{kVE+ZX3GMhHhlyKou^&Avzb;Az^tAHAQU zrcTNhBJsUAi8rzzn~HU$mUVFTwoEIyrDWEYb#}SsQ(w|iC5ZL?gLQxZY__UygnMF1 z8hQHt56rVM+J$!SQ`^BHo$RV>RfFb^G7fi%bflXzj7hq*a(R9e?p&A7xZ^VZZMk$J z4OXy8DkM^&(k8o})0h6LlZEA91e=mGXkVi64CX6Fm2@UKDq+1q5$27TA38A?5PVi= z@3!QfZ=a_O{-I&9TeJNHPnGVE+?#_-oT4J6+&&jOJyhQGC@2&KS;=rc8@8h0E z$lP*v6O1=>emWX%cUf6&^G)aW4|MdB@V^=Vh=V8pIRBa-g8v2(2&9<)+Xw!O3>el7 zc++?}y_ zGtqoc-{-BHuAx2UnlL!@9b?6iqB_{K-#-O;$Xv$ETJYJ>(&k8ZYK&uw-LgvpWX-uGiBbK=q)=bAa;RP`S3wfSFV3P~&rWUq);vC)dh6)_hc|1?_UUhkr^2B6jEUJQoE=PDS>C^I z;!st_1hxBJa#!)PYOxe1I#2pmrwRju0LSt+@jFhmjtQ9s3OD&dREx)2%sP18p673t zPEXmG>ajnvjnv(@GDT#tUe14hfgBY;dTnAN6;XZrB}iF$)HweyRrwq=EWe0PqKbmu z3cHj){A>wxjX_q*(!rcEJ+!oQykynir^`P*yI5rDjhSVj#0R1}3)Ur_^KjeEc1oL; zuQx-W-yg~?N^%KX)nXW&2eWRDv2q_ZhBQNK_Y-*`BURxg0 zGGEp*NAbK2@65M9l66i(9ke_~`wH*h>$WKkgvQhSd7vu8irM4bX3G(Gj|8k5z(UVZ zs8~_*0Li!R!6`Ezmn1GNZ40?J#OpKWB!}2skJwWOY}o-ENq>K%N4h~sW@3r82-Imq zg(tj^V$hP~E-)jKpe*9<4DVbde}nzJl$HQfIR*;^UTq< zs6co0nFv|cnH}2t={d0z|Ixy;0~?1AUJbJYUu^x0x*9q&-^~0Q({aTMl?=8t$!?Gl zbEzM5__nGyTCP}KdK*^yww^);BkSo(siXADtGpx?d%{ANkx)8(d8D2TBNj*pL}O!ey|1#h#apg>_o#^nIbiMEsQG>C!ooY0h#Pskl#>$e_W2rtebsjZ>_01#+m zFw)@vu)l2XKk-AK5Kyw!5#4g~BbdjTL5z;=5@}}4sifP?SYvIhewHY}rK2sWe*2vo z2hg`OpHV@QtAv$mIY`%H8}0w&?JdKq+`G2l3lKq(MmkhPq*1z&7Nn6b>F%xxqJ*T< zjUe41B`w|EFo{XSq#Gu@6I{!C#r?d`-XHe<=7GS$ykh*%agN^@1D&T_y3WS{pFw!L zt{2}~G3!L8@7&DYuqv;-Qx*Zv(U@&)n3&gSe6MKjJ2_%!8}cKrQfHMSt@K%j1}%B^^+h+mSJ+H$<6xZy=48Dm)Z!05_zf9E{`xn)S>Ix`KOb*_A`_|Dg(Ym-S%OcF^3Zl z7tj&>vBEnTb572K{vdHT@@;hE)@Zr+d}=z!Q4`m1(Bu1uV5GkKr6cY?unvSZn}%tH zQJeX*65WBF15#+Lnme|1;HY}$c=0Dxg28azDcIXTAx9RJ05S6yIF%Dow($o!4Mj&1 zuEN6N563~@GR9+$a~(@O{=-Jb6-2*33KyGzt|0-tmwU!Ixm$qER4+E{U-^}^b%L6y>^;uBDx_H{~PrOxR}iCa|}+`gR>|BEwgAV;Z!lA%0Y18fDmks&ol_ugosDQQ`Vu z^Uaf5Ykyn_#*xj5?`{CCa)Au=&W9xeo;GF2(YAm0b_r?>%B6EbIR^!B(o)&SCeTfB z7lht{K<-j)?m0s#MPpISGZF!7j>L;nH6<2<9@Y8Vlm=VzDZ?A(^IBs_sLHA>bHju1 z2$)vJK9MWw&*Ogz|GX2opI4Hr>q||v5WW1a=2PF83pb5;x7Mp%_DB^KwSDn(neUu0 zXY>iyc%H=1XkB_jwcKjnQC4{pHQc>9U)PdVyLKL(!GA`9`>r_e>@1pd!e_)`uwPQs zHu-gJ`rU}BRo6#_#*<%ix%0kyKYruWq^?pxv~_?0*hCKIA^_flQG7+lMmrNX80P?$ zfF|{?mZpc2PJSlb?*mNd64>tE_4X6K`a10UxI$G52+)?D;bYVZ$P)aX`4aY|UmO+v z*fu)e4%)*9h#50-JfvU0L@cW-v^bTJ(vZ5)?c{fN&0Q0$MwK@=`pG!3IU?2JoU!XW zoBSo7Sn(At1W{4?z-Rv=-1csE!;TzgPA*&C@JN_MO;(O<(|Low0cYyi=E^J*;`1?c zU&{LBEi;Da1^m02o>@O9!6SAvQG3F8BYyk$%}rOJ*vBY7h8>I4x97vGMz>3k^Zy{w z&{D8cX^g~I1WMnP4+w&cDU5S+^9Au|n)mR8>gfndQZYr!1dYq7&Qr%ezTBBYldc>KYy)^pah0{v4`Q5idjGJ!o98y`@`$8*z=%F8SVFCcGhljrBT zmJ$5{s4g4?Wg;QNSDz)hL3_$DIdzZmpy4;`I9k(3PzjLr_tr*v!1=r@-GDm-LjbjW?ycI+;aV@{(wnvEGTXL z)y0C!hiGXPSSG^^|8yl$L|ukO@r&LFZt_QF=K5r$mQanPxpL7P@Fu<_5>DWJMfuWj zQI&wl!g4*Ejp5|%$)GF_U~TVQNmLqVkvmV`yZ|PSo{;TFM7bn+hj)NAiMg*3otPl; zn<()3!vJhIMB`5YHJorp&;Rzz|C0{=OXGy$B-+z*aBBBuQ!N}*Jv}brpu68FH+%Ds z0_68u4+$S2tMNirET^U?W<1u`rY|1EH9T+T{MD%-G)LO4`6#ECjm^+vWmVDII|O%fFx$|MUlD1rHqS`p*;+>U)0|G|tbf zC({1xWWdsv@kY+1O?canh?RPERmiB~jR^d`f-Zffo$r$P3x)b>{eGD~{8!zs=KbK? zX}YFCw}EyfX1_WH^ch|KnuBZOohyBk&TXV7P>p-?Vjj1#q;~O`4Gpdx_BgqarLtyz z9@8@pHFg}@7`o&}(!fuMT|QMTm^yLYfr|f{)IcQ zQU64S&+au-h%N>oY#&V#Yb1bYDjurQTCwLPpI`g%2p`a^755CDHJ4G{QG9Xr%C%^a zL}8w{q~%b2E{RR%kM{zlO_Y3SfMqNXX|sZ5~DIia=7F^HJz!JwIC?PaM>K73C&k zpgumds~$kS*GN=Nz_uTEzFSS%QuBGGJFYC>Cb?ZAFuy3SFOQ(={U5KKko}MOAiawy zt*EMGcO7iod=Z0+f@E{YJAl+os>09FKi>JZI>#nDiGCc!z}PS(vkmCEc3qGZ=^xiy zYU#`GPOQWIAM^M;fBf?E`9@@%U$+)jdj2<0|Li|0Gj37s8qgNN6Z@$%_{$Fs9=D7y zShWpJ1OhrE+9tZIC#CJz{0pJq^u3G(9G$yrDmYCU7;89_Hy`ph%zB0))eP+!&NR79 zG$RgZmlsdJ=-a*51hp-jsy|nX4`9IxI(JP~Qjrv0j%(j$NgJmo%pKy(faUccB*LiP za}Y-%3*CEgEG3#DD{Hzc8g-N8uNgW(Mpbl@c5oB%E`+wqQbPP-$)MUd--|pi#AC49 z(ibZ0k6|eXu)~C|%20B2FNiR`xrw#cs^?|4O2gxYOXGIG8NE6n507f+uQ!nI@%jb$NC)Jo@A3-g0f=95%^hfxv`yJv31KjH6*6 zsy6pCKR<*2#C-9~^ub^QSY-}AQvRSUQ0L5P!P)sbVt*w>j(3-%w{Le4mmTd|?I zXY&hm)mXD@oy&KzMDj@(Pt9AbTT^HG&H%cvvGuUyI9%ws<$Ho zxubZyvr9%}mE1LxZ`gI9@%^M&ylG!q{Qj)U2L3sRAc$5Iuk}WgRJ5u%{M0A0`SzL;w&o)oDha zS%({na1nooSCNGC$Vn@kUzb$T^Oj}!e;!k4YOS!V+Oo%f#5}=-A7y(nBl#5Hu1wHp zLoxxq8>7IEqiCX?=2XAL8;C@FOwW`5?114ukjO)b?#c;Yn08FOy#Lf|ex}J}f`uRY z0{sVbwn5&Tr$o^$R1-6Yia@IRkyo|;WV>}t3K1;cpCvrNpD)o?j?QY7?Qys&rfamA z`q9DURK`)akq6n;QB`fvkyC||tifojoq=4h^)x+s>_hl!fR%f|>izt=>P}pt#;q}_ zhmM?duPR_k5m4SZ{`!^_@I97u__5Soa|)KR$TRGvz+}RL=`x>%4T8{WdA$+zcD2us zhf?FEqH5kJ%80heQ^w3dr#RRU|1bmk9v35Kd&0*xcP+Gvy<#&w;|)XruFvZl7YB6j zkl*d~)Oz4@`tcQILwQj@al6zy6O=t^{ggatpZ#zaDfLAgF_eKlev;&Xc3nXh( zh42A{I^PtUsw=9`MGm6jtBIV2g!q#t%e^@%6XfTb^YwgKzM#J1a#kq=2v5}64cUiT z;7X?j7ek26wNe;CFnoBywuJEYhhPQ9;VeLqRDqs6tGd?Ahb0!ZEJ`!e909;yI`oV1 z9;GoZf5rXUUTt|&aU^GNdZoQk=U{zjb$CUr>uruXkh&9d%x7B>S)ilcVA1ZJZDH(Y zexf+|ykKj|$-nX5Wd~!Qnri~TED+GmR9RMSF^8y|*ktg;%;-}E8q)MtU$;^6L4*-~yzlqP(d(w^_N)Vvk2`(~aA@C9w^$E%T z7R5RS_t!JieLEd_#2?Y~(~mx|>H8d-MPu07K*O%k**7$``9k3hHJdFT)OkDRq5|Tg z24fpBb7xEH>U!247O&yn90#rKu)7JJb-|2=J}vitN>I2c6KJ%;I$=W&6H}8XR8)oK z*1$rH;Ux9`*3S;oJ%dKLtL4?1ZAg8>q6j~SVI*0}s%w&-m*%XaY-Arqf$nu&l+mpv zjE8v|h2$1Lj5vfaiE{-Kr>Yz|2@lJUWxm3t+j`WUzJSb(bh8fxm*i;`cT$YyddXp^ zGDmLw-AVfTI3@l4BVN7N=+WxqhOQqioS&n5QCQAUXzMi?wP6C8%6$(<_wMC;)hER$ zuHFM0Q`HKOs3~WPppG1d91c9gBqh+D8G_H5{Sib*JT;<#uCktOPF-CF@oJcVc;`n7 zZ)72{h}`cw{gx;SIYJW_7RIt_Wbmg=@+<0s&5W^GuimJ00tW)XxdTm5r*dC_`h1_; zRtGX)Y#p(pWg9A#GH-wF<(!JoYiJ=0`9a*t!+_+i7MPKyVC7y4P6YP_(TU%PAJe^1=><4MF2u0VZH20|A3Viy11ui7EMQTJG(AKSCVcg&#b6;46U!8) z-O~%m=}+wNnqa8K2m*e+Kh_08DZFjnkiH-RZUxCKqA9w+#)XJym=dacTp`;UEYq&?@NJVHu-sm2xkJmxS1#^Q7qlA6+w2+1QtWg*Nz_&H za?d+zk-q$^7&{Bj;g}Y|xCh&C^3p*Oi`)@;it#)ny^`GFZ2G0U4Yi{BKlNM``cs^D znCmJ*)#@=-l0{NY zKvT$pIZ)XYYIJtue`r;In@nDTVVx(IkqV1Vuv6vDLxy0dgO+1=vVEh+J*IVg>n|2u zJ*n$wzp^Zrv{q5>6`zi{J0|WM!AYN*QK+UgE@GgI>SBl+quTaMI`hu(AS|y-j@L(G zUngDyx*pz-TZT3=R+Aqr?6z%pkbf4iSYj!=YvBfZy>!Gwex4c*CMyI>NzDpnzM(w9 zEnG^CdX`dkd#;T8k7R|#bWAc{10PPgrY)Jy0#!ok5;G{7`MaR>zUe-oo z3$3Kzi=Ft`{c7*!VEr+aLQ9A+%x~^|>IBqxGHgvd$L%I^5`tNh|F!Nc>12qRuJ9w!jj+ zy|wsSOwk!OW_>+V*^mZ91!AMhM^?S0HSOH_&&Mw|rei2p|0bVl@pTWD5fW3bei{eQ z_2XZkhHzj^*j&c=Sv%AVBuq8L2FC5W98M5E@-8CWy>lBy@6=A8S90m}~eEV?~^#UhRl%91;^)y!C#zgaG?G z-$h%5r%Y4Ji?E-eP;FBc4j{!N)+fwtVLl+vC^bR=2I}|2T}18zKz^SUdql5F&B|L5 z*Q1qQBy15*muyAAd!f0ae96G5g2lSpk{>zDVAme?I<+9D>>JvfTVjX%~$Z>U)1pShc58`MPJ4rN|`m&XF zNtohpyB-3D3$OuTP%2WZ@4>so$&*;ws6SZwSOZ%pj)POeiqPU0nf zpj2`7I$X1rZ@}!@OT*?E1>j)@)5uVaSMh@C?oNg^6MAm7QZ-%2x{?Sfn_cJqd(#yx zOw+uw{g``M&Od|sQ*X|)`byksGqsAn=|$u;iU-fGUz}U}#Go)+oWtpb=DtoZCVvN9 z49P5b2I%qJoTY1ZUZ;UTm6SNRu{pK6CAxu4>Tb&r096Wj z4q!#wqviu<6abKD=TagsC#{yu6mn|-tvUswJ^g=Rj*tn4E1C;A(ha`=0_MZAR9@?2 z0&N62N;ZX-h5UoH8POzHN#WtL#!d{6`Z|n8PMGsl=YyXL$@R^S(gSUE(b93fhry%R zz}^R6IcYFd4!~M+aM7d}!+D$@*?mX@VEN+(wVN^)sn0)n_S1qYW?dZ+yu($u@Ko2a zOU*J|W=K=n_1MDG?6TslWOLkO3sSNfqul+?l=hh&>4J;TTGSRSUJPODf{i+XcX}CR zewU$Ki6$4H^O7X+RmMcAQLDga9t~fpr^q@y$12|3xo1U%-*8qO%d=d%Hgd+C?!hRj zwrBk*M5(mw`>|Uv-tcQ_P1%d2i{<*>xxwY-Cb>N5r~@rs0=r2*A~s_}1ywy%bBX2?XMvmNc!26hBr*;)Dy8TAtr$%M=*FKzceGcuqBQP+g^xg=1-GHf zAY=-VK8u?wI%{~xJ?$l*3UjmK3735M(@3A^qQ`7uF>}>b4Ay6b4G%x6 znr7D4Ic=tU)075wuMl;8!Uw-?^jz7|m9%8t&6v3|RoO{oKWHlSu=$n2lR*c)v8FnHvmrJ!o{lldeYGZs8d3CZUe_w#?cXyswu3zX;fpTZf5pdmrp8G94mp zP5&sk2oc+#gV;OM48RsmQ`VRoNE5u%NiE}bGWN^6WBYvL-PwEY$mI+RzN}i*MWvMZ z97~_LkMN{mE~uIaFne&3gwp$X69=`iSd9bLzK6stV=ZV02o{an`}szYoFEWpfh>FB zjb5oM0XCQNWf+58=03(TDfWxC@R5((-;iXFoy>SEV2Cy@xVCdmPEK?Q){I5eh~hjy zw=PhtA+z6N|e<96$2GY)hen~w;NSd7sgwS=L8ZzUg>R~*PY*e9f87-f0`(EQV<92(eQF3ARAIQbCktf#-v2;N$UkcoW24eqkGE>CtrZE_jb zd_715U@yBEcz_Kzdf7h<3pKzY$#Ea-WghH6B+2!)0u-&QiXQTr&Pe4ao#7JR%UX$( z!_ujBZtqkKkpe{~gwIFy3dg9nCAdqv%-l6JF1P{8yFW{f17rCz3SY~YB-5N&@(3!A z*;k$oqCQ7{r0bN|y6=)Thl?ry=mSR0k)-G-4PZm}*+hagg?s4Lg;bQAu>^uQC(>Sa z3Q|yfIyTvJ_nHl?*!4XUWkemdIZ442WRsNp{vjTBi*743Ya6`{f^I34;1E_Fen&b2Zj{o}`Uo7e z&Kk!a##=RcuKS~w!D$Djr3B~mWW}e|9hLVQ>ZBviB(YkzF6yDrzvX80f0vsm=0Rk) zzf%ZR3;2()MEq^evikfUlNIl>EQ-P`Hq)f^#wc=+gd6^xp@Qe;gHo8xm{^Ms+;bLF zzFeOq(DhP7Fxr}vS9M&t$@Z+aW>yXnkb%^?bC{On{)`Ab*GTgse?A(eAn&^3vlhH| zbk8r>LDn=SVr;P#K8j6y;b409;Mg?!>>TYMI&|D@JXD&i5-0GZ2>y)+&gy!>3~+vv zP;#;tM7U8ld}oA`i{U>9Q~i-5%#LQB4%`14-@@vDMj(-h7u20Lzu1#p$Psh~>vmzl zfR5Q2s;Il9b!@SXHZ4$n_jDu#E%s%HTMwF|=)6Cbebaf3$8zC5TF9J|$U**)c>PWL zlf@|`-c;igS&e7Mn;Mhn_N&W%HY39fGqdD~)Koa;zFSr&PgQy(Sxae)eP5ML$0fZI zp6$(@*PPbmQ*oAoS5uShwxYFr@Eq@BT#G$Po0}aqD%V%sMr{%P_NChdAIq58QGBcn z!hyjPBU<-`?t}plQ+o?0Gj==D8;R0G9!fG_7rFRFKU$b4>h`!!@wH+ zT$~aI6VNpo^@TWb(%{E@G_D|gF{DmgHKB|ViB`#ny>c^9EAfXwlnisQQ6*O=YZh>*~)b~+p5QV)62$U4J+`eQtiuzg_UI)Zcp+UqbYsOylU9KlM&Qh z%91b{wbnN{L3o&^ZR3(<7iD)o#O=v&L_6=*B~2)IzbArllKrptYoaEu-QA%RB<7dm z$_@33iS#pKzy~S-4?ykax}yw~!}q$&aMeyc&(5Szp<@BAj@*4(zc!)rK8U~gLAbk% z<}2o9u9L!ZeQ+G(l+b22G0m9cE81^4xAv|nel*nbtk}Lb3xjk>n)5jy(KFjBzO-(8 zDPj*A4w_ZoZlkgmlrbxJe9TcZ!_rTs<`g%N&6U~#t%_uj;m z84=)S&y=MKvA6rb`nGOXL7)Hs5v^P9e~8u@zb>cDN+*GbAwyj?N}w8)fT6*2QU9%_{22YwWhwoINU|1T z9A)wYRRCyWA}Zfnx-!e9%lH9;jNp=K<%EIAvVLUx7NhENc`l~Lk3QpZ__4gvlxgln zd6@e3yg4UC!{7Inj`78;*Sn)zgJJthMXO~qTzSHLbBx zMOOjg%zGj9jKB-PMg z($I@H1#0={RtL}ElCjQHG0%XhmM{ExS_`d_)vqqu2%-FqBz_xTBFDA;QA}03yb7gj zmLb4QSE8iUWhpzBFg#ttgaTMmMW2qOMoxoPa+5P?45bnJ8a|_*yj~m+-|yra&GiKz|5n~kFb?tx z(;3lJSp>hj`aberBW_}Zcd|jhBEnC`9X^Tgvz&)*h(Awh@7TJeBbemg#gcz&R-S>* za9stt)T)cNH#!2nf!67BMjV!Xa~C%BT!>xXy1YVV*PkDq{)-KKC%X_YGcr zol}NgU|zc7zQAZA?BgFcukN2VZwa0ADK1GKOwY>0RC4!x7UIDTD^-cTbbYa1j5&o8 zamO(#nQmzrzT$cvzbLORZtvGC?e}lxon-V|c@s9e3@iUu-d_LTC~tmn8VlLKOkpEg z-kyJ%!k;Am-$%M&HD%ncS3mCQnnhhM3AG;DA|zZAShkzHfuQXKe^cHZj1^}N z9SI+qvyR%b+CQuwC7n9?_YsO~1oPlv2Fcc`w9{B;QZkll%=V44K8BZ1sleH*UzQnd zthjLY?|)FZ^=YX|w&5<0c;-orh;{?yE9U+6 z*@ca|?;{KbU95Hj3zFc@snzQ*=V-w!SandyJ>J>59Oy)J&3HpB4hkP+O`%9I9x`tA zLI%XSMZiV4TBeXIu$T7Sp98ub53;Pulbre|bk|IXaw8>!&Og6vVU~!~d(N@RG|41eLgW!`DhxgJn%mNwPWm)b8r#!~F4JYToUb+<+iSwWS9C5O>K6ym zNJtcCEk`L=d-@0K9-gNv))IpxMX-oX5DIx6Or>A!VuQK}bl4mQ;^dWjmI+TOnOcNW zFi={-DcP%*OEfXe+d{in*T>y3fwO}h9Wma1{X3P1L4_0`4G=AV8`+x{ftrnzi$s5G zC-BuCOA2<~mCot<&WXSHvdHdL+1%Mo7HByJ$?GItyT+O~Uh6hMp(fe;v{d_ED_(M_ zz#6trq|)5acGf^wPznS9BHQv&;9|;|JZY-YB4)HNP`ugN6M7-Ada=$Cl_eVh?V8hA zir{#4F za$Ml2z%~e$cy?9-KKqnr5~|~{-j$-$g}9E>25a+I9UA~&_AU357#T7gRqNo!%p`|h z9o3&7cOvv9oRXD%o;~-4txPAoM1l_J<|>jeRsUll8}cXSu_!r)7>n;YQ#59qw_q-T>N z1$Jit7=wm1N)+E4fO1G?bXN87P*}i0P;r@0t%g2-B*PIKSBEmOgD7}62mXnZo(2P2 zqtjJSAVGi^bL?gNS_85+c_ZQVIE_C63G!&V)fX;$TCu+wWYdN->JF-$aSkl66X z?Q!&&a}Kf7MNS#ACtX?S$R=%GSd@@ z=Ro|a7bc>np8(Vg zy9WzukE}l4R+&5NhZ7I|I#%uHAo3>oUD#rpgYmn2E^`z$t*zmPZgx|E4nwX{cgwOe z=1KnxnDS!Bqu7uq)K9(VHYN8wNBVchaFvLZK@AaF|2f#miTG~sU5=6WLC%z)x@A$O zqS~avnY_LE&Z%3B^smR(p&l7WRo#&tId|P&?`|`6tDZld|8K|zzw5wAS9O{s zJk|D8rn9eLf`gFJ&|uKJtRD)w7aSeIb~P@4>hUx78#x{{De*IUSO@qwA7>?dv9rdV5h0 zXYAYLV=iUM<2OLk!$Gmt%M<$juTuXsZ)>&Rm0Mb-?PK`hsm>o}v{em-t$2E&*uG5n z4YrY!9XYMcaB+U?LZbGS3+jpN?7x#DQx`5^8R5>YE?K`JTbzzQ>e99eJl|n+6TY8F z9c?4vIm6221nM${$_Br=Kcm)_64*{k3=`vr9|+g-f48mOJxqHFXF^{W*FoiPrJ#Yl1{f z*;r>%xIyXL8LsBFh~i6hU@Y@D=i8-$tiP-UcNV^hySs+dd198qnFiRw4mL8qtouz} z{CR1P!u>>P@amv^+?7kb9Iojc{EF!}PV*9A8z6%L{FT1=oHVwkmvza6>1;6M@#dDn1|%4MJhxzM)gf_fGmo$9Alivix6 z7pF|k&SA;NZ65n=AXsO+&UKx0!u9!Qh&{&@xIbCp8l~C1FCB%JliwBEKIg$(b5*;X zb)F+TQ+1ZN+bckPy|Z_H;lAT><~(<{zf*s(e0?xW;Q)pOMP%_X*BgA5n+T8To7z7x zsj*$-BPUqg6+;?O3aMW8EjVk(CcP3-GFj>-MxMVke}!$-lTxh)&0Ih$WCjF9CS~I> z+k+^63-gz1&<7ZIF;ZB!nadXKiDxT~#%>rLEFr)K4qa#`98hAoQf_BPF1I;1krYVg zfXPyFv5g;*AKB~5+q0%28Ct85A>P{C$wvRNw<%opos~tKTI2X;?=Y83Uak3W!9`(- z`F}$)$}APNOF~uy{SmN){Ab-V(l#RV^H;47(y`(F42@gLLCc{Cx+?s`;{5Iq3XjXF z8la7$&VCmi@KyoAqCoqT<^oqMH9FTZVP2;tn;h5eBoy|%S1S#Pnjc*2;VWyA1THj+ zILUSy8^ao|wmGhL+DGbP_L0}Ka~{hOnMa}K6s6?X9UmxQ>pXL(v_Rt#$ORISQtjm1 zxW+WccX|>AIy^i(mi63kqxOQGi=VcG_KHFaA7PuON8(^^x3^=yhz(KuMU|vHK!=W^ z)#UCiRRweOM&TtT8}q+0<_N9W`@d(kZLMa&6<-2X z>w%iu-0OSgOS9)^+g!r8cW*5>ag`7LU#OMeIkR`zZ5(g4zz4AN?*0Ezzz_di)DZu_ zvcYg-B5R&BR1qBZsSs!7S87{#*cF7KYb6qiYpxxQ_*nP*Cyk@4pwKnR7w@MbM&+;w zj%6Gg`GEVrK3rE!)L2xGl}6W3W`k@y_OjI`6lC0op;2}cpR>1Cyb)u~GUPV-VQy+- zhv#>M-~MQSg=72(|L8z4r!ewU7YimH+y^?C`Rvfszm>H;RzzE7Y`<`c)^tJQv3DQ} zeVP8tzMqc=`LrOj?{tfBJckDc_+@RpZ^08m-@s8+zM$Nk>~yt#6~t#rrhgg8lP*** zT9kbTPk2l^Sqa}Mx$60d9Qlt5xr0h2u->m1^Fy}c;CD4WZ}F$bRC5<>_G)#xHvGecc6xD0{~rUdaaDP10G+!nGm~0RNUtrE2h$ zfOar9Z+E(X2$As*<*dZKdo&6?+a!%ut*r~bnnsoSfC=?7Jclpo%^vB^ldWhy6R!O+ z>^Vq1sl!+>shTXNCT1 zw>`}ZE?BrGpR~fAgLyp-F3sa=R4l?Rf}l?_2sfquRz$>`{@1PI_@2tOQ$2|eh=Xl+ z!uxxGTe_SDI)b-7O8R3=aRBJiPS>?&BkeuDX5fw{~t1Np=R`!ZNULob7xPk^JsstTaTl({bP_m=dcb z9Z&V_V;e*PL|`DnovRhT`X$(zvYghyj9b;LdSUL~y)y@+am2-73o%d3vQNl@ybF(% zBAj{ffID1d$sQqaz?WxZDpRKyuZDV#@!v?q@!mu%omZY>pP8@2mzC14;j`ip<3zgQ zZ<(fXF?K7RF1!Pb$?rT{0-797@#RZ1vXsTqWL))!#eIq|aX2Qr@lt+xETR3FTAqz~ zl3-f`E&4lQe1IrR@+hdbYRl_-g z!`ZSEdkj9NPsZhS z(FRGMPs^cwQM7L%E+rzD;ux#R+E0caso7r*N@R}u-bN#_y?Y~4}*8R zOSf=co!%LQy1e9^oJ)t}5Ou77;1Gb@fE;XLq^+R2DYdBF`98}DA=jVygW!!9yfJ9K zr?^;Md%7CdZnC`dT_$YtO+b6u0GOv4offSY?S2j(iMFh`6N@4Ac}luhUHB{qfJ#{< zJa{zmNFz~G8pwhVRGf*pm%z_h0ek3?{EE%}kQX_3{6MXb7i7#JO=EuF<|;&_Du(6+ z;TNLIv-~ObE8Zt8jCRk=F?wasN>^N6WltVoqv|mV=f;ik;~xp7BtOW~)iy2to<1v5 zf0SSN)Ayn*GYaMr%h#XM-B3uj&xnG+wMKBEUe>}8hY}Uhe9(7L*3Jde_!X2&=v+P} zo%M`jKaTyhhuP&G0uD!94WydMDu+`cf2VdUvOI2G-3T7jKAirC?I1JW7(W*)JCvxh z#GSD75Orgd#^d8fqc`};{m;q|Wvai|5^4K|!k4~CJeJRkPO0}`cGR@Es1Z!Ao-lbJ zyMfi##Kahkfg)^PKltJg3)W{`>rD320gWykOWL9+-f>5( zLgn#4pD81kZ=*7v+}Tj0l}4P@qWnfz;8`xIm$@@j|6!EhyF>oGm0YQT>|hXMu!G zDML8t^0bv!oCTCMGI#sl-KEiwE4XU$*8Dy3tHb-4ewp@ms%td8Z3qPS?;$}GF++J* zYiFwyU2mM6!mb7JHhe^6O;VU6g1rgCRY4W3C^W*Z~{ z&d+3mEzF;Oj~=Kz&~oN|`lBOBmq}$4$C+~tTa7){3W_OK{K#+k#CvEDl6CTo$Dj1- zh{r2tUN44&LmIH(Z}n5}5ax^r8z8_v}nrAtCy3}7TJuygJ#Tq5~sJFR<;stI!eilX^J1Oy$2v1bv+u02l zx3OhjiJL$YV&N-&R}`C_-4l|C#T=>d6%!CtexD3Jz>KLNBB;4iuyoEN4$Ne4$23j# zJ&vJ`h`ImS@U>nWABA49SSGX3eKwGI+PEJf{9T1o4j z=?m^KC4wl$BsEk{a%ujA8jem@X4O>Qqgk}1RyiVT3>ETT-@dUO;Derq#t{K#7Kb29JvbF-xxq8mRgdl>qe*uLDf#xGyuxd|xaveV$ zI9O&x_J-@H^{Kio!={Ha9Y0%z5`6Zkalm=n-f?xAZZ@Y^fvcJ_ZJVMmM`kUaDY}@{ zt_l9Kl~KU0_!4@|J+!0U0zP9TM_&aN4H;`@DL)tz6y`!H#j=#G(EF87>H_{~>T}3d zVcbs?|~j9W#3U4E>d>6I8shmrX<#;R>n1ZC3<0k*?Tl^_eUx zLYW5B+mQvA647bQ?tiF@|!N$xZl}k#e`3 znIRhQ<_7YZm}+oe^hRL*57p2Ixo6NZtee9BpMrrJ{omz(5HA3{7r;M4gO3xr)!^zC zuDbW#k6` zY-3ehzEuXpB5;c4^w7!4RQbx8wW`&s;nmv{F3K9e*%t zHu=U<@}U3n+N5@C-;^i%*jC1I?95*C8mFD$Hgcz)t5+i0(B5#>ULL^meRz*iIEE2vi)c2K!f#_=6$mEej!cQ*##g@85m^zU7BK@eB2^^_F>>% zrFKp>ypQxBEiT9X36x-w_@ZcZkf6HWy2h+n0hkSFTnL#}dx%V6eC&YRI6`}77lX+B z_tVYd(Pvb5i3ata(H|{juc?*QEUnGqYz_yxe5m+Sc(c_=g5?wc4_=Nu4Fa+8C_Cqy z&b)`O9@p_`*2P5s`1mGHY@1rMlZWWSHtD6d^UG<)gZ1Fa@{}ZU<8jLr|D1#NXDMyL zd*$6Twy?dGrY~uVSVqBUzv5J&{a0ihZx%Zyvn7_JRoT_Rn*yY<#a{f!&p2v2EPRmA zixaH9XG1~m!{-5oeIHkT#W$f}vzcAC z^JAmcIWEJ^nc^}!BP(6f($fJo2s;|KC!&*V24SyBYSlP3AT^@K8pjpI0!lkR-;@_c z9n;t!Zi-fiYKq(GwT3F8wCQ~rNgJb#nG+2l)WI>g5kquz*hq)X7tddXI@{SMSwbofDBOWs$_d6Zxv`u;n$ z$q+mg2Dy&cPZQJc@D{I>wmg4i4pBxJ*Ow#QCW%Ty7)K7jI1AipiwlovK-1~e`TEIg9xBM*m0Iwx)vMK+zV7C%`i== z%i(@GwaUqgjgnE)JEkNR=1@+K)6f%5*ROXjwKVlCNWK+5cH`@ykBE*r34(RY)mL{FRy(BntKYJOLDi}^b^Pn>*Uj*uJy_|b9pTMHSpACh z6oZ%cOZR{`N!8`@>G=z0Jg)GCl<9S_8#VlO_Svu zSSY$9y`fI;X26iU^C8gQOB?5Qkq6$pe)#a6hh%pEKtZ4RoK!1Rj?`N|UfmM^Yr23v z->18m3&aKS!3_FW#DlDHx>b%lcaQWQNCN>{}3XA zNQodJA<`isT_Pfabhi?MbVv>`pdbw*0@Bjm(hP$j-5o=BcMmi3zxe6??S1ci|L^Cx zpH~lWh6AqSnk&w8oolV{=Uj_h-JJmAS?~s|bNnoAGl_Q9*VQ@B^@#64q=Zi486rLbu zAsW)zRi%&B8IS|_;C~D$Cw7+4xH+!_nzAnCNYcC*;T`lm05 z6rWY*KsXRJw<%AK!#DIR6^uWUV)hmLaNht01+;*^k61Ib+<{a{WxUaj{78DZqC0VZ zH}OwhjqxTtJVyB&U0(u7WQlx>m_U=!rdr#lN&ZrP`m*k^9gET?y79k|eYp$|Oq;RaL!kOLrl4$j@C8B}!Jfx#y!0V9IzkBQc zeGGYW!PfM$E00(K+TUsF;?x+iOnRaFs~TMw1y5D0L&vHUHkWU|aW9$#tn|*C>Nvjm z(a8k4;>vFt_{8V!J=r#(bpBnnz!`k?Tq_MPMv4xIy$a6Y?xck#ABg`Rfg<(&$nqy* z7(o&B*dHrXQu`xSH#aMt0DnjNgG0zg>k6Cs><2|gpIITEGY1{hd_jSZwm45}mlQGl zuE0y#ocH*SK?W9ifsXdKK~mpu_nUv*qE+Xynf3pW^1Zw>GXWxvlflm~xV60K5fZ7a zpHiQo1mqCBbp^r_(u9!N%a&VBHTh&x{TdQLRgB;SWppws~-9 ziUKhw3wZvT$IpE=M;xRdbASlyJPAEaJq|hQVDX({Si9TL?tVQHDDcCos?+;++gFy1 z)=8+1L}Y6hhb&bQ$G=G>l224PqJU4IQZqgwMAi!}u$&O2Rwi~77O6w%w8kp9J!zY} zV68(>K@7r9t*~H}YK8wUV8T{QMkWW6Kax-=%z4-z2PH8~G+f|t$|uXH6h2-$^-^Z5 zS#UhD)mqq|Zi7G5@xSj-uqwW6WMC-aqtZ>So}f|{aEwaxORN>aOy{+Y ztew{Ry-v`-=hIyv%Va+Q?jQw=&ELEDX&m}3mt#mP)u|4_Bvo{ChB)#8$Cp26*Zum> z4WWtSc>j!Mi?IIV+E)5^*U>^UfPa@SV(j*LqMEqPO*?Jz?_ypeLu{>k*{aej z{^?O0>kTFAoo!LeaZXrt>w+S}xGG=ES|m;MMEVy8)8ocq~@Sf~~C%vp93TL640Mvwqz{)q7X}?2Tu=4~Wyt1}r0&HfzBR zc{BM!i`3KvvaAl21&Yx1NcGuS;;MJmtUn-(DrVtd1SNjE8|yfwUjq5DnOl;q7Vgje zmt{f(eYdU@-W}hwX`{$EuJ*n=3Q8TT_sGL+F({A|T zr(S>mS}%*siJmY>H803*f;6a&mB^?-+tAgU`QB}J1Xz}=cjv99V}C>k?eFv${d+7H zeVC7TS@+OUCj$K{Rc!GBs36nhA4wxx?a@A-I&rZ-V{RW=(`_Y)J>71)|8BmBPyiV} zdPVlps08x;a3Zn!G}+VqGx&;%5Z@kYz5UZ(tkyK}O?w90!kn=%|G_(fszda|iPXHs zLuQHI3Lk*$O+@9$QP=iOUH0@Ltapn?y`I`Xw!8>BbLYSDm=rbRScgpP7n^+jcw~HB zvOM5{i>VO%yD7?U?0} z`e93lTKmvHDa6i=K*|Wfg%+-8h3mGE| zfpQ6ZRY2;rS!8&I^$&aRUtC0H^t6t|*uG*@_`CGP8s+j3qC zwAoxA^ZUC(fcYE0-;<0;S{E3;@1M!p=DsrCqn7B_0$({Iu7x(i(8I0dCQYHD(YJ#` zKWFX`ay}QE{Q*-PL_<+htRD=+7e*s#f)}X@(1fPs zIgYtDEFvPKWCW7xvmTpL+D=oGt&5ry@5!L%QmK!H?#x3H&}Zq(<2mLzQA2l>2O^ty zD#7Kt+Vtwio@)T_j&PM|j;$Tfm;)Zy1OkeMiYfeYEHF(9VQZy!R|cBtF6!s$)4)h^WtGvtv)x z+Frwx*LQU7-n5N8sTQy!F}a;ep{MGnG4JUorgqBgWpxF~VvQO>&8_H5CV{#4rp9+U z<_;I8Ay?RHphQ&3VZXPKpa-vUk~Dl}jss1dn(~G*7=4zH`3`z~VVkbax|pA1`-uK>a3{Pwjx0+Dc%g){MCvC$dY8g}*Z+t zq}B9<7;;}#b1O((t?}OgB}iQ0OkdTEe->X-gSNx}6zQP4lQ zD~bsU?uq=r^blhI)kAQ6TR+<5ep3uDL+Q?4UmOFhNhz6P+j0s`piCJhB@IPK+uDz@ zdk-P4UkPR5({}l%wK-NNPq1-i+Aa|@Z@Na}^%H;OD-KM)`wo)AYC$BEW+AiT21w4g zP>z@FtIAK_K6~dbgZcTBty+um*RsK7dz8iIl4#bYBzJvyc3Y~l&#Q$i`yqHE*Tp*e zWxvChe(^7;`IrVrJbUtoXxWRkO~x(Z&I~b7Q-JoG7p$||2n6zgLc7yLZcm;?_{UNx zW%o@_<*JT3R3E?Co$S`0@l|TR1NpvV+4t5c8wI;%AT@F?PMre39 z>W^}o$+NrOSX-f@!;ae!{;+ABuhL%mhdK7qi>vxY>OB%!N`>6fASo~TQ~cCz13)#* z_dLz3`?X_EA}7zJ%yE$xXbI`oy_A{Ro&mn+yE>WVQDmmRb~aap13c877l)Lxg`OGyz8n?aT-k-8%puC%x#vj zpgYibbix~paU#jr9=EA!z|Zdb?w{;b-Lu*|kWLqzQKc?CU) zD@ESF)#CU4^H=Y0UP~|Xlv#jUANJ7;KyxYX;jdAQ5WX|x!M}zbDYCs*&__QT!v6#n z-MyLX<(NyS@-zs)n%c9-8>^pczXqm!MR>LM`A`p0{T&{MDwIne7Caq`q2 z0R`yn6N@bgTMx?wl(y!c%%p+2(=!s#7ts?wO^MakBQ+3)#{AS62bXLS%y@j$O<+Rp8Y zGS3uwd;4~MSUlXlcIx>+Lz%m8-sBW6l3!p3AIA;koK)=5m_j_BVt$@2ebk6}vwqUS z#5@7fWi}H*{iK~B=l+#i5B(;_%siG<=Ww9^^0aBAmHB`q%Jl%%hemE5829e5Bc8Ji zx+ZQ1sFok3;VWzNaEHat6+v?gVv$aGecmdgZhE{oL7#^<7e7^4-vJtG)Hrh`NmnfpIo~a3F49!j#RxFqZraiqtBs z!DJS><5TS0x?xb zD8oHtKEyoo_x~U>pkVjzv-DSWc{3JcITQnt(Kyys=n zqSeh?OL4|>toj;!Tqt}_Fn4|C@-&#&-Mx5|uzZ7{0?Z%WYO(y84$aFYlD1XM85~}? zocDrUO>WL8xlUQW&o0eT5@EN>6{iuuwu3j50Rok3T(Mv3H?o$Y?jBtR^n8=+OD*>F zR=D~pKe=^dR`OwNPDxX+l|pML52^s_J%|4M3hNiQ{9GzqD%ST~bU>4|!+hZu?_lsG z|4aGxgk##c6fT|B1B(*g=jY+N&@Ph_-sf*#bjEdw{kTKkppftS?|WELXII zS|i$8Nc;Sz`m*)D?Z1WlcS|D4|AZr+0fLqKWG|9FX8dHip=4T_M~-ytf{Qi_><9#$ zMq+b~a&fc+8GNiay5B-DI<&_EteNZ~_zWtym;)mvutB*h@^qdXzk*mE@zRqqlPKLu z_4;P}^=N|-MUQYk7Tf@%H85d{Yr*Q4;9EzqQ<*bm$Kf@0!WxXsJc6z0RG4<@OkczK zZgXcLV@l2C)nR$;M!W*;k+y9@Eja%$E{=w0$T@iV?LDR&AYYeJ=lz$(R3m2ZzSIu9 zZ(MA$ChJy4MTZWZ&6yi{?sX{;xN%VH+<$pXG5gKNxiA7eiEruDX&ruJS$9gPwhdBQ zT@vI{!AbZ?oK*9sWR%h~`Hb+n`mg}JxQA#lc zwEF_T1LSpPCgk_0nQH5B7>EZYU;GKDQXlfj8$z}2NNKPw(wt(aJL36|Z)(OFDhJ=d znnk6kK6kFyb=erUuBysw&5h;rk17b~eA`;X4jK^@$Gu^gwmfS8R9*dlO+L{JD`g^aCp*4V#1cj{Sz*%YNmkY9)pE zO^wF>``KN}n*G~_DcqPZ)y@XOs(6nS)L;Z+%*8cobQj2X!Lo`4ASscvbFKa4C`=IG zQu;V%3)H`sKf3tEoYTFAw*@dq0mEbmw!?J+z%G!?x9l?@hh2W%l9a}{p7!ycX~PjL z!c~bc-cDy(=Tri%v^OXBEI?8u%9(&YTKsB z-jzicKpUwmQ?!EFxKCD;)Hmj)IHwGxzh+1n69w(LEHMBt#fm4FjwH-nLXDBgneaFw zAlJZRX6E~%wGchUJdVezhDJ1$T6h!EF>NNho*sC=W<0C+)0XV||>NF?|C{FSIYC5DHSKkNQr0 zHlOCDy!Uo9L7&PRS9OHN|FzEdYu75$-JEG?u6Z2)+5~nHWxGUot{j{mv_H>mN<*-luR;U@S!Js_nGQ+TVQ1WNg+`f zF6cx5Bp=Cl6Sz1~*1if7QXHq($m=Y6Lr(rX2f^_2K0GFx4;1r$yrm*>ythdCE7}o< zPS@w%1HDHx7Xvo7WS~rX)m2f|jR?6lP#2ja+^{^Qx}?}y?aasSGFWsNwBML|aWM&m zcUuBI5*Jb?ruS7ody(W{ZP0e*lo&V=Z%jkR`=RmCmvIU!)`4r;_3+Gx3%MI znSzO$w2vI`1o55BLp^HnFwOE zQna}B5Qb{M*1+F$#uORD8o%Edd0UU{PetOnT~!7jv8MAPFV0dcbsC*u`+F(s>D`ZU z^zqU4rnH$_3AVw7t`Z(gahl~e91d3>$;5KKn8X}McJ6f07T>C$i{P5^QT+PdxOTv~ zJ+gNiEjI~&p&YZ1FQ`69+TJ&pVeB^Ty(l97Si**@c^DgT=3s*3yI27&k{ zYp;({(<$f5LF_qvUN1iQ1cT_I`%$_XIKI6-42+H@z$CH`{4Uq)k?W9g!_(#foi`Xr9nEXd&bq%CFU#Zj0) z&SDldn6g_|dhYogQBYY$;qgZyhvi}=O_{wLGJ6|!i-#@rws4Z)^Z|Z0|4xOV<_lQ_ zbs*E?cmAr$1gnjx;<0C_ByMu5>c=1=;6lcZq*#fX%Lf<69uSgkk!RT232Gvy)hjP4 zF|ip;k;vVi)Bm7lyQL_7ri6|buiPREs_`T=QyzKV_ToRP#&}^}mOR#EKvKDB-|Ve> zSn?^;^K&`l@w8wekZSzY8GpY2f+6??UPP)rq%XW6Z>|Dd|A7ee!`zd{%i%)|i`-?Y zM3t4}X@PFuEjK;egeqpNUN>Mp2vB9di6@0s>}T`c03ms|#`|aoZGwoz{qLc*hM4l8 zBdKb+V_&85N;Dr@tX)Cr#)I+JW$q!P{hDA~x52Y$%%y8dBuh)f4_m6GIPLpP`jIQx z|3V|DB=+q7$xpXN!0yIc@_lQ zy}03rCvBk9C)xCG`G1lKWubc6^V1$03VQA8rJj^iN>EVfYP2Y%df0YdBfP3w$;QfO zQvPzM2xIg?{Zrx9+rdTJj1p2k@V)&H<+T+H&5pULK1> z0|Q1A6+H1`VK*X}0<=KDd5Xg;f=fT{t3Nz1%1^9K+4JNl{aMFnWz+o#shGZA5og^^o!tVLqsmRUZg2*L`-|Jos6Wu&tC zBzi1j^lJ0X2Q0vo9g5nGw@Y`?Z9UM)qrr#nNBrxEL1N zusBT6ujV(PMpY^*$5&>jvXribHMecCL3GsL)J8gPQ7GbQvOJb(vL#^(1mT6lexZ`o z|B`_sWGu}F=H6+1grv`(dmI-29fTUb5C($K-o5pOvZtwyW%s-?OP9z&PIkrz}BXsCiR*$IeDoN(=*F>=4| zMUlH4!u1)H3mF(4n9b}%FThynDe=Qkg$`37ocQ)u)oc+$H(z{I#Y<|ls+Wy)U?24~ z$7V6SDv`Js9>XgEA_%L|)yLX%=~S%z`Q}C0nQr^P5e7jDdcOsw({G%Yt}$6{FFGJ6 zJoGB_Kw)^g&jaIDU-4kW1q0Tjw{XLL;YS*mBWnlFJ&uwNPa{ed>lM?G4?|OMemYHO zaZ2gj%QgWOp#96gY)dNWnbdQiTrRds-{<_N%t>bTdHEvBsmb`}`kd$|Zx`?%SsxStfQ*zdWHYg7W#Kwr5)4?UP%=YLe zXwWh4wT`R* zRKE^IQ2FfJCk{EGOk`*nW6N|9QR?FD(x>mIdc`t^MDm) zyHr1W53OC|b6FjeCBx8CZMpTc6ky~w#h1e|K-v~n{Q10V4E&3TYWi`RuOTPa@{CF; z=kXXje+UZ&pQaS>G=mGc&Xtwq)^*MBdD>k!rC(Bo#x~I2u}u231ynJyq-x?$6^_8; zi5c;GIIlHM6lHsv7_euGeaF(BT!fwrmII&`->5Wj2jg;5QMV``?Cd$<$h0x1RqWcS z988)?;od$G;)`~qh;gje?Z(LBcU%oJF6-`4+T9fb$yjgkDGN8cu`mkmZViU%((Klp z`#gFeIfwFkRM~0A!yByxsWfUOp`*h#z*4fmD`oWBD@qL7F#2|EC=JlJ9$9Vq)EnJ9 zCZJuvAG&Vi+FHu@Hh0|4WaB=1J*Yc%@}(0k?77Wc5<-%A5+c({0CuIaI;YgamBj}A zT-&~XOJz{3wOx_X>s^}%2S=T8aA&+Ew?K+XJHksa7HmMC0@*!HlWtcus}J2H3}}s~ z{j?%Ea?RN5$pd0i-OJw)2-nQr(jmG!f%@iPb@O7H^oq%Xo1zBi+uQoh1fB-h{GMP1 znPS;9L4w~1h~R|o-Kg>S@WTF3pkq#J4Ns&saLhVWp!R1wmxecyqo1MljAB#6d$q($ z+2@`>Pbv(uW{L6d1>Z{Cq+5a4@edS|W$szWAi>Tjv0@;+>NQ?{AIGtuLYF^5$94Y35&iA#*nxUw z$)oueacIv?+Kn!+ow~WnCybZkXTO;cU90D>Zwn+U6+R~Yur%A5XPxkeN?o7Q`-6kL z85GH}{LO>#WJT29Z7%06gSB3}!0VMg|4>)MV@h7@s%gW-1!jHnEa(5X7~|lpvgh6} zFk@xK*Y4|&jng_=MQ8mhH3*_}vW;%dHNn6g7+7!eWq##1C{Uf4@4cI+=S_ft8C8bz zar?##OfaS*E7JF_lq{furhT6^nN={qa8YGzhw~qqb6e!-R~bc6L8U1Nj=X7NmQkyx zs9y4dO~SwU-CQ2F!M}MPwpn>R#zw72*arAyVM8|7*cRinQUF~jC^{A32#%!k6|53G zYMG%S1`93y(a>J z-UV{<#6c7K@8Vj#SJ+HveSVXup_EJMj&;`#q73wN0UT&A1qK+7+&^$*5GE+fx`>nW zM?pIkx98Pwa7y}7)d$RA2RtoYgZ#+j{EpIxpM~cl5y>sl$xlF_hNpjd1V%4fb}{18 zY*7-a|9?#3BW`-ulZ1}amQ+rD%ZbsYd2CSnyWG>`$bj;=%=Eu9&jMgYwryAQd*6S% zCX1V2F&F;<9bVw1&l6BC8p_@X`u)7?1pb}!NZG3}sXk)F#+&ACu8_G$PSWk376!@+ zEeO-$#{mgWsqgz+a_~C7fQ1t#Zp5O?ywKsjRmE6UOG7s^4Q)8~@>ojKQrH*Ym{ zy@`bYSRsW~=GMRV>GfN*bi2fQQk<=FD(8NtOJYFaM-_bSW?gn7Vrg-nG&_#W12SB6 zBD*BF@h?D+ft;nlnR?%!hdv%?cC_02oV#az%JX=s%C6swrX_bXF)Tq*2Di=-qv-j{ zro$(Yuj+lb#7Y3HC$)$;C#w(JHY+KaGBIOHMp;2a9!W{z*5D+~UNB3rB%bM;ii2M? zcgy?#f#>}Hz;i>bgzq|D?NT`evhJS<=tqC@|AeTQy^^u5f>Ta3y>>g(q3~td(&xar zv#24*X!%Rry@Tq;Ul9;P6Pwj_k`Bm20BdHUJ9TF8*)8Hhjs{BsM>J)^4u zTxrXZJxa>MMN`T@FV3+rR|-=l;Y`;=oTj*d7NYpx)-|BQG@h)FjH0MFjkbD;^!D#V zrN@WxU@QXvPT=Kk;)1RbJdDEY?he=HaeNG~gw8PdpM-hcmCoXIyaoK%jsa+E^yj$s z)yig8*FP5Z{Z`XI$?<<0Paqlc=V+F#HqOuP!>G8Td@ANUzrSfcR@-PqEosW~-4L+U z^DpA7jS0>l4}WNRj04}5v5#b4`vRbHRPk(VP|e_vzfV-RLZ(Vb>;eRGtJ|Bnzc74( zpcHP^j5W_~0<@ccj=q;j;dqbOY4qMB{=@*F08!2f17 z2N)eX&`X)88mB&cOE=teRk4sTpSLe^289VIic z2WT`K6>N^t8-DaN=M7{LKlW3(@ztmTntxS-Qo|bo(V!Kj3`rIBIo2sZ1?s#(Xf7+^ zJ3xkJy7^Uo{674}%&za%LP9C{&2tU@zOr}(Eh`wYo_9a!hS-vYAjD7H@%UHHjkdJ! z{ODG}`P4e2%hqpjWc~e*D_f(punS`}rT*alU)$Azaln)XmcttSOY4%3=M9t<_cqAE#_I!GMPPUB$3MTH1^C_%QOkj1>2~Br_D@DT?>F!3RgAiO5O-|Y zcwf8i)vw?EF@RlcR}UEFT9v5rHFnRlv&wz~oYe!fIaHvw5AqG(y71iJP!`1l@6s+f zIz$v`Q-Qh}`(jUf25XO2l(_<`b1*xq`c znV)0&f@yo7?d-t`v#W%c+a&zqZut}e#@WFIp8XC|l(NeH7541;$*l&?TYvdBY5E!q z%4LYKzx=I99+Jk{;D0>M_#yGk1uf}im$whMj!gd46B)3OCpNwdW?$N})e^s{fs05o zmv7$x!p^KA$gcXqYT=MZ=0~ozQ%i za>eQi_SN8*24y1)s@-787?V2<%)suAk+FV7Ne>G=DW^@Lqi(rV(}qJua&$JAw<|Ev zzbx;&ub9mim%u5|u_Co8im8;wg6@rT7k;Yx z@u!OYfL+odt)!rOdDz=#djyH`z(7U{p;}guwpM3(SI$0SDq+uJ3POa|rxuVsy_s@i+CpL<6;P+yH zyE33z%X@}QPU7ClM zEpdvGf){!5^ysgtIM<8wI3w0YZH=api$mveve-wVqu$d0sayOc)i%pXq!TQ83<8j9R$Vja#_W*jk*aK|eFUM{#+0;q5T+hj8 zty(gQ*L^DNe!wfjA|Zz?+ukL|W2_c-ggkq1m3fB=?OtBYG7W=1vq^f9G*+^nT+%vl zoimuf%l>|v1q_cY?U3`KPB%7%nEoz*anps1)Rk){EJJX!mQdRAxt3UKL{syvu<6pA zR-Nt}h&m{x^D~0(s1ApI4CT8*m7PPm3qUDpB5D^AabN>O6IJ0oEM*W7G}CO-92lQM zQgVx+cf=dEuJy#W_#G{*C7OMfFu=nDQ92NqVG;jprk;)}by!b7Oe|;q19VPwzF*e- ze^{UYnaeLLKZ=N{GqoG92z8lbtPmB*CcV{}6J0K7uHZje z?!0=DT^r8K4xfGH)BSb2l*!vQH-D-0u2~+O*KY%`EUnay%cNDK2L>qdS!G8y~o zC}Ho;OS4UxHA2gx!mC<)tt5`e{ZEV&T(;BURZR+zQ~*!Wk+3Wg@+AH>b#y`wqC2UK z^QXr1AaOLhw&X9e|#sGX-+4eD3^+6Ju;#>W&m?~A7ylrJJ=e5`DqF)liRGu)- zZhbi7cn^iI6Q*YAk7Z(j`l7XsK3}XN5Dm!uJj?1{@x5>)>F_ZSW0%iKMi=Cs zvP8cRL!IPW*9#8xK*Tv=V}j4o3o}#F8Njs>7FN5+Xc@u|0wAP-Q^7f(l$&`4a}TKO zjm6>vFC(xy!ae(6AsB~ogN_l|j@E-iWHCPvSuKeQW-HN{ zGVdi_IJtzdMmLJ|d0K$j)?6j{)%9a=K;L4A%FB(Zi>~I?8fugE0jg7%#ZS$xBXjR9 z^W&cZc}^1v2{2s&=z+uncQr;9Q7WL3!ZT|ww6^Y6DCd!Gbu)s9QokUZnMHxbYHogt zcRrURI3D}Umrhc%yvONCPKymvmkKxSEDT>I&8r^ZF!}MZTgtYIuuFSu;~a-^@n&`S zYRASC$cd7!pGUU!mOryVudRP*zdaV{JEU+sk#3l7ETsf?R7`=^oN2t(9ijVHug*si zkE_clHQl(8{RTNZp{LmzXm^4H;$;1W6$=yuQE}Oy*+q0&-E1=Q&jXf^dOdQ+VUW6mIB1EOS47xUU`Xw!9mZL3leztPMF z_~}0*eDFX-{~@{mofl4Nie*TXX2WS}`!3B;d{#)x-K(^l2Ix`{R$K)2+oRAux0G$m z2eN73tD)mG*&rfUSAqkq*!HHuGC>&(T;n-A>$KAc+#|ZSpQK6>;dDs%0w9&p_ys{@T!p6vf8CTWUq0Ij zjx^4@EH=gjm5Z*XRQEG(YnFH?O|5*J6#6CRtN{RHrmfRb&IEvE8m+cDTjeSUDSYOE9g1vA3_zGXgrHI_q@`Xy8?~rjlEf2 z&g-`m_1~fvE{-FC!bpUD4L|sVk4*>F3!4u)OrSa4kP%rfpia9TTzF?9=5lxLB`^nL zVCOSV@t&uThSQ~JEg^!5)Q-y0* zhH1UXrcz9il#SXF(5Uv1x%*hwQu;w z5w<*g;M9^tdQ>HZEDF^03CtxC52%w+>%KAXslx$&WJ&&PaCvRl@+U?RquZuMzE@A^ zS{6v9udm9Bwf0>4#c1qgIIRZC>wp!k=ENHF++M<&R`Y}=rortg-si?8qlFD{ml4}y zm)c3sE`m`KY-;;fb^##KXY^j~C7LB9&mdI}_s^%?EI^qrXIh$-bX&O(Z;)(orzvk) z%!#(44nJViLEk8NowW>XMJXzkqRRnt?dqdsDYsFOq#KyWLrJMn*kxg{`pdT8aOfNd zT49f3*w46~(YPDc6@51e)q5CxjyNhw;0m%~G4Rt5c%=weM<6SuzyXVt6%C@YKjrS?YryP(n z6ae0nVYA^n(yx{MwB(=PYQF^Xg&K}G)EJgGUBX&HxGe=#oZv#@7wD^;i0f@Psqqfv z_<EKHYU8`A%_7R?! zZO27Zk0jIy>J8%yH2JAa1G8~7^n?c_wP-e}t82yCH00u^qosYOz({-G7~ObD8ZTMl#~n)mRYRTCf7yRq z$KM{H0|}Kd`fJZ^%%0Wz-+P^SfxS*1FwTx(Qr;P?=9Ho#Nh22U-ow@Ti*B|55p&E* z>`c~QI63GpxZ(Y1|@B;O-mz|TG{JR!&z0pQ=6p^v=JxZF(^GHsGhPBB7>hR~-|LPqldl{GsHMPp77ms5f2ZMU>Wmf?ceGlG*XAuB%li%M*ls?D{EJlPr%;LC z?TAfBl~T&_sui@%zSX=*_csp6Q1T)<&dW<})jh)CqVuGR-6yVxYj5)&u39UjuMw2Efc?m`8qjo-adzcwj^yZT;e~Q6SJeEv|Xd-97s-YmKC{m*W*42(*>IHXm&e9c2DDPkF;8RhdhE4ie|F z0cGcYGF`SG`RE~QT4#@0@700x=~+4X03(O6q5TE0m079yz<6he-DJk5``OEWnbDuh znZuulESJu>Ow*cIIwb8Ot;D^qc22mo#(@Kz1=weeU87Hkf`d@P*Llb>PahNn`&uYm z0m)=B%)U{kqs)Ea39?Y#$S-q!@Mj^w_DgthJEB?S}7P z8Gr#68qv3j{LfoqIzHzdhC$qL-m`{VM&8eWy&a(>lQgXNK~j3q z==||z{D%q5AjbLOCwbvVJNhG?qEZdw%G5yk+1D3Zyx=|@bCRZmD1X#tM8KGe(sFtO z-7M+#f>^Nip9cl90ZuFDaiC-p0vY-W;jH0>%rCOtKriTTk9eHDrON_vWSR=R*x%p2MNOC!OzaeIci3Z z#fBM+4}UiC7DLtmBe3rssptA@F9tI+b1kPsKPT&z3)|e9jrvMXzJ;q+|9CQE9@tVg z5jrQ4H;0o#s+|-j?*q|GR~=>peeM%)B=fS!1c8QXlZ}(|dbz$0c}W~}ZXDKTA-uEj zbJ!Q**)I6nRGBY(wdyeDr{9$uiF+u&I@&6FHW8aOlgDfnt~d3bjuX$^$$Gd; zc;D(K6Z#E7pG44W=el2E6NUE*QKmyURzGKh#!LoNEX!`*6MqkXshyrx0e`-8QZRMN zbbuNd?|f874R=};I`g_B-X9-YL!f-JaG_U7uQ^&_*Q@Nci#9#kA6m~EjbSH4mvF?~wa@p84I+*$3B?p0Jqj;chp^xnVw?#|$s&~n%sL`iAD@<}YvHX-r3Tz(&BS%N}u-&=)Gi^l}mVp_z+&>y9_=a-cx zizXZe0nHeZJYazYp1a!X(wX&HrQd2O5{)?6r|aXURfSXj$k3$!cTd*c7>8;>S=9$l64f9<%RM?|L6W6xjZreeDXZA$Y}K z3~aJg-Q9b*STxs1jac1|G8|{6;zCae8cpVEW$DG7m~>|;yqKGN3!ZUY9u#Usvqi~Pm?xh^Setfx z`fTeJxXl{fFKBpt)N>P98(gM~jU+Fjdp{bG_(#an(JiAr^tju$$osN0&MsLeW6U-D$S zcWE>OWRZkfV*)x!ARIieK{9Of`Z0QDAV=`SEJ`6ea>=d z=ZKAQerme@Zz59p$uvx7QSp2VeI(p@>Obd74YAQLP4#m|apr?0No#>5ht zZFP0Qz&D&hGRH(EW9P>ET`9Z5$Jiw;y_M~JHk99&I31@BS!IvSJ}dI6&_-w4T_o08 z_#Av5FX%fM8?hhyenN1v+?GQ-co_n^Gh2)`^i#F2IjSl?Y9tz>sJ|iR=Aol-Cq?<- z?9u$KlP;Z=0EO82_R{9VMn~+~+p(r@5?Gum4nM&3B;68@ZYsOKc8ZHb4u>t=z|MD0 zJ2NEE4UM2Xm7m~VOHaq5ZQ1iSYTFYcP?zva=BjULqsMJjV13G^(tNiEvn6)aAx9PP zaYvZZ*+)P0*3dJIx}^p;xV-Q01!~MU4X+JgGlGC0_mR`I zP@3!C#AtBku0LNh&|v@{z8e`-pYJ}eJ>tIpV@JxA7kkRSjEcT)9%l!Wmf}moRAq^a z0@9i8Rs1t@6MHwp>VDZh&ROCbBFC+)p=L81l+?o_ z5XWZBICeELag@;B`cZW9{3`2ELi>c`hpv7;S(YN1A!Od|aw3%MNa&%LeZ{AsS>N$RO(vPjEAoeFb$up~L-$qBt zx83x~0K@#4Jkmn`la83hC&bjfVL_2PIGL)MxsMaCAumU=-&0t-hnnmQ=%*lxi(dif zYR_eUt%?iIZ{NUAagwb)Qq~bv^g=)@4N|I zlW%)bnXj2+y}9Gz=aq-77C9LgYK*y&y)L)w*U}Fbs|ek2nvpAcWl`z$3?aRwloXHK zOeW<8B-WtQC9Mn(hsji_{RUs3!3W=)vp(o8k--Tsv%GAyRxj8HeHg$;{^|c~@7mv) z{QLN-=UaVAa!AgyMtCjN!eUU4 zoW+Kt)#ZVj)JPbHFpYBnoTWi8to*h29}XsUOmu2K-{OEkl|Kc~mHD|&i2vcH?pA)iqN{X{2(?R~XhrMyG`1@V~6WEIR3!iCI6W)68 zmMbQw1q&@gPWz$WfCh9&1^%j9B4W7~ld}zgDp8QCPI%B@_I_jH!?S*uGifL8A59lG zdg3iw6g89v4yvWL&saOICPhE)66NS+)~J(%hRhYCQH;SZ4f*2U3s*gzrwC<}^TI_@ zz21W~Q#c@nL7;W|bzS=vA}dRO{_FvAJ~gd|M&wFL2Vv3ONH$v|BZCS~D^sL3_TfDc zLZ#27dR^SQgfHjr#JAN(3Ux47t<)ejn}Si?*<_-#*M{zK>(27YW^Jrcf-)BoF!w&w z#raq94-Q|jgD}R)VhQd`8xvo^(i{{CH`p`oPFC-+N!&+5PodK zj#T_N(l~eettC65?lTRE})ZA1jgoy z#8*oGzVR!K7{cCtQc1P~XZMH2T)Xzuw+3**0!4mgO3tZ>6p&BhUG(}^=;)MjAGrXxFG1y zcNf$AX>Zc(fr1u9mGVTM+uyhPVV|NbKqVc@mhc7Tx4Gu5e|n! z7m4B{^tyn>q*lz^`zqIlKJp|b2c}fWEOh{9{IbCFudo#{pHLRuJ;3C72k=!s*Uhdr ztUJ5#^AZM0QHPa!hDoBi{ld+RShDrjNroFd2ARJvX(7(x330pi4+v`45yvmK`~~I| zuBVNM4PCpjg^WQwxO+N{N$m*QKt@5pO2=v9&EjMTJ)`4!C!z zNJPVI5%OFnQ;y?p%jT|LVvp5BNha_ncbViX+OrFWHJMV#X#^u`!>ZJ6uM1nd+u7OA zF{%8hq2jVm)yr&g-SJqPm+F;8YDyVnpLgwAGw;rY!e?LzcKaa+J6~t0)DW0N z)22QxQq1tXd)>Z0kc0G_ZH5#pg6%9|dwXuzOHw>e`M;5VIEU9;B8Q{cw7;vTcVx4WJ}aG!6>_VEk0Lm+Mw=~s@x z74$t2tY$ZT5a^GGo4o*fnawXlPHPlaW602Njjqqy$~$q&4Q42>0wpK(E*Tjo!MhN; zagLtD5fgP%5{sa3CQq+OpWm1zAc34A5wn!bjpXie!DF_4sLdeb@+N#8f*OoN9~CH* zeN2xHL%?^+BaK>Qm5kn$eW8rTPpzyvV83qUXo8CjCiYc1!}=<27&s9oV*!lEB_F=V z%cS}VlZ(TM(4xI@kf9Q?x8oJSbRmgp_#Jq@|>M z65Y;qb7HkAl`p$fLvSf~5+m#UE$$cGKA}yWR zL;3T&YGQ{R>D3r(`D8$NTe-NUTk;E2@g?U=m%4Wjc3igz2fh9f(}i*zyL@S6zRyDf z=&eehAm|Jr4z_q5L2%7Rx~0$E(y5d?KsraJKk?H@cFK+4lwpMI^7Aq&x214$CVMk?}&vI z+cNsSo3F=T)f9dGG;+2dV-64i%?k)KeO2a`13Z|#&}SzZXB?jKdf?+~<0+kJP0HJt z8{K{O&$Yn{l?(V6zI$5@se+nMvvhIaRO;*cdp&ikJ*)^*l&w5>sj4cH$o!b_u`}_s z39*@YeM%EW*uH(8lJ;m8`ue6tG(pIqj>Dw_}r(o)kIQQ`Jyd;B}m zDZ7!=3&VBcPaJH5pyTdO-|Je1F5k5Ap*;)=S_|_T?tY6mf}fLhQ8nLYMMvo*`8-nA z{Zja4R)Gc&${dXfo`uD*bdoeTbIHj!`ES9F=;PQ^?2b|O9tVCyUa?a}*i=v^ZIn1r zSHeMHJ0h|?wSj-Af+A1bY;j=AL>~3byoG1<(||ZI+D;I2LewuV-n>5|Ib^KkU%4UM8ZXD?!4@Ea^Mm&xz^RTgcEJ3W6SR9!U z!LN+!Nqo*yi5N`4FgTqrleEz18w5H~!x_i}$Vp4y>74+~xTZ4T&Ur}9Z59~BQvyTM ze#unx-!e5|SzXIaESt)<%HNz-b@y<>X3+s1tmywozoy3j8verpRPevz_WuuonEnnz b#m^nGhPTfX{!*#gjGu!o5>aD){>Fa+a%Bb{ literal 0 HcmV?d00001 diff --git a/src/assets/gdb-layout-split-2.png b/src/assets/gdb-layout-split-2.png new file mode 100644 index 0000000000000000000000000000000000000000..db2ecdaef1b0469cf31acd49e2c73dcc5f97e5d0 GIT binary patch literal 92300 zcmb@u1yodR+cwN?pn`yah?3IM(hbJYC0zq3-Q7beA|TxjN_W?gBAp{Kbl1>G%+T=9 z40k-w^FH7AzyDglYq^}+v-iHPeZ_em=W!g{pmz$AI9McD7#J8h(oz6r42(O-zqhaM z-$EYA{az%2{O7jg8)?=1_wP?HDlQS*X-Y;5Cb zX6v+fyG0ZO<2i;j;I*n-%J!_66}5R9!%2FocOHv@@@=oXuDX%EUrO-v853+&G=4f) z=+tJ7BKm_!9=N!g4MaF{HVJx?G*tQR38vP6j9gIYWKE3i9qWlHu^LFyjg=J4+Uz_KPS+GkTRXRHFl{~7Ucq&7`?V2bT%U>>d>*jZazr^+;>Bh)>wS(rKCQz} zh(8qmre#0=w4}>+k~g&HdT9_perVR`(sUCqSQl_aijer7D%n-KcIXV%XVX7VshJ}= zcP>SIrrelN(1T4*f-czguRV9p(j`4?LVIW=Q|(cm@a-c5^={vDuXm2$0}__DTsu%# zT9a687K%^~v^3_MU)0XKd6pM0U$5*)@&yK3w^#_AVY&5fpYgz*iN)Tj39;k`SRZ%K zim|%R#sv+EAe^*KH9lxxdLcTle-F~Q6d?>JKo^j?{BzT#H9lP(zL0KVRJ(RneIQ#! zjm6t)@|C9pLOK9|14J^>W_DWtBG{JC=lY7c#dPz`EgPm0&bNbK13%k|=g}#JTQ}#} zj_k$HEzG+mw=g-qlY!fMcvnpwa`+s9-i8nIGB|*@7A3}E^3>E8!)>7@0S6DZJT-Sb zUbtFQG&H3)bzN_#)?DisA79utZPnW(uaqEkP?P@yduO|3iL#`y`S>+tKE9#loAvC# z4*Su7k3jS3fnS;rSLT^qPJHnN>!!46iO6G$NlDRKo%KTp2%hLWFW}~!nT=(rjtI15 z?tPp0p}nhd+~7!Y!@#uA_$6LF-wC2{Emd}aW?pifPmG4OShody8N^5%Xy zcXG+6pnP3AraFIJ1{-nU8@Fk)Se$R{YoUH*4LsLd@yMx4#sy6v=*is^=gtmAfu`3s ziI-I~mjcrsa750f&0$SilbGjauIG87sQZe-@o?E$7Y=n>>Gf)hMe~$as>t;;WfRci z(NLdHGgrP++x5#c@zNSK&=%ypy?NQE8FqZOo$lgDq*Q(W-Meyp0=}=WKi$|fpLS9$ zzJ4`yAxl~8s!^y*Yc;!RdBrz3prHmB)xB6aig*w~G;GcJig{zO%iWt36@;xQ?I^ac0e( zl-x=dp>);Xv%Juoe?pTneQ^vF={RMhKGW*E!n107Duzy zgJKl{t|{&DGTey-%b4;%$WEhr@86RwpwrJ16WwUv`nChR=Y6t4?WT5y!~DjB*P zaAao6JNwq$^>eQE^||PtLI7)Xj{Mb0Fin6*Se_%X*osI%b?pW$Vi^KCy{P#;xzxAk zGXaNw&*`g@Kd26WqLyCkg*VjqrYo3iTTDN|XO8_U*DaSE9bCaDD;lGCXXRWS=y4ON!AR2`bStb4!RGfr>m7AK4)T+XY+`QHg@SliIIIsZSxT)(OT(s*;O|j zcYLp@ebnc2$o8TFCT8Nnbbzq+*$VbSH0Xq^(_O}G#*Z_JeGuz`dunH2XOy1ayS~h6 zhF5Qtdb@co`luDdO07L#>j=>myPBW#wjgk>bgs3Iug^2nS}t2Au2WmoK@;$Uc*#37U%-p5BQ9s> zU2Pxe=z|(gJ9n%l0%}_h;Bl8^9v8?>qj&wj8FUywi`OB^C$pU!ySr8_IXz+ ztWAow?0Cq0DK^XQ-I4serwIR3iI+<P4`5R$d^7RzA`7bV5adh&-gs#=5uV8niv z{%s!19ROOJhhzkSgI{vyVU@HDUt!Q|DiE22&f(BGqp`PVn7go=#Bm;@ix}08WvQ{L zpH`cl*?Qw9Dnj})JSN>SfBfWUtDO`0MeRI7ykqnh)cEzg9=MmvjM1RZA%G0Bb^Sx1f zUeec&VFCs}N6v*Yb&a*3*#4N$$c`^;u=PVj*oB`>eQ5IYg7>#8U@9GZbT+xfk>TZR z8rjVp;wF6t+6l8&Z>9$(m>r*DiZ7)+CHy>f;Ktn-Bvp0Z=n{#KPa{yv9sPorV(+Ih z&r_bbh!=#BRh)!5b|Els>%hKK4batI zRwqovG8&X%Mv48fJXV~V)BU34%#&7g5zy|$J3sL>9N-uV^z0CY5oCT`c;lDQN_c=< z#vC2BDR zJ2RptJ?7(tW6*d>_)OVA>W_i z{<|(o*yj=)qC~U?B&lZWj;FVCCf~oI9uim_DeWZI=;cu$DTMeORhW|dun}c8S^AAz zI#UboIyiNSq}@{CSy{6WM(4lEFI};E3ZZX=$@Kc;)iZ`v87c8F(+7kBB!}NdhNivg zEErQq_(j%cV@sTQOGf|zK((B5%{gXASNyR>8^;rZ(%g8uLdRbmS-rH(=lU$AvLqvw zg&KW8Rtpy)$~r@3N&%U-{k(!3T!leyP3nwACKjKRi~#*c2H;hz{IP|0z_jnix}M-Q zaMfyZ!6j)_v8EOe?|3T^ronrbe$?i4IrqAEWs^Z9O(I&8HC`K1g$^8!Ior3!Bsz~I zns^>N*qa;E$90f>6S?!zNb6TLaE?zh_!%aUO((xzJFglX7Z(+Iw<}O=g?`Zeu+_q1 zcIhdp{2fzAE+q%hZK@Bj#Jp+)2S!O++%T}51n&}yB!Rezi%>EB>!SnJj83q~aYGDTjxw$ZY+m&3Q1PVG?wI=4r_0d7%DyE{1 zKja3RJvfIgq-&Jl&@`nr2YFCa-pwT=# z<}2ltUf&>QB{2}i8T|+@(A{=lmA^Xs?(-smILJxV97W$@EEoy)Gwv9KBSLc!s&Ld1 z42+a=Tt}=YWvF)lm9wgIDThTLf5sE7kC0h-6jIJ`jn3S@y5wt*?@EHWo6u8kn3T-1JX@-4^tp+hLI{7B9RZ|#Ub0Y$hg6O^f@RO^ zbvChlXTd8cJ1TR57B}y3=A9Ve;7S2$*K|!>Dvk*ba#{{qZ*KRhHx!|&v9>G(SzL)d zBB@Lo{Tl0_#ZmprS?iIigV%f}=q6LLefOZkhm6IPpf)y!p3~P*#3&Y+A-+vehq4$# z=^1tzEcbuTl7S)?)4xBfBrDo#Vupk~RZ}U~)LsGrV(A_Ns-z|Y|?*}l4+I8X=?o3@2xC#&?@QclEVIPNeKsu zc`gvjEM%p2)Hz#}hv()NOdz{KGJO2(Wphw>6(@&Yoxnv&c*m_}x)HMkpYH=cS1AaPRGAOBh5`0Z{=VOu@0q)$?Th;j9 z)lH7@#2AI$kRNvWw2^sq7B9CVcu8f|Ts#)4w}BS#XL5RSv{xvo^?Ha&0S-;-Z1E)R zq@1Qq)YLh({A9Q3o4#4}%8L|YG33MCDDR*~V8JLRM1*8|y@|@4Dk|^!;dbsWJfxur zGxHr@;t`)TF+ns}C1i$=(-$>a9|w0^ z_*8?$3Fs661}(E8rX#|cN`V1w!KcGA+(}HU%dHFBX|aEBuf5LAJYFgEKD_9aXt8}a zBd{hnzDJ%VSF-v}QTE@WaQ>y{%_RA&SX6awBQ*UBI!Vj$@gtvC$T8}+C+UOk-|DIO z#sF@#N{(8sul(}Y}0Cl;ZFfz*ZmabqHkB*Eurtlq%WG~Mju>T$B6kmSg zB*EIiv&6&nBR__6=w7c+M5L_%&n}M95rh3ndzx$r9|>U8%&BbYEvpEru)9@4Q=r?3 z466`nKT|E5H?R9S)sdz#w^B-p2C2w)zeV6W$}~)5dS&K|sNJkR4AY{vl#Gd+P>z8? zeETng{r?)QG#o}Ih4lio-lj+mvJTLG?b6RV760ydqPx02_2aWWV~QI>t7KDezWs-X z6K}&cekLZw=?9bSaL%yg!_K_QiBg5WTJ=20WLH)Vb-1#RN_M{Mx#j@k>(~kGQZ*hfB?H(Ufy6?Ji z;0F<>7yZ;l#%w<>OE_-aDmno36Rk>sm^R#ukNY}3@;S0h0d)j(e))F``aXS&Dm)Jh z=@;lZuZ(z;U}tuLj#_oxkG+A6BZqVwORQV0z{z0;uxH_<9Jow4Sc&3e~87!(*Em%HqrN|?_p z&`6P-7MQ1}ttZy;9ko_4`026@!_{po5^y#>3cf_9iEM)X?WD2XR=d9%|EwqQ=H!+D z08n6?BTSQqSic+sZZ~^g_v^XI@6V05Ef<~qMw>)injT|!F{cD>oONAzCnX8m75-A` z-*g#1IJvyVKs|(SM~KgZwQrYC@)QXR|di^a&?q{nGC~#95+~~8W!>lX_t_0qRmmx5(0{n$V zH$IM6I5O57j*tyK(AV#IP!a^X8u}34_AV{Choahb$fl5C4O@uuD-t*xow`+{ry}LH zza+>7I)32U-<|h~DqVL|4^r1>@e&IjA?*|GM8zS%mF!iYkQ|dD53~{wHSlDTYUdUl z`UN|`~4IoOLyC6{|Z=IA450Jn*3sVs?(Mv{sTzb9}fU+I76@YO$4J~zXd1@ z=GuGoH%LpG9tz4cln|j>UZ{#8*;#~ESRKppbGHj9i6CpRf@+^}Y>7uwad$;}R=t8E z{t0GHk3RGBuW>$`46G!Rew;YDQF^gUkm0;zi%zdT35FDD`*(&bJgrYm?g zHCLeuZFuutC~-nMO;hk+;#yRRkCT;@q66-CV$?9P-Tw!n)otXX5Sp+;f0{88i=p5M z#D@=c7KS2<=xFTG7jr^?MPf!OB&Xq0E_p7Y0xIyhIXI{pARxZj6^mX)7%6;ztA)sr zs5nO`db0k83Ef`|{xn?2uLqeT7An`@W{Z^SAEIwiR}iE3Lwj}${xIlGbrRzfLCxG} z=pPp9V#s$s(ANe>$%<`A5b>djfc z#2*dHB}aT}ORHiE7^{8I=ea4N@~9sB%R)XQI_lT9qY1NX291H@IOty&`Wc^qWP;K?;UsXSAl4FOiNHOeq7%ykiw0WNlG68S;0W_Bh zN1(64Na6Zt5ab;|NF-Z-^{<2Q-x9+oX&dy#b{$kVclkjy&W7+jNc-I?Nvw>9h8REg zof1@HKsI<=EqtyTG-?9)`0Nb1#11$eUq2GIxuYP5udLz_QVxkySv1n^!9vCm2MVam zwUi@$(kgMIKB@&bTt*TQhCf z$`!YlY7BnMX|BI$S3WJ<2mL$WaWWVf(7An7Uqm7SppDm9+Anfic@=1O?7l-ygDi+_ z%;}Y^$jbGj9XaieO`HWd@!7wI{rKE93JeNie=IPB4^!fM8t5hu&Hix-Se^8u9P>82 z{4cl^6^f=!>ZQL&q!Qw@vnqCB<4{wldO7uf7>a@AIH*G+lzx?MIykA5Bs{FEhQ@+_ zzxn?mUZMm=cGL!f$+lJ@k{Ob-#xzK*$>N(I|CblwUvXzX+*>y2 zy|3bl90|_Ix;Qa=?pe>gA>%)+;FdAju;RCwmNPjsFl8-n=>8^-`sv0JF@ zi2~n0$w7a<`pBwGSXg8cevl3BeiO=_(JZZe!_=gjscOk<6lG_p_P+(RC#UcUba@J( z=D$#;btvtN>QoaGEH;*hq&6?weCFXiE;0>F&JrH)TB#PsDtp`HbO&|+vL)nkw*7%{ zm z3k;N{3j(kzO;ZwrABVR)qy*-%Ndo|IxePLg$D8}8e6S2g4mWFMI5hClg8_0u^k$hr$$$T=BgOtN4Bwb^C5XHg4ZBT6}( z+1Mm}exz{nRKn14dPR<+9Hzyo5iHatY5f#QUhIW*zMNjqf)vp&!L_r?lJXx&MB+m^ zr6+euA5ZQkL%&?TPA+kV{Tq(n*wBP5p3@D(&$}?kOxsiz%{nn z!9Tv$*&g4U5-Ls)teY_+xm<>1ay{dgv{3-Sk1C9uaRtAqCK|0Kx>OI4-dAw5y5)_5 z3YR5J*%BZ(4zR&dhjld+ttik2FD*wtExp*1bl*4ZDIV+SnfQ z1lqx%r#&4VsbC;{MlNP%?v>PYgOGh~4seW6#<3hVAMX<^Bn_%euWwNDp@o}NUOHf} z)(GdWumo81NubmtDdU4LG-uszjczOMMxUPF|HM+})gU%8m*H)1*Kf>Ofp01h^@6i< z+MMUX&-XS=bodZf<4(_LDhoUCFF&&}#*^{utpG>#;9g|u? zqF?1jgc8HxVS}Mt@fWR+pNyg=vu;} zv-Lz_V!))U!q2va_-;LGx*XC(m>-!N8LG&{qLDpu)(y!}-#oyJ5uXy1hGP(9fxOh0 zIjb#Ymq$sdTS2~?| zYsbx@5&g0~-EVNiS#H>e${eHZ5A>!#XiD0D+CQ+r@z)Fne>z44;)c_4aV3kRJCEUe zm<8M((qwhW$)hAg%pc-S<5+ZHp_8a@p*%9(eemeYdmEdauEmn%x$Gy{A@k>Na^MM? zKDifNnLP$gN__(iD<7$p%C%ABg|UF8Vk7OR4W@p;Ie+?vFS-3rhsDiR%yO-CIA1p^ zhM=WX)WZ|I>)Ajp@ShiLD%<^GsrMC9eCqj8=$0WI=vmSoTZn!dj1o3uN)7G4&YX&` z>gXmgG}1mr&)j@uyM>-&q#MG1haTK?K<}gLLlt}T8<}E&K(_AoQ1j4eTEWej`f`nZ zP-vySfgS(iM*7zQ#%+BHIzXo|j0xxm1*J`}NdH>;{#R&0<6*Ic5vs~bdIJTj3kJ-q zg_YpQJ&pef!V7EVA}{NWia|13QOc`3zfe@wGkDTLzfh&Pu`GH3_*G5IC1`jc)^0!Z2XgsXZc9jn6y$5Nu-ZHSkKzauKXOo1aIMU&*HJ~F(6sx7nWnRVATy2} z=FHS)FVOA#ujfcaFmJtB7`cD9-vZ6h!hSq32=85}BPLmBzjG-s@67R3XjSU-lts4Z z!+g_H4;w0A)Ga-M3WLP5lF!SrG<|!Pb!LTlL}=(w-Qvb~^*e?C^|rZs6xX6^YGA&2WuMSy;TOY> zPyuRHgyz1afqEfROCTu-m+Jm#QIy?!u?XndnRQ0aF3to6-^(Vm+6rJCVI-0gnt9ui z9RTGil53d0jyn!t z+*hV%Jqc(BD#NN`;@h64-HdmqIi*Gc7iqq>$mx0FWDeshhh3R|<|DiS;=}A%Gj6rs zF27b9PQ1U;7v;QWHt!MMl#}G{$3FR-p*`<5CwjjeUHN~_L7M4k5Wk?j?)ishZV%x2 z&21sSKSDM)P7`}aSdFBkrUtq_;^tn&IL6i_HzoYZzuSP$RYmv+>1z2N5x9&!DkX}R z6`=nAmNMGAxQJGVmAUmi8(sg(7i5kEsO`DnKD>WxY|(W|(ppKjBP#Xi1zpY)L8isK z)c2XR;=&y*@$m6WSC>@4UZKiNf@vNV;;0%*5kgU#mC-ud%r{z5Zifg=jc81hizyr9 zM{Ocu4TV}?o|Ie<509`z3GaHR-=ZX11)I9xf2GQ?B-NKOe(GP@E@YrN|Kj0vnQ8de zBg%0jxB!jvzi|bM#6Pv=4$K?kH?qq{7EXHa`ycIOik=UKzLK!@;g0j7KO3#6Y^A{r zL^Xx+7zyGVQPFSSgtYD;D_C9&eOMBiPmsmc6kpPm3iPIau<1 zCsZpOIL?VuM~;umT@A7a=W;j_c~+NpgGLhvRGG#e3l#oE8i6ig1qI?d=_M&_ql4uv zsOH}VI+S-U{GN?8XiaFBHf@0&OC1vnHg-3kalf^(6!j2uUW{LI5Qr|caXO)wZ-JOf zD?D~>QF}I;qWK@I&=n56tyowxZhEI6p3ZYh+FYJ@S zG2-PAV9Sz#fx4i0m6Q+1B3lOBcqFJE8}rmVkCLv!0NmIPSi=%5Jq;Y@H&`3a4S>ocf2Wcw*H=Os<;DcWio`$$e znd330bBSKU4kO+zMXqI~X^C#UO#2rWJzFHdj8wYPEo$(PYw?(i{(Ls_*EDo=X{p>V zh^4PF`o@r}k>n6Pvk6|kc=w)qJL0Yv7gu#4hzWr#O8(MMJ93M`Cttk==_GEq`eSnV zF|3elV(01@e{>SI#!FPE@5JT{n1`2ZzHc-l!I{9xck|EcH=vze001_xK!k4%>`Vo< zMToIs-7m>VJZg}x4kEO$n{4Zr|KgdoNnCH*CT6+>BRRIIQ&U*ON9kTxR(fn}+owwv zz<8m_{2zgiGe8u-XQzg&krO7jcuGRTjkbjNzJaVGC&@59!``A~R+AfbSVRqI;IgcRFzL2MW*CRXo-i{#LIC#hKtnnpk(q zUycUj#W1bjZI`2u(;1Ajz)_H^!bzA4hgT`-#dFiQHT&NSp)c2FOEr0@IKBnu+n`j= z&TRet1~DOvYj#6*O*G_d6wu}g>D-VwX_URJ=I;{1H<}E;Q%RsuKAB0~&iBgju!+zN zrLxa&I3rWSB?;-+{;OPxt4~)yzx*;0oXgkpj;6X}hnILch1-il`#yt%q2c#O_>nBe z2Xt#B2-(V^Q3+(CH#VSMcO_O?SY7FVqhQuBFX3J7wOP8E3u2NsGG?4-U~dvV?Z^N!b_XfcPj#5zRZ9j#%bw!^W7TP$pe8 z+)jx`%6zeWr(0+LhQI%tM7X>2C)oYl*8Z=oJL=&uFv4I3_JT zTk%*^c0Hn#S9tBE#nBCH%r3lvjgnXj4qSCjV@v&)?_csq*PeYDa5L)lFMuHpE(`tI zmkD_5s?(QO-YGPwo4Zjk5`zGR`DufrNZbI;{!b^imyX&^DfEboXsmj8B2pqsh7pq`o29Jp2BnVn@Jv*V)w z8^4EPAn#iLQi)FDuK+-O>#KIl0=B!m3{0k{k*IbS#B+h$`Pm~*5KHEP_|JdrmHG3H zbHsfUj_%qkfJlBKQb5XphoPbSQrsGW0kY5^{NbNRx>5*T>;80!q;~(wJ@_-YKG~;- z$qy=4qrLvVw;uzCzon%GMNW(GK9A=tU;WtNfvhFo2YjEWx7eKX-Lbzt670g!&`9FLr0CtOG`+Z@!Pi|8OwmQcBPBKNw_6{C z%m273OZat=!fYNWpi5VR$1qfx^U0u0-B^``mLXwG9J1-s)saE$vA;7>Q-onE3!WHx!SWgV z>&~V4_=|QOGB3Tpj$DU;rgzffe)*M?A`I>#i4)Pb36mx{@azt#^21K+`-s56#1t>Mk!jDx4M%^3fK?=zrPsYw9LBkK}Y{<&C!KBhxCvB(M7nqUn?L+HWJ}E!jt&m)*7C!e_iV({rWs3p_|sW>2TWAT>KfUwcjeK~co-&P zks4-E{Wk5@Bv3z$EuQ<#M0|CM5VTT#>NX&XUv+=rz`@8wEv3#6d))E4=AMG)Mytb0 zg5q=Ql7WH3MjCbKX&m(X+S%|@?`?0DYkq{4(OMkX(%@%a#+n~q<{|UhJ{61jedKul z&JcouKnZgmd@na#5t|du8mdpm0d@xMABMKAZP&O+c>~0sQ^(qy`8u429)&AI5LlRp}L=uR#{gde%NMoy~F&O^j>WBCeqq}W-ERXy_VG-?qd@MuWV z2FhvRK0BGrtZ#*PcKM_chtT(q2ECkdwC5Go(~>EIN{b_& z`7;B+=aw=3ZwC%6`THk+d};sy>FH^rJM<(Fx_ zb3S8z+f{97@%-6)V-s)d`LeY4&fzUy?edbOg|c+X$JQSy$j&MnMaAN4!$QPJ?Gj|N z4VYZOYa8fwdfHG%hvU{nueN2xJ2#3g*-0VMp57cBXcy^WPqE^-nj}auAYv1-wHm5C z;_*qyLzQnYB>dOW=tPu>-F7#UK;;YQ{PdRGnZ1;CRepE>$+GXk+0xP1b@GNvSPg~s z{DhpoBnZ`#Z{gw_gYZt_b^Gb+)fEH&Ih(j-y*`SV!m7&dk3hCavz9=DkAZ=ALOWNU zl2Y;~Ll1d->9h=o`-t)tI%&p<);+6owc}SWJYOgR8rAbHM7Q~xnuPpqXvD-SspsH$ zvopli$Xy_-Hh7dX?O|k&-l@IrT@<>dU0b~S+sfRUMTdy#=w89+fT*pvdcg%+dOZ== z5BU&4GVA$>M~RRG&)Y(+-%g*W=LfkKc~E!W0c$f1BPB<^UbWc$HKmQbdS1Tx$`HAS z>xGt%jwAAW6RDRREBS+xppduA;q&_oZBo4_{D-RJYipeJr9P&n(2FFi-Dz@ji#6gl z6@exO0#gl zQidAQ$Kw@hqzA8cQ4Z36O))~ACP{sZW8_z0AJhFYd@-FcNg7U`WO-$C*0(lX`1tun zgC8e=FEW%lI;CFD*^}dgD2hJ+JR~X}ctNP7vKFe$^0Z_gyJ1k!&Z=mjE9z8P_js`@ zr`EP`ec4D@V;t(5yTP+XF!{EjJXfoqh*Yp){Dbf^y0BUp-l|8Ej;*M-*0#N?k2i8r z9^mLEHg@)OX)%m0#D1gg=0}j=iClyW7>M-*or_Wh?G*%IFa!pWz`>7&f*lhNwHWlI zh-;!qeKYP9y2@>!Z~lr3`Qiz)?ZJ3B&<~vuF%~{KC#XzyQ0$P(%VDE~ov&=gDxv? zyfRpO+6CDeDTst;k*)X%=kNDtKX6TZAw?OtYrhWZ+{JAKnxlM$6^8g>tST+n zA@9whYxW3VO?`yO(%k&}gaZi41dY>gTQ6s4+ciRUWd2k2pu1WrmLE(`IecP!V-a}P zPBD?0CLQyZEm<(hGJ>BQP&hJ-ucHyA(rRQXv=D1#*SEi3Z z?^`@Pw6OT^Nxw5Th|S~^X*CNknHS?N6D3uUPV#P zJ^8;Fjfu;6Idk|ni@!$%%QAD7TQxZg0m=U2DK3ie8e8$P+(I16Ssq0`kLX>&kvxjr zcZ&?vI+H61mGjL1d^6h%R*X%)@bc+JYWt}1=%7jnWvtQfX+?h{R9CKa1}kLeX@uqw|PUv^BqV?Y}pb%-s%Vt%udbH8hDEB)g$_uxgW zV|D?p7ztQ-0-vQ2(%>?t#KbV&a2q8U9lQT{#P>ko>p3aec>)97=$bl<=&Nr*Q9BRg z2h@HejysRYfvEYsdT>l~O9&s8g^{E7nGFe9-i&OKZs`Pn_(F<4m@-HgDw3p^e!a8l zNlUknAidd2WQ&}g6rt8fbJI{aTBX;2Qtv7aPUVuO$Md8G?bJa?W}{Rf{)X3AkSo7|aQBjfE9aX*`yJ@c{2+4Kpa-L$ z0waa>|974Eg>>c!`I+W~`VP7^nN1{9Bs7)zWZ=?|cXm!9b-!aUcGMs`vhUh07Y2%s{{CwP`9~yRvHjAi-s>KB&El&U+#<)jd8fcL zF^*J6he6jK3*|dpLbvyt#WqH(=d0B4zIX?WnDeEs#rJ1l3b=o%A16e%lJuI zlj696{3`F3sK&D2F>#qJ=&`%3+X;y1O9VPEPk=@rf{RbrFIBCtvB?pR69|h2pDY2w zfi#3^PMXerG}$3&ENLpw2IGWo2F-h`cS*W8CIq&J{;~g9H}G~^gI6{<)@egpCASM! zahXDfHtg3F{qI2-8| z{L{o^!9t}(PmS_T7dy{EzE2l|M3!xmH|YJ}xF`S`tAxqfw=Xcv`xQ$23O*p;QFf=J zfXFb&jQpD$bPwUE*)U1?dlCvhEt(rDrBP^??K#aA8pFY))>Cn2v=dV@Z!xt0wMROU zm_xhn?`b5g_upTez{md&f7T>^SMDlIB#Y9!-JU-L8BFWo!<#@Oq|RVK4{Oisqh+6O zN?$)|%#+70?aD#2h4FFY>0|DiB9a#ukMM_X=T8;MDtdQ^pPg=~7DDKzy!0Bn0|O&d zbdsbWlNvoe3I`V_oq166#gf8OHO1x;%1=eb zM^*v*RKCP`Cg5}~K}Er)c6(WvKw*z{c4fa|^Dy$g@$uJLKHg56Az+tA)uvwhyxv#; zWg>-kr^{swZJyLs&ubWeGuFT0aHZ(5^LpA`BvAj+SyPd#*zz zMXUQ(o!Y6qlI~O5su4R&L&Gdn&uR_p^yMY6vGKCoWAj)TzV5!6dzPt*{7((eab~j` zBUpPSBQ;{An|AG~>CXxGpDOCvB!u%*+Iv@0m8d!9Z+2YQXGkB{HBqwZZN?sR?bQ$t z28(^zeHWqNs#hz3-}BMP%;P#CNi*DCOs*tLL$m-quHCisy^Yw#CAeC-d=0dD)&2)9=m zETR2N`9v1YRm#%aRq?z&WX7i}VFgwUUSSp=7Q@z%FPFK!3+LW>R#5#(n`Wq%6wg^j zL!+sc-0_ZQW7Oq^tV*SWY?b!u5-G4GpQI-kP%Fe#=jTDD7tXR7nFXuxm9BscB&qN5 zzu&FykZ7K2a3iJUo>SLw6*kCRO9JZg1kOPfdgIy6pwVNSXGl;E0QgN^sSjAF*aW6) zBsIS?IEJ2kx8&B$QOs;xbdZi2j^U|QlD2Zgn^vm0uB!&$#%LAoq0!kQ8dMv z>s$F-R%ce&#t(coojMt^@MkAmC6qTOj*h$iQB9oSiA1NA!R0>D`!*9T>^tfcACjZD zbyj2f{5Ig4yvmlw@Cr&y?5LM;MZG)8mv|>h zL7PwNdET-b9m*>JvMi@!+yalaQ>h}q~v)!5~pBb954K^l2b=TYU8aDiv= zvZR@N$)>tWirDGo7YVUqx}C4~)Eu6TWFDN;1}+6mk0d<*b>8H#o(<0 zH7&LjF`s{_mmTM1c`x4Ud?~7{+<)A+QVX#c{R6{y8e}D=(M#6st3e_5Zfp&!Sb2*~ z0CE{Ieyd1*ced`re4#TiX!wM(zl0w^O)}c|g?%%gy)?dDK-9*{ZuSoMcPD}&mupGaqRr2jqGRgpc-FymUJxE zCg+0dtpGsEqpA6^d!HQ1X4cG`s?3|(V`T)Xq1sA*8Yi*b+vo>}#o$X0ji3uq&u`70n zuw4i62CzRqV5GKJ$l?o@T*YlxZosoL7TPE=4lC6UF;Evxw5DsUEO|*ln``F8oHKT z{qCX`jr=n6G}Nud#bJi*7ej3$SLeM{hw_OOZ^x6BGqF)e`$VYH&KV7kD4Xe=o3bG5 zx@e23^unHC>@d{LB<)1$C$zV-Xr5r5_}jSBxKOM`DHV2f0hruVGcY2?q@Eab~63@ygxh)g!rL1s2dt)TV7tHA7~}> zo_QNT$Whee*jjSx{(YEEep}Cech0h%%s2Hj82$3fvI_^@BWw_Wn)+>Ft>$~(U9UQU zba2MxXB`kJgs0@oXXsVp(-xXCQam=5y`z^p%GtpRLrkZt-z^DRPQCdi^E&oQ@PFuKM+{4`Q#QTM1ZtZoeGV)yC(nrH-rEyv|ekriQx}QNjkuTz0T>EUp z#G2x)C%X4^3i|OULf!6AmlV!ZP|O~*TA?7ge!iADk+=$*c$F2;e&_uPXwdgCa$R&35?!1$bZa#`opX*`%M zecZlQtOZRjzH*cUSurQ~94VNwmaRoHG?P6jJ-sD*5A?gcnZVit0}~`9rAwr{L2&5020d z@!a3@KJWJ(2Y-m}z1@4Swa#_^;ym}JyANR{tg)uK&to~hEmqP^-s@b!^Cx~;h=y_O zlGn!Y6{zf0TdH`+vVQV>Ov=)7W9VC!)>umkTJnU6lEOZ!urED+#O&vz26O#*6jVA! zaFas^FIA3~8>!GDGq86{7UvyM_6!&k_puye}{;p(4}?B`SwTZZAR#FKp9R5HRA^Bf3_hounB7JuoY%<7Ku zRdPeuYa@+ZXdSOMWdmg`i|O)Lh7CU-Z2?cBp-Li-k=u)LmziGL?Oo~nBCU;u#!GpX z`1R>hD?^I^9elHJ;XBCqfWg{_x#(BM4>HwDC(ly}~3ZWgE%tqf^Ey=JXK) z@Rq~X9k-u%^F_;D3~p&SJ*)4<%Phj=CE7e#(M%hqje3}1#m z%Ww9IdTMqg)BH?$R4~Zrdyg#zJ}FGsc8W9ELyCs~&KWE%iOBV7300ARGF-@`8P(Z;7wBchcf79Bq`GfbqYaJP{fR0pqBv=f78kiTxs* zjw$p9v}|%EB3cCv&a=FKz8Mf`FS%3v`q7`P2H)H2-)KFv9$2QP7o|Vm1|87;lF2}z z*~m_D>lSsls$R}I^{l*#`g)E)FTW9T5U!$t2f_jewHfUsw4;>8|{9 zL5XcEzj2P2?^GY5rvI6xTAx2>iaLz-^OK(%(jNZB$$;vF>Z)z0BnPX*A1->Bnb3** zJ}uFBHtEkxF!IY12W;>kq;$7_u*@IZSw+5SK7jjMa-aPs^*}b%rZeq^p;*LOso+Y- z!*3lrPhcNf5goQ2;XHC3>r}tUkgucYn>1z;W78w+Mqu)FWsjs6QzI*8M;)DGqnk<$To~@FyllP|g3(D@b zto^p`9b2FRf@|V=dRgm7H$6+A;T_z|@Xj}KePiiI*Ci5*5SM(up!-|lTu>f3yD|YC z6CtBap+FtY`T6>%Y3J zfWD3jvF)B*l%ZU5{viTZjbwJ3QgQ@p{3>n&fxHGRGXGAqraYbb9Z82~i>ueUf^?7D zrF`Bi{GJfbUBHo5e+#g5e+tF6cy_;IvADa!@9DnqUsrkfdyGKdYw!PMB8mJxR{y6< zovo6W0qt*o&)Hx1@q&*8^#PcKOJz|ct&je+MT*(*sDG3FT^aCW;O`f7)|o*iwX&Tr zLd6vre?NtgC2-{Q@6-PGxAph;^gnw->_OyY>*e-2<)$?KZ%XS6`G2#UEztiqcmCzx zj(#R;1HYFlDA@1y|JfMK7DL&v%omr==X|G^oEQ5MNdNUDpn;3zCBuj8hpUg|MfxpWIugKPmy-Vz+xb~kjuu5-0s|B^x8Q~Z8JI0u`yomk1mHlN-r2(;v zNGNa{7oYG~SOk5A0p|stT+pZVuHEjEaef)*rH&sBKaG^KtrQ}>)f36Lr5kflLk-o4 z8F!;k+i`2WRx|T()>%*`V0_Mn2DTsdkLy_1c6VY8~BuVg*~pATWNBrdEQnO`P~aXIT=sI6Hu-0OVYX{dr{Dx1<<+|1zKlAQlrj%wJsGV z=OeGqr7kg-j|a<=fNdlO*c_aXFjKQ-9liw;jP#|6_SL7F>8D33IveLI%K&Vynt0J` zo@Nj;egJHe@qH_5VqMMrNAZc?ZH<1tQLCM2h!KAtX}xmI-}eqq_ifaE?!U;|lRp9l zn<7k@>xJU{_7~n|%o+dj;*umI3*)qVV_l;^-a;#{Xt8v!ty6;@xTrmb zbdItpyDDU{+Lt{kjnMd8PlKTq7%W$(`G}v>jSKh-g`R*|esWRM4N|^Pa?<*+cT&U{ zMFTXWR&tGYs?%iNHG1=L4AQ!ZS0-{j7On37HtGsbBMb4Z zM>qrwh@yv70{j=fvJBB*ojb~I!p793tH|;JWJPrFNcn@>nJ|GX`cUd~v3;v99A=(b0adX zaqzNKBw4?!!CdK1gq%MwP>3W~<^2Z*&3Jg`b9P$O#+%)1(<;|U4Qr12i`3*IPsjaR z@=~aeRmoZMmVGqEZT1~ntj25|s0DI@C)Lu!idM7tgZZYBdBwF}OWq63_IsYj`%aFz zK~rU!>9Z?OPH(w~vK&dJ@m_LIlSZ9d|7r#EZBwn(wZbylT_E+aCz1@^kNeg%)j5cK zbpPb#1|%lcWzQRT-mDP>;-2*L6K)mFBy(-~8WuLD`M8%zLzXz@QLhS{4dR0~z+?!i z8#?rYnaysFd~ONiO*R;E>SNJp+xNsiO52Kx3CpS=8(K?!>UM3J?o+a==NoXx$`iLq zo)UUyKbggkZE5+q1sF37X@Ctp;cB?*iHFqqM0oN}1kU#{ z&VDdhpMqdoC(9L@Iz;T79yZ-v;hl~-qTH3KWn|%`7-2)&BAi&#>%yyydp=`V@P=&c zY3e+mYf9!q!^6TTZwN(xa&4X;=;Pp?j$y`TtM3hcvZ*m%TlSAL__U_Xme8T*XNT(6 zNL1hZ+y@z1|4ia*<5EGEkKVOM$2;yWRkEjf2~{xXD^U={A_i|EP(OKzdfDhPwXR`GPX_4Vo@nY5VLPps%UdI z_n`)(M!Ej9CUIzNm+fWL3bjB4%W9emvASk=^`+`M%^KeIO^?j zkYUb^KAVQmxQH7KJ}Qq%B1+|zESs8jwqHexc96rVe!>Td(JR+MFSJl9ev+wgNy#Xq zY^@M%w)oia4(XoH4{b`LucxpPy6Qk`DMVwPm{P;ME8i|>FZH7JxS(Gb(LGtzioT@? zwq8jg2f>wRUYGptKGQgpef5#Ty9dIs~q#&8BXSh1;umr|H*+-cv`! z3`jHwl^(5g%vkdd!hV<(@iFoqO4k+Ph2!5Fv@yL385MS^FlOe!#VLBn$Q~IP+i}`9 zPzZ2{S=se@_KaMFbEi`-GL0%rJjgn)n)riNyoo}Vl5w=PeMRGmQF4tJW<7NKYDrmq ze?8-^-EXtbV?m(!)7EMJGlxJEyHlC)IbGx#Hgm?;?}ev@N6tjwmbDZ<3FG3Ot^|ST zC%#9ynOuPveIJIX+#5*^SdOYKRqKjs_?a^AAz5x$F;jqoZJnI$)J>NwwX$k~Iz!RK z=igg>RXy0@k$b_cc;N-h$|{U?#gj3dIuYiLr6qoep9@kaEo=OFEk7PTt{+&Jm$)<8 zx;mOqlXZ6{*Qjyn2e5jtVht8^3e}8LVYPnPgPquKcBc{=Ne=>h$zxf^Q_(}|TNMLV zQiCc?E>qA^xPV>ye1+c(k`b3kV-wz{m@Brx*pTfy+3eUghK9`1Q%e&AjY(nGULv-^ zn6sOh5c1`3tN3sIBjyh7mA(u(WyZADo9CB9=`FI1Ax%axED7!Vo1G_{3GVVrvz`_A z1Nsi#yJxvNQA_!gnLKI621aDfNc@)LPb9#yCHDDafFu?L`a0?C9K%mmyLD>+qEim6 za_d+R>)5n0-Ml}wbz*KK6<@^V(v4kq=ssN!I4iV0*L#)l>@C7asD`>|HU8d~bvr4# zKk4l^!MBsh3L=fVVb9mE-t897*41xjcnSY+{Y+Cn;c z@O&*!KKO=(fnCnKTQ3DSTQkuq3G?Z_f$1YsHpR95R_XI%RalE#TEr9LPM<^QSeX5E z5~kOEiz|uOot6j^pH>9-J6j4ivfaOOB zGJg8JT^#PGkrWlS9b$3@KYj9|5C8CGiX0NHSgwEbb-j4j%qhQdRmtANhYxpdB<=}+ ziMd6Ou37hmF#?Ge3`sB440*xWO}ObvkKkAXB=ikK_+jU5*jb6x<3tya%SSZOV}1RGDaU_7-_EthCFv4)j-8`)OX)F) z07D5=ypCGsf~WB%)6K&r0u5VnV1nqGusC|O(1RRjm?r4x8pbuO;9(OrD z9Gu|LProt>u7V{3@uf)DTZ zTgl=04Vt2}{Zha-HSslO>*$*7F^gK-czz{JnzeZRw@+y|SCH zN-xe`TFi_jYcJA8FOVObEh8&T&zG_V;n-G`OUGq0R_f9c&Eg3=ak;27#TG#eFR|I1 zSE&s?w zOVyQ56S7~4GSV+K&yc&b3DR4{`7zX!;+IZMQ#lgvMNfQ&aK@W9`uTW3qGyRg3;W4% zS?9QcYShIsjW3eQhlxJp!-9#MWkFD?DzT^=ZOToyY$eG0mv*20(z4K|l5Crx;pPfa zQ86;LqHec(*5L<{#f`FZU@H%{P?=@=BVIPBoc8&ra`llymJ}J6E}?cCH2lL8#+}%( zFc0*h{{-`H%dVc8{!YuBOGCSZnzR*mbk^MR$v@G+aGf?W0va}CPNAp>P9s4pJ-?P% zs9h^kf>jM*Em>AH5+7w?TrR!KqoShi^(sA)3k3 zX-U$$rYsjwyQcBP;g0g;my86f<|cqrA2)g$Cw5`yPV96M_Q@6L@M2jxLI%s z`M!=;NcTFbzgL>BMHJ*S_Z_z8DBa)&s{1KA8<=mnOrf)hH^b@Dm zNR$KDCOn_bU*ih}GINLvJ9R!5E7$m*qc=Q)dh<{H9^Ns{X*Cw;_STlKCI&9b&no1C z>@1q){$hSNY8z{#Mu1V5s6&-tv!ku-!bJiwXT0G2)!jj7cXoup2EoJ^fa4 zG@K;?jRP7O5+9}RA{*|MY5qF(yvYh>BI389&WI-?x61AA$=+iW8&*|WHU2#u5JJEm z@e&W!G4b0KCXz4Pw_{}`;tq|Pb>jk@C&pCzC~XqLA^L>9&+StKo4dohjBiYWs6mpO`(1eH|Lh6Q^gIte>F6X6nH|sU5WIqL${I-PAr^{WVTl(#9sfC zqroWSW+uOL8Vv4?3tHIbt*g#2bDd_@Fl3-7q*CVJFl}ZQO>~m;`bzw^V{BiBtKPaf z(4*qrUAU*yToqV#yy<7AO@6gmx7S)yY^x&eLmEZ3ay_JT5k94ads@?`_$$F|n^ zWTsXAM*ijz*jVS7$rhXkY#B6r_0;_&Q{60=VG1-GV1B-YCi5UQm&qL0!0tV}*7%1i z;9QqGED3wT@f*=y##Qs~Nu_n$B>OkBywPY}9_f!qqOn-XSJ3c=uL*r5Sm z!sUvhYnH8_m#WxcqVFUocjMsg)0R%>lN*x*l0N@vLg*eg866^ZZzUdzfaci@*d&pV z$|27}D8(Z!rdW6KfyOUMR9utGimNaDMLn0kTn7bHrob8Qy(wvEp)jf-Ake~*)RCf8 zM?hS}YkdQgr-xRy`r)pv%S-pGgAjFPzFjd)ditIl8D3i36$X;iA58@P0d>oV%+cK& zOWo*m5-E6Of19MiYbSIBf0m3#gCdEqafu99qQYM;=pEuXCg{>>BuHYLkFB-d zIeYu&^#|~ANI+Ve$yxOUk7bQ9^YS?&WtUX>AKVB7l9QGRxxy|)G5@5ujl*}ml#7Qb zA*TLbB}qdj>3AQSB}p0REB}H`X1xVPhQ=-?A1B0eEf^Tbr>=HiSb3}+gxPP8qNHla zGeSZ_=Dv=oB9Q;+qd>WRSD~l_$}IU%jXkPmR1bSAz`hw_UJ>uYcA^G1Ice9&_!*eIe2sUBMbxBEl>c!o@^?x@v%x)fvPCmvxd86iwqLu%p z>hW|Pf7Id>3|Hy5U0x=VVpAqfyN4`h+iCCQXfl)(cHXiw#F?UKfYS@`Ci^Tr}O zN9=yt60~bu$S3jKZI;15x+fm6z;8pUa`D_lUpdR(KZn^3StUQrS8VhSun@O>XLtTj zswP#&fE1|>R4d*Mg&9m1x~n=W8JL*EMhv+Vuzye~EN$aiGeLfTA0?CbQDWG`w$EEI zEh#MQ4Xm;@^QN84vU}@S*g48m+U@q74Klv2+&ZT6$3?MTx~Bvi0YTJX;Ea_&M@H|j z)pIf!LCTfad;usAQBn^s7Gh`~Q-gxfx|;S^mJ|!ckCttZmC6%#>u%LoqZ<5+{B}4U zz5yp5zXl*eW(zy+YmIr(ELq$bcC)`CBHPzx=k**%&H?L`Ylx7L~l( zEHZi7ek)=i@1->i=(ms;{PyKvbyFeN<+{Kh{~()Y;+NOfzp8j|NV_yQ8nTQjHZ`{V zt9*f2Eo(^`x2#F;VQ!q|lr9l0yJW}F!r50X`x?$OLV1F98}dD81`pY=FAy_T`2EOk z?`_qwdsY7`{P|ZMpOxc{$#Y4@K#x`Osgrf zS|or!KwL3HruGpN;JkpGo%}GDK%*nVSif26m9Fqzhn+rYJM~;A7@X9yn_M<%&BTbG zk5@umhRTh55(mdevD%LllyXgM`(z$1nbTlH89s!4an?+LVU>!LW`M-hzCf;stFs_z z$SQPjh+hrNFYItH>0xaFT91R~@6L~@FIKhzyQ2c__UANp9YlyZWoLGE6Abho^UXUt zeb9#dkWK6R-I&-0+BR$KzipFLEXuUH-ArB-wQ*Z+Vh-qK#@mn}^U1ZsX67$Y zri-L^4<3Czv2zcO%@b={BL+`M!KegpkWLC+BL-UNBA-P^Q&7~Ey!N7()Ilgd^K`og zVF;9co(H)-8ff6Jnf_CH>&a%<5Zzq{cZ`n;g<{?h^ySwih4uV~?f`H$qJu1NHsA;p7oJBNyGEOx*_D(es=H zUn#@YPhwc_NehH099ETble5+ynWUQm2oyyp{;GV~Hs?NJ9;%`EC7uXV6cey^P@n0p zH$j%bMjSLzxB!1AWL?ud+9jfzDMj`2yT$59yK6W}OijA5=2EtnsFFkcv+?-&nd0dc z9>R=^-I63i%g9Kzlh0ER#W60aQU}1m63+F@VHFNsoWGFH3#ms4k;~|{mIs<+PoGN$ zk)VF)Zjjgwy<#X&Gg0Gkb|TR_OC7POBLhthy|v&$N+#N=ZTm^SVtsR7f3PbC;4G zsU+M|N@K0nydSH_PqI91!r$sjY&Fh zf6tk1Y9=jnYhQT7!(Vy?@bTB9&BPgrs|p6+hb2E$*b^m7!Nf?`OTA4Uhor=y6zxM( zxk|JN#ddRHH1hhA_1TZ%iqLF>jg;n2i}Z=QqH*=gn#Io8$iL_$L4iEI|5hRqX!B1` zjU}~fderyU4zC-eIOYj4R*Jk5+YgKFOM56Np{DMKC6r}8sl8ewbMdjv zvBOKxdFGj~UTfIT@l34_l-Tt-F7k+|wjE=mu__cLsOl|6_du$lyv`F)e89Q08=7ep+HIY@qfwv0#qfT9b+1%`tCMQi_XL`aei2TAgu* z6Wj8n?RHb84%?Yb_JwOe%&+USkO+aWPL>M$QyOkQY1I)fDAd9~iF}DDTH%GlmL^>; zACjj#d#K0mGtECzEtp^&^clCD#>rMk(oW)v8XGl>jNdzR_ibd3LWx1t&oWlb=pP3d z3Kw|brh~os1G6bl_XhtIRLbyZfNwrDPloRt5$xdRElUfeNiiv!?J3NtlCs9K0f`{z zPqC%K31m-*Uw`s(m1kS=SU1eMUt}vv}b%-?BwMKT%(~^<4~^H(^HPheXid{_}+1OY{C z>pT(h|4BG$u}@8yH~Leov2SdFsg?y8Tqak{aK^g^A_p)LOez|BsOnF6Z_<-@^q<)T z>Dv7Fz{MsKb?fgQ!_J8G?iNDCTtpeim|MYs>#|~L%|X$N7vdW!4CRX>_UfAzZR!D7 z`mM2sLC-P;Fr%-DqCu5d73|M|J;j&&wpDK4XMd@)da^Z~vUNYd4Y1dAwsJa?Yk!`n zf^OO5Oh)5zs^<~L&d~%EtZ@aAn2DybJ-ovTJ--NMc(3**LvH=2Q`kC4oa8{kSENyE z|DJezie2V(oPd|3MM2WjdD+z?JH02)t)zuj!$lJ?p)ElldrFUP)2o*Xtwsp&XvcZ0V6@x3+pO zs{IUDy6q-71$i?0tS8w6UBp>4NIanPRg8ebqEsM$A)VfPHuqCvk<115?D~^)yCbC-3 zM{*2hj4vzHuQn*cD}dPEcKVD;V$dVl!m^`6h|%R2uJor@fHgH!N;3==jE}lkXLQ$3lctEo_B1E8x8c4L9fwUb;K!NN4sx6 zqeX^0SZ)Sxin?90mrjCXoP(}_26%P}{uz?^{@A+-Hnls^Hd(eh+U;te*|zlj(`NNI zN9xcBwJXPp^QwvZ&F}w(A~>j`r*TJpEF)bnT+>*F{B%dV`7kHv zHj?;5-C19_DJo@O-d(Qj*^4QuvJlM03m176UJ{(h>aaZNyNXruajSi??YZ(z&$uj{ zdj0|jlsw-oA$Y`AVPcOT9#)h~QPM)3e<2b7KvZf}m|%FJSis0_>Sr|e2Mn}1y!E5e z`_p;hjAGjkL~G8!Kt=j-CM(B2pcltc_DAR-(1+ar>a+SbsI{q{)o8~>Kp-L$9=5&w zsux8aKTFx$?ERwi;+e6DwIKe`t*To&l&{LoHfS^x0#`qO!~syqX zvFRNjLwVRmmY=1k2ZTFaCuY@+4*&y$4e&+cq|r)gFc9N{e1ey6z9wPARb{yU#XMWK zljimrV~KAZ>!FSRGq#3Tv7U86jbo&9?jO&M9-dA81(*C^NG#W#`ff0H+8N$Lz83Ez z%pH|f0~<*MXgvq2BK!^f!ozkhtOTkM>9o%GHd{+~<-h_zFv zq-?N0R>k^`Nt?n@vMd+Bp;qDVPL*J+?=!7)XS+|Py}PNHw5dWA7CvvUv=cj%FbXNN?{?qCM z_P`3p0;7n0=3ch_&c%`q+a8Es$q{rA^fAhV@iC*|)xdiDwO%2@iqTx`igLW0k7*tX zc~VqM89g>TYRW-nbJ=#Z@q{j;d=CRjhE{&_->Yv4j+$XY>5C%p2d^ z2?>q1*gU@6e1QFX$}Me}vU4jmhTe2Zmz<_A5{W}10oMO%@9ekAQ=i0cNW;GGBaa8HpW6)OC2k+#jAE&V(j zNU^h|+CUedYGgP2g*KhxhZy>@NAbRM%fK_TEb(Lx2=qF(rd3WLHkbX~ur?^fA0?}N zo@YvC4E6G`CB+kFqW)}d{tR1{bs?0Gx8&iPtK4`T0fV8w+84HzA<6J1C4S5SJGA-S z=2hM8v*sgXr=D)z)`FCS;GQK>x4Ppn)NuZ!w%VBk+jdRwMWy7=VIBKZZc%9fINzi|BM=qlGf6^uvUR-o{BKq+x$z}zwT-JmGlQj^gfBzgc=8Xy zDz`h7CZ0#?d>Q?RN^I-AS<)S|qi5^BL5M7X#Gbv2tPy=m|CGj~aqIo@_XS6cfSFJf z3LpqZ+-S=S724W*$P|mY28xx661afI8-;QQ^ur}F5a#NBMru@`pRO3I>7H4M?}&l1 zX&y;q+EA#zAFS)?D7nh(xk!cgoUJr8(8W| zmiGH+^|tOhOk_CQ@G<_G{PdQ*E$^FDUlG{hW83N~N4Ci~I+L+t0-twX#+@(L6DPKN z_w1Vijk2UZS0W&)UoCtFaQ$uQWl3Ld2@F>_@-2|&7^?2nEVPQ9RHA{_Mj|FQ-UIv!D(0rCpeegFeW~Sld_*Lw;%^QAljaurSsuG)2&;e3?ceYC{RBfgM{n^y==Hc~{q)Gg3&nuEcUTVR5nO z;b7xWlCDmP!&=i47wuf6*YwRm;2%w1#p@xy(?4Xf2dj&9utl`r4%ncC^+tQ?zSwT2 z!XNd|4x66&Ae#%JzsrwAfzB~b2Vc^tipq%LV=nu!B}RJZ$mB;+{VB$-ks+CSlDUAh z2ylV#BU@EZ%WMMxYJbwngX+`5xKp>_TNn3H2k6BA(*4yN=_>iMx5?J@7ILq1lEl7V zd+p0Uv3q7XYQPxga^wuqJ-jR&HF5pV#&zft8U}oJT8{S&xS-9#yL5g5oO0j}qs8O# zNG@L)>TJXkxXw_1FAPScm`7+H^CF(Zz_^U1>Q?}m_-DV6KMlOLzOCZ@K`#;{jI(Jq zW#^%WU&Ztc(``u?lao{>7K#@OTa0lmR*DQev{`gI+4Apw?OU7#*AeuZ$cR)_>)lX3 z>H!=ev>-*Ww6^_?-+GMIk(0|wdZiY3LqJH+^9OD;(4IQKY{M&c^vz`}nmR4HRU$fx zhqZ-2Z4leH8Gv#!IbFUmFD~aO?D2&CFAawzje@0;^<_!9JmcWW##|kh9RqE;OD>*j zttF7=PZ0YUiICg#2;rfgVo8(YA4a*<+u#L>ZxJc}vWU5{wOcT21-OW)S>Oe+FA@FC zAhrxG=VlcudAoNOdxLGUr@_q?-1gJAW-`mbQy(;NHmcdU!n*8_gT%zt&7Q+UR`$)D zxHdN*=G+!PXWpBgR!ZUT^G%ZCJ7evaC=VL|82~*?N_*d%&w&bAp;Z=G+?XuXy}tO|7%9LsTw$#v@3T<`M+b0Thw7 z6ri?e;3}#sK7yryH?#Ck9n)#P`0&E8B^%tuE5|}S)O7qxFbfQjNQUGoz8X)f<>xA{ z7JQhV4*qvg=ZD8;jgX57O)WyDRUH*;fukEC-{S*XU<(22UVeDGhQ`x{5)dF0=N~Tb z*8})QeU!>{1@r%f5iF9YtMmfsM@95k%p-LvqCxam&@<6^9>rJXTbxKVZIuLP`aC`q z*c!|F@;Fa-p@VEQ>il9Ad5ia_lfOZh_lvkx+Get8zslvkEAq6bO8xl<6fBJ{Levu`G)1FZ6?)D;Ya2~@J<`M<2PR^|9O%5* zj!q4NRcpoXO|{Noc>8}skx0{wZ1c$iZ`=lLmG_ z;Q?`vvsy)Hy163E#lOh9n)6#l;E;DcOPLl0O6!EU>-_v2_jE0q$EXPjbd?1R0$A0s ze#~qhfqAaN;>zO0n1mUP>nln0~(pNGt+TCP$hQiM!QmarFubF>SI?+1Po9S*y{{ogBW#P{lRKOq#y(w^}Vbm zhuaYM3TT0O4N@x~mjXf1Ts}oMGn9dI;t6K~$4F#ut=+r#&`TIOhOT*E z=Q*~+)gYuJZ;RV*ht}g1vILU+;zp`pwVyyc3%rA#|?y!7=G;b988>O;0pBma#WvVNWT z<;JBg5-X##-kp0i&VxVsQm{es+80^`rgk;WAQ0@w`;#j zkFjvisZ_F4R*yD4P<&(DcEhU5do}}-p{>b?aRIcF=Y3jzD)S-S?VaoawJ0a_D>nkcs3_)XK zK|F7E`BOQOQL@eAS3o!hb(){=t(|ywE?v1mSSVCE2tBAiG?+}I7Q2F@RHf(GOT6(p zC1{eQNz8A$P6>7HpCR|!pXfenz>?u zy(#0em^-l^0}gwMqDEhxuHSx=>$~YJUn^PcAVmFQX6Gx53T1ktn%GuoRq1Wt!25s> zum3h_7XEazL@Zg;o*o3!*2NiJ#XQ^c+sUn3Q28 z&{VD;2*UwYG+68l!&V!KB;U`h7Rjfy+3N{CT<+aw4&zRKbFGa}&QX}OSX4e+-H>}pFFTox$yG{`)JQPtzA^wd0Ye#GvCR zqIW^u`XiV38->0Txi3A(F{`~EAO1O!b?YvX_|-eRG#}g_v=9eewOE@A!?}-0QtGf1 zb@3m~pOGM95}_J*i-LWqqC?br1W1JeA7?3EVQQzAx%qX#CFN$ypadD!xI0UWcH#XA z3YMkaI$Bq7Hb%~^EE^}e&Z6Kmn-{Maa|D_!iSp^_O+NPZ?W?!HbBv4INzIQzNz6;c zyI)NtSbJt1Iy#dM6%JQ#PuN5si7I-ncoqn_2Hw7!V3^x*WR|fiovJL{^$~>X z7brQ8+g?|-R=k10d9iN!zR*%%XLh{9wnE=>?>acPc{YDGdrSyR{BU`au@V5H|BdU&FcUkG*)pN#P+mTpx@o6g=8EhzZQ-V`|(XX^6U>um%H7> zdbaF~$2iu-?WDK-INDDs9He4hUiW_V|8Rx2IHAtzEur0_m89{p()Svu`83>IfcEay zNud&Ga!Gn8f3r?k{Iik+8z+Hmo9oV3i}hPfgf}D6SX$r{Kb3e~keqKhlR6ULIQT&K z=@yuFBkJAD8;D$oR>Qp+*WhVqx1dg#TZKlCbz&R>*}o-r=i!wmZt*-88vH0w{dSfe z1lsm(@10xh6OS>F<%aWzA5%~)6ov@DfHkPCHDV%AK zj?#ODEcK}!XN3FZ5n9{zRz4uJ+LJ6X>S$2#3Qm?B^f^FLz(qEW?j@*w zTHooB!;`mFB}HC!V?;9DA-=gI39P~TH+N)>*#A(<*QL2Js}@{8+n>IXW9iwAImeOG z#Y@%hO|tFhk=%lEB(c@lpzd|7DR(rnbCX0sSYK)-YlAC%oTk)hf$G~WYjc^J%@2=D zP*v0Q3!-ku5c)rWpPw)Dc+6L_@|Qt3#WsEvkoo(pS76tXdvQNKW{5pN7z?-)S`0*s{HM z6`nLUvCotvByCI=vgD!^|oCe^B@joa3kJq%KRbR}2+ajl$C(wnVK zp2xV{D7^fT*i@+TYx&ntEGA)p&|Vu;+y5+SU=hQkH|Z>HW-9{GJ6;X{$H8nDm5%~m;z8I5@7x+VcT>WOJtJ5tYWrwv_)6gY&3h=) z%n!}<2;?&C6}-J@ZUW}?S$*E*?%EwV7w}>jxJWVOd)^;ha`>>f2q0CiPU+j*^4=k;(1y`w{t#%Cxvkk&VT-LQIrfGCS3rruH6B%4O2N z{=>JMxrmFJKEX&X6;yFu%kPSQalcpUy;uGTWN3%}^76dR*Lq~%tNmJ&>#_)>h8`*T zea{Cq?5^%}Czr9*;Y#0Mk#3qoP*>mV}ILuN`r zlqRsn#9yQ&6QIVw1>jx<`n!kI2hH?uPgha2HPYk0-ONz!PsMN2yxE={*<&hJ#$-BV zoaa`0M+YblZedw$5quBiHDV_d`9CUMiR*{DJm7$IiCd5DL=e^R}+|Z6P zS4lnHq`gkiM)z4)a{t*Kc>l7wu&KHuFl~C;y(^}vqgf7ec`su`8*qehKfbyzu7Y%Y zG;UNVzHoI@8=NfY)?0NYH>7q8ztJzZ)WUVoJC8K9msjG=s&6uoY`-71u@$Onx&d;_ z{$nTd%HfXwZMNe!;M0{tL!AfDJLjvS&G=WWZh?XY9Y6GE=to+U;0%XFTm}7+yR}-# zSc1TMo5fRQQhR0)v}TxxYr>!&T~CTjU+~j%gRX6S)_Ck`Wsi8rm~En|Zj<_W3I|sM z`qhK4_n^|eK^F2e*)La~3U-`Oz7ni7zU&r+<9lFzavfBDI#U1nqxJ~WK3knPpF!x_ z_D#9=!4#rNZF|kf7W%J3SF?39nP4v5rVVKSPvHpcQ>b-ust?`=h!%;z+F%aNtwf}_mejE3){eixX5&HC5_Ju6|L=7druoGl~DDR${ay}Kh zP2Vf8hvcRqMQAC>P5<+=&RuI+>bo9LIc!a-gfI36Ftk-a;{5#f*zHsP_lf^-oh`v@ zuTTc*myn`Rm7t~>jythn1&&o-hTEWyt#_Tv{7Fc)<0tk>Wz^QEk3oyg`_(`xe-bN2 zof>cZ+_E;m5?g+K6;HXi&~8#{X4Re*kY{2{f zhqkwji>mF{h8H4;0)ir;l!5{h(w(BxAuZh?E!~YEAR*GN2uKMy3_UY+cQ+D42m{hN z%)9Wqt~>W{@Aux%^L+3Nvl!Q`S)AvQ|Fyf9l1cz%yG3TPho-x96K-3*>(A%5B)MNH z!}qg>Sa-wcSD9}4@!<>3BhIEYnUc>FmG(GpLtz6Y>Eq*8FFUkC{9vkNRdp6(CDdOw z6KbylPLL6owv@P6*MLQWYoAhm#`A%OYeNf`T|r8S8%6_&wNGyS&t;XQp?ap=NZWU` zy0xR^WKZOHNp10I&+B1p&+_Ay#I<#6_e%*+Y(%~HPYJ>G+H&C!3Q!!$bBim(J<&zI zKjT=nK=PGM`nxJ$rhlUV7-oaw_Uf7Bozp|sAm}a?zK&MnsOu1H}O7K#`g# zZ0PFR^Bl7S9jGhHN1`@ln&7I)mGd4!u}hnU2z2UI7X5oM$C&30RngK ztkX_{J9VV;^%`N+s(08qN3*Bf@NJ3dv%G_|xM#$K(JI8dz|phf&x2)lpxi`Yl)7$^GeW zy0(%W*x2a`#qN0RA;mU7xUbTDAjkKSH73HeaSJ{!r5!#oUQ+ ziv29G)C}b`seS<)fGu? z#jy_6P=3=*06fR@kUcZGuzKyvxR}{ZvLn+|#eYO1HoZxrUZY-2GY&S@*qfb6+YVk0M zba+kK=+!wKkQ5333_i3)R!jAsi|F$8Q#RJS@F?{w0ohaWWixt8#X)xV`;sTO!AVcW z!aL2SO2hCom8?u3-_VRtY*h8L_BNHC?(VZ==E$6|L7(-JJx1wm7)21?m32+wArHyG zUr%Cwt(jJ8IxIGbGp|@Qx7SZ^+y{WMl8#2>CI@RI_oDVS(@cl=xhN%jp1iq$=B3rz zgETCL#VPUkf<1sbb<8R4lxbU^xY{$Fp4*te@d#>O^mnGrqc2io^YLCtg%vYD$#?1` z+|D;p#-*w$?IJ=b^Sc&WJQ=bt^m>F;;#B-$6PfwH@5;nIr^uNR%}*`uYLmnOwdO8l zn8Pm6R>))=_ZcogfvX^?-s1>T3yHH_I`Sw>^i>e=Os@Ave1GfpzPneqt}`}+xUWwT zGD)03`PM`lr_Af(PZ$Xg^_xk`SVM*94c zR$RMdG{nB5=!^!`?K75j!RJAtG<4@C79RX27gp(vKW)N$ZGzpd4A|#V$531RNiUqO zzOavvV*?Ttp~e(ZHQSvB%Nx&fAvx9$Q@i@aE((Uc4GUthB=UXsyM~S6`x_^cEVCa1 zeq?_rnVY2ONn0p0eVNx_Fa3ix=OcTL+Y>2q{qnJ>c8E3rfK21z?J32MKbXeigGQyp zpyo@av5>81L}7Te1U?vuwcEWv*C~WvNy^wE@fw$im3&DfMSwwK>+a7+t7RJtS>Le@ za3T=HY%P`CTjX#{JFkHn$MuE%2QP0(NKmHtkEe@ro}%RYw}DBQ>vVCDIap*&po?DXDP)!Osm_6o*MjoT?#V-gxhT*ctPhaV0Zlw&H<7PO5COREcE%RxZ&X$1}*)||C`_8{7%5D zzYc)k1Bp|S#s6PgqU7YAN>5lg`g4i-`6ZKCzLl)hXqF28^XeG>^m477P?GrS-~uVN zQ3?7OuD-61&5`yAHn7r#pOz4*THwfw!(mq+{#=BTU-Ww>xcCMX?3yZncI#h3FZtv* z6SeM*@e*gp@3h4^jMZ3qi&o5eE}&mh79FB}_PyzqmHd4S1&WFcRQB0L{Ei(xt1~*3 zZz6q#@}>5K581VH3E7{Rjjf-|?ILT5m)ALejwd>5C{nxj*7uUkdhZcroKxkhe4SqW zru6hC1G);O#ArB9o@-*tlw&ACysYtS#5iWs`t6R#APjrzMS;j+9#>kA%d1{ev0nxJ z^5VAN=8~o=Uo&)J=~dys70S9knFNxTECbCwjc{Zhz1kY2Y_(Q@U1)L92|MbOz9C6u z>(vGUcU7h8!j)7hjbylg8bP-pa_7BHsETktdHy3cmwr$G>X*tFQ=V)mUSz^|>$;z5 zKZ{YoB1`6h6{qumTf^li@~+shDSHK9lfQjZ=*fnXAB8&H&);;*ZK1hP&{F+CMO;9^4RNg&wvn5f zxBI$wJ^d{`S^n@tLTIkn`!2<_PwEU%@(I2D^1QBwy%^Fx1yCE1JgnwAu2ja!S0ClU z-W~6==^TelblSoR1*3S9i>w#U+xx!^8|U71Xzq(K?mNhKN@uG_)MttXC3I+Y*jPym zf%L`jQL5ba9IKI*naX+xIzy1{DvM4(lkPpQtNbxL*$vl7U0p31>}`p0QWQMv{c^*U zJiFw|E2CpEwnS2~I2i=m;3QUlr$-Rt5bP+E~t&}n8ug~h1}Zhp)OGYup=x@*%J6!0hlF z4$Pr?S-#?2ZkWqoQe-bWQ8m5SVL8X~=HSyyYq^mOviT>v2IU=n>*mmxcJlNc=HlQ8 zskBcXuZOSxL2Ih*Y4dMji>3A+i)-tDW#kVzTsl)N$yYSK!GJHFr^j^ndvnw7AWJ{f zFjq4BtQUNsB~rE0&u)R|#i#Ukhr06tYPBcCG2Z)z?~R3{@`|)DLy@ktN`jCXR2ji%eNE*Jec4n4r16(z zr}k$UgN{y$!?a5wgt5Q8%90KmVKQAyIw4CdS>Fq@9_>3CXlOnT`vk=hN$~^bI0Qm z?LivHqWE`Eujd8-$^sB*brI5=9cGTBXpH?4A|4OC{-`_x{z^0WA{Cpe*4 zlx6s*xL@b*xE%b%G_}U|tVAP7i#ozxEW)s=y|P3d92i99O%peWNIOY=yBkJfv=nug zjL2HJZF~&m2f>T;w8ZgRx}S^+rK-Uad=RTEK(3=+M$`9;r=_&soGvKNHA4c(*O|*j zC+2fLt&Gqk`(z+bi}uFb+aKq2xxReDhTN5#np}!|v{lzbR9`Snvr@447TktdMW#RC zs#AAI+_-s$+rEzl;5D@G=-;SfIa8!`i1U8qbEmWne%$WRxE_-*^vkaDM+NX&Jd-xb zt6=ifK@^liq*4llHJ2n+M+(AuAKydx7>DLD<=B)7c-ogNtQQ%$3-BfF%}%e5E2y!=(@TQU1plbc#8aO?RqzXpzI?Leov;)D- z%ngvsm|xrwO+PHmGS@=%?RrB+RlUzv(kk|Du3G=WeYaHx z?1r*pBh1{@hO+DuzrBsAlK_{fQGWoaG>8<%)KsA+}@e09Y6ZDOH}7>``7-M?knCj-5@{I zwXYXHU&(8p@*r`8ZrrvNd#x6mrxJ=?h~?Z)E#e9Xx6I79me;*sg|Xj0)CoOsF86_S zML}YVK3#z{V^@5-T7Pu7T^bhGb|alHPNO>Il~(S9Dj4_K4HLNgq>cXFbhk@C16SqV zN!%h~n5kQ&mDel_X_9h8fcY@({JtKv=uvs!NwaZ`uT?-}SuycDe=(|W4YprUxF5qC zgp6Z4%?!43JDTg0Gk@C}ck;6CFmaIKvr=@{Yl*RR?@3J$`LGqP#&p-Z-D`V|9&f(j zOYy`9v_|!YKh!$kj{j_}QyPzIWPNBdU-!0(-_T>~*R$NATCyt(E*97bxB+9&k;76! z702ke1mn`o{uq;jQ5KD?Vlna9ew6l4!wQ=hIUx)1WrdrwPQ&V`rSkh~iZBSLCE6uF zX;cy_e9y{uOO+-t?&E4?Ep4X*DALz+DEsOcxUx9CN&c0lbMoF}?5?lKQ19|S$LuNc z4v^O@MXARxoShIkEa~pdPO99hpOoKDyHb>_eHJ>C>5wz>MEIH8N5jJQuQL?G3y%b_ zr`;m28x;~v`RyysSh{AsQt~~~3D%DCf{nBHK z4Ud>d=Y&-HEtAs?zG=Wm{byN58<)(0h87MrSHII{jk-r{v@PQh5&+M*Vk6BY#ek@E zC6(;AAyN$&P;9x~PP;{`lh0^KTj#u2c@Py@@_1h?-Dzlf#m84AS}#P^f3G2D zq(8|t+<$QD?XiJ~7UpvfziT()>r&OzUpb!@^T);gm=Z~2=IurFhb@>=!Ub>)MQDiA zg;UgB&N$Hwpu8l%w+s>8|IV6-#9@5JJ?(ufH@$pTo_72J8(i8OMOCKeN?H-heEe0MTeKfI$U4+c}D$!37 zIDku+bg2m8@0Fcrcd=fu`r)YjgOmQec@YkJ4YP4~rEawQ978k)7*u2z51na2pEp^T}73kIGYTGJbnGcap7 zX@BgzI=nve{cd=V@P6xo8bS;~aC*3QLY*upYGrb;83NzrVu3kfixD5B)gGv#Q{N~V z(s?=$CM>w*r%F*s4dXS>gAc022T?lj&YxBn;hbMB!>ILvBk83B)-m3Nv|?op+ilxQ zgo7xxN$BWs|AyeBxLtNUDT4eW-{g$fFJtRFt86l3PuA&1Uour#=v;315ukxG8OM63(j0bN%1M#con@UiA2k8roI9&YOJ`cb# z`D`bnmmJZ3!xE$_o?!7KlbH;v893AtE&&d4|Tr(htG1+ZkT-0PCohD zr~%IzZ?^+yRa420&To>(CvWnl+l8L;y@B<{UtTWFJq2-eFwOA~V2I&Fjssmsgm1eBHE zfuR`g-whc5iof$1sBvA99;K&AV+Yr*pmn@?rU>&BzL^?3z7GB_kP&!+jG$=1Yc=KL zg{gKG4cQn^1~EQ%s@pdCo+i)Y>fIMsM5lZFJX`5zLPR^4WP!aQ5{2Rvo-ae`_+J4- zKhOq9?-R6l6aE{|2WmjT&I^a{#@y_nEG4#{6@Hh~ka}htbfN`VRF>N#Jn^<8sHpPX z`N(I!qS>BOSS+}@d;LLUoF@tnZIIC)a82>^KSwLS@VWne@=3bOvhdTJe`8KGtxC%q zOVEm()=L^g-_jFM$oXDYZJX$M$g`KFtot9p(<==kPeUzu&>1rCVZP?Dym1DY@fw@= z`$AQFbGxv`VwGNmSkWhmP`(s;U35`ar}dMWY&F`_OC_0g*!0!6Fi(~^0zo@BH|}m5 z;6DG2*2!zsf0!I1@Q{0=b%aK&YWDM5(q(sx#2 zFJR%tjs<0~q0RdwrcdUaw z{q)N{0uWUcLz`2IIBc-7gS>X7fPf)ZGTq(Tp13ndf{mGxsYiWPbxRd`?nMb>udhh_ z3t7}C!8_4;@Q)q~*ZhN1bg;enq2$`6=?H?tLZ#;Vza^}$)&3U=t1VXSN{NXfCKss0 zk6U}-a5O3lSOeZpqGQ8%~&Btr;^T3$G(m^%GP3c$qWX*=vpcXkW7+O1N|4vSNFsg{)Ib zl-$HdyQk@U$llg99i++ATW+^y;}F_xJRfvkl-teT*!)8DNmsD6bT~V<6#pOX72^PN zbg+T=p$Thwd#pcaSCbRve;KjjPXDKfmEWduF}&=JN@0PKcSX;oDK8A#|HP8uQx}?^ zcere^tbNTC-pN&cTQ(+e%K?ECPJa&y4(g^RZKx-ef1zLV(K8?ZD#o$qmMu-bD(N-; zEToet`}gj=3vHJE@@!XgZGA@3wCPreH4my5nz95_8lv{pw^mHwj>~l_Qa_?8Uee?( zNM~`gT*zU?Ca#(vdd#<)FFD}2TCpx$JE1iEqxwr`hLqMy=|GO#u6G7b3>b2ISbr>f z`@aoWu?_qW;VO;?k{qOtw}H^Q6lK`mg>jxHg6@;kfGaNYyvkGk{M!n`s;;ao%mSN~ zRKv95D{q8$sRsVlSaI>|N*Z5nH~%CWvtZ~l$X@k3b=4gA@2M-Ijk(E0=Eca~Zs8?c{A`kh;Dy_ysP3a~C=z1-G3Nn^f%0v3oWD#s(dXxhR`v(*W zlr7JH3{y}1+*K}hL?mwW+sRBQ~3PP-+#nxz^USa!Bu<6bCzX>m@7s%J+zsKZ&Hs zD2)>K68;?I*c(dczlw${w*5vfNeOtFzxeEPq=Mex#qt`A{2B4n`?J>4_mr84=a9EF z`wu8A2JTHgvnK-rTwx2#Tg1S>1hq;@|HVELb9fk|DsCA4=%RH~mh8sc;D$ExujB0e zMtsdX0nOG77De>LF>g?{W+Jn)2-b*I(Si^C{J92#A8RJX9*ws2q)U2F6sdEpfY()H z_h0Q3*Rx6qKI(Ft;0M?M0XD+}=9Ox_?;_b~)en(v5Wg#c_0i_O#pLcKDOo9*HS18q zvs_J|w0-x_$t*sIHlf5u!X9t`G{1oZzSYismq$Bso9%Y4>d8JGnLNT6Po)kyTyv6yth=T|UmE{7IpobL zF%!=HY{I@(n^u>f`F_5BclS|)d%_ZY`a$cbpH;P?nXZpdkqrD1uDz7eVVAirs&Ibt z4GQHXV~Jskn-vR|%CBb2VFAN^;Djp>G{w)KY}IWiqV0bjs{KaYVu7}(th{5LUH#dP z%!j%UDXaCC=4cj`zOb#P;LtmOe8D$TiRYE^s%4})n1jl^7VOw1Ph%AzLUuOES|yX& zxz>y8leU%+eB~E&SNoc`Pw`Ro!L_HEWNyu$NBZyK_8SkFy~Q_&I83#k#|{6a<=2P0 z`pB(+aj*BmtP^S;`OWkPj@gNJh+TU2IF~E~y!oJu@4hr&!2*PeX|wK%L+^ny`;pB##627=; zVP9HsqZ1cA{;`9lb#AV5dWE_cMeT_apj%HKpHYD6ZilXx#+UZ^m+O9bC1Vs2z%VLr zN$Z>JDE|8DzF`M{yXi6ez*(kGx?7kJmiXC8zdwA_ePdhg0+DjDF)!xV1zNWbZ$mxy zX;V=M_qLoF2XJ6-B2B&3nU?=<>8L+D8D^=}-?uYl31f1;aUl*O;g|MvQ;O&v#2r4W zKL3lV-mUq0$N}bL$^Q{K7v=(kJ}C7^Z$$4?kdE3y<2pmGoIBKDR!b7i(7tsur~RR| znE7bTDLZDtT@L7;O`Du_0URBM1i148k!(=uEwtt$|YCyP)SrK0R&f1~;CDUR(vfs@nuwrsT)mCOTxrN}w6W(xyQmGT)9C*d}M3k{Gx>;q$C;$!dbEa-zF*!{jd*=b_LQAa$J~WwqZ9ao+kuMAFE{;;G<#tL4678s?cES0|VO+l zZ~a7r=2xH#Go1ou&GKZx&{N%muvwU@R=I$?$Z%wnSqe?FlW33b2eS?<2qaD002`=L zVRCB&8iaxdV?RCufT=Q-C+^D$jY9tz9g_*l^n(z;lhUIC0+=<}wH{d=Y_(&jZT{N- zy7B%PMIaw?PkOI^I~Y(@Py6_DHiRsr!H zGU$L^_&Iv#=U80m>;Br>G@obg-P;>0)C}=~mATDd%<)O%VcET8*Qhm}z3JkL_Oyrd zVR*Ov^<+(5?V8QkjZc$;F7VOhr=`&IB$~)+>Qm?{^3l=ZOD|oA|1AN@AZ`4&F2})k zJHy{O$my1EuHXNwaz`n%a-o&_FvAquY4{^$1DQfdirf?KWB^#eUdN`yn%z|)Pg;G> zm&%Uzg1^(OLH6E=QvZ%9KYRB6&akZPgtE6?@2<@Dtzfr%%3AhWt2z)XPsrJQ%NS%N2Eftkr9(j)+cEm`3?R6%7Gvi{&; zb^SyLO0o%rnHIrB+f4Ksb43F4_F{wclosX|=7*Z}=qw8(zQW;M&LEk$r==i$ zFcZ$6z#IZ?3-_2#eD`m>qV)SEufY1NrJ>2#?w#>vdQh!R$1@tIgr)nZ(E9p1?H^gbYBc5Y5fp>jU9Zoj+?X{)6OAA$J0iw*hxY|LrrN$Df6#7g0PoBqbgx1tdWp2F9B?*ai(yM|Xa=qRXNDs&+k zk1twg?`FF5u5}$x5$;Dn-J3Oh*(~cDV09t%cmAr{k6n0cd>0+s3#Km#nM!s&olh(b zMA7Fkj56;Ho085kxY={7aGf0FuNE%?Q#$u+hJXZh5l}WnTB@Ddy$Ai8DbA(5KNm=p zXEGDE>uD;G*C1qiz5S;pnM7`CasgI=9sdT#OiZH`h7FY6G<>)TCD^bjhCYqW|G~0v z_*YiTyLHgM~T!4N!|pRGvv z){$Q3J82U*tS0N%q=n+E-x(?&;g!F4Guo>4$Ll$1{fA-6cJ^7_wOsu$~ z#6ChwKpz{|)TF<{nVwmDyGx4ftR)dY|H*@@+vWvb%HL&-A3kU70h24Uv#z>H2{ANM zd!R#>MFl%D!K1_bqKlGQx{lLSC(`t@oGW;(!hJ(?`3J`WKXmu*PH`}NfwUPBeswh= zbK;8d%^KIU!G>Cre8aJCS?Q&{{@So`Y|Pi>G{U+)`_f<$nf@V>9E{}U-%;d%mHXOw zX2PFfHPx%-_g zyYD{$hb(I`&mKsN^i-A*LfH#eN@Tbm5*rB!7ZI8hu9X=GY!sfJ_DUMDFPa3Z3c*e} zez;xfK^lN@DC$4rP&G~iU*zB-x)K-_jBU+REBK3;37_!fB%C&r!6%R2-1r#5#8*l< zeZ6HRQX0mp@Rct^HP=-PAtxyfFBZvilV8((c<;7F2BU`8HVcFo8rdz45FtcH8mjh_ z|CqxV_sfdk8L~9R7*FvsnRW3vrI#@taS5ex+2@zLym&wy(*s}RshOn@Bo;5sTt4`D zeM$adlM+`UnKKm2@%hkoarz8e-gr5Qt^7-bfIOgR0JZ4)w2;+PJ!9-tM z2L*=TO^Dr5Fb1W~`$xax_iuE0mSY!#i2w2oE^$A>-?B<4ZI?hC3|cMFibOv8^9{gW z&+pU5F75@VIBx2l)$;svLBc&4EJ!d}R?(HmHuSLf*EwHHR6(~H>DTQ9WFRMu7m^qw z9nOYWh^0A5@skrnrDgxh0_av94T(M`svg-PY{eXJ7#ead93v<&wHTuAeTsNpU;ka{%`D`_Y!-L zA8^lX*^DSb_;0OZh7B)f9meDTY)dVcc%b6z*zsK z*t6M){gQh?V9G`3j{@ISG%Uj!p}9qIg8Tigno7HVBlx&%ixwZ(Y~Rx`5HIx12iCGp`(n#laZWxmSSWaQ3JreJGuA@KwM%yd-BDXAvoodI)X-|(eM)Uo{ zvVW>Xr1bOP2fl7;#R9&n9yq;-F#6x3T=U@nxDYY?f2|O~!1-SlBFuV0j9ZuUuNZgi zZM{hGY!#xa_m-pt<%oR3)+_f4=Sz$`;1Avfm#aVSzF}$j@9MH0>_2HRSpJpWFMlDX z(4S8{M;d(3!m=e3&Sq<3JWL-#zF!6kj^=_0}`QeYXufy!C);`vWA63vY z^;61|v?i7aRyjE#S!a_Cdjm!xC-ixrUv8=tuifWac79P1*EK#*E?DLYz77zJ#fp{P z8epS!b@Ws+1AC37tC6o0bmxi(WFDPS)`_>saAw4Zpex45SY!5SI4`q4$V1O>ylIL2 z{~)HTYzBc41d;Q4h>gEK|AAOmU%Fzz1l{D*nfI?-Raj5TOd1a1=sKY7`zGs z;Kly~(nT%PX0LL;`b!hfXP%yUlBtnnHEyRvwe1?W)v=*!v*<%k^=J9m7*~;bHDWg9 zEWsRDJk%9xUGdRk`+E;zt8^FwF)f3A`$tiH(~()j@y$}^vX_AwFR-X*Aa>NfhjrN! z^%_ud;J_Lf*?GgH7@))>2P%=JHmle}k7g|H|F|X4#xt5nrIMUbxUA``IiQ|s_Ja)| zp}VSfixCUlfyLFV2l?}yD^;e}JIQYKdmD5sl|LBX2 z6bZ}$$ACZji)T4KAp@-P=XLuOtN=VLb}Pf4w8YVjcivBwTj)9G=Vp|TZ6OTBzeQ>| zwR5+r+BL1qMOybSc&Gd8FL?LQRpJZ3KdZ#+@E*RZesi2yU41?Z$DXW;+Rsmo%?l&{ zJM2m85 z%&U0w1Bg2RDfI8##uDI9a=nj5v>eBc%4y>;C(xBq2~n&sE_k_fT`pJVclHepu@QT- z?(=MCX!7f*ql<`>VT4CSMQ^l>2&<2iUkzKVqV(oewpUz{Y(4ueqB;R+rhMWxz#@&k zc4+|*96YdVg?6jA3Iv6c_KQ;0Ag=n9MoW(&I83SG>jiYzetPQVS=?n2?%5TrPzYq| zI-m#T7e!1Q&FB<~cG442}qiA=*Et6fh& zGN0_)Vxgtc5F~Ey37M$L-XjwOGK$C-b@2_46_`JRYO*aOaT+_#!FP^NQ!a7|V<+d# z*Qsm1(Rlo1`=tBfwM?>W=B3izGdA+%2`ze;C!XC;R@5-S8xtnVh<+)P6@?D78qbb> zJlx^flsVJAX4X}m(KbZ-Rzig9EMj=sE#|7^`B$&F@gm0e>>u!iJHP7mjN-Q3l4U%9 z@8Y-bs9ZEkKAjkGFlaaQHvzulQ?bgWSY)ASt5OFMs#Iu(zu#Ka_<@&~gSBVwX|#h! zkTTDs28S?W{OVS;MzfLtP!j=n@ihc6;1A;gCAFl#BfqCK|5)KY1m~7qNUEJ2K5z6t z%hVn+ZA`yW(JJwl_J-n9+Gai9*ZjT*?(9(79*&V1_)2RSXL@u}+dvB~Ige#R_(Fw~%mFPd3@<4tcnG$kq&Bo^k{U-to}O||(Jd}Z zBYcv>>MxJdTalFPzUO-$JWnT_Rh8wuImt}dJhgD{e>^~*3R zjXT|5XBsGS--Tb+L}1D!gjjM-4YDkPye59WKvW`z!CVL*`_H3)VA?=L4895;V(|Xa z>fW(Jm;h!IG=Oyo25h#%R%6UyFONkZt)_tVa0UB5|N(unZp*1JNie}T`4WUgQFNR z2^~+URL323m?3&M%YWMt{lmd;XT#q>`NrnOvGT>JxSRip&1`KS2KSDe{4g(~+pgk*SKrdkTB z9{h@c&iuy5U;o6%La4+YR?3??5Iq$zE$uP_zi-eeueleP=P&yq{t)j zCJ3U7Rh^Fw8qFs!&!4Sal7s4&Ak;OpNRKF?SojX1x8=vj&+_?7Qj3^?mIZw2N56I8 zUZTp@r60tR2|75_rw;Z8{~BQsZN0Nwj5!*cP>4i80P{{xV@@-UVYF z6i(1rvE&rC!3w5N6MUHhv zr%2tpAAFxaml5Xrb-cwbzeCCrSHFu`Y{~srM`^NgT_@+kR5hXZxHwXM4_TO#s|HU@)Dv}>Dg1>;sIX!8$Z%B+LgO|f&<7Kisj)ERv_b$z&VrM2PjY%T@)7PczVI5p zXZAOVj0V4zPQnb<5;QYfybp!JTL`Nm^d0eOQ;GAGa}I4K*#AB1a2e^yx~f)SGVwZd z`CCkDxbQt!-j8Sc)D2tVxu4OU?SOEtux949YeKuB7cFd~`LtKAR;fEF% zR*?q^V;htt*6CbGj7mLdzX!C`!3No&E6R4+OIubfvHRt-MZ)fcxpC~Bko9b9&IPAa zUy&l|VfaS^7CkWG>*cRiNS(y>+!3{v_ zR~kIfh;=RNVu#swnFzQZpThE@;^(X!CD^JA^@U1UnP{8rWK|`8P)v^`J3TkG&`nLk z)E#;*6@LC&vS(mqiSN}?d%^m`j^BbbzA)m(Ic~%9g;UPyt5KIXb$o((;s(=%t81+~ z>JH|Uwi~S|a=@stg0f2kO*Jim`y(Y!ROzwzu^hVw0u%lMAzg2vyL}#hFJ#?sG?V$^ z?uR9HBs`ktL5P@*P1@mWW~4sVJ}8Ke)m%44opO)Wn1l6OIsw7YJ&bqKlg5RSldn0~ z-AAbB89E|7MY=<=fem4S8O{FPgTbf|#*-+;_h$!^i1j<}N07L&Z|PMQ*t`>4nQSBN zLcol-Wk~t2uJ$8-%8eY02bNA1bzjr9HUrmv-mibv?18%6S9(lJ=>0?<9j@WW7~JKE z8&Gz0?!=n$1DO(+>u9Y{X5;Mc!m|R3BYkAOAPC)I2C6}u{S>Rjdn?;ta#4ATWa&B zeKVhLX_WY>@qLRkxiCFzrpcwR{GvtK-!1j#9PDI_)rV~1>rSOq)0y8G9iqkk z%^l}ytgm7;2Z)XLcgdAmyDc@=D($m0gkU3S?+s%4K|HpHdqWO_u2gq zxbJ<#Lx`(lG`yAzhb44OJh-*Q6#`mjj&O?CHdMna%SJHya%l7QiH}nnT{y{ z$Mqm06=qq;=7eyJu&UO1Aa9vFP0|lt^l<@ZbF6&l>(W$&9e*dk_IP=%!LV* zh2*?B{8|o=KJDR)XDWj6%yjB;xRmOW(8D0t}1jh z|Dq>C%G*~;m9(|)#nM~^U}ln`<+hGL&kvs zK+QLo*;!pd;Cs7l?sCVDy2SK~-hQha>ss5k{=#}syZ%JO_HNp1l8Dt&#SJYmI0% zI~orL6g#j#sd*JmO@GZGL@!UzMkijjwx zNevS>Ghz6eB437Ew?`u3wG!=bOe6pxWNk=XYDA4;Rgv_#c5gJWT%qs!b|e)|u6q?< z;>j5HqB+I1wW-fN7MayAhMnW=2~&;?A3M$F%(`+USU2@vEZV=)@bqIw-~$13rCPN` z0{kd~{Lfpu5AdhS7wYTQbDnoB-DjD2EV+^AR=Oer+}s}9U);@2-;|Ua~SbMtTFt?uO2}2_<|a2u*W~?r%@r5n=ENJ!n}heIj>4 zB)!itEgWRL@L6xX@g$)B_8fV1$W`X?h?I-tHV!1gqb3F3tly(DRZjQcE)eNgDcpa~)yk}`@nG#)p<@gFZ{C zJ^BSuKHtOfG%@IltYER@WZ_ZkyjYo2HvJN>#N6~$$EA`P9QDSU(s$RIVuXXCZMMIs z39N)}?90{pE!CM?e=xj1_Xf|pli&9SP#=F&H&NN2cRfA19a82403&^#aM4cy;D#}_ zPU|1h05=ZxT@5&uFJVnj8aOM|ha=(Lu**|i$f>DZlKi~kIo}5s#T}P0_Ai%XeEMYg z?p1d{8CQ@#rQ21d;X_Z5LN{Y7(!{RRc+FLhN(`*F*NYF5jZbSnu%pV;8w~}MpWvL( zwiKst#x_J@HB9J#g5&iN zL#qDW1)#ypB;29G3GTXgb*c%ajoD(!P4!+2xnOK&2D|R$ZYGJ zSW`k^advJkQD-loZ@}@Wf>h>o!rsPj#+oU?M>bROn#P{|lOOwM9-1+EzT=(?9)t4B zPk31@xm`vm6#xQX5h`YqY?9!(vvGS-o(yNNs&dG#8cbzRvvheg6)7LXh8F{L>=CstI@&MALZ;)s1T=6~7H}fpwe?i;uw&d&du~o#G3LsyPZj5G>EuWIzA@s@AAT6#t;jPrhPx3 zK;I7;NHVt@rcunTj|{%pEXV3oq_7#!D(_>fK?7U^}7trGA2~*3AqWkD4J-cHU zR1DrZwgNyIJh<8JfYp$8R)B$fI&+C)G0FnQA>85|HX9t#d7Bdma6}la@n?VpT61VB zVQ*}c?^@ZdYS-2OkF~dsi>krfhldgbBt%M55b5q#P>_)B?(S|-KtN)YZltAi=uYYG zlFk9Cq2WE~eebjP?mn^m{{9{2GmhuXIp=$Quj1Y^BmwO=j4sg`dh-d}imJ z)m9p$hqX8fbvY@~Z)osgWQ0+dG8l##{9CMgUV?|^>H_u2F%j22OM zGFs{0$JP)$3?*vs8i1ssEWAd3#7q3B^ag|3eTj32IWsjLX8U`FPff(G_n#|$w`956 zbJMuec8l7Q)kq0EkUm2MNA*T`x88s5#sIzk{Cc!D-gObfmx8oLpoe4=HKoY^^)PP| zyjff#<&Pxc*cKeS9e>yRMbSPMPS9MYf{)9L|5>PwL2V=O?KVE8=$B|f;*Yg9-N%{M z**ek0B*{p`1QBMAeMa&^g}*UQ2iL$32$NVMGvF&Ch@N9^@(ZjHo5O6zsYh1ig0wF4wHNOouEi z$F5)(kI9iL`o)8uXY=1kO0mt=fAh^^{1jjqszC0 z`v}kpL3#wG4@b08`>5#=W|`w^%mnJ+fnLT9$&|#hGl!XO+qv?r7<0fZW-!{LB1TEW z7*`VPJ(Mwl#@*i?0q2HSkyD)uu8>UxzUH5+Gl-zCy)*WVkKY*s(cMbBH*X-U5Bz-c z^w7k|)E|KS@}W^8nrR2Nnm8er`H zhzR%CyckwBnkId@e!1%fE4_jqu3W=Qx4sA%6Msnyf-|%e(o8Z?5TcjNbr*QCF3RuB zOFCo%2SSI8DSjW+5fQA#>hBdsRr`(DCR9GE1@ z|K}mT47U0*;4{(+FVDNf)3v0on=>Abjt@|hX8|_97T)4dzs7Bl10g!VIzF^yhXL4X zqj-l*4?HTepS+Ie1y=9r;6PPRtV^X@%I(sZAU_Nu+o7MdRVdzrrNA{ftPRZl`$@3t z!Kty5#hF`|nh()`Y~zO|8F*!DZD;-e7}wKkAc8GV|M+7nvW(}Suh(AX2ntIQ2;W|+ zD}4ClMNDATZ=3>jF4v15md3$-ZPz#~^j*@NLOB47QKiZO>p?9GaPi`$3EoiTav}BO zIcx%QJ(}>N1c4@IQV=2XXsSN3m(4EenQk=M_0J&T%FFpfWI(r4b$8@iu*42r_MYhp z{I{}2gTijB^82dI=aBWR){7wFi|Wg?4avKUP2pyvwnJaRTlYJ%qF=-Qbq`sYZ|WV` zck$9)-1%mt`D#J;PUM1m*Xi-I=-bt$tKEt_sO;{YY5jsK+0hZy(j~}W`h}GDdMZli z>*Tv#-P;>m75w!mwKse~?a7Yt^&0qYc=PmzNFMzGLi1UY?wQzK)}vm9|(f8;8pg2>XAWUYyH=P0-%sCTlt?!?I&5rmPy`eX4)9@tnwyz<$8WZ&z^ zlP-Sg$i$(y1ypMnlbwSy^bf3l{sBtZbcLxOqJw~@fY?6m7 zChssGqcVyIZ_*?KadrO^Z<7-r90(B53DKENoFrXtsTjRjc2t6%n1xZZWSCqakv9;8 zBDwz#_-9n#QiwV#^Q54c$bBP#*0-6(|3D|~&6`vZ2u`#ZZ`VJ>{Gz~s@5Su8LtNA~ z##fM%dGsbBSDtW=nl1y=p)1peId9LrVX=}MANn5@FJD^5NJ7;GOx6X&@lJeYXd6Zt zdOtqbzH`V_S$-#u1*|8R%!-bWgE%No67;lN{clu>pCN|5%G8SKP8(Kkj^px4V6)DB zu^JH*xH=Vi1wm8bSXl+Aqih#*l8`sTwcmUzJb_+?w@-VdrI2%-2p*w^B}=IE2j#6_ z`WD!?<_mkR)xcQXuJxXVO!(l3SAhD!{-Iv>tGBsa5hcfqq>?4RVA^ahc+l5zcJstf z4;iG?BB(7m&#H>$Kp^en)2gw&WFwaON=GlDs<2JgW%fd9JYQ$|USG2>q1C49Z6Sck zRe{c;&4xk(wKn#`E8%C8-Sg*iwJQB5wipNh|7izjt>EV_Ck{gFiPgdXM_}VFLG&Ne z4ovuS|1W5VBuB*dwl}rK{>OvJIN930&cvkV+}?-|rwt=_(Gk8Qt5|U~rw$kMKox=O z$H_%y+t#B3)t|m+rEw6F zUB!5%$PTcvSrBh7l4NOtC2}R2trycY&w{{&Q=}+hG6prM>j)28=^jtbbR6%#ugK~- zU#?&PmY;g-G|*ZQ8XWQTB1(@=8J%b#YTj9sV&80hLVDlT8B|Xl`|0wC`dG)Xi ze7&zw;lL#--q?!RGThys&IZTFN>h9HbYFCgH`f*IY9Z+3Trz*q45+lBZ<0O>wH!m` zB0KJ#I(jaKZu3;-mr;I3jBo3(0;${>q;=66MhR3DV#B1TiV-s8vSMkC8IsIM0iQ|9l z?IFnRi;AE;xBk)X{IfBu>y^Zc?z=nK_gmi?Hx(`&FW+vr>!06m62mI+@WTAT64))9 z-21*}%xPUbO;zUL9EHWsSGq_by_6)D$zox{6ipXHK%^8!+3N-0_6uO)u4XOCyLu&& z(*wL25eBI6;D?>2VBeHDcVg)_Y<2mqaq-~T@b;5n<#xie-9Vo)+Wmb~sv>P(+X34R z$d@GQ1JFydU69>@93ZJh|H3*cJ|HrfHOWl3iR-DyM`p;EkL$=t609israiS!!Pg*W zsRu}c19}hqU7KblvI3)|wINJtrgqg{=W;+gsw%H72GEya%W!x@WVCOf2D@ZIL1}OC zBxyN(5^~d0IUnu8q=*axHhG$Z(Lw^OAOAprNsnvW_g}5=%nR*eh_$VBl^*&**ijl0 zfn%!C^6OgoeMtM3E_^NIN}H&6=-P4##Z@NmO00=nSA)9UJ9z39(&lO~L20AFp}SY^ zlL%rRO#@n}$hUm>#~Dt4B}$Gh4`#DBP|i21oju@Xynha|r5d-B7_8WND)kP@X#VsW zc~?00&>uDoSv7?NsV!N`!~~rI!KnWp(vpe!KO(Ik3nL@{32hQ^HS`Lu0yjd+CMac^ z#D!~R%-nxlM-X@!4=U}O7(cp#vhAf~fD6_W2XREE)@7t#zkvuG+On1)} zO&%@QwDy$*+VLMfJlk_*4ZR)s>f)o* ze^0yE%=ims-08X`Be?-j7INUp!ke1t-p^B?#cVl$*U@#jbh%SdQYdsM-*$e}Y;e2B zoMy1(;tIF|Y#M!AXpP6P<5<6?tnH$bNq4jh$=I$%#lZP35QgnP-~o6SEnaJ_G+Pa< zq0!}i|FmpGYd2@4v}K9SOJ{hKLlDn(*_LI*vo)6wq>-+%^%u@tV)kSqIr15GKn~*ETp*V@p6WI*&0_~u$^?G z>KbgIok0vjSJ3c=m-&bA`F2yBkE(Q9cr|#m=@qMD`j_g5st&@2k7W;WIKo z`4mG3xRqCrFHkOEbE};f*&U9bm)3I>zdrHvWBnFGSE1{02rH1tb@v(Q4MI^6VB_aw z8)dSc%BOnG199@tRi{=(E;&T&60SXJ6n{yc4*FTXQxblEe1(hn=TY`)xU<=<75t zR~nL-y||cGv6p(^uiu&Dx?AZ8Po=E9(HT8Q0)}6Q?l&l#D`Dvtau9U}XXnzbCF!md z>FqUS*G&&)zY!4-WPno0FOQbz_=H_3wmq@|f*}K0TWfj9})KRo|>c zes*FXEc|7WXNbWKN_nq{65{6BU#hx?Qb2_R^S~>vK1S3bBfPX2br;WjMb!&pag+Yu zR|x0Ndrh^wF?aKY)og|3-5|*6vN8OB^fes9`i~Ar?xj9%VBL$v43hVpF67x%XQ7taeT>fb#Wy8z+QC0>&6HMv>>x;QHf(EW z!NTN_fpE}+qKxEyLbJd@7k_E2G_?pb!G9ydqMj}@zj|Yay@HW#5ZUN`vuFmQcpD-p zEcza6xITOJpc(T_oj0pvu+$FwZEb$-E=OV*Wrwd@U0?oFB(-zI7r&t)X~Xp4I`--h zw5vue5wq|y(n&J#(STEq@Uu1@E>a~&#y(eO-v0}2!#Uoab^Lx0139dl-s|M6w)CEC zXyCq-aJ<$ppvG3mIKB9Y6ZIvEvgW#irndQ{s&XnbFm9|@B%=>D?!i7_hU<$@E|wT@ z2VhCsZn)cDX}hz(Onb!lZIiy3<0Whxs!@&j5gbx-e&W)?~tedT+p0uoeA*YgsF}j8xMQ zPcjq1>=E@Ycj5kK{>n0zz84XQ_@Bk^pjYd@a|<|og8?3`*CZk%99eK9?5dh2Nb5o! z<=s|2I+eX#ZK73I{l-UDDDKHH7ir^8T41_~X#+4bzNsFGc| zy`xdwiEE@$7D64d-g)zO4|fdu*|3BS3=2yuOLt-K1_%7a;K)Z554z1IkZ8D?bA>BU?aNUo%Z= z=ap$eJRSZum7N%}U}IaOxz5tp1-QLkE^K=^T+fnl0o!f%{jNz%ym{3aXoO(3QlZn1 z`QXmWEM4tp_hg=6*CiF9XiOpSYg~o-K%2dkb`7t zck$rp!Xc2axQ55l3G4hIAcq>j(q9vsto>fSvhnX_SBGsL#wxe@@ev^|we#!Gn-OS0 z%1_tbKfVKmJ(KvMQr9V+wk}ILbd@8P%}b~?C|JW9*#!j_0FZJ$a|!B%#zklZa+AE8 zUl{VqgbWVNQ8xTMMk@UmSV##}`d^^Q{g|F#CpUDV_viuW+kk;N70!vcfw!l9&#n_( zTB)^z7$4N;p6GTWh6C9>7_;?f;mnDWUp*1qNl|diRF8fn;jt(%KUTC@zUO9r>A5gw z3+%+lG&UOrx$#=1|3kUf3UuPHyvhJI+{?e$Z{0KiVW#X8UcVX^q_#z=;qE7OtQdu2 zHXt=<84RQbdCgDg&kOaSpDpG9;X;A~Y4_nm+pmk(o-n3lU1(jw2q<1Z=J()DBOCw+ z7y?yr_-lYbig~oSva@hln(8XaQ#kyK0$&~qviZnNepr7~xe?zcmG!?(5#deI|EHvo z6?U`wRSFGIC%pReZT&Xo=Yq{}%}0pdJ}+)0n+9`D1h>E0Cwsbnc7Ou`vN72CtbI-i z0`M!#t(G|Ux{cA&UF`ehkmGa~QRzGk+>J7FGR2x|V~)5Smv$c>L{L_$@{%@^<>T<_ z-sG%31tcKiEDT!FyL>!p>^Bu0?`TsNbwVv5r);Z`o&$(i^Ls22ddQ$T<}*aS$*5TX zHC-w3S**!^$Wvmq;*fQi>R#y}39n1+xhclbJL^!8*S$4b)MSbMvp5^>%0J zB>Znuua3fbBUKvQ!~3Wrz9IhRHscW=Q}gle{2c!|NDu4HVQ6C!ksz(k$@b~|wT*jz zRo|n-pX*G%Mg;K|E%OZ!Q=1VUHu;zW8=vt8zqQ!LkyOy`l6SU}qh+lPrw!RbHCfYz z!s@93c+VD)>=;jSK}VE&>v^_@NQr*T2b8(o5bn;G69L5!$;9#-2*{i3r!wwbq%xNz z&fV1y%MD0}$^lOA5(A@pqQdKDgFQ#OYV$7|t&Soh!Y14K68y_|xd0;^Q|Yqaz)1#g zjcAi*J$5e(;~ytNEAjI3ttb<+z;k#ZULV@)-bori*c~5X(Wxbcyb`T2Qo30_o~E|$ z%Jz-e6d+B1q?EV-C2-FbRg3yI3FjHpjCXNCEDWCBJn#YfKN>N9xP9vI(bKSz6pYJ5 z@N;CY_udsKQNIF!-9qbIwRr+Ao8p_-C^-)T!Hsc85?V#e1}B9r)*mSQxIa-J8(X4v zf0-lrC~Z3Aw94}lxcxBq%iz`kc&XDU@30qp5xPN`uY)n}sku-N95>4Y;JE2F{0pur z@ZaK^>OS3bO=W`Eh!j(Gz4rwSO@4abMVoX(nJ%<(v^p#bms>0=`fz&FBz*1ZyK_3w zziP)G0XL)v>6Drnc;oSpW0USgi9bXk`Pt{{2TvV>rc-Xe4(Tfo%G&7jZL0aMk=i{@|F6_y z47~#Z5?9V{z$P`sTC@IhPl~hs;PLvsT1*w$^an)G6dJ$yrv#Jt=j<353Bq4!V#${xm@q0cz&C;_6-{JlvB}GXw;o&Q99R~MRmu~t# z!UzuZ)#55^coME<84R^WyPiO$05H!6HFBO7w8K#RPtc=92)E@>20C^_0nF59O2!3% zuG+-+_2YStmF<-9)+StxdSqPGy#kbEV`+z4D?U?{b{2F)7FY4X`sI6>&SS*4S+%os zlD>2AsKO#6Oycjz%13sMr63>jQD2r;bn!pb^9;-ZiyW#ceD8Z6OR_y3B9D!OL1 zY(6QEESUE#C-gQkE1ASI6c=x?vLcB4)QXhR>QbD|b!0l~^Ea=h zyhl3gSlmzyX~1wh zwl77aeX98nMFVUP9joD$kO$19hs4P*YAq=IZ6{u#c#i^Nu)^an54N&-zXOKr<%Ir~ z0=_;QFqTLfa^npwdQ{?|=!jOQ@Hx72zH#y~c|a4(KjIv8GK5foe@bWW0gwnlN;My! zo)oxNHIo#R8~*}1fUM~8Z@!ECx8r1(+Qu5QRP6U3(>LFbk^b?z>3);>5cqKyxY;K* z`p-AF0}x4l6QK~gFDI~P3{>H%2<$GMVYs(lWy*(8NBd3E#m#0GR2L?6Rv7W@hIF_f zK0qOm%=CHzJ_tqjb_gb?f?cB{iM%|BjUdX*&eXdfuR0y}O3$G^E7kQvtiORyiiaXu zbHE9CCF%X|3Ri+M!MvzQwd%M@HA27M_U5_SiqwknP~Y9 zEU}3&LxMp7R^`E(`7K2&z8F|P)3}E?jat{7nE=88y@bFn!p%#0UHJE)xQkxnnBV$L zc?pv}XQ2G%8u84}oSbSZ(p?78s1m?g1{t8EIi@nc-o#A=x#i^7IPib}R9 zpL@BvkvDDW)fuLab}ZAuTtq%T#Gr&T9`al$8lwQ5{7o>UQT8tEy-7l)#);1;g>3jB>t0*7tc z{Dn=LXf0L$4pjBIGT=JR-w6)B;yBII8Lnp0mCQ^>C+bvCMdtek#H#4m!{i-PH{b9a z*j&KW7Rj7u<<2=nA@G0aXto*8l> ze7Qw(&I=+57?$^NRV-LnjDcg$R>any?WZ1k5RJii=`y`U-#7cwm7ArJMh1`%@_EhQ zmF5pDdm_ad@1Db{xzap^4Ze8wQN~(>Q&ql@eE_x9?)BREqOYbK=+W_fp?FbniNqX{s**76xFa(hd3xT4SkgbnBue5%Y(qG>ycq z*qar^?(^URC4XCJnc8P$NuWc9a4J$&RV9QT zOX|uE9@P(j9ke)xtr2!t2+NV)^ZA<=d~G~ha%iaRUM zNTyxTz%FqaJcjmInJf!STOTLXc~~Cy{{b!%phTb--UVKVt*}oa?R3RW?tLgWReZdW;g_D>3!6zv>}wl8K`(9=i%O>d(TWoK?^#ho z1j>T{9-t`L1~APo{zf6?NWV}>>390wAG~dL2@lwb*gKfEZ_1%GPZ_9s@X{=_7S zx(;x;OWhS7KqAc`T6d@pg=?NVZ&G^Zq8_~7*6Olc0w{$bdcIi&n!cSpRv&n?|9E-H z@QW_{Uoeq?k+77!W}vhoI_RZWNP(sU1FWEmr~>ts@*5-{1iiLUp~loC;dlP|PzOqsVo=J+AXZzQ52 z{of#wfx{PbbNi|5V?~%q-8UZTOHQBauhbjVKrI0V7Ja733eqaF_ls_GC@RX`%xIJh`wIOlF&c&u~4}8yjI^((E^* zV!BI?om@gP#>6S!!tKlOp8Cf)@&UuDI@kQO7Z}yz+1GpJ$Orff9Tr#mu3P9q8(=|D zDSv09;GH-3gsd1;fl0AJFY9DPzoR{|Tl60_YwhqB(hvIv?w(<^_Q1E)jIng=x`Fm& ze1|tn={BjWSyCJeg%8KlQgZxVZJRjJnlGpE(QKWbelqsKr}*%4O+%INKJyeGOGwKR zpdHdwx$nV1^8wvw<)+w~0}%^N6H)9l0m&fdr;iz`b*qS_c?G_-XLeK-X@6fE{E1y- z<{V#Sr{%!E*RgLWb%-)$P6IN5Mx0|r7})TGCb~0kT~kyY;U5R{3A60jciQC zs>Xd2o0!=>`7!G@e3R+qv)o-_PAJ=~R2rDM%>Y!lO~545ixB+U$>x^u&I|aDA7yKN z>yP!6tDOz$jsWcBT~3k^SS=g;@VWuq#v!mFcN_lJtFqp}GH@IGyvDp^jGxHgo1WMO zlkUm1DmG7F{F=jz(;ya52-l^zPbxnDsM@pbS+ynQm(xKqy#?CFlpB^87f67`^C~0M zl~r@55KixeF0f9-JeR3^b%p`tm+s<$Ix{2njXE$^@}G?&MQ6a1RGhrU?znJ7Khy2S z?u&~R|3i}2H!G|~ayy4#l%}B+hry9~@q4a6A`VcP%E_K?0PF}xPy>8B;`(ar?DsT@ zs}L&!n(Q&xB?wb>*7++zMJ@@jI&b_PlgY#>03W{bEWDx@n=r}K4_-dU5M6qd*j@V` z1Ee>)Ur9R+36eNqfkIO)+GI}wQeZh zi@#|Pfj#LFv@XeQp5zT8aPwyo0pwiTrc|#nw>B!zLbv!I^n_#L@_<8^+7gf#@d1R2 zPNF~1YW*a&hoLFIVh^@u+_r?B-aVW&5jwqJC1)i7t0Hu96tzs|Omh%vL#DLJ*66yI z#4S(U&-p{&NhE@h;6YB)Zk{hzA4>u+fhz%rIxzVkcnRL@q(E(h=-(3l=0{M9I(~zS zKY+wZh~^xS)$|8>;{F35Z+=0ClZ1NP*<-+vvs#iyMfK;KS$?@|K$i9VPcS_R0jm}6 z(B-9I63*XS)U^d!#~D(o+SO&BS5dOOY>|7q&hGA|E3g$Mibz|wX-b0y?@{eEPryim z^ml~Gsvpf z4N4{yaO6%zLm#)_42W$?DlR3^WKC)N0&2WI@ibi)MVZ;6 zQ^%6U63&Z;MCFhJI2Db=mi5)$``!aCJ2wx3-TdOm7WzqtUj+&23cm{ywktKe0A;n= zfWw;v5NKHb>Vq57?l~FwXVdp(VCJ@5rKGGQVs4*SAzB?%d&Nh6Bk9ayg~!JJW`;xx ziR8=|d{1EHE0&-gXLCI5EtLmoz_0$2O>6{JmSmip>NuuACg~EY`m_$p->l{0bl4i~ z5KmweV&_(!gCECyBk%-}VM^$sDHox#@+xIxT~$+1}XDlEYa66Xm(@%bw2kv0CoRu>SwEa zO051H6e#`y3P8c__z{xu2rq#)=k+57pa0#*0ziP!F>?(-iOWbzjKtklm$LbCw^HfZ z*1DBOh21=x@8Q|#HX_IlaqQyTSLHF=olMOy2?4q{3=VnwQc@zj(yTYy|Ldd=S5F-o z_9^R2f2EV1WYEahmhTBhoecFZvK?%?p$xOk)Bh|FYb^gShQgeX+s~Yr;aXd!?radL zC&j&g1t#*>!5=z8&C@9=JCHA|K7PRKAL2IMw-a|dYA?F%AL4(P)_4V$f8K}FaSJ5_ zCp`18`LIA$x%&33rI*P1kvYkpc~6C&iug8SE-Mw`ZL8&%Y8i&m!FLvqdep!~Wh6I~C zICW+9H;8gE%IfMjH`iYjgg)Reovn0-c6(xDJ6TD0&K{WTaw`YmMo>;J$GeaH%DzhkVy1w`R|CP6 zjGk{T;=k(z`kX`pL zF4@o?lmVlR=CWh^=qHK~<)@|G8H#$}?$TR!@s^k?XXo?Dr`<>c;zjt3w}Jw2Bl!?* zfZV8BTIg}B+g^#`*UOUOol5QZz$?DV0?STiOEgCo9QVwmvRV)u4V^`*6geZbWR<8g zEfNj!nDzihJ-*#QiR#f4Vx$W%I&T3ugNLRR`(sv~@D0MX?Nb<|-bj8wQ`LIK6OB`X zInIUx=iX3fW~U14yfCPbhsHy1q2u0LDt+Pf`~o7gn>g-=?jz zSyo~ww?08%;Zk?%{fE2l2Hcx|s-xb|s5-BoUs)L|r4F*6nN zD}hQb21uZi@sm-Kj2%+e|TO zF!%cLtj1NZZ566oaGUTW#=L&$hSL&o#uMY=a?A0E4kGnvsA-X--1xL~$K{y_IiU*{ zK$H+u*Rw@Je!3+f<%LnH!zDTU8(tbVG{b2_&b>0c7n7WbBA0BbgS=52y5A*XE(UBO zZV`)jEVG>SGB>P_RhUfSNbWDyeqR4F%}*yl8Z2xZM-+$q9MUW3YKK#|fUgZ4YVX>4 zwQBKL8r$`+!k$)s#t2csJ~xU=McB?yTw81qK@{hLS&n?d?n&B*@mG5+o}UL?xz1K}`U=s= z(|Sy*9dFg(>*q4i2c3cAvalC&a3$6`Z#C`j>TdPg^uj(j-6KQiw%pU8vZi4$`)nM5 zECyWKJjT~~S6BM{XrT6}bgC!u!a{H@W`}!wHT{6?25oBfI%Ed-P$aitu0h^eQqATT zlNAk5MOY@>!)G0@i?_ROh0NC>`sirLOPv1Z$k3F-XosWYv>FW?akMBU_&&3hf1xdk z6+h~#eOh{o ztSMNwbjW`)!*ekzaUieT*72&wMzo=oT=kWejLM!i$sKDQPN0bXQgd1FS&HXbCKn}0 zxhwNFb1=S4hqS-ngl3~UA-uz^>cUXJ__#~;0Wgj5+So)UjWR`_tFhrA0vv}AOin*k zI0{vBqV+Qz=p&pzRu9nFkiqt=v7v)a2p%MPcE07nciiSRDN`NTh9PFcoQuRNupJC- zRdaOD*8DC?6WPmBVR{8vV|FZX9&LI3=x%}oz3g1|*Wiil?=LpXoA*@vMQ`*IZCoJ8 z<$1^3(u4F|-ne?h`|_^7#Vxq#wYo%rs_>U>-JnZ<<4aMf)y5M~w6~Z#Zj0B}Z`RAy z_dIYz?;4Q%&h<@Bue!}Hd6H(m&i)s25i?GOz86fgsSJYWDxgiZ@4rVbLh;rF15g9l z9@L|-A!erENC^Z{?U{Nb$$x6Y5ZeO+G$*;#kw0X#`1-4#&lW%gN3PD^vnGtQ%dgL4 z+w#xVl?LIwdM}W0@+K&}&0ckc2LB)&35h=B&AL}vcTm)`q?nF2gSw2e#rKSOPYR8= zS^6iCJMd6>5I-KeU}`8(y6!E7n~J=oO-U8DNU^>&^gMNvpd>Bw7+z$$RFa4s&%K=z z(AiR_()?0d@9(sgEXy(I3AE5|b7kqVJtyh3_4+VZz8YM?*1o^htNJ}hkwq(6rJ!#E z2LaUb1YLy2ne4vq0i*Z0*6C%oNm;swNEx~45b}Wk9taumxR@Cqc%3f?d8GOB7vEm$ zviKftmeAkBhb^eco~O7(Q)k$Qgr-bBg(fm?tgF?-K>Maz8wvdm<}LgOX4$0HF}eT#KsZmQ2$HXl-v zS4(!nwvLKyH7*Jh24~xWSq%iIY!w|*FWdOE_4^z#mzb4vNduGq!1>4k z^hz9lW!2zEo2H@l^gd^HhjZGxtOjV$GkpyC-Aja;F5JRC^bOE2a5ZsaH_}xB z4h`0-$g?J+g96s8)mHu6@svuHiqpOf%PN213&+;g+F3kc%(fDR2W5IwW6#UsQVJL8 zY{LqP?%2aj*u%P~&QTOHshjv2*JKw@#D4OvaLl@vm+^-QMAHK4t#j)!iN2Hg4kt%v zle2O|3U%ExOlmG{O{NAKYD~}Ue1**-`G6m8Ur>}h7_@-gV{m881yCD~xR#%$z?+HK zA~fDjAH!j9r@Pt9=Lt_}8$K*NeMX?9ZN zx18qnf6KSjZ2dh$C$xcZtmG8Q`*5t;BrCPBx{Jaj42KFOZ0h@PEFmfk{n)!K-{g0u z$B_4^s=)tjjLQDw*+Fgh&HiwY#k33dSK2f6%zoJqlM4yAi?HtczNzo9N3C2kV$YDL5pzeOKOgxu&b?CPc zHs%1t2XuV*%U}z8rA&JF+C!7H#Na)2c3Ux1vN(rd{~sQJ`S* zIGrhdX5Y2CCi!EBe!h44B99z6HL>A>X9z!?BR%i~k#I_7kRB|@0sB>P&i;hBD%;rG=E7T>vVG}`z{#?2$~r8w z?IB;=4UDCP?A$@ynZPns(BBi1k^s)4(TqWp`ayshuZXXk#MvAVC5C{l-7FWZ%=U z7FHu59%nAqJR&IH{KfKSNtV{W!!phT?=LhLDeB}U;|HiOJH^a>eiVf`?{{2dgyNpp z==&=w(rRYf%`3kwAbAatq@R)+syFi_*cBxo0mrT|SCNAdS1q=bST@T7_DVYo1d^mrRYPY{6Z5%1kBWGgDftLyN$Yp2`-8=bZ#mrvd+=QoqGqd6)gWGg^4b7Fs zG<4P32i?Q->69lzF853|wq^0K-s~(t3|0w0Y6H?LVDO*ai zw3EZ_x_j}1vk>oGMrPM5m>0A8ga$WA`qE>4rSUM#F}D9GtEfUJ-iiL*ko@PN+|h0v z@>shUD?$TR)Gb$0{9aJ<1y!K)H6+_BOMNortz|v)A`>5>IlHH5n|WTb-}=lf)?BAm zx7C?#?!IWXhRuoX$>o#AkYLIuei|z}K67FB2(L@{+giRCtpjrTwkIX(OE+6K(#$+BS7=knsNmoy1-gul#3TuE_N#O0f`wmq zmz@{&zx*xr#^gqPwyj&=zVM&Chzk5XE6^|0ghyTVwqsU$FO}y0gb>tawez zB7-JL!`i6{FV8NO*tC=@f8+VihzxUksb=8b+U}!Mcz)8EAp5Wxi_@DRPJg| z=Yivsr&SkHwVvSFjE=hA?|HgCG(uZ~*JgcrWAok+UD3H0J3-x8(DIAM#mSzI)k>J| zVq|88PToR16iewb)sJ@|oW)h8otXw6Hk-knw!`!16Y zu>ZGV+b_Z`|H2wfX)kUfo;caOR2?5QU2poYtahs-SdQ^z+sofVBq zhka1VTF&}#6m-PmMcU#pT#%ZFX+XZ z4QPLb8osv=VpUhDrudadq!TWT|GPz&m!J-!BsGQVKgFA zVk)^-OX4OzivJj%yXio2QVAGaK0hEYOsKX(TBTiP(bm0f|DGhu?(@-@?2h;WpyOIO zqIoXHZptRjymn|bGvdli9VgEQUk$f`zC=Tu8U~qr1xzIcHD$ldC!HjvM1c9k2Xi}l zkO;Ygp42go#00baVsJxe-ZH@%ZjF6r&t;HIO3zg@y0z9uXg4QG@X&kdzG%VoClmNx z^KLp}^P=m&0vT9Lwo;rL0{r|;?87?8ZMdgL6$HV*VMhIfe3@S~iAihQJxwAf2tEd` zDJ`ANy+z;u33R+(IAVH@_}NV}^mjw=ajaeHJ{_($t1>nA2!R2x0jX>S^6pmYQNyTNxe}VF~O|-?{4U2v z~>!nG=0eP>hp z$r~hKPr>u}iOD0v7*EWC3eRV$0;76dMS0_~G=4L<3#ShoVw8g-QNMngxLybEb*zNH z5di8Wexa1qMvtvLaZ0RTzLVJ)w-gVZ7RcFQvA+;qRz!Ex747EN&&9(({cAYOk|t@E zF74q9Z{H$h3MPNLkd`F{fJ&UkvE^RidNwc?{(>s8FWxg8cDKOy&0Ew=cB}~z#{1-B4WDKTHWs4a@(Y`8w<{df^Kq8QJz)K=0^9gyV+1HWw?{Ldcu|*tNtM^9ZwpK%nxt^JaSQYF~ z9`dNkzU#0K)=5-szD#`@&v0k_2ZQ z9`#Fx_iM$vyhEVHhUTOTR29*ymc4SbwDDqu?-U?J6AHO?5pSxUh>qPD$k^(3)> z3gw@fCJ`g;1vr>q=#gYD<8K6W;#x@+y>DNI7Ml`wkPwd-D}^$@gqa8|Q+4-_mW{?K zU!J`xpY~07B#V5i)tR_*x>{=jxKm1E!%^NV#oOXl;BMR77kgKW*`@<1VO=3731c;T z{s?9{Xa)}O7NG1)dF5Ng&Q_(%9EDt^%f0BsC`4LzbE!~M=II$0!Ecqj2oz%A?poW~ zmeuv)==fDAhQNcuWIdxEh01fMIgh=viKVMJYa5ZjislJWzm2-J=Smrvda%O|XU@<} zyqp58Zt|c5mNu}5?F7Z#-KwikR{-}vpw~)}9ePq%Wj1Q5b1OI~ZM3a?)6!6?Wsvy{ zgD7w%1l|c)zdmSpfo9K!5g9zBqO1Zk%!M0fXo*wb%HjsuML@@I+*)j2lyD0L=oDx! z`M`m&o;?W=b6z&(qiTiiQdP9TcAY(oZ#29F46aVs?F=)4FtRLm_ggRU@LxU~PP*lxa(X&^I+txrDS7wf{59@$|BU^1y-m7nPVOzE6xdUT#U6 zJl%GbtX6Z)xfa<~8>eF?fG)ot)-NkhBGf?w<*C!8hQaxry{06+xA{Xf8OM=v?qN`H z5Xa-~Sa`fUpALGK1*eP*WvxuXLe1hgct!YOgDFNWFT$nm}vzcl`W21GCsAnpDU>%4f}NLn~i zUtQk`)Nhb*NKWjl9iQ2xtgd{Vhr!T5f5S;7YGzi=r8jj>z$Mw)OGuE{qZJeosG7iB}N=qB5mWP{$s9F;SPva@@h!RDC zi-*cR0Q%>&LnEHnirTWNqvQ>=@2xr#mc5~vG%+}P4aYK@*%Qp}%G2!cVK20sivY3& zcOCR*Ygu;cK33I97TQu<2+JVK_bUU+s>L!ls(H{jmnJW zUpA7}IKGlmE{g=ptq1b5##+?1WKxwWXg62O9UHn|)ln-I{4*~CJ}r5=nGA&P393`x z@baxsh}67mUShNh)6bXTrC={8G-XtvPfJ~>f0umNM?1i`8#5a|gYk+=9)1y#Wf>^5 zOHEm7l+Jd^Tb`LB`lzddSzv=tff%We45wGqw?xS7`%p=_4W0g?>%QvR*|oR3I`xwG z3!R~aN{h+DkN8^x+c>eINdB11>+bQMZ#tw#*IWcA&P}C1YTQWdE$Swq_`9=UTJZ?Rcn12~BX8@q$%|3olSBAUQD+bkRcSEXA*P^GKdcnOo*bwdR1<4{+_Un;Fn{CeE*Ana?> zQQnk;6%$o~4I%!1QlsOPfySCCHvYg~)AAW;^3tUFKjDuXtB!gBt{cU(I-sXb`dp`Bvc^sSceIDkn=$$+zFqCN z7Opcdr@4kk_reen7uKhWg78_*FpFN{1Gh!K13Lv*6p)Fh+nvw6soCb(%>UQkc}6wa zW_v$k!Ga*7qSQxFQMw?##77ZSnnD+*jZG`v3OcGi;8Mude*`HkMBP^fq`Q@#Up^uY1;M zS8CSQl72WZ#C#$XY_L!ZvEY_cL2ce~81-lO-y9Q*o?#s?6ASTC-v55P5sTqAgj2JQt`o-$C&7=_p${#Ky59;aNo_PM2#H6T;0q9xq+56Cp7We2^78Rt(ua%fVAgwvd@Ct9iJ!Z|*P4~(1Y4n2o}P0O zw9MIedFbI!e9NHzbeS&pM9q%!q}Nxqkb(6PAMhO4nZC0eL8nkxy!$!kOu<@D2VIUy zjkOdcW4C4xyv=YgkOHfT2#X!Agt`n{&y~ECkJtaDe;il&-rihUi4gSi|HM11hHME? zXRumt%jP+EKJK0pThm32LLl3BGmqAx!wgI^VjSGk$J@>CWScd}@UX~*4;0KsDJiGF z^gYBU6}1#u%qm?)>E+3$vlyo%h5h9*4wA|h@> z%T4Lf-JJ!)c#?V5qGF=bJkrL?)a?vChl!_ZqU@9#`y#$c9Qk>4;?{-u};$yY+bn19%bVjQ+t1m>~^UJo%$R==A#Li_@=6bF5&V zq(s4zfFTX&+SKC*kLJa&unlGjkobmlSTw6C?l6&G>bR&(ndkVyfYp|?*rT+)n5e9q zN3ROB21D_fT84jc2LKsk-QsUD25d*UnKMdl(^qA&)3MuIGi;QXg?TfN@E(~OxMMyt zLIC1kPt^RYZZY&+tCj74X!a*#=KOE#zud2?dpmAjrPi#R`FgJBEoR6T(d!txzQ=(- z;<)w6Cog3GOIpyn-*5AO{)=fTpk9d98$&+#cH;Z-y@?P059&poV^nOr1HOc{PJhU0 zcq`ec#q*X1Q~t6fqQSKukd`3MGC&&PUbnDcX@spBPZC57eEgbExOxlf00`C-mk*ZL zm*;_l<8$}JJLx|WtiM?ISZPHNNag6^EFJT`6s>#dKaG6NetEpfMM&s%GnbgMHmOfQ z5PD&-r6eNrnx|9m`{9OtSbECmzRoSbEn(rLk;maJs#(78K-_xn2~FkZumWZ5$%+?m zSjYR1Ma8N$%T?>{&K#(}kT>^MEZb{bO6j+9QR?kvk&gpP#&`z*e_8ZQbIBQ|t_CJS zZuaY88r`=Hw<(6gH-vm|Te1isJ4QPeCE!En!e4Mzw(02S|5<7$#0R>raGMqLE9$(! zlTQaE4%@M!X?pv5e)W10Xb^hYT?FzlFyfW&$Wx8B+XtRTA{i4%gHjGBRGu>f$-MG` zWM1xkI$ui{Lfl|GK$$4(*3TY)_?W!;=H+^%HU<3nUg{ll1uYP$QYInlsJoO`nR4Bm z6jgG1pU6X zjw|2v#b}kfoTsfA-u2@eG8y@g&kjFkSxIzweP`uxwuit4@$E78G-sg$4DLlnj#%{;FWQ7 zwHLH?I1^J}`EtnF=LZpm`@a)W={sks>7$&dwbZ>13k$_3eD8T6`^Q)3l2?RSgngpO zGvDxn`Mw|F8M{Vpo@Db1UoK{5tuyCQEd4DRj9>1)Fo<<;|CxmN zwV<(%*5${d<3V>eR=rzi zb{_Jm7fJMyJ5t?x9{d|BO2;C3OK3F6M_^_tH_DLk^tJq)8BAiuxMn9#S=l@ZK&DE2 z1fa0Ce79Fhcy$xx&`D4n+M?FiMR6wma;4&vm16cQxcmJcXJ$7Gw$=}YUrvvx(XL!O z_MJA%3{kkddlu9Tv%1FnB+-knZ;TLyiqcX|t%_}r7!kEou&akFc=6T^y3cel$G+Mj zsaOO|I?U-V2lbgag@gV;-WO4OdCis+bdxI!G;n&Cdc*9M7$zAB#i80UQc-7D!Ue?H~8$)?FtaJ6=c>o9QJh zrz_stXF%CXsV`-LthfRLQX+ znIZLO(si{q>IEF$gVpjHOpc`*SKuJ zxy$>gV8rR~SDqdtx(RNt?h_vWjfk2X_~38kRe$Q@D<*}bpceC(xNfx%o-yslul_-z z7%%PBkHQL!Ei~RkT_jPx-AD31!X~OnkGz+2pxvbcKn#h;#ZLYTAHA>V%Y&rO@u*i_V*-*K0MJ2v*t6B^)5xVS`&H@V zmf=BNh+@|!aG4F%9a&o*{GV}Cjjn#uxW_Dq9Sw99XOh7m>vRLe$6s;G9R?11ZzY4t z4o5o)!KY(IvnzZ#8U8ZoI&DBeDYSmJd#(ba-1DZV*9ONj7patw$r{Tk`R;^pRECrJ z2*4ZM%+w&iYax}io#(+sbrrGwL?x5p5O=F;x7a42*}2?8dwaP;x7)SU#gTRKFN;1b92M+73K-1qkJs zya7vLLS0qLuuv?l{F_&iWf+AM{}Mej&w=8;w#KLyujS98!liiUXqLk-xdHgTtZ_TQ zPl4h0+GMtr>C=IGtNV?-QwJaaEi}XBC4f40s{VFK78C0$7>$73<3HG`uPe`RzXQHa zosCfo<8Iq1^ri{l@0x;xfXma=`}aR#{x3~}>+gP%i`?pO7iQ9Z=RU6!cd(I$y4zcH{yk+A=2%>VL9lTC^SEj+k>ebdD)tLIH`X$(<7Ya0da%glUb z_1Yu7#CS=MGhDR5fkv{3Smw{chR38fx=T;U> z9B04z^kEyD=ESIP?K|+we4SE%fA*V;A6CSLA$kh2l_uzcQ_oNU(etrF9(-$SBuOJ0@G>b?M_EX*t;8VE<_DZGZU3-i!(C^H>6(A zZ&LVD>C4s?N$w%{5Ve4f9;Ep>B{(-l4}6gm8Gp}8*TUJdq*10TGQqAEok+)fTysVle`!Y7 zJnnJ}P@d++3FZgtZOpk!v=d3QecBSsXp2Co^!{p=+>-y!bna$KR!4-N}u zXJG4=On?@EA`dleCT#oN%p3P`GZB>b{~(p>89tcno24ZOcl7Bz#Ey_I+SWY`1hD#I zy&p$OrYA~7pJ2=DkeHiL?i|e~B)ij$y1Ea1Y&9fHrk1iIxTDf?Ez<%foXFhiFH*9`xlUaDG= za#?ofpVTeOf=S&x@x#Fp{JNsaM@=j(sgDPtFP@Ycmrk|lRr765`7RUGHnJ!FTzYvd zSE4EQ95Je{eaXt9y_VoF71Q4ucQJ`e3>Y)#lceYFL!FYc)@R>lgBl8jJbEf@W#8mJ z%E5)s#yFZTu(dD^)xXANS}u7tGjOasRCk7I3K-@i#%?idaSzCU;nqY_Nue@Hjo2<=BV6yZSyKP%3~BHUVN6*Q8qL4G zR@9$$N9powOV?jZio0Vg5J$Z$JSV?E_`}DbLq?=`ls$LjNx_2`HjWOG$>r{Dy=7Iu zS%6ypaDfTKU`RT~I>awtciSvL&#BVq&5`Z^XF;uCJ7rKhKi7Eb+Lz@gSzkRb5#7hO zfmQMK>6*=$J?H_rWRCf~i`{U^h1eed;buo?%2;pV8SNa@g{PZgDeh3osUR~mt4Btm z7sb%bCFFSCvmI}MEQvOtT=%@>qC)g53ssJ7>A^Ad`d9HX2GGa045L(`xlpmwd8$ed zF1wd0u?B%?Po)-83!@iDWDYo$C3b!z``Y6nmdYCClM{7wA0{^9j+bt0!~wR-Eu&GiIpFq}gnJgqS(jb&}h0FOJvzTv|Qb zIOdOXPddC>8S>UFG+H6T+}HI~(TB-o3j`G17Sg^XQPf6aSQ%8(V((M_(VLBOdd5d$ z`jv09Jr!7E31Lr$R(Gw+4}ElPXyJ?vv|%tBvMTmadV3b5TCYg0GU1r)KErhdv<+-i z55?r_!3IKrgglH4q7+%*L$yB=w}^OSY23sMqd_|ptp6bA40S+~1`!&QmXG`=h2!m^ zLu;Dz-7h^@Yg5F&WIniRF9kRSjSttCAGLUFW1ki(*9JWtB;|ZVwtC#InohFZIni`E z_}NE6Tqbm7O-{YKEODi9fpgsAOs=8ene%bS&h0a7YEXqsu$>d0onF_^=o@?+c>VtA zc7g`UM5+fVBBuq|AUFf|yd1%}mruO;WZC3+JH+cr7Tl2^VWkQ$NM5T6$c?TC@l)AJ zF2dW>kO5Jl=~v@pnJ3r9dF4vBOAj#@c>@?!*;48_UJ7DpKelnb`=J`TK_fMDmuK6P zr^MFPx5j&JeFJF4!HLQO#TVksA?_*I*6(J4&-TlT9a>PF?~(4>f&4RO$yiU{Bh2)~ zK^stVM{v*G-cE*(vpcWbbT%~%@(O_}8Uv{=ff>H%fD_d`ou@T>oiWy8@1ldrx`!!q zjsew^XX8#8P<{35+S1tg@JDgoIV*IZmgzuQ27CSj`zX9>c{69_LUBy@Cb?y5&VO)I ztJd2;3q^M@Mwx9a>15T{roFsu-BX#(7~2CGy!1?e+HAP4{TguIqC%Rc?CPQ`uYoke zx|wCU%Qg}z>Rkqp99;DX=3R8mVuD0S&m4TkjOB4#S+8j2(8&TxNSVL4gpG_JE?`I# zg7rdSe%ejgyditUR!2^*?CG-x-!6cxPJANt5HHrr7U^@mJKn!^hACGQ@|k!(@l7kw zdi$F{2|9w~9)!z8j>0bu@j{mli25V%dw9#;^VPmuC55;zsRY*(_R}Cbk8rnNBa7$?w3NW5{;-_on^GDP zr(PbCDXSRY+>#`Kc^%%)PHVW%EJs<7KQxWgz=Thwf*k;mv1y;iGUQHdBY7<)!s z;~DO_6GaqJ1l%Y(r4cZVSO{96Rh|5ir@NWG}Mf!LktTC*9t_+?s(4R=7pr6 zzK@AP`2bKoVBK%EnT)N~*6!}SO}f!2TO>VLf)leu*z3nHItec}jd z%nUy*^Q>{}Zh_jLFM%#`3jViN_{6jt$8XTd)Ee2#V*sQa>hL>l`p5RV!0ti2@(^7h z?g{T=QIkAsCs~#Wtc+h~f3kj^Qn3(+SJ`^94GD0$MIY|Wi=+%J=u98E;YJBU{wO`sQki`X_)nI5hIIhRa=cpp9r{z z@?X|x4h`|d9C2cL@b+PS`-^?M3V$*Dk?e1=FEY45Px*#=GCQ9Cl_XmqDQAa^r&Zy94L6?kKf6 zFTL2T@49&)f8?sZ)_VtH-`_fLl1sf_X+QUEWOO_Aw7M+Mi~EF3SwQgj{%{W&*MU0V z3wIAI<9<&$4w_P`h>yL|2?79h9=KRqXUr9ls`?+SvH45Wj;rC32fr*=y&n3q5ya^B zg5iPs#ELHH8@1pR=pz7sN4g9C=`p%9DEz`zF+bjYiS;nZ%3Ia?dTOVSP^};&a^jgI zc@jX=C(~ZGKNiGi6zf2L4M&!#tuZRBoGUw0+h57~&r;h~V*yKv{DB?`0}$vZhfH&n z`+2`do1;p*=RM@@##}EN==14E9gdu_qMwck;m9^(T1%a-9^F zQQcg>QlL+$4Nm*ICVCR|tBX9ZmtieWi~jUkp{2J|pyJ+|DCg1fc_r>j$&?1^jf_C&eK-mQmgmG~q<_%2 z`*LG{ji~ECWq9;=3r$7f<^{b-gpbcOqd-z4TlTk;ByLU>?rP3=l6*J%5?De1!dl+K zo>}L_3LcCzeRTBHgI3jozQzFM=e7%f>V3iY^Hljg|0lpwIWNYjp&~pimvfzbzbai02G89dH96)89;`b<&BSf0-o~ z!oKwT;^C{vhmK1?TIrudS=ajC-xMqwBk0qHn3|>Y=q?K{>dX#MM|SJ-)}#y|(zYV@ zo3TN34CM=)HI?9qHl@tDx>W{OCed9 z{h3|5m{;OaqRakXlS{x33Y=aSWV=irVy7GULxx1ic=G%#icZj`H^H|?F?1L7hFH)C zts6wzYCWE`?m`dyj-@YCk?3733&oxl4v- zrL2`@_Fk@~)$?AUf7iy)zR(+Kdm9Tx`Zp|kr>yizwg}QW9YRUu7&Q`if0uxs7$jqY zhF{SY=w$l!0J7>9|I3{Wy(_F6q`;n{cAlRxbD|kq(4te3e%&%>encq9d`@zClXd`2 z+ZH=#X5o;7_eSNIm0j+^kSfdeds#}KAlMl$=9+UVq9>Am9vl7yBzlF9$s;>$#l<*? zte#yKB+pw%ng$x==W;WM0ffNNgL$7@&p4g$sna3`S_ZgeY}u9$(YNbk_VG%TB*h8RqeVT|jSa_2-;0U5)+}23#0vZqUZ?dvgdU`py=S#s>mv z;Hd)e{RE&O7dPlBWY2GLSD8Y=?_0?SF3=Su_bY7;o$+LXHXOWF=|cNnM4_)P&~3jh zVD_5W!G%F0)L@^c?<72Z68fwgdlK}0W_S75f6HJAnyCyXYEJROzbg#-FjYU9-(Ad| zqSDDI^hS%+%>FkShx{_%|Z(>>24l;?^I4 z-de5wnNvnL!0o0ixyXYl-<95iUIeVW(6D9fSp0##iKX;_-82M!klvI|g@LKCTx>M4 zk)o}jv6}~iP)B{}J=!vuox`Ay+LJlN#$60;l#ZXowcjsC|4mJ=HB1@ z?+x3wuQ>D8g6)*>tLa_TcLF|Dr&)UyofZj)TZ@(@b$Ymby(U^9Qc0*R$JtV5M*vlEv050mN_fQ+bM!v?)b2XG<=HtD+KI*!@LR7luXk&1gDujupo$8(!fuEOaaqW-_5yR_cj+s#VGp}LOakJf$Cs=~s`G0$0N0@L|SJ{%G zZ6!?fs!v#Ci@K<&Xkdz9EvY@$<8_#dndpimvEdggKLj$yMDM#UN29EIUxr6Ch#j~) za;Z|lkY?hu{)r`xS2j5!d}6SL#fRlweHuho$G9=bb-p?g2I*1JTL`pto#|NK)Q*#wUf z+JyM{A{jkml^^Vm#93Qp=r|}toXucw35>Y)&tt103WHRs++mB!*Le0YiB_79R%)SC z;B+0W)>XT-f-)J(#`?ObIKcgpg{XZgB0IYbOS>IsUP{g*ILTGGeV>o9CoC^FnBl6C z-P+p&4Tl~Lvs5BrA3m%+8#1(Gp^di;y5PshJUYL{sdtW}bv+o}-8cP?mBDJJ#$#k4j0};lX3Y(1zcnq)pveJkQ)Y5RLws9t7{VZ!BEOWHH{y}8;W8jiJDvZNA@F|o3;@@EgZ{p!x4D{?;v!AmZ{}WipWiPw`<}~5Q{RlW z=xOk-6Mg5u9ZiBM8_;KY1>jsxW8bJUwm~~-LX*Y7{75mbfm_bQ%4`@-!Uz~-g9G*~ z{QS#&A+b6o%#Go_sEQ+n6%C_(N>O1Jl-MCiCNKvS?AQqyB{WLL=Mj9REO!f(TXiLyyJROfN*^MtSGVRnGnRziXGh3w5u?YIC0*wZ zwF&V&4zSU^4OdaYW!+|V%E^(e)vo4 z|BJihY^Rs>Iy)_l}jzlp$Bg}Zm#zHR3@pPt9vXE$3f zj9Qn>fNk~4(5a?d5&MP2%s=FWtrK~l|Ya<1+6T`E&AkU}WMDe5~mxTzY`lVB7Y30!%QHH20NMmV02 zxq6o0l%2Krt75}!?sRm?prf3weGyucb_})+V~OEnmG}Q3nyax`mm9SJU!7Az`fO5R z0uqiYDmIm);gTm1opw=dRIUS0JvAj@F;Fe8juJ>(>5`y^A$K)d1bx6zuT51kh!kUyU*Dr){jXv3KUjC4Ly{2 z0YGOG`fgM1Nx~o}Ba~L>)+w_Rd#1>6^7;tQ%h=+|{hWXTDax~tPrB!ilOlvii|1k| zWoBASu!NZZAgAq^uAdJs>*j^HT?Fdp?oM0dG<47fFWM4YGYnKHG>vvu6BQ+4cpBVXkw;%3%XgF@vGLVi9zuE?KN=Sj`VF`i|Ze(8UbuASY+a)%t0*c+h z!YmM(t8G+4BTa57K|~QST&WmSkkb>}m)p9q{4XMg&fZHE?V)f?!CWu0TMREz?q*BE zERo43PTgoISC4H_a)@*A(g_6`SL&_G;swqeN3>6n8SN+IK@$;v-WMhbkEZ zmj#ElE|50!``gdwwUIFs<364z`|pp^9f9l_&Tmh5>xQvgY(wgsZt1S0ZHdlx){hxn zmaZqE0(pG5TgT6JJ-(__WJw*j#38Ygqsz?Wzq` zF}1Z&oBukcac0G**d<^}MWxie9n4a)TC7@{8r8LauvSD{ic3({%-DkZ^(!~Gj&K`H z?E_;Om&s&r`SfINFJQrR^o22khrPVNhaUtx1ub`ZZ9+vyiN&f7^m7cg8yx~ih^yh% zG4}LlE&y&&Iqhu%<%WF+(f8neb{lnLh-if0*V7Kza0nq_^;!1I=X&A1^qHrLcVZna8lbqg z#s#4TvKW;}(Q=}-#h~2~T%$dv=Eq(n=SEKVq(Ht zC1nqxfGmB=JU{=5;4kd`h zS`vjl{)0eT^?vRW@;ogMJDX&~8B|^GMNCPvtU5OwBn`ifo=d|@^Ke69gGQ5*sdI23w^SmV#@+TeqcO5zFmYy z&JWDD`^2c+>umN~VJ9w4Hn=dT!Ib?4qt2cEfN@A$y(1QIS9F(*3Ow*_!wzc={*2|B z<0|NC+@&R9aI-G=OSWBWjHqcw;5b|i?~pe=oKF4XEowSMn~r(iv((_APeGSZ0`i$a z-&toLvw%RaYFL&ySAdE_%7r#Rew7_P2m)Qw+5v$;5u7VUL}mGE7rgTF)>$EgeHrXA z5a_!M)JhFDrcf_RyG6ckQ=jMq_vu6>YR8u9Yz>%%e(j_+DGa%5nK8;JT zF?O5}etIY()>yvAx@9OhCtSA1tEDWsY@i~3<&?@%C?X;}d^P=Yr~i;R>u)x_7R-kpZ*9l0a5a1j$6tful;NxB0@({S2!%CzY;&HdC^hTqC41I4x zbE*__1B`)s;O9)`K|lyXrND6*YR$NMo;R0>lXI6r-6DEuy99~y@qLI&{50A>dK~y5 z7`?f_xsyrS9QILB`9xr0p7`L}x{v0@6#|!-HN{spymDL|Vg3;B9!4}oKq0WH(6&U0 zB8oIEeFCmt6QHC|9RY#NEM|DuBElaMd$`&6WmihQc14_HAEL{kE1?-l0adxXwIxYp zJ>Z#+r9Id&drz$Gjs@>#{a7wQf4q*H09F$%^a~HbQMi2ozV@!!eBDYZ#Q_VfwU4&H z*5N-cyf|P!-kkoI_4uE+|I;Ub*`WV3A^tNV{xczdn=AiW4bwF6tlk~?PK2Q_aN>aO N-2tvAZ#{bc{{RGvuY>>q literal 0 HcmV?d00001 diff --git a/src/assets/gdb-layout-split-3.png b/src/assets/gdb-layout-split-3.png new file mode 100644 index 0000000000000000000000000000000000000000..b3e5210e5cda321679b80faba19ad24e51e0c200 GIT binary patch literal 102759 zcmb??bwE_>-Y#1Z1*JqmKtP6)mToYHhQXm5q`Ny+L_kWqLFq=i8M;GSy1Qc-dhX0{ z_St8jbH4B1Kkod)Vy$<*{r=*4o)!2GB!PoXij9Vbh9e~jR6s++0HL9w@87?JxT8?> zi~{io-QkUt(*67Qrx)cH5dV@mim5p&+88^!ytg+(GqJX@GGcKsus1TYb}+SZ+((Cq zprJiSlLEe0a!uNqwXxJUNntxn)%KS3Dap7{ZXR>K0d0aW0RIm`YI( z4_}xQEH~~dkkw_WAQi0jb(v<}ZIb-Sv>ekHt#4tJ7E)NVrV}lpk-qzV8r@4-Yq!Z6 z+bL|b#(4kp4woYjy)e!e&s4(OM-;IQY_`{jln<3AMMAeP-3X#7aR<-saFzLWMF-tL z^A#2o_Ys3YLtD__RINi@p?!$-&p}6B662@gqAs*v1qh%nZ2zFzLR~c7{rtZa!g)67 zzV8vpS(J{G3Evl;^x9n2uGn@}Nj^R7Jim*m-~*xUYTZC$3U^`Ox;bzJKwbO3*wh;ei82o%Yb-v+hJ^Z6ZWKaL{ z03UJ`LWu(oqYPz~*1syMT(<>Wj~mtrC$*2&M&6O1>7Acjl^o7D9xmKb9fWr$!iw<; zroHSA&hqETgSH>k&gs?{=ANnzpiPs%yYNxj^H8S}faZ)?BzyU}?c)7jhqq3{)Kz!2 zfBRg?&ndzNGj?rioQvYVIUpNe_<8J64ANb!%%ZdQ+3vt42U8xISTZbhjN&ul89yy3>=lZ?qRDFgd_ zd779yd2h4qs0q);LWCJ=!|mdfmHg3s{@u^_kc;ni9KDu^8BJiTDuRk`&M(!mX=uc@ z3$i6RSM8tL5P10o1XMC5K!WiJ!cT9yl$K$-UJ(~QUaH5wuzMn`Fo)TJAm1xZo5Nx( zpk{0%Wla*xE^W&nm34BRItf+qdKk|nMAJl+3Fgz`tmEl6eWP-0gzjN;bu@UkH{sRO zPj+pwJeA575UhLE>oewAQYCQjrb^VkarAlGI=r4+3cTX;^x9K$qNw3|-D{)iP;~a# z!|hQ1vgS+9l+WN#VZ*J#{)T0H!?L&_W|< zt@OB`w^G+I)*Ihlq^`hicWf;h?;elLr9a2-y`YIIU31REow?cGlKYo^UAhidcwMpH z?(0V$tBr{c{km+r12HZV#!)uvE|tAIm&dU_8uWnLi6S^pDh#^jAVbhE90*za-Ken7 zX3V{Rtq!Lg^x8|EpV&mG6=n8Kt=#i zJD=6CyY7Wwf=dn`5rzn&JDu7c-|*U4mI*Rnc3<1f{VvMl5|#93-=G84UA(pqcg}U+ z?9A=mRxnuVCr?Y}y;=A1^u2(<=dt`G{7qnAfvMT7*KhVNFYZ@CrgX3J*Kdv*l4Gqa z!d~od@m8GIcP2kWE&!jZfLL9+eHzV zRAn)KwJgIMdKKm62mQ#hd%EwHs7n~2(`fU(5&G~_&%tA%^`>`%4C3PDPcYPb1=B)r zUljpdAhvwiO}!{?Ny3kFdIw!kxwk+=$`R%Z?#VjGmoSDeuDqWxB!`_S8*idVm`9ZzJv00G1L`>kB@ch^P`;b1s6iXD)FKi1+@+T;rA2LDU53_$p7ODJCk$Vh z?WF!)bMvv&$@=w`&sW$KJ96tKeGQv?;ywI6k}#p^baLMGX1C?TKo3g{d2+djSk+}v zVWUTqD|A?%_nwHdX`Rr)gwL4ht2#EF`J05$qRA?VyF{6YoG4jM@yIV{sKVkv)9L=r zGW?7PtR6YJahX@ge|A<#-Ez7;;c^4LjD@Gw6c*ze%A6dnoT>)w&ANFz=fbyn&w7XV zgxwahU0Ag%d2|KVRBj4o{OYb#4{zl8y;$+Cpt?{zQ)it zUCwanYXx?}gOcj&&WXU!v&8{kq99?|{)R~O?|WokG^g--S+=jjV^YAA`d8CNr@rt8 zdHL&9A~vtH?$B*rFOe6|X1!%aB`DyEB9QA;Ybb&CMRo04;Plu{s?98Y+OGVC$k>TZ zSuzt~Hec())nQ=-6DLaj$5s2g#O34j`G+&hUeoO|sf{Jq`epEC#_(bIvHV8+cpLxf zFbrXWPt1?QtgUtVPdzIx>ocg3i*y!IeUb<{PxRMJoWD4oOZC1c>}R`i5OIYb@Lc|s z@q!=Vd7*2@eibEs5q)v5vGTf5)a)i!H&gfK;2fg%9-Ed{$b)<+4l@196@s;ffU=mzKY=02b- zLw{lftAbpgi(bx>i=QODmpIOsiB2s|UArezH0)#7aDESdUHRi0x>4-o=(g%JW@)W8 zb&=$(L2GroS!Cn0jB}$2SBJwdmp?+TA-~}bcDc^Q`{%`TKIawWn=X~QJSSdP%O69o zUPbTMpJ>)WuKZ;*SJ$d;&WEn6F6(#lVUWY=I!(CQ_Y>+-0ddNL{DlsmDp zd+-6D2I^-%jZ>aF$o1r-KV6Sn_pWw!jcl}-C?dCRfHkzurHZ(#o^|uq#x*%B9D?D}PYUbsqZN2EEf5xz! z_H${W#wG{lDe73-e7nnG#}AOLnq(-Bx-CM?y_e(YUm_C+#ObeLwU^G$NxvkYTgy#E z-9p>i0A!!IxRRs(TadXAzK6PE{SG4hU8~lH1Hv;1c9^>;o~l6I&nd|EU&;~8OOIx5 zP3LLI<4!Vh_n#MR`{KzNiry(Hxbi^mVUYj)`;$HhFD3T5L}qI-dl2WrfJfQDh~Qk> zq`qPH_$5KyB)hO68Fv4`zyL@v*raB=C@gzUe~8lQ-AOCqk6%~Af`l=OxyYb@AwGLb ze`M;%A;W}nW>Iv4#iplRA;$o3a*i2K9O${&B>Ypy^LRPsk2&5O!aW`4wk5VoHuZ4< zZE_!}-~8&v_xEaSUXeX4zYx_AGqBzkKT*+0E|!n&R5Y-ck29!xboB95!8*E>(8AAf?>MZd4^;I{oh@Y* zDCnD_yn0L#xvErZ40~uXR)kDh7StW)D5$VKfYWCgt>#?eD-&AWFFt;tt@#<@!xxbL z0(+lz%d{W2xYPJKATM^DmvXmh>7=#*Kn+mn$#oT?cNm`PFiENn=^I}N2qZ{17a;2G zN(vsB{Gh2S$Kt1ojl&_3b-nl6o1UZM$o9TJPKZviiHSzdTfvq1qbYTJ#<-%$A@*Hz z?g!@!N?O(vq6F;Sd&eQtZT#$X_bZ^erlEx4hA_9?N7MjuX>Thku%*y%zg}~$Kwl~; zDgb{4e(%_nSCK(jdHbf5re@?H(E<}n6D^022u7x7bo|wy>$frGuC6wCy9=ZMfo;5d zQT0Ux?6D$3n5eCjjR{^)%3D`$q2*st2IMxASTH^-7P?_njjA2)KMP!mBZ(bf|Kuk? z5V;fikv&F(7Eso?pT{Qg=?MrZYjZ3II+Em?@9I=MrHy@zgP}^=7M$|UWyP9rB!^~g z$ruvFz!ea{=)&>D!pv&*FxPzeyE+3ctZ0?Y&y|L%zkKgqF3oyNs%&3eK)@7KzOBHT zzEFOa(H0Q#><^f4=<1F+&4_7c@Zx4XJ44(z&AR)A|rhReZUzcjC zi*yD`4$x>)(`&JI(`*Ki0-=^^&+I45hdg~VO4w^TA^UTLbfg%Wqq8~f;n&CgN^^TD z@r@KTi9E_x3cT=+)v0F=K7gut2NQ-T|_BrhgfH; zU)p+Ho}K+nITJUxID0QDE4o9TY1w#_(K%umleemHsqTneep~EOZOf^)xMstjU*52? zW)tc2_w7$g;7fur&>2?IkBj5%+Ez=W^IWhia3==sIZBtRH}Pr$9pEd$6kn=VDr>9DIzaFV7a{fZq2FVG5jBNe6yI3bjh5BnaL-I_ zry!wuCb7<}s}GAhb=n)|yvc3X6X?qfu^E1%87*d-CB>NHMV-%65{8WH*0Pc97Z-H3;dr#G*->0X})n_1l)Nb=a8 zOx^D|{Pp|B?+J2Nzc-}VWABaeL$>12X$Q#2j)i5t6jt(@pJ2~+b);<)Qi|&tuS4BA zhOKuBODiK>;>t(OGB$VQKEL^rKIPlIu(^Mgr>3nb0$AVhr>E(7=$FbTLxg_*%xz08>Mi^J-r@@G4R<$6cB64SEWE14^i1<|$_M1z3gaz*LRFw5dq zBHtR$A|!IOpgzp7RewP0v)ZK_&{_r*Nyb3lMmsR9?P5qy3*8&b+H2cFP6)#P|DnC| zn3)F$_0PY6S;=Vw68qRyqI{9k3-^Od{Nbn?J_sX9Kap^1qZ)L4@ff@ROIBx?SK4)% z%>IFg|L<=$9d-+6rv9Ek0^c7V2nMH|!`9wX!E+pWo;W|}U!OmWubWm86(YdyXKUbm z&p(@hY;uS$@xD6Ex8@t+W^XyH9Ov6=(!>0!tA!p!v^{j zd#UiK$nO)RH7N8kaTxkP6O5psFMJF_#14u6Sl6Octri;SxVqpj;Sr z{MGDk9@D4q;H`TUh3U4Sp5`kD+_49Nu0>hSsKq>BRV4emKz@qCEbOAo75cW+v)2y`9V2-2jItd_%`r^Hs#+&xY)>~yYPM)EMJFXOsyEKA%^Fiw?!Hhlqu_4XL$@|; zRf{^ z%jyKuFn00ZGxHZxWX&I<`O6I~8Mribb+>>1x++Y=Z{qny@Ni72rbDXy;z~^fwWkN} zjm6OZn!X+}h*qNkF9B(ArdeE_`wU3^T>0v2!O~*so5SKlPqk)TTp*?-P6A(2JpHU2 z!>343L!=8022NV%z&&fzxSQqee>1{@VVlS$LQBG`5O-p>4pYwgI`<(YAOIuKNG2XI zzBTnv>)NW*$G2oZDLp3WH+AR$$rzG~oourf=nV&ktIvS))$zrT9n5O*gUn~#x%CpT^PMqKk8tgY(ftI=h!N3~jyL ze$n;6DpRtBRZtzgytR1S4g11zo|n*Zd1T;T*7NF_RTblF4{`af5;yOZ z{1MJ&S5s!n;3tAMSjg80Q&Q}|UNHT9EeP$qdbA!Aa;^ZY+GVmITfp|SHZ&Nbf65Sl z-|wMB#XaBVl*_GnZ&!W$zE{#B4i}dw#~p zk*#RGL|B^##fPe)S7M^b8)zS-{|8GoMwEz&D#0iGPq^Lbs{myK)6C6+EaOM&mmL&0 z#HbRdj^T<*S|8m0r{G!trI0L&y3rV`FPt^YW^k^6uv`#B(}r$G7lwc@FQ#em>Kf z2|$(gUEeAIN%t~&OIJIU0C>dmW%8@9rSQ8UuQ?JQwt)A+JSx7;oPGQnb@QU0J2lJg zKpGv-YJF#xs;3WGX9{6IKl31a{AzHNY%JGsDY`+Fhesxa9i6&mY+po{>76zKMu>v2 zt>NPVDgHPgrIyds)TkkT$W!wE{9;72z&H~6rSQTV<9LFCeyW3>TqQk_2C|m-8ViFN zu^p~j&&|K49k8Z_j`N5tk6Ia4VoJycKUE$nQ4?PSBH~&H4|3y=0R+VvF|iIuB~p=6 z&Z#y^fPp~v*ExmqVh)yw4OSUntnk8c9oQzbeSG+48)*J78A9sPJJf^={ZWw~I-o~U z{h(j%KIeN^r81d|YF?@6(nEQ!0ABH#(s0H4VCYC4&56j+>VPzn_U&IxFaK8Ip zv$k2Ur>Iirb-wy7KLNF!v_^W1_V<(5Le~k5odnIiwp2b6hOgYO4h%q?+-fBp*+WUb zG6-omJ11-5;N1sK0J=$fbOYv!sY@`bGp-7ZY&^3sjFw7jG#$uzQm1pQ8P>l&f2T8bY{%RR&AVhO}I?qUs7NGP0)Hj zVpc_-nOLJcus43yu%=D}svsed$;TCDqbA1Z(z}ch$&-I=mP|qhWOFT6@tl)%LTvC zT5aDeY!`k*me|D~#)W-o>*|yq^;LW%GsN}fnd<=6BbIbf=eL`{x^=SL!uRtzqZ<4n zoBj>yQTO`CB)XfgF>Wg*rFhfI^*$3iUj0JAt}Ck=Vp--A4lviT#6 zNvV0u08%Y89yjhXjXeDB?yj%PS)wa+18&EWf}8!XJ6C{!>a)lGD97{tUY?wug%Sso z14+f{P3H6aq+;OET4jG?ect)6PD6f4p`c94#{8!cX!2QWs`>euR^zmW>wCMrUu&7t z0;IB(=GIXWLwZL;gEcEZJi@O7NH1B?9kqpzbaJz#b)LyWb`_>9EGkE7dmM%NgAkUq&Dyt$o(Sv=d*y z<|(7@HTk8RkFpEQ)G7&ueMe0!8og|LPCBJi;(pQE*4Aw)G~#G;u*5U(ZFe&kZAA+> z>Q&qD+)Cz;&Cg%v^BU3un)M#BSj({Mw6$Q|J_RTR31kBK;!LlHHn8}4CT=-Aw1u{u zYc2BYpKJ?c?CP`D4-{)2qsmwFjd~Y>Sco62D;3>N4ePTC2`AJuG|(Uaq*RmXel{TD z*mhgG=-X$G*+EH&;C4gDY710=q?!@)LikGz)Qo{Lp=i|~@Na8bxU==x34vl&UpL1q z-6BlCl3DG)x(|-MZyk}b3AB$UBsjFU_okhHSNPObpDzqqmo01V#r+{^d)B0aeDyz) zFq8+<$M+zUS^;ZkB>O0v+eo7nB7i0ziuGxV7WqaKe->g&LowGRa&x)JqE{4{RTax( z9K`-B+(C!1QeKVVKtB2oj31REW2BS#ETH0EMh*ZVGwEw5!uX<7M9i*N$OH#GWjL?! z1D4qJdG`-YHfgHnZ->Xbg6%Pkh1QH2IVu!ZfSpMa*QU>xxK$_aR6UCBgn21lhC?m> zGb$}2Ka#DnTFZZoJ;_gSIDFw*|LZwmc$%J$+*jYtjMWAj9FGyMJdqxB)MeRJn4cBX z!sLfZLO$XRdk!It*I=Mfr9%$XGd`Et=}g?Ss8l(IEGce?-(dudO;6ygfw0F2aN{Mw zTn_KjP`_i;Jy3lXq>);yya1%(zq6-OHo5FF7E9fXO%KSbI;W#|qj8_n=CpU_jxe(Y z0Co>f`r;nTcJ0D6r7_S2`UnjE)B<>Rm=KB4khjZj_>j#23+()3ryP6+~-u? zpnZMr+S9lak@j&x+Ba^9@F;W!$K)^H;P8E4!_`E-3L@ zA?u0%El5)s?GO;)8hK2&9`^u=57~z2@a2tslkreps*k9ZQS_ZM#N;h^Y2j6LtMf3v z=uoN9V6b9ldCX5h;%^n92E(+(<1xVOwl+F9*fV_p<+Z;-n$#ubvnP?4br=?S8j%Zr#PYW(U#?iL#bD;OZ#)oIZZ}qqD4Xsr&OyU5O zI~lZ?Dni4?`RrQ@tU_vEPPY{drqM1zH8O4KH3?-OQRf*&ex2oIENS4i2th!yUY7&$ zlYK|qH425zfl`$O$LZ|J=`fiL%2WAJOV%&zEkipCpM6HV0tAvJ?0&-sJY+tCH=FkG zDqtF-1a_I-z*gVEbY8M{177+xcVq2J0SR)01k2JRwYu>&91Ig7oDgZ!iz&a|(=2AHIM6T z!DLUzg!FCTODu&{rr=i1UFzuX%Oe8ds}-`IDqWjvM}%sGGyma2TVOg8%Mca;hvLXF z%aM#4MRsmQ+xnvjH_)2x=S(8vZYXn z1DS{H+@dKn?8P3JeF=X>4=S!#9K8h?+JC(#```c?V8$#-;zGRIboYPoa0@!OApZZ= zKKC&FWN+x-b_7Nf%?Av+hnxVw}ESA6yb#s9x*R?ow<=~+) zF_A+T|82qH(I9~|r+3{%IO)qbX7K9S9OXiM)T3%wrCI|Bv8csl2gU&p{mQ-uq~@=ZX3|*X?_AmX8RP@=uUsyArd_DvsbS7N5~m2DP!euo#MW9$4(Av|9d6+obA zF>i^yDUS*2UsWnZl}2Nwc|!m5))giZ0sovV0XaR7Medugi?WQj&o)5B{F#cQLk&}- z$!e(N2@x-T4@&xkWqCXLzr;s~CGtEevc2@>%*6<8dg^heiz@MZL<|Jpt`X>4(>;(L z%NxD`N2wXFsib8oaIZ(tExJUfBUBBt7K_*SIyd6+)SjphbflT77anOK*pEm9I$t#% zSC0}zFpa7V4@%^O40ZS8)Q_cVdIAH&6|}CVPU9YlEfZV6fF^gJhpNwsndS@r^>?{<_@k#889`*Ac z9Y%R|(~6-tM`N<5)31=%kPRR+hFsFPrEuG|rpbIGBHz`+bCTlNViqwKa~kO{blrh9 z)st*S8g@DM^ok`hEjBx zSxQ(DIPt%c3B^a|w<^0%_XI4&;~4r0Lmx>D(a%oUig%jadgc^tR@yDCnu;=lHFET~b-HqLT9GN~4i&+Jg6;hbI#9H;tTs9u0d;MID;9*9T;2f^@^@bqN$`3?q2WDnz2z38yDu=SWv)LkB9utPI?KgR1uW5tQ#>oXQKxvpReL201eSoAE4t`Ik;6os zpbxC}JtM6DK(TFc|0%%yR~v{b`CSkRV5{mG4F8??OuMc_9Tbz~v=;)NQSNxGQA`90g)*a zI+L?p5EG}`Ja*qj@>}=ukxK-c1diI9|IQhBlq{RME{pPL>oEv|xjwEitj3===2$ry zS{S}IdsoX?1cXRv?A1e1AR~|lK_S&7{3n`ZEhy0^!Y-JXKUNX(F>kWmlA?l?YfQ~QiXrp=AQg2|il{$Gh>CtKzd?}6aQb26jIE%o7nL<5#3^y;ERO^^w1YU*$ZLSk2gikT)vMlSK*?+mDi-bn`EB&IA@`^>BmI#@4HZw0)A8wDkPn zgfwyCWKOnE%OLFW(4O)T$Cll+)9Q*j-`wg$1g!PDvhFQo_eSZYO*1j_^e(&sTd9BA zGFBGVCkzab6y(w`AQlDZN15PrCaQZ2tLAa@v3K+6?+Im%ymnJ0>hC}gx);}{P@(0X zP$F>s&BT3NG^-ovyBMuC(Tf=n1I{*(oQGO(MKfYe&BY+45NIEc^HD}JGuR+cji4cz)8 zYxZFS>2|K~y2Vq?OU#xM4{f#f4(po##K|_ilFgCbt$uz{gy0^lp>r^bzMJz6?M3?e zca7KX+u2O>PATd}2Sx@beeT;sdiFLSu?qVsDY`M!biY1i61Wpt{#YvSxJ?mR%LYiE zU#tSl(sog4T;-_me>1EIjuU0SKRoys{SA0M-NkR3 zGD!*rf}o+zZX!^unmc5ip%?Ye=ynK2fkAw>$0*H-WO<0Zfb2hrEu}hC!1IAHYYBrV zecFPBbp{*L_W_y8eD&QhE`CMm6++pfRjs*y^dlY#mIphax~5Bnh|Rmri%d$n0cq~u zR?Ay)PbP-eNeMARrnpgkca%XG0yzNPO8`Qrfe(#%4sJ}-;QuM{_Yh7CX~U-bR2d$Z zIsle3q35nYhCJ5A*Z(W*@rYnk0-d0^rk1EWIwxsG0+siegu8>YW4eEpPpD|HVo}c> zzMh+Di~D%dM*+!ie1@gMM}SCPc=@}jhdik$Se4Ngg&qw!^3U&@Mz?9r?8I^u#wpcA z=Bmk9FyZY#UXmL~OcEVqMU5apa?A*8E8g#E&1@vKGy|C?rCaa!9MuQqPya+3NaIkV z3>W>)^c1JCNr4K*-+jz@%3 zMO(8tM;q(ipF##~0*&Q^965Oex{Hj3CI(K7)6X&lb4|vL@*PImv%2Y+!YVAR=3d!$ z7!bbvh$7)qQry!8kUFVYsb018s9-+_jv|}E2X=`5n3E@vlHG9 z73f*a?UV@nz2H=4%tWTkw3v_Ty1aY?B4H@_{2*`lT7BY0+w?Opo!-v%BZ-E0QWCzK zk(0voMx61#W6k2)jeqo4j0vrwAjl@EcTgSj>hE-Ks9M3h&3fMg0^*Y=w!6D-$Uen2 z$yoGmPLV{R;>W=|Kyp5}ph8K9IK$L~Nlk{X+vsHpL`|G17&LXe21Ea(;D4c?{FNc2 z302(c+&n6B1xwsfJw^k)BIXynzSSrokm+ZC;h%r8@V6yvk=dKeU7kY25{=Ia0uY

    G4$y{6?FX`LSyldt4~hOo2>=a>02yALuzXfW0Ux#C zlm8`;t-LA9lnn`?_fZ?~jph`iWq(5Wa6RTA>Z{PfMN6JZR_4|p-GUry?GQL$s?vgRsD$A40Ir5tFQ(gaQ5qKTtFc2`=@J8lk9r2>VLT zKTCR9rxl6Itzk|p8Z;`+Z#wTn9IihxS_njFjR@pn4|8rm`!Z*pD)3EnrMi@sf-1;X zIo^+VKD_lQ>OLP|`J&MBDy@zHdppwYHTBo*bC;3-$4XQFcka%y2D)A0I|s*)V!7SV zlnw{H>u@E`@)59_q!+1yi&HzN6MW{R-ljLn!V6339idOSr~X_<@zw630VZHXvIK9a zK+NL(w2lb{T{G*5V_X@MV3!*42-Pi5nK_R`2dozY&qP1u*m4lmedVNAP;B@BJX@qu ze!=Ju-ks`6^rqQ)=iPP8S$J#?9lI_bB7V+IyXA-+^yD5s{iiSjTH89{(5SHo+cQLe zxGI%U3l3GLwzFsiVjnC=kihgB#n5%l#VhhWWXWUD)7r6-k|15yviO1B4(Nm30Hx0M zaZvD%J*%wtg@ZVa7v}ymAr#X$(C$%U^c3A)>vjCsY%H_ye>?BDbMCHVOR-1B0*2~H z^WCcov)>WXWa}0oav95~^~sV6i>YG78nSd0^2e*^KRx@GR};lS1S zpYQ|XdoolcS$aPSd+*xsJ-9w^4cyE4V>=xA?Yu!ErtB39c2zXKoi{y-U6gfz(TILI zY~>h;rbK{3JF2@Cr3vqK0P}#$u)ev~B8oQw*zXIV+m=%d zr{zFyMl&+*Tiq7OK}0?XRdW1#SXVmh|G@9Gydx>2Vmfr-HT$8YG;fw}T`9AJp_BV) z!7JOyJ*nN>_Jo8bughBaxwKuy2=w9TF9W&Q`zL^dh-DUHwR=y0)oQbQ3NqByXEII7BoD$Wlpu%O z?LCS>E6a1uON^J?h6+SIOf?`!8C$<*m%3`+s;&))YhfwpFvej6tcI6c1O9u+;pKov z=f~TSsj6`^rHou2zu>x`Cf9nE*FQ1Uvs+pV{W5H0bSB(f2ju3AN zz1tP+@VRHuXcSmrv25P^i^#^8{0$pjMB7OU8s`lTm;ls$18g_{mNF?E(I~Fa7&My# zTgr34!Dl^?3SJ1=MzKou-HG3D5gzY_%X(A_r>*$my9l9;35owv{=oxL|Hd{j*so3m ziR}qt^;-Bsdo4{w1da9D%$N``ovD*zF}CNHI?&4E65DBf3J&^AOHL#kqoui?oT&TA z9E1+FaC=-DL~EnW&P$D=mZoP`*oqF@!PuZ` zkjyS+91K|Q7L0mrP<6`|5kauM;hML~Ro=?cv()XGL`He*^zk^V_RDvD5d!3I|^ug1fHOV7@fitim%5mJr+47Zs%#UC!#-m_5pT)|!tw zs>789mDgMSEnD!_hU$1Zk0)v@=(@BwdKLY550=$lKhL5^Ah)LonpQYY?biGu$4xha zCTqh5BP=+>Rc#k$XZNf|CPmk++wBf=Ag6dcoh&5_YEb)@t?P#hIrkk*lkDn!I;YR) zx?hNVPtjMc{MHx?6vbZM3b4QV<#%xDpKLR4S`|ORG`3%skUUQtDGhRH3ZE7o?v}!Nf~MJi?to|x_>QH zkDAN9P%+X?P8N@NvcK?M?9au5fS<>xmKBNf#R%N+W_;9`vKI#*nwj~>YrLS~ccQ_6 zXz3jMM@kL<;gjHd^TQ@ut4bNV(Y+G?G2*BZN>H)2g1ij(te?m?uzQyu&&|TxC*nr@ z>1&{DCL923Z-~Ax8xgewGSH>+L5u0`D)eQ)o%eO|g>N6)%LCIp;;w~vheeRq5NF<= z+y_tk9H+RU-Q{<;1T_qA!PJ*mb)ENcUK4fc1#T!bC{&G;ah3&qOq02qWSWW=$oM` z-K43dq{G!!Lc8B9MnONRt_~-#`ynQJe!&~Zrl=oAS%A;3TY{W=14VQZ`8)zV#TI;g zcuM#HzHyAX*ZHHP=Q0C3l(yj^?PyUPi{BDvz>1p*C=#k-_*O1+><>uk&_ zlx8^a&}JNfPax|@+oh`$x!{YbR@K>zTbG6*Wb5po*g7QMM`@S74!H}!8?V0X5CiQm%9zjXlR-*p1wYWhgY2g9uq3xuYaPr0~UF+LcU?LLJA?dx~0wC$=9G z{LJQF4va?hho<`p#lM27&fG}L_hCiYdEVgKIKrWxX@;452GB?S_f@srm|cH3(T<$@ z`4xER%d?Aw5Z5gvFWy8-=(=16uVwL@81_;=%bFkaHh*WSk=}XH@bw@&(?R{Zq>)rk zBQC!^tPC|VJnkm_+Az1aH*rsi|M!r={7ULGKke_0E0PZZ^`u%?`(4ha?AM?_3y+46 zt0i0;i17%O1_;xoEuNJ9v{mnra=|N}KDjQORP`1ikAn1tTs1Oi-ET}y;hJf(rObVi z@wG*8wvr)9f`h#O;7B&n@oHJ>8* zVbEiGi^ZRys6)(_{4HvPjfM74<7A(QsY50-UzFFh(ssU*dUOnQz(ptcwk<{7jaVs} ziM{2Er}v7h4j6MJPO%%1cH+^$8irDTut@%L^`U}w zq$c#L02~}j)(|YGr1%Cd03s5uJ@%z~jNiumY zQQ7>Af`(&r)$Nnk1QAxMs-{+*vLr`_qR;=3)jU^J2ld?84jxt$aI%*m4e^JMte^)z z{BX|1jH6idzF4Lu0C2XjSi8Pt-qU*oWMrX|K!JeeE74(se>>3MhH*F}mBap?KYZlP zsY8C*iQx zo1hvwIgSdP=(C?FbL~u+IQCls*3N?aiz}nI>dnq2`Y2y9OdD&45qoeUq0(KWyv{@3 z{<4lE4HMcedSEXhIO)}_P)e;4T7%d-^iR{%i_c%15_C)%_z3v7klk6`jWt~A;flpO zAfPgZo4{a`!S-h5(FL8^q&BY^z?PnY9tVg;lUOks*Z_9_H;*=!26f8JXRQ+?S zY?JU$#MjUzD=gKoGWsWCf9!zn5Ita%Ml(5%!2~^i0ry&7O^L-drIqe81+t$vLJJcm zBJm$4a%kleGT6!r3@Zp)tMLnc;p0!;c!*#r`VG7O%YL1BlGprjGgI(H!&RBG%lEtE zk*l;7$4`dhRE6vn)Xg(UC^;?6Ov7wcEv_tlZYo_SyK^%W|K5;iuzkQpA5?z$hmpJ3 zDv?Cd0upXEzq^|Z`t}NXriRMF5Q-rxUFzh#!Hgf;arL|B|XukWp-iZ`&u>W9+d$*}8uPstU6)!yB*tTg_}Tu+^=V!J9}D1VR1{ zzc3m0&gU;JY{{CiA&|m-d{5~Yeq6nW8IUkqv}Xpv=eGDK{oBfdpgWyVO)}M+$SW!A_GFA>Vn2duJ&6jmn$p3 zv|-5GlcPNK-nIsWj%2Xahm3KKT2k8`Z40EWi{>%!9eNi(DE_t^@*x-tDD9kXNY9HP zUv59uU+E|BN}J495;6#Nq5dOg#(zpy2CuZ1J^!tELGGq0(uL@?!cn>BhXL4slAuF3 z>=bYTT-GQ-J$7e{S|0D?HsH2I9~pK@B_7ry?%7b(Vd94a5r)=x&i#SW4uY#HfkbJ> zmv_PI_S3}F@iFQRVYH3~wC5qPz(sIfD`=;2;Bo1u znrm;~T+zLtz6AMItE=yQ>w7}Z4+V1bp8TpXD)wGY3ORn`PbR`Gj7vFy(`Yp8yl}*z z$Z7m>xUu4d6AKqT7;GZ$#JnewccCfG%g9A5yV7mDN%BgkODsoUUE91fV)3T7+M&Zj z;|D$EKNnW_ZKks9Iyfe68)-<(cHb#{%6KX%19j#(EsOPK=Ep~YD@aA7Sx^quu9&?W zzZYLt2Fi}up|^|q^{$?99dHlDD)G*1NmkJ}5Q9WWGK;x&_VoH6*DK@FnWn!}Lh&+& zQmMZ+-qoMtmmK`XRejUmB%LlUsUBQ?*q=hRyoKV#DNDTf>^Xx=*6(Qr*bbn?&|{C~ zb<2KCw!yC;>?z-R`|*2$EXwH~VSiTP!7oXO0*y3h5eUXnf$=IHg+0S1F(wz^zwN^RF+_Yp@Vjy_?qFGjG9J3&%LYV7I>Y4iu?(yNOW zzre7n&+#c!W2+jEaIzTiVr0EPpMJQNIh{P*qIF(A@*{v*E`w@#R;gj%3=e$+>L1Nz zgg5dNK`u}IiP@D&fE`U8t|ZcOG6}V?(QB32@$)GG25TPz{-b|)RQDhz(GLs2l%j0t zp6Z6A|LJMvy{EBF*Q<3s*|VSfs8euNsTAt6dkDcse^LY5rfa4DfPjwXtzjU#SA3Wd zB;+;`-H`T#uV&t4jKzmP21ue`tQYMxcP67zQO{^6{e4a zKjLGbrCzTo=>QWIP$!_A0Rtu$!K+3nkZ%mYhyO)|C++}5cEH^Ti2Ci4U#-5$a78(%{43fGWz-yGy9PSpL`?-_riQq4y~_ie2^iv|iy zt_6EmO>mmhiiUq4pK9Fs!e7if2c{}YK*^6;VxP$*mvhnTG`{ak zKL!gX32F^j3F?RYSFJL8i&DfWd_{Q8hZG2|RSQjP49L6CrRkHUZC%o&Xmm^y3 z1DP4*kws@NSm4i7Q)3gLC*gz{Lg{{|5MG`wk^FFQTXUq;EksY|^q2zEGA=JD*bwcQ z9OScKO1jzWo@{Iu5QEeIm?`$@-|A;YM7`To8%8XQE!~K9H>%b9>l9fZy}Xd_o-$uT zTE&RGzUGrO2j6=4Za>-X>pmZ$tTqiLaIzavvq$TO?*#t=zh4Sj4suRjVMD8#2%2^p zNm*ckI~e7E`a9FKqu*TgY(Xx2J+HxaP@)n9nikoC%6rs;dHp;8osKRW&f4&{8(peEnshO}{ z53kRSFTv42#+>gb_zA#JxeC|@1D<5#-8BN6$(QxJ$1hQluYzd$xMzGu<(-^N1nyK9 zUTY45dW^nE{MNpM+}cGvTy_zSwQ}k0Pr!W@a-H3E=a(zIb$mtY&3&XU4Du`C+kGj> z)ix~8#Sng<(57sXm6e52Y&>oxiTaE5YH)YC^vzt=__{B6gDog4kAWG$LQpWUVQX4P#O@*L5UhsX1QFkFTx1hpsHAGw zYW{pqvZP1Z9NQ9xIw*adV95oesRmF=&GwpE>mjC9d3FbX`aN-MrF5|ibeTc|zF}g9 zjE7HwbWX4zKB8SA_y^MCqwtCkk9CZq`n_#3vti@MJ9k_CCqBk-YQA1Nd>)TWZ7ei= z9}0{*&Tmu~9Pc&H7FJ%%0eFtC$l#8;sd-H>DC6i|U&$kKMMGl6I9if7ULk#6gQF!r zlJhK)iUcN{>#XnlgV-O**6Mn+)SrdY6X7zf823az)_Xi}5w<`QB>+RvMKh0bD-yAV zBEeWl?=&6>qZZ;EE&wQ66y-lUW0&g<)?Sb_+pr)Eu>iTcFdKhr-xzzG^-s$24hR_@ zWWg4HC1f^u2Y5MvVOfo_Cvbl|&&mJU$Dnj|+SzOt+Wa--14bkLIAU#c>*ysQ);+|M9~nRi|~Q5>pEnfF#Eo}a0swOOoR*2 zhpt7GAFikjP)YAlJQZL7a*!@hiogAP3t-*Bz{)5dp9w5520`PTY`#n%WFA@7t+Q=4 z`jM*FD|Bkd04!#9K>KnD@ljg`qzMmD1AVINDJXi-smL4PR@?>*Y#O9G=`8`fp#Vd- zm9|djbf1$ow5`qx1EwR>0yZNb84}0rpn|}v9iTIyVt*^X-eM!KKY2fB*@TN06;CI^ zyr@h(RmC*Q6G+Efx(%f9sfPexQ{c%EkXXDHi_=o7me_N)epL@BXK1aE*P(>TX`%@U zgb(4K7uQyW40s|=lChM8py1|io|7Q~S`q(lQ6oV1)E#>{3`kg6hX8+6Pn*7T7oasW0%AJ; zZG{*TDMKeY)1JImB>75tX#QX<-TGd27REe{CQ8wQP!EMA1BG;6W=i?oS|*ObMF9UW zVLb4lYR8x}03uLnncNrX0W|0~oM*rzVC)FI?b7{2Pswh{r^DF5Vo?L$P#y6QI}3&m z1y!G3p@$|((lg777OrF5#eIjxIs+PW9vN-;z?4^*zPju!)lOvo@O&C?J_eJ>S)N=H zcadl4r3wl2WbFv4E$M$Zl0Q1M)s;L3UumOvt-f3o zk{>9xKu0hG(or7O16`_CjT_Ab0MdCDN7zu6ms=vAZaeJ_8cPDMa^W~rim&jVkAhC! zliR1j!HGFrT_YQ!ET5TfZ&d~GRiZADI&t)2fh$87}&+_v| z40=a#n|I(DeGGf?54B4~o^U-LA3s4yEw@|ff?&sgvUD%l0+>cIOnuKFn*r8ln^#Rt zQ30`+VBwrX3-jAzH8L^?3{a$Ty` z{zc~JudjjB4=e864uRkf-^-8Z^?wqRPF1iM)Q4MG&-9K2Gx4^KO(|B zzD0c~SG&Uw723|>^nI=*IS(r7?7`mZf*MdI&2V)-D*hg_FIeYj;D88|i>Zw(fhkpW;V=eU%}iTyn4vfCW72EtsTVY>FP zq`H>t=nvon30Y3I!~LEe2MRKW-i})EYUk@7xPej6HqGD>CSI?_*0#zmyCnRKP(e5E zBepN@E;M2zr0J^FM)sX7x zRro~B@+YO@WGV0V-FJ1;M890w4*vR(7oCAys|37+imJr;PrdFN8~+#tslwNTg(JT8 z`HY(Ede-uoawRSw`?lJFZmU06Ozc%&@{Xkd8Nhrq>N`G|3GF-uhtY6>kK_3%bOffJ z`l68h{7T8K&&}>UTURU8`~pplZ5;j@fNc1sl+zO8#J}j^x_jE6?(AB|A3Y7t6Tm@4 z?2tFSp1Q@Fncx`{=)D=PDloblC)mH~gvEZr3k5m!B>;GfvTi}`gzyA>>x*?=H3K2@761q`HBJa6dDHOTW+;5-sk%^*F z38mcL!(4KmZBmq5KFLOyxg;?*gt6=IweSDG$LI0MV|(wt?RC!UoacF-^ExM{=Idsv zd;dp4cB28q(Aw9J6Lm%x9G7XwfYa%@zNGal28Vf%BYL-P@0ohy_od1uWsc8GUFF{t zY#hJa(DdU>ERPK?A1vbG>xv9En2TKAs`>Ed z>$}+>-?2W_Q!yfEzaCM2yniYU3|33|h1y)TRluPkr#)p$9**Fmm?$Fa;Yb$`lijoV z?dGaCTTEu?bR<7mLj3d}jjlbQqyWs@Uh-p|26TIfB4@#?Z^9FjHkC5P9y%(JnM-|mHMJ z&u8l<8p?8Wlf&z8&$+zJjktc@D&@0DZ=&lV`Lp3=R&CzRm3Np5dSL;W;j^}{VX1F2 z&yUEC@yfgFH0n+3tK7O6oSzHt5r36Gag!t>aP`tIG>TF@5bz0_&)9;7N&|r)ouC?6$ z-=QM@;2dVDer7d7fxDx8ulmy4hsIN>puj-szAcCov$lY0R$=`@nzu@1j84uw?|=6f z#2OwjwARu;Fz&hmW8+g(OyY5lr#%Xk>Bs)&58*rJ?zg|>tg{2u=Q@p)t|TWrG0%Eh z;DffCf7f2zR^E2p<4U=?O9@gbb|5CCt|t73qKZ0=Wo%1ND`1=cHZbJ#XMiDB`f#!j6wG!WBR}kh=(zqE`0Y&0Pt{H{%2B zV%N$HMW{Dbl>d9C6YW^^-1w65*z20&2Q^VQ8Ud_A26TdPFIu2o2*5n42w`~#Satq? zU7()!{~yF1)soNGakwtg_>C)iW!KJ$G>zeh>1g4(-rnqJ+S=O1=I2pg9Ng;od%VPl z)%FWQ5m%^&`rl*a0?#pO`PHE;xA+|g#ZPHW_3FP%8{Xh9c5VkV)OS!T-H6CSMGc)> zc%h7;HW@woE&1R8B58^GExd>s-pSv(+eJQ-Vu}3rP}+|kffCbW%cH#ffWjL= z+>sFGk61p>m)p8G`iz!=4UtDX7It7k{3L_o=@Dx~aaAvmJ5ez`wo6P2c>{^YW;rlVg>fAKzgVCICKq(bJc5zVo4e%;3g(>d2hKnn&DQ#SSppO^pT0J}pL zdCX%ABBsJmKJ#Jb;<`Wi_JO=(CY1c%S2Zflg{xPiE}U;Ld>raOoH?=IrbijfHq7mD zUi5d(#>f6pAFCbTGJeEi-{cvGcMhf<5SR3_8ce%>Ey7{C3ViC~Vho(UXDZS`8f&9l zoKy4T$x*f7@QPnNE5{AmV&Y0$n9Ql{6l(9(LY?2|n3Yj9!Kk%D#MHOAl=;_;yR@6! z@zZ@l4EpzArFW3a;Ai9Y?CrGC${il@YZBkHs+-=&m3L8@qSvb#hcKp*d>Ki9Cozf^!e5}Jo(10s!*c1o0dIYBrn2zebSL<>N z@S`AhRhf6F|BF*UWPi_gZyX=Af>BNbYTS{yRm~@)|ko>(%0*oV>Zvi}*E! zQ&T|4dXY<ro@FReJV1w?%*!(Dc%4&g5NieA3pr|c?8or>P-+aGH>Z0u}f!A8X! z?2w5+693-`?dH4nql~+$E9D0bOw|*y<#1Gdp&%VhRpP2_9z&; zQw{`eZ<>#CesxwWUWHv?c%S`uxnB?yDRItEe4yvJbAX$KrBql78I)h~6-9c|35%wT z%5S3WII}dvbaqStPksSTJa49t;;R6oFwO*)#dyf7UOwCK&3K^8_(ovYD;USs@eiZL^)) zhx{=Y9YwGtR0N%o!51j;N%uL!$9JUbg=;S(V#0frJn;?9(2K#|<6}AqW>J*P zcr{9mN#wsSOm3Vv3Qb?KFeezeO^xgy3tBh7Z`FxZ;5#x=rCr$xSGJz>l$JP?+Q*@x zhtznF0?qzz$~BD@WGQ_S?=2@#tr=+`0=^T&p>c_E^GuNPIcDlG%EvSC^W&~!){!>r zGsC^Zf_kanFK*1o&?>+M&r)*Y1SAq@?MzQKWx}{+Ug)OEO_4iObxVmoqwGxo%6IXH zBiH6#Ow(N-aG!w#S>I!cHn=z9vLcJ;AFRZj`8?&NF3uwVSDkRcub$7-bLYi}v`A*` zY+Nia>~l$(*XC>rbPLikwY}=Lax+;?^hhFol<7S1=;NQGgzEbvIW;CVw<;|3=_xV{acFT-@*_%j5H%!+IL3D5V>(>1xgBSH{m!emPQO8#QfQ8H6)M>3&ng zoBZ<@Hbf<8Y;JiWKb?EC@7=0m+osFG43UC2?-FG%j8*fj15A5H>wBIjzvp(Lj;Fq{F;KqI;k#VHu}(>W^!O+-HGFyxHDN4 zE&foLK>{y!^D=+rNW9EG=qimqo2wXb?S9&?!|Dkx^@bK;4C|wRf2_^r-nuWxRq^kN&HN9de9IMv?;>qB-)V)O6u4nKcSZ2RC8ZuGSir^`lRQtQvz?19-&KJ6 zl_63|^r!nB!}bBoKLhBeb3b@$ucX~=O#X@s!gP`O>$*Fp8vO`WPMIT`Z*n|tbC1B%+Qt%eY_;UH5O=G`^$B|Y0`tTt^;gVHO{YFA4lhrIHg5*yO?z&f zLyDyynd+gX;<9x?XL%>%nB7lizRWNH8#YqCzv6OKBe&4OOJ`A}MWggQ|4)d=l)75u z9?Zmkt)soA#D^)Jue$b=jBmL0SbgQsD9C5q_Dh*E(1-mK_72!Al#wbrJ=B9~LF!wn zDR(=vv0NVn$$O}&bx^_~P*JdvJ$f8JFYxZZWHx%qP`#}0L-cHDPS&V~;L=DyG;Pj& ziqQ3H?q!OPTfO!koOw8ch?J6ON!(-`e^+B?V$2lN`nPUzXMedJfmRGy(Kr#U7k7?C zp=w;MFx$Smf&qvNCpJn+R2KCzd&-a4_f~%8#76xt&+=Nr{H&l(>z+K685;lHQqC9j z;@h#_5`+B2E1{fTF%Q=oeEtq| zt4OYE9>-Avdg^#Yl^BN)_BayVch`G;>#q;|da|s?0nmtlJ9EI5Lw{IGL~fm;)(u-P z`55ZP@BAY7e20W?z0#5b%o|rE8Wse(tSPjNoM_Aop+9ty|64t=-=$KwHoNsrj93{< zlJYaEQI*=WPEzkc8xau}dqUgp4s6-3fe%$1+xv51PetHASU?XPTAly1%v&d(Ox^VH zB&l&QeEh1|{h3YM^i9?U{7p|cZIeRDKMpT1*Zx2^{PNuRVb#_n#C}TMb=`?VfkE)p zDbK4YK3zZ|JlZQs=!m1>P|;fP1stDTeAELQ5RK=5CzVq}Swx)F&1!6BX z#DgdKT~1>(5PhW7e|j}70DT?pQW-OBAG!l*NwKRT4L2~eG90ZQuZb=56`u%4Qmv#oW&h z!53oPG_UbczGob0xAhnK?xnFST`$^9KAjRxqV}TFz24&pFE#5x$5{4XQfu57qk2rdWa(mfDv32CT z+cX2GGbGZbd$%H2ckN%EbPFV#dilFeW&3b0*qtqmxmjOVrE62B*(st@i|^+za@n^2 zujT{4iA#THJzmG+LIe&yA)oaUwm-;9Sqm5lIB+lSxRsM&&l2sP4{jg5wLz)a-$oFL zim0$rmC-<6(<5AX#O&ScePR8?i9J=0Z;-_$)UbUmXn+What07vyw%-wabADlg^r z>1Oe`^Z_NLcJa16Fc(GK%_a@`vv-I$Q+mtroS6DCc6iUVLI*!-wy|%-x0|o`?_s@~ zTH0?sW1_?O9qU(xcE6QdamaQqkwBa(Xo8@akH@Szn9N?{mTnE)*ve5`K9UTxk>AX6F*~f z7vKbSTUWtSTok3|$^%8Ur13DRZP$2&2KI>Vr|9m>QHI-n?=-Mtb!{;-fg&PjZO`Y7 zT_z|W$2h}u$S#(0VW$@|0&)nRg5j>e3CqUgQgHuZHFm*tqd8=eX&Xj{9AJ}2K20#l z%2C-@JVEjNC^*-?P$6=M>axze*5qOXHUh0RF`zhr6Xp20-Jek~0nPv-vf>%X%)ymQ zy8YGF31_xVXu`En(^#+^qzF|QiUq_qmua1nNqMStK)t>xbPaL-NDc4ggFE>78UFLV z2?Y{QEugDEoh^6uSd2Kdo;!=QSd*Jn{~N38oO!mf<=4jXS7b5-)tARPaAoe}E=P)F zCnU>`YNc>)y_O8U#p)0U@*-V_xnuRP(Aq2v!{|1$N8tHub@SWclBRM*fk2WBZVr=D%UoaRG?nG_ zKmk)q5ZOl;g35!he{BM9DTg34z8(x?$v$wc%SDyhf?2o%v<+BF1uvEeuK=+C7}~*D zia28PciqZ!HLOLAV!|KEQG*9js%A~y#hJ}ek=?xw}YQoK)3YLcSb6K zhQL3-^3at~;A&6{bKL3~%E#Z8!JgCBn~Qw}UP53gO-DVcpiG899e4Hh6WGuSla8gM z+#m-~VZzH|m)G(0>yvCR$UpHM{!w>rWnkRZfM68k>uvrrlyyKfa<8N>ls#J-DEH=^ zQa&a0nR=835_EJ}T`-rvWk^^<$ku8$vKC)LeGt&v#WQ5zHRX!>KN8n9_h0sn`pY=o z>biKTQ~%;0_1s4j|1@M*S96YxBj*R+sD!I+K&Z%wPg|%DD(|=tIdgVF{ewF0STtN} z+72P$g2gaM-Ch2p>eEe`xM`N4-Ja@cowxuO%ofn4O4R$rYXmMmB|}-r4aL!_PB6OL z&Hm>i2C@bEq6?QA$E21~AqUMy&!9M=Si#DH|Mji)fKyDi!aw{auge@MGo&=vE5&m zL+zU9(@&;)BEWBqG0)Mnre+Q)fltIZ0SUJ@9Ne$`b~=ynYIyz$2HDVw$JPL&;r{$r zyE`)qZli#)50b{(W;gnRo}Br4LVg00>5lE7Bg4B!5a657>Qn$Tu_@Zvu-N84rYN$g zlQi?xlRDynLe&SkG=sJxy|ou}0)Brlj6J>Y4%fRk6pgihCHge{D<9aNV?JPvRN6LW6Y6m7A}# zDNLy`IZpOUj(_>`JR8(wn3R})i~B()3V`r3Pe67aWw~<>_7?r0`E8mfz=0#f~(4f zJb_ZeBqiIx`Xf$?PKt_+MP6c3OTVcJ?f@+;FW2-~@Iv2L zyNn5nZoL2%1we9$2?EWr3rq+FO-L#fz6@m;hu>!XJY%d7zh`{oea+6OKZt0?1Rh*N zXaj8zu*5xsbLI0oz3l%CD|5Og3lg%*~YkM77?Wy_v_=E5Q2 zr&z+Z1jd$1`>%VpS~UZ1#5Htg?j(Wr zM8joT&xZ29m=@0nT2mxB$?yDsMuqrt+~U)e>SZ|@(uAdD8Zih1K^28wUccL3l-+y` zg?FNHwkUi<_9^J1lGn`aEJ=WmSh$=Eg-hSn#*+)}9)wF5BwE5Sv?F=fvPb%ddfRg; z%m?inbhV{p7zB&>^oood_n8G;$R9(0DBU!c2E*)+e-H`V|A{LlpW3MYPEuQPClsG9 z(nNpJHMo_%?5KKVKDT?v+7oxIGvniSMTE&03Kshv9%V=!IRyW3F%>^UUgAo}J?*UJ zz}$?il~q*-80J27i{T~9!dRH21lb0A^=dhxXGtFVj!obR;C>t|ptxOO>UQf8P$Ju) zFaUH7;~0wU{QPi!HCcvW5YR5;iGUEnScsxG$u=HtC_wT{RE2z*1hBJJN%>L_?SU#}YMbl9H=6K#_qRoMrb zS}LGq$rT!Wgs6gqT>=yZZ5#N=H;tOfX|1(r@B9t+;T@%A*sD!zxlfH;W65E za~0OWTnbD`l7`Dv+8(~t@#aKcKRGP!A&;PW&EhJrnu2%g3HHiP|KbyFDadWazT@3l zH`e4o#ZJnYXH^q?v32LoFbLwxITf*ZLFSAu|GC`eu<#02dub5+U-^CQ1=&d0p_7Fz6u*PTS{3=(qW%t-n$5I&Fz$M$lK6rz@M6&%?Iu90U;=2d&YRd}{+=$xBNa(c z?_8WixCXg3Jla=Cg*lnv#c$atnOY2> z?z-8(CL)&3w}ghe9d=Ux*MenxgBL^jrIE*%m{WsvgdKWl7 zXUHlL$PX8#?VVuH*Uou*%mNP!@Kpf-rc3}~+dM$$m@o*+QGf|y7b~K{GzD6jC(T3w zU>4S&%3Pod{ZgXFqqw0pbr?;yzl97NdxUlPe0;5O1JMtcs4S1n8!`-)JohV!IKZp4 zQ~~#n+_QUUNLA{kEzQ66bj!Ro5n~??!Ejo=Ow~=(0fX#7b7hCJyxM_86P@AY{y>BN znit(Zm)oXUCmNSd;LkQBeV!WpR~oDMLnFyBErYWzrh;`M__~34+W6u8Y2GL^=9j_- zOEqBGZNqKE)ZUfrrUzlSX%GMW-U`e48fgekZyMWA(ad*RDC#Tz!TTIUybXH%>P+TFjzL%W6C#_0}Wv?1WJ%%=2n1g zeG1q|Ho6P-9<D*Lvk4zN7n0geUTe8~G*Zys5k!PApa2lX0YWYGlZBpA75?y&+n zmqYf*EP#Owq`x*Ew^~tjyIsea{!))3*bjEX=nn<6Og!txq$a?|vpO&<+_P2e%N=jF zU2xp|fa7J*D;Skjd1cY+K}WQpnAH;cba(Q^;@%v(_y7t;8mv29H}q%xxFQO&|8Fp3 zG2(c5UzSl&??cA?mU>O4FSjg$a0Tq_!(rPGubQ2Wxnq& zZ(wbsM>!>hgWPeM06E0ps&&@-wVW+bFGTVptwiD%W%cYG!K49()&nCDC=K^}+7G(J z1xo#0B5H)0O$F`6ES(;mEKQW!VX-dfu0_czoK57!UdGJ7^aa9??ON(Hc(J(wP zDG8p#0<$vP?#5u8CF~xkI#rr@1y2CQKA!pcT20Uk&VNaUa=#Hwao(jsml%HqsHj;`p}-t*h{886eZVW| z0SEzSmo%}rZIuv5&0_dsYwlWf$9maTj^pON7-)I1svu@Dt+XmNp*Uwpff3F~=Sat| z6)WVWGM6-6gMmZ9^v=uHLcQ6Qhx0S8?s(>K?U}LGcz(NCwk*NdvHv{TOUHJOgtqHw zqWspsc(DUf8(VO_>Bx}a+_9U)IZa^uQm`E0%5Hv^al5H_DN_w$?+#+4 z4gXb(hq>|?Z2^53b(m+5YIb=31LW7i7HG6u)8W#;D{kL)R3Swa3hX9WrzR+@ZF;Q} zUGtTIfb3~`X}7XbDb!K0_vA^o7!b%AT*X$7dP1SWTeO8O1grmoG7gYO{%k@&{Rpz^ zUw<%LvBY4yi^Yq{>bbvv*wxV7WMXZ|)hy6l$qj4ru`vn_nVk23+#Uv|xwyJM&kk~z zzrMk2btEo0oJRLB6bRP3fzK31qQ`?`E^Pru5d&Y#ftryTt$mhl1MLCI09YuDdgXrHAfdD)$be%Sp-^v-^ z@o0#Gi$0+su6udl;EICa=exsQU?80yrRi<9aJE!KLJ}1Z7_L3{w=A1{nj7qXTB;HI zgoV5B4Je5^u;Fwcxhevkrp!}Yna*@gou+n~dFp7iJbsiq z?ky(W_@!dFlz=SV1U5T7fdrR>iB5OPIBZ#GC za6}Nj2(+puP)D@}?8K`5r@yh}31P|sD2g-+OLH#UE0JJKgK3+`WC&3Ynak6Hg$3nV z95HT8OT*RCC1*OY>$_6OxpQJ-MD<;tt+Lxy#MRb*+gM_`uJ?>~efGTCl=wZR6!GC3 z@wyE#WysPqU%g2USLwist0?;6-nl^Wwb;;2nsw2fJ*Url8LA;{EQti%)6XAc=}VDT zyxX?z82bHNNo2^=tl!OyY}ShDOKm8-I8R-j0_Dr)Qwy##`n^g&uEEt|M!yRtj-0#! zl=Ji1i;304_?TfHt>{<^h+gPGO;!#FL7;7zkg>r+YS)0<=?B&ihzK&wPld@2zyN~q zt=|pN1Xswn8V;3x2*@YBn;G|ebM`}A$27f>3r1@`#9c=HD3$F(rfQZnrAK;tdV$zT z3K}e%t;TA;|7M-L*6oG>IMn?;7j$ZgyLvCT4}JF->%X-JQ?b=Cx@$l?nhDhP64GTQ zp?L=%4k=EhqGy|go6F1ZN?u&EX4rbrO5)4^6qaZNCPgZl$>8J@XVuyv$e#&05amC* zWSI(n{90Y!Ch}DJo;wQ#&QW)x6xCTXJUnt=eG^OzRXck7O8wq^=s5nzX>mDV>{!N zGPIM9SqPk&Xu&IG!P=#JDrNW0Qogn;K@KXju#PUeoHE#1LZDKuG4(qtT|QK|`%!y2 zpw>U1N;>LU2zHrmn4+tV1R%V0bU^S45DTnRNt7E5zdBu(F*E*e7PZn%upjJuMcXiE zE(mhL3L;>F`Tza_7n76#&<1Pi;M~v(!<87|&@qs^V|Tedv0(D0bTV_H5k`wS z3ej(`!kO~kz$ce9kYbOeV1wpDHS#BSm*_ zI+*0(M$@^_AZJqX`LBS*+l;QziE;X)vGJfo&I{T1EKN0Q-oolll)- z!YG8ibF^a5&sUtxpC)MUN7oPEOzz~m-Ao0t9d>60Y?|}vYioOG^2dfCU%dq}u)EbG zI&nk3#|3Gq+&@2*Uc2xHpb_U8a@*>hCv^MvMQ#YTT%pRBe?oc*zvJS@ymY=PZtOK0 zB0DZcSBV2!%ocshrv9h(?g8IRUR(1r11mPiqMF~i-rl{xDM-*{x|p#d{H=<@hjZDc zkq0{WUqUjgjsOjsc?ccD=tRT62Z~NO!=*X4LD6o02M=y&+5NVPWn#+Z3ix^jOYa$M z00rG5Qx5?-31e}GhEBK~0d^%a^=N5hB)U{f6N}C{lbYEP33!e4mu?OK)&cWr7v}Wm zmr{^ZFhf|-u7!$A(p2AYD@xDHycw?^MkQ5PemKAeo> z79Q^eu>%BNF;B#j@SwG#({{QJ^FyWVma93VQ>%j#md-}39h*BK3T~i(*TaD3{HSKF zc*>vc(`;nnpJS4jnJAwM_Sx`Ez9n4o=5oi-fPmu9+>v_ak2Iz%-#Ts_Bd&@h37o&L zjmFFs=|i_2pBBp)!ERD>`4)zpUS4GB9ml3O=Ejgij*rx@yCH^V36_#~s4*F}R<$>= zW>@f<`qhyfw$UDB+w@R-r~BHR0`bAAhkG9fRGIfo_6weeIY0yMv?&?q4jwA+m}BFa zZsDQ;p7eXt?OB$fQ1nk#4wW$rXf>?msT~a(pV`0K6deqn%iOGHhSs7`F-KPQ08$wR z=1HeX_I!4DIT$U(M3J6)0x3{*u4Thz+7Fr1C8PTlsoj~lh*O;<4TS#*NXTM?!+$XR z)sh_jk6H&{r=4MnZsd{MKvGHd3bn76%0NwL_)mqbc{q7j)zpK-ztcemms$fM9I(Er~{GkfOd2|V7?d>!fugD z`73+(>a>?G6Mo>#&RY>i0aGE5C$3aI3j<3aq#1@NdRkO7vKy6x|7#9bxu|tT=3@i~ z1TQ*B#`HfaqpN^QRP9H7JCKow&OSK+NPQs?2ca((u+(G(P;4YX?PdSF9oGwxbXPGD zKo9_22+gA|*oSs^X2H-uaF&PonK;kF$DV+|Ex<=-wk^I?cklw}5rVjPTnEdRD%hQ6 z@XE!CSmrusn7VQ<+n=%MGT``)3E)DIOGCDS=nHAFd+eo)?sfgrr®(zylM%+UPv zwj%ivwG()DDx8fj8-(G)S*#GRGeHkh_7^u0G}Gd9H|^hkUPOBqhmWCdM#;^n zMQR)dKe(i+#Zp?~lIWU>Gm98SU7_2m5t6Z&7Knf@1>u4J-dS)^Zv*DRsj8EA85aji51;KaJTj}N zQ?wMIS6y>h-@tqzOwp@V;3N#hqO@ z_U&rT!3$M~%KT48^u-Zi^{A`)!%AvL!E>d0fMAPOkD(ND_n}%Y#=lgsqBOTEy010( z^%ahbfPfGm{n9-vm3mijM)uj+>%Z&-(Yfa>)vF$+{k;PMVd#-YNm1j+9Z67Q#ln>sgr7sA zw$DrC+y&f^ffNbV?NCWWQ`=P5*QX%RDQP^L4Wq%oO>8CLV};U%lUGnVzc%ep9U#A7 z#NEc=UywP=?Fdi%%M!mW=qkVdT1^_bbBI;JVZ9CCz|ZR|X{`1>F89c32X8&2c0aO@ zw$>p~St$WNz=BJgJd^pgDR~{zRix}@*H_EoGuZAFD@ znwld)slJaf&^lYj*8?JkO9(<4r{!Ngf= zn?EyZmxHK7M5L_M43DI-!BHeB(NCSa^8J<-Ge{S-By9`pkFQ`AqI@G~K3cb-?vp_H zF|WHhW0VQ??@YYLjIDG4sGdh(I(QyGbM$`T8eng%}7sYNrR%CpUCwGPamRhB%Tk5Zcdez^z+FK1sS#4Wn_SBI2yX0TW373vUww^yPioG z*N2|mP_S^DsE!TDiTUpuTpzpabU_U&ry1hj`64e$0kfb5Hi@DlL$4|fvI~O@q_e-0 z+U?!VDBx+xVdRecrRWM>eE{8-+I@7Yi>79Eu$tJSNA4pCRz4Nj8{3D_|9mupv%z=fyHY(gJkJpv)>YOy;d&W4}FjE^%V89$8{#Bx7DPqar4V* z?+maKq4sj8cdJInr(la#>^G7SYlQ*Q|0D&Gm71$OQ8@?VG@kfEPH~Ub?Kg1USyXP` z8-Q~G8YWF@X~`_XMQtw~0b2lgG~XM+-u<3tWCZLkBr-lUrQ(S*Tb9t7hdmu8Rp|eZ zZUWd^7^(umB!p^YU`&BQwRek9)dZUWU^Qs1vxHIoOj{}!N z%Qf~KN5xQkpMDeDG9{>k`EfHH?9bza%GyWO4>?4Z?jT`PSB}d=0aZn|W#H?Edri@A||OG|B2?IM@dZIYph8NGp#4=*uzc ztv_OD=O}h~C}fcB$(xURSN||}7dE!kL?G_rYwsGGa2j4e5m>8S%+))8@Y0!{{TX&I zqvVS7UTPWz^6Dv=5+i=c$nlq{^(pJHpTk{Xma5>P| zH=W;d?!2-*ge+^PPI!m^OO90CRedqt2%NSlCp=Gl!q-pV<9EAXW=mLK)XIheBL{3` zqB4Yw@EJ{c{MSV*uPQiTr7KoF?I6ZGeMMCA219_5sm@Er$&P!5U&0K2vijBG1h%`P zqD%+yEo7jEL1EDB2~FWb=)yT=E5f{2SlbkYAOVQ*72vNNyu981yh)YcAA{G0>tY)m zk-@e*Kex|Jj2i=m{KkTa63zsdc0Qg!ceXaDKk)i{;M>d%YSt=I4+~s4_%KKjnJRQ$ z@Z+U*7+r=HG<;20#DG_9L(U^WMMuG`zr|JfE5S_PrSSA4z->^evjr^F8W0qy5&^r7 z;@f`f>e$d1Om;-{>+tck7q7j03tK3+hJpLAcsz0V9cj-{0y z1{TUC9<%8k9WKXKbG_l;Z4fm3fheU_?i;sTD%W9dOKWY#t3Too5dgkD3VeN9Htq+G z=NoMX`NCiA9y>E_3b@Ow9YC6I9it{shrK^3U8Q1{{f(PdXJ{~9etC&Sts!TiY+nrEvU znzG@X?9QRJE_`!OA_)9mr9?l=3Ean*#8y4j4ghF*E@mcBB`@81o9 z{emtn)qvY_y(~I5MZc)7hLwD4v_|f5q=8XH3tE`pXuv2H*hT7S-ye1PeB{I? z?Slt)IBz_7dBmM}OB&R}fczN1#sGAI;djR|!Blpucvo6fE|FQe{mm68WXz~itGVY2 zhj;6$`p*tdoPaL!^5d4vNB^yk&AllTzW05RK%(j7MZrSy<*F;Ki#~DgmTGIwr~XDN z01G{E{h-!_p4Ff-TwXhaJFryMRTd(dxjFLYYfqb13W1TFJ}N2lsKm;5xK-|hR{TXC zeP$bLsE%;`c}sRvebT_g@H*rjHj;bo%G-vZU+J@LJ~Dbh02Ej^4(tKAEYI_DmS-$b zQmkezbR#Sum?m^K+yxe5pc^<5AsZ|VvNeZ5VAlYWNND)apCc%cCv8LF2ON6{!wf1F z@YA(Zpuow@e+5!sn+0nQm$~cC-MYYk^`t-K!qQ>{8a&5Q!T`?4)?{Zta-*u5QIen? zFzgWY0%@Cs$|Cx0U*4TiuXl9n5u12oLv~Qk*4VVfXD-4V^T@M_!7|NAuFPz<*!GSU?ndpkvm4e_;Yqxb_9hSghx*G&r=L7ly7QQouw~=sZBJTE3-8|( z&)B$ZaPtK3jm_V8A-dSGO_KBQvKLR0Cb@QV2Ntno{SP;GN4_N?!cb~UX)_wokZ>)t zUFb2bDFrVYBg+R4+F@0|FM-hjCtXfWp@Kox!qh9N0XPkGNj}Cf1LTGjDLe7_Iz}v5 z`g#;y%8dmYS^P@{KbPL4WZ>yB5e}#l9ruC>cDqMC$O%=#RYnbgQG*?oIrbgl?Q*a3 zr)K?Y7PHTfdggiF5qf{*U}u)k6U1A;8!F*Cx?1mqhNwn)~Kps`5(n zhwGQ2OsTB_zRZvNXJ1MyB-ITzN~hlT#JudAJEyAeGn%35`!u*>8<|KZN`|qG((Y=U znAIIb?A^Ol{ow|yukVs=bqn>!n5h`M!XmjH%voX&VTu>F0;oo^5B#2PEG(7G>4Yop zJFR_#b?(~%K|t{5v28vJruiUP0P$e0p%Vtm<{mUSQ(;6a~t63fl_zr9OcmAfb!~klP*?;9Q6`a9aNz}sA?6-VM)P?AOj-!ap zCv@tY4Kt9cTO)@8Z(7`Qhldxg^ei4K_f0UCj(bEN zExSSLkQ{I#V!3jFhyS*mu+P_fT&WszQH0B5QjdZZfRL)Xdo?9Avu2Ah3&qK9sGwPI z=}N>4)6gJA%~Yprswb(ifmI@mAmDnAk`u5_W$2u2!0*IkH+acR%$~5&CJQwiY#dP0!VSJ~;C;&94H9rJ5@ zBzR*^hoP)afr)=UVDfe6$&ec;K@rl`1z;kZF3i0%3Q`s~6wzq1br*O+r@$DZ0(!G4 zj z_-8=H_F6mVRcl3RsLqx}NTkDL0GASP|GQ5<{iz(}0Id=f$8rYMmd+mtvnU(bX^kij zczgc(C1;q_?fy7Y{geE#Ds2Q5z(Qe)Q)~I3$q1}Hp;aGHhA?hY8sJPt^+WqG87s)t z#Q#zgnKop>5 z3MT09+P%B7fD(*2$R~%`ZAsc)R;N`jk8ixcjH=J>I;bzROM26-XNWJp4?1=)J@taG zm0F@Z!JSvnzl78=&%$03EP!mvj|yYXLD*gO7e7g~e&Gp-dAyiWju(|sjkHc8-8K#c zF*)5mzX*`Ucg~@`+R@w2y?Q?o>%k}A3=-9p#F?O{9tA4f#n(BogTL_l<6)*$tz-;1 z?!I!$j)mI68X;6rSNcC%fs)rKe9Fo~3(k=2Q7NZywny~6h{Jz3x;9cdWcEjGCW=0M z1mm!9$&$=g5TB2*+_}3Tyl@Es5G;U`fA1aB-!GjKv+mfa6#4Ps1s2VDvKAh2{lh?{!Ohrnt)m2r6s)N)gK8j^PZ<}UUd&u8%vqMa>lqLhR>9pGcoi!5eXuOH z#}Kmim2ij#js|-gZq@*dB^f}%Gk(#D`i}KdKQ_1#IuKmB6$o-4wTMjt>4y9gLikd- z2OWl20Y^&zZ?F>@hpa z|9Fa$PayFLyX7@;lz_{3E)!<-283x9@bds@wvBb{#DPD-mgJw%!UB^AEGG$UFMyfD zZiG33fCZgdf^RO3ECW=VSz8+!WaELFnXRM}@SqC@m@*b#v670%gBgCn<${o|ikQNya3F&7;!*7z3{mXKFU-*ENSz}4%T3QRDXKz>sHUEPym zq8gFY(>Y+bg#d;} zxX-V0=m!9`3?3A{sVWyxCV`=qDR=dCk;w`=K&P>g!FklyeFahIT0CWwo3Z*76 zRujmfDKLo&2$%8GEfwm@EWz4Kk;}{rf(G$jalcPt>HkO4l?O8Y|NmiX=x|22n<%8v<%|wz%6rQ(S0uL-!`#t< zN+e1sm3YsHa%~tsIYzoP_cm9TVF<(6elOqO{t7c|ujBcAKAw-q<5?2Id(&0iyqnyx zP#e7)`5|9hZPs(2OpG62=rmk5>$-0{)rRgJS11AEfl+qK0sqL>T>CvMonDr5i*Fj{X~#ElzM+@4er8U2Ly1y^xt^knSQns zB`31U?vB3uR+v5a>@4okg$uu|%ut?yhgF_`FOrJYv11Ey!H z?B(r*YPXrZJ4AD>CcKmn5e?D=WD|13;#VEyiw6J*62`^Fj_nV|#U|=tfJmOa_)S!s zJTvF`*uwpOwcarmWE;HLvd``JVvPG)?Q*89Y?&Xbw*lnVR0R?-V!LhzyKmV;4n2eq z=KpZ<$1^aI)h$V* z0R#7TNt6lCWPkML-b?rS2l+>OrJVrhfM#<5REWZd5zkjfWqEjlsDEqaKxX>dZ zS$Np{eD8anx68(hS&aiAd8717O=YRcnS!T-n-gesSh5?-O9C4;sHjcme5y(f&OQu- z!>@UJ7n4ekM@ALbr>2%Y-DLN?_GeeDh39_Iw*4Envj*6a1}XJIHm$$MH42wO4ha{7 zoN)4MO+%cM_%?aEK~ZZ z&i!pKf1Ascc|?uC%EGg?onNFOSGZo)-46Q(rX-yo|8(qOBLObISyjL1LLwCVO6+yv z*dj zS9ej5hS8eFVD|x(?SCr++R-2)wf1h@TL9|;%~F7sx?uePm>JHERs!VaC3ZhuVs6kn7U(=8G&-+3x6ouQXOS%+mR;tEX7MRzRGKF zUr(QL3oM{d-nOr^JoE2#uUi6gPeR!i(_^wN($#g5i#Kxa-QzEi17#@Ks4)kn*?l`N zNIs0%zRD-9tTrkkjWl;5P4e8tJW_euUsmJlg`R9hh^GoC!JO!oz*uA7^R4T}q{(J1 zlT7C@O=9;rLzAMWsxynpPn)-;ePIQ&YSd_nN?1<2dtOzKv8e>&T@Ai*?s9p|1(8`T zL_aD~ZgrKC@L`W&cQ2@HYy;hIf3lF3bEJs2=z(&UBGo$bTwMd~?uO!=EwcxaV5|Sg z^}lS!!Q<=xtc511F0Mr%e6i^Whq(Sbdwu8PkmcfOvq#Fbu&)YRw`782Kn(P&era-6 zKwMOtL~yR(WO5MwM{cvJN^8*K*PABF49+AV(KY7Q-hLVbR@8~+kOu&9i@qlk#q%IX z?EV=evNNlg)JlWQM|JBfGQC(}5x@!inG9mnB205|<)_imVX|Q9bPgK2%N}$L`$bOP zYgCafIfospEdy0@g|?=wXtBcEM#5X0p*nuwxClsWHclw$i$fNzAT2JYwCI8F(4d&K zGB|h#cwwo}<^;@^D>mntP;Fk(Gk?}ia*CWj=Hk0tSF62BmjJaO9s;?g%4?G2lOz>ebs8nUoWe~#R_Wx zi=bDuOcNLDbINMC1~+M%gsTCqIFPxhy)kEj#E?8$2!>((JG=;%(g`lrcyWIKTenUM zkK`wr`7+UU zE#62oHUV)!2FH%L8glRKomD=|rF-P4Nzyj;XKf=eYzL;FI6432<++VLH*^#oxik?( z;Vx$(h3hWfYd-FL^n25&)IRS}2on4>*L>`s1 zyxZD|=m8<16XQ4|0ulG;ggEZdT&<(duOHh^0vs|}eDP8P!;Us5ARv(iPEg4|78Y~t zmR1#%`8NXp5e;+cCIu;)fbL(|Y%}w-s|J@cRO$n$5eyC>^PY8R;_UnIcZj|0FLcP^ z5_f2QwoIgCW|^!2{`tCVm2(^^F4zslAy4Z8%L4V(c@ zOb4vzG{^`SJ;0|ypLQ(v0V#z2Rs*=)`qs~`Q?VR8g8;zEAD}k~2w$t}quGG^a??Pj zw#?3TR#sfs^w7*COoY=Tns@o(S>(gn#zi7uBCdCaYK%0J%I4qiUlEJ|l$Hjis!JK>V?W8nF4|A=I+$Smg?!~4i!s z^GA_J4G)g2>0Sx4B zo80VM;rC2ej|2;cxq+C+bq^IDq@7#Lo^G}XgPfN)zd+u8wkZTzzf&Z%<$MGNah2{9 zyXXQH@bUEcBgH}%@;vszH)y{ogpY|67`eEMI}>%BckJxj@dD-+cS+n|muDsXVAos^ z7nB_vQ^YfOyZ_(20nPMl@yT??LU{xRwFk@h?u(Ua@!Rw<_ALq1nA=kMs;Io16?Bbv zVf!)hX6#7{4_r>~FJlnOlrn?Hg=b2AxXSyPRs$b91kMiV7kY0gGm>fA$+tGcKb49( z$%!hnJ^;87GcztVxTsItw?`SeGk_pMmsb+>ed0yYjHb-peVQA<-03dw8jh;2CQc@M zPxZ#^N+8?;^YQLPasrKuoY=+_3uh%fY=9D-0Jh-IWP3ygW!iW?mX#sj7g4tBv53u) zUzF*gAX@M{>n(WKmM<*Vm)?$ar}= zCV66)h~qjbDvkrjCvajy-3z&l#d{$S#i~tpoG|!?_1i8|`PPBlIJ@qBiMwwSy4(z>4O zz_ihNv}XKWeu680XkYIUF8=Q_M(|M6SzDvDAN-t$uK(p7#$O|h=eX`{Iy_=c5Pzy7i3p)zvpQj5M3NVE*5Dz3v9D17aWrpcNi(Wfro~WrU*H#l%}(}g*-<$$ zH@h0++(<<;P^Xd`Ik+j!Bpdx0QWQSg7Ih<8wB#cHjoRGj8_eZepK2lU2&NbVhKBIKeEE{2JlIiE^yc=TI4u+U-%A5iIN5M?d%u|cm^ z8J@#=0^|*HG|ZI0gYYytXkz*9MIJ<7Ey}9DY~QrgIQH0w@o45H-k|=M746as<%tMc zllmP!WlCfg0&U`p7))#`f~)U8nqS2G&igmIUqmFG{wDM*n>&iQuGMpU6CpI=4C3oY zkZe2D=US*MyGQMlEV%Rq|DUeF$-d#C;0%e+oYj_3FT{WM$IkT(#6HjSpQhq{Jr0Hl zEtwumA%^$9L%MJJj?$7L z7&r86-EPTuZ9d+X4|86+=v$PmCTn*`kem(twFA2zGg~ zOQ!JgrUAsck3C1U=?iAZWXH8`0QDz39M}&H@9uqbvEZBE>x}1SFf!LT?8-p(Jxa~; zrBik?gWWf?RZWiX9klNK@t`~Nfsx|E^ZfVLZ`{SUY!On>n(?vSpZYuZA74!Wo9H2d zlC%`vwx_R`Pm*dL-y}T8w6BHQd~G@82Ls-Vi(2Ai0Uz5u%34kbkv;>a>aKF%bEyx2 zd}n56ff~`$on=YD(dTMay;TcDi;=q;4BM+ak266)yVc8UsBEa?#ktBfWju*_n7M*e zyW1O=XSRAt8Py~+B0U163a$s<_=Zw%nu!-Aj5ogn%|7zjbBVlf6~)(O0G3+i`ZXQo zuEiuP_{~K6Yur5IMdIEs`7XKS!Mhi@L(dO@?ZLst)hWA$`VA^=y3(KZDf|oyZVVch z+<-LwbEc05O@DBj*0G=kGfsly!pRqZ0qexZ(7O`%5V#xwYXx@*3JY3MVyAX2LaJJ; z@05g2N&90VZi2W3x@I;=@bnf-kq6ef{N>fY!FTQ=0a))3e#QS}J3ko*zX7^4<_?+1 z0%sLNPu_b)TNy>H32NxtdQ-WZNiBQOZ{tMH=zu{DD2?mf}Qc zW>R(~;;G45!pTU{5fj6NSZ&@=SzHnNn1J|M8akzL`Odz8K zCic18{I7jJx%|Wawq2!EqIa~J!V%@?@z)P*RiQi<5Kpo%AZtT4ArAW`!<m9)lN^EyuK!00n~o`%n3s4daN?AJhnX-g>SNU8bIthY zF|5y+?tS$@S17PQ=v5Tp#`u+beRXPN-TY<2lvVTRRDZ>}9mw&sH88pq$Gvo3H&j~3 zN3|9r|2eWAV6Myju(wO7)A$GE~0DmR3`uE}jsihN*7$p@0)g?`ffyF;P8b|G@3qV5-DgcnL@P5j?SM@-h!|fLP?O8I%c=#Xd?~c-Vu_7 z?*A!^jp5fHNwm$LkBzhARZ|h^&FUJT-SDr+C#Nz)cQv+|Gvzvb^*fr*d~QB23}jN1 zzN&jWO=Y74q9-)a3*s>nw@ma$KvD31Z`ldGzfk4P`7 znc#9mJ^v&|@UP?jlBLqkvs+r*6h_}ERqM)&I5GBTA`Ka9i5koI);9Mrn|Nvc16{>y zX5$ie$+jk!&Xk!notGrHiaOCE98(dx_4ze%zvJxaHfXd=h;ml^YdXH|BxT55>W}m- zWm-s?z^0;>&})BJ8&;_k=LO}15NH0#x!>IdNEl83?6}iC9bARIBRJ{!TS=oWMQ&nw zYL}rFnfsAGYBzXp+)QE@d_K`=%EN-% zC+kFXxRjcy;9^$W)Eb-5oOJupk|pbu(dQU*J?fL#*6DBEV9Fh;t95$V8%qb9E_+@u zYj=&6kre-%rL^GJbHY|#Uw)-rIMswrc+uCZ*R<&jTpqmiMCO7LhD=!P;YRA5r!$+B zUO>_|P;lmi!ZGs~KO8W*Qa|T{mX1`YanA}X$^iNROo=)&Z(`~x{?6*dTFobWb3k0f z+!Q$hMpJsNr}hL~QK+fgzq8#uT&flxRE~o?yuRZOMQI$_ha8OyG(Wm`F!WdR?97a6Hi0vEgB=@RUh_0 z%+8K9ZkRhJaf$@XG%2r+v>Syz{K;>rl`?oSZx!f+<4*&Jkqx5zYnD#g?t}V0T>jaW zZHa~;+tvg+Yw@RsVl&X0^=T^2uof~&Ds7we|MhlgEJP-9;A@$HR!yBKAARG$nDL0h z!Q^*Hir;@OF*o=`&W3)cJL^(pR$kt?2C2j-h}2o!IOTPAE`mfuS6#*kpcqa6-m9tf z@N51~n`HqL8VGxdz)7R^*=o|%d1PX#;YP=J7lY0mOPcNiQq>IzJ^X|`U0KPzSnlRkEq8EMjyg__OLYr1txT3qi zr^+7JL*iN!%k}gJCaM<-e$SO@$1cc4=X2x*e~53&R<{NT2t4_pyL~I@2txz`#o0SI z+KT=e&s*5z*@t@~9?6wXvZxnNZeExn;lvDWPnr0%d$nxP|_J%F2R^}OA zf!fy4(W!soA=QWiH~x$be}K0?{ zY_c>s$|KBjsGS@=qnY-r4H$h)1mC~~zP;8sm+6^Zykz~F%G9HUj}!(_52J$_(tv5a z0R~|JQ=xh4egnpMHOf~I^n_-s1q#NuC-qQP?FROxbIAY-4SHYi12ArYyaGP4W@6}5 zBg%@AuJ^=d0Ta83)lYp+s$1g~M%Ls{vuOvpqa~&~-<}yW)r#^fKHWN!H>*4Eo=GNq z-Omyn1f7kUyny5;1QX}O9Cg{@)#=6Ox8x|5xlsO{u_V`sjvQht%-C_cnuzm?pOewA zTl*dh9C`O#&3pA^O-rq58+$7n-DM5OEkkAjlbF7^_5Ku?c=kgcXdIDNzi9N`)O`)F zpGQ7(QQU9L~o``?532d24JOUv&I+scmER zs=w%n8R_I2d*#$xFxVvutCZYojt~Fw=8qPwo^=4}LU0_q=MTMoT)yJ~ic-qKaS3YiU)a4>Xk|cou2K;C!qc z`xiIH32-VH?n}urgUimT4;Q4Kt&S9{@Y3)VJS=W>>bK{gI$u=S5D^r zQC=TOj~*^4`{S{JfzmJx-3>|!;G&C;VJC*x-TDf}H(!=+YEzeY__Bi2@6*}+xX|2I zQD0SwbaBE?(2f@RSTp_(h4_~cOr2iKGnRj>s|j-P{#V+EW)x=21c+amKQ~3#jz7=g z?dOjMpbQln^mBc&UekA5{lQwvM5l824?XnpN(fQ{8AXe9EF-sM^}b#uh77d1k>3>s zVEx|bmZ8ZP(n3?7c-w2hyK)V#=mu+hetzTJ}r;t?^|!(f0DyVX*&GylcV%qVf3T)+0!_HPt<4` zu^aV6)Zg9+zuTg7bx+HUP3#jC?(_tWgBu&OZLN41?QB$$L?00k(sgG)Z@YSHPx{%k zw#oqAj!^m{KX}MXN($|%Gd`eW4MAAu<-dpZ4_|z8Ty$X2z6D_2E#@7QS05-*R4{^5G6`i_Py;mq zf3^B=ODvzdZ+M_dt6#S6JvS>mI%wfns>{clA8;~-Z#0E{$o^eV^Rd!4a>|RW)AjEL z^L?v_%<<|6zqM?J92e2-{VueqZvl@UvwSZ(l{6CSqnS7ey5yA+#C`WB%n^I>l4nH^ zy|(l4DcR?)Fi?bB?{LB3hk4WNj>{U!v_qi1^I&F-HXph3c&!xTfcq0oT$q9DT2i9! z$%Yl+BXVPWEk~ukaXO4DDP|iTLuXTy(g5M4CFd+%pH6veH_^P8&Mpj*&;ioIHQB^G z=I>O+x$9f5``l}#vb^}p+pW$W4&A)(T;cF1#hFhRQwruv6>Tq%4(uC59SO)z+<6^8 zHf{#(&D8vaLxIeWh^~K}c+<0_8d5i_dP_xFHC8!Izteu9Exsea3W9uYyo9KmU))u4 zdb({4qn2SNGy~rsmzCNPu4UKnvrW{0b=`klEj=xNSy`ejl3OW(u2Y1T&i=_7Onh18 zG)X|WR0?PgFq{>;sK$nIH9ParRWO`uI9@xpnhAcoQ!ph}113Tq28d^29+MPCwA%7> zwG_eR5ZSr{>%2z8Pkh?1I?)3*^Bh%uIsCk#xr@dmA&jA0ck4OWoY9dLH*VUkR3!UrRXE4hSObJBCzkK7oABhWS)x8 z)PHjBkwF8SDhc|{lz2W0Szr75N12*{=J|tA(1`wj2*m^$=G7tQsnPaVSo+-@xqfP* zW&<X4s3k~`CyIWnY_q#cm;^79o>lQwQk z-jjpkPjNS7cLgAi1f*?WYDSe%>T1e6+M$hTP9Psp{;Vg4(yC9DZOtgI3u?bn+&bER z2ftI7Z1Y9_;E_ESIaewkxXi5As=lTB23*zV&$=sP7Do^(tiPG3q#oGjwc=ujWyJu6 z&ADFMA5w+Z1U3npW)X2@rtDDSGw?Tn!hzxku0KGws2aHP4=y>LlU2nKr-6hq{2XX` zSxmyP?RznIe;Q=WU*vcz!W>W0O2P@Y@Coo6gm9pPpE+*U?;INyh-`UQ+$$I-(7_qlm78f%MJ^MY4b01&IIn-FsAS)NWiAb`@ ztY%N=cSd9Vrr()cVAGE5+H;jMIdSK&!|BY{`r5VwByYLW)cu)^nHp3I#6p)B&iV@f z2byCJujQ ztqBH5Pr#Vb!oNsw#acZHKO=N&^BGyE*5Y51#cRGVW%w=%4|T7v&fAJ;!-t)}Y?J(m7ZOXGJN8-EWJ(fV z8%USmiJ9iQ6%#cb%ztH&*xU`*1V&iO~JLDai-6xi!HqcST>M$Ii4Bm{D3~71Ud6WQ(9te9k15vrYFUqO;Pavzr$VyzVnd=8L=qP zJdI!Pyyp}cVignSKni*+g@${}>4|$deL-U2^CP^kGd&N8hed?4-@;Mdi9aX7q%Tfl z+uKSqpPnwfh>1B7EDE)Cpc;NeGZ^Mkbr5EfIj_cE!wHw-&! zSq@LM(){ba=-$v-GE@q45(6<%O@uY!)Q!gP!Y*HxG%8=wf3>lZG)OJOl5mE-vvriO z8wEI#e$HX9z#x|PO+NU zw%?OI*Y0m+>_|r}v3~7;LV3I9aqV4*(6CT2QYB_4)SV#yZ51eihp;7QuuD@fa_^Z+ zSPj1XGBnno9v1$w@|LrDjYDjt?Z+DhR)M4shZP@qn$~1!t|DJ=d?4ot0@>g8wA=2e zv^=`9?QVai$R^TU_^fP(oYYfq2)fq1+iS%8On~RfYqVfw5=8Kjl)ipJV*N(Ov5MCT zu77I4w3A)E>(A0MNf=p$6DN-=WpVHIM5%@Aepqz&r{OjBLuCXf_D&i&t55(VI(H~U zJWf?ib&_C%fy(}PqXSA5R97OaFqgfXrAFMoK3w%Kz0p8oi*EQ=ZM?r|CZqKsa>z!r zuiiWKQ!gP1t{P6T&Wof$SLX@zk^CTn(@=eE6{w->AxEG$ib(5rp!-CaX*G`$4kq$E_sa5$=@Kj0yxMX)bXCYE^AW(VouNIlL3qG+?} z!7TXkUpL8@iSkePNc3TT3OESd6PBeC-cQed2HczNpN;Yw3pnwklBi%m`k1)}%k?Kx z1<(3mf-Q7@(`%>Fz|>=A_5?5=+oclEPY<9>Y%K`>*#5fDi^x$uJ7UmKW$lG4WGc7kt#hc3A1ax#60|5h zrWWW6zusT77yF?jrjIUoUGI+J*j)7=!mv)D|LhqHh%09!AXh0{$Z0?YP3`!#&hXyd zn6Mu**(xPS%~6(w;it~N21DlJRcui)hY#OcY44+5u$c9qdYCHVFd%cq;yf&2nDx4d zng{;X*;|DT^~NRn0jpvtQ&RSHdL}Q{fcGWJSz|e$nwnAB6qd?FUp5 z=WR}hM&^x!C4_6P^m!#s0{@7Hk+LcS@ZvMG7>MO~Vx6H2*PR;Bu+xJWx($9Gvd?3z z^N#>p0|7*wT06m*E2Zm31w9`}#ij-h;~Ln7;wZUAmI(;M+u4Z68gGOc2aC@pcLb0$ zU%f=ns}tGzHgj56@Tk%~^XU8`FV&Inq86pVrU2YK2kh10po?V$4O;>++(8%UPHu=+ z+Ol)G%?C6=w#*i_KZx~m11UPUd9PnXvJ3L`ph0HvDxTX8jt5w)(OuwbyV#v;p9StB z5Q(tkViy|$!5%u#2^;W0J#?)IYkvanzg_|niW%Ly+met9b3i8^5MMJ5hY~wTET{KX z{XRm5m$;#Q?|Cgj!r`Y@qo(;o10thVfK6Rnios7MH7y`#-^|Rc#MFjFUd){r76kk} zN<6l?Lzzei082nE(8aBYr=O1GfsjM4B2Q+mK1Aw2eriaSS9wcjaFtD7$b`i-Zq}3` zw4Z&TEwfZ;F4N#&ov8Fu*{Mfs8)6n1JQH>Ks;Ct1>$Y*fUwU1+p0knv4XR2Ul>+vC z(0EL-vdEU_k?(i4_)J`NR#=)5loUyn>vno&+<5oD5aVXK)gS*3Em!XB!kv)&FEsHu zKk)Lzy|IxN!k2=-qZG=M2aLV*LaP*$-m*cw!t^p5A7<`=9r@%bIbg)NsHJ=~aPTbe zF!QFU_Z2Jh4EtBgQO5AP|A2yN)c^Q5kaM_QgxZI%z&IuNnPqAFN1@A>k9Mw3w(1&{t~ef3qRa>0+)V9 zgZLpM3mN3!cgWA3ka5AQbaPu|I=g!vO3UlL@@%>m+SPHYR(mkMy!JpbkjnfpUX5)1 zk+c5zKRwxdi-0=+c?a-1^_JiC=aiy&Hy3J{KPPhU5a+|%BS8al!@Or1mpp&2W}^8J zomRu{ctMV=;85JvT1-zk!E>cAyDdEQM9v)QTH<4z<60}idluRch25wF6w%(J>Obx7 zrGHQT9^eeR7^ihU+dm}-e%<66at?y$?gab($(M8J%6W0uzP2vhIeb}Rl6bq{Tzs{G zzf7ZQjp^>?F}^;Q3_6XEUF7%{Mo*RUx~P<{Kf%e1_ZG*`oiaF`=28$O_!da(W_Km| z=SE)7$o}LhvfC|Po&YW+q6*gYk00E?5C<9VmtqpAB;n#KgK#M^{1xCBLl`U7(3oO1 z8suO0;{f28JJBF+^VYyLPjZXb>nb*;|{E^KC@&;%!j8(1}%=I?y{bI=?e;GUJ_cW-P`b>oD8LMjk**K1KF5Ov(k$ull7 zOmpvev!;d)-4h%fo!OzNs#`O>&UQax`c4Vv3@BVgBnX{TYGkK`^tKyGc7`e;S5JJ( zIatKD;gTs~HSJsJjQx{Zh1~CyAL|r9k&4^&pQfp9kS zaNV0voO3rk`_22I6-l{)qttrYP$n{pSn+9;Vn!?5^_jlJC`~OB zQ})@Z8_XT&*B3BN?x3Dok#?ReM^-_g)M|&&z+(Yo3j-Vux;*v?;Hs)6y#&Y?!X>7_ zD~8}|02fH;>o3^ReH;6hP_K|F3zuCBA2lPMr+Ixx`xK@E!Wu!mV2q_<_m=3u$ZKj6 zh}>V&nE(38^vs1fHYqc8Y6d?s2Cg%S&-ylAVx2Cm0cUjiKYINusgvVp43*{drk>J^ zx9S#*Ew6?M9s!_BL4yCtNs>Wj^_~ z{~hX>+}4KD95phRJoeaE<254l{zi>W1*S3Vlyvd+dDRPQH*=C7Z3^XU7w{st)qA3H z+uIa(56Pu|zT)NGKX9HU{s~)YjGmY)%MK{pbWrl@Q0&m;9esT%14Ht)zuN+rjS_bn zH&Q<}E1*Bn*KVzsM~M%q>FqV}dTBEnpQkyEbl&kR@gz0T49h&Ic)D+Ox_L*{lD2m5 z;VMHta950tdnFvw|=KDyN(kOQaB_JO+_1|K@)@G}ggpBvey!&8u-Tp)l& z@tjm1>&87$ga;4WtJ+iu>{Ac(umN0{>W3LDNHwpKRt<53hTRoEl~(5?H^w z0@lNBGD{{=)BT*6!B+13&ft@`@031_zlHI1Iks?hH@@P*E__9qn46nawnzIOw+4Q9 zKEGj$btPU|a?Zj&210WLH>WSSvfDCtMv^O0->rg(9cokqwajTUFi2CYRO6LAzK+sq zNb*+3uqF~@+%mQZ0Dr=^b0?|SLvJ5>xGG{0(G21l!HMqa7l6}fLT0(9)3lkM{m(4Ou`D<13}z3|`9G)mJ(+uc zw$~pRHx69UfIn2JT4o4kg=tM40Qeywc77!h$<0Q9vmDeMvdQS8A2RpM&|Bvyhr9h=;n)QJAseI}@A;Rk9W>*lt5 zO_tk6KMk)vqbNsFlthq0oTwQtg*ubZJyz-W{=&F4@5Iqge&u71?6B&YXAC83b8aUB5nyL$Rn2oo_c1{8ZNSK$0bgy2a+y&9lJ z*W&=}iY@L&7q#|5N71;nNw^~H2Lq_a!=)x+LQd+xBCKrS!g;UT*JN({gFAc5@yDmo z%EvIVCS$`o^I~k3>+sd@B^5q^{eC^BGL2Ss9zqAfjdNMP=CON9G-0Y-*<4m?8Sv13 z{Vgo3|0E*)ZX>t;Q2hPh>^IzSCT3ZfmA2LQF(pL%3B2$ruXnp3D=zT&TRQBIj*7-N?Qdv-cp%X`%;M95e zKcJ0X?|c-D@sN9b=QrI@RIOpl(!lX%V2N1vS;4tB->mfdHvKYM)Att-an*JQzAI zhfDhaU>@+`q`?#GXi|AZCzWfZ2bG5=oyaVO3ECj5KodlN-P{I;G2C|Z87d}arQM^J zESTsA?@-Wh z0Z&wL%#zs_)EzTKCFcgGfqfYxxV=60Ujd(I5}$6B-57nd>RyOc$mg>~wrElZE-B#u8mv!E6?u-J>sLavSG>;QQKG3Yf4ElXIXniCf zw$sC0wdoit7H7<2s?MVefBud1S~5GIFHFM?VRmyqVGAp4lY|Hm#c(C|wXkPwxem;_WmFR!`QhhyNp!EBL5 z4D=IWi#TDr_X~G4K=%iUAD27jC=o60-#URGJtdIc*Uh*6n_*QJ=LTAI=;~`2U=#;> z>Zv>`e}uic2<*cXduk3Z9cnkr`ruPzwBTs~LYNL!Mfw3GYkQhgv_nJo9>|VR{H` z=&4Sn-D7U1ijT7m%MI@EZ{KZfbY?ESsH`G-PHHv&=O?F8OA5JODU3Ak(UsG^cp2A`{(xL#fY#}i}i)G`N# z(m*yuMAzMdA1z1p{QZGDavD8<7N`QJWEeL#k|qfA-;sE^qd$7Mr6L^ZI1Xj`Ah`Bq zoQAOAP1Y+yP7Qu`0BZp89xnU2)2TYR6RaUaH>i?SQt7w|IS0rlM#l~UDhj|CI`ZJhu$|gy8J;_z&0(GmEmA>&`uDiQRyU{T7D{0^WAe z54v9!#E_;z9)TC(I>@O-mB^a$uXU~O%Fd@OR~Hb zUF<9p5wqXCkzYOHl$5Z8NDLK3bSQSMwtPl**=%);isKc?2Oyn#51ghw7X>3K${VP{ zt?HeC1y}A&o@nvH#gOsM$6qf(1f3z&eOIToGg9w(bbdwp-PRtbMLUISZfZ)2P02GH z275^8B1I_?_+iC_?qJ6}YI=MzQ30le9vj;SSnTZZ!!G(AaeQ`MRe4L$RbzHs9(75` z0o7mAxbI5SmVEE|75-$uLph%o#_LZv*Ld|~thF-bL+#QPoz=ckTpX9l+%dUav-{O_ z_2wF3K|h$ZJ|K#^??7K-IQ{l8*kR0CEYnmnLl)n$5H5}8Iazo- z75?HClf)*K82`WDb1)cZP=CD&0_1$<QwI&CptF@vqfI zHk6p=@~C+0Z9*?{;D%{qRZ+lx8>;~BPRki)`hJz}cXJ!UcWk#e8ceVfP`h)Fh*MXi zRfa!ZAY2uwMs+2)_Oh%W%L#mtPzVKX`&^TwAw$x8*v9Nr2Bh+38!DeyR!z^PKH+rF z7W}-wpHYKn#r=J>N7`JxfCixtr3(%JYx4ZYHv`}}&Vh=GrWr9ksxDL0;SE5zEVS6eogDng%& zgNi0k(OjQOOQawH0vJ)+y@(w(t9~Em2>j6?`lw}bZKD<(0EV7maOx(hPC)8{i8%Pb za~CwF2C=YT*D(^dlIPtA?!AdiwDb(FMig@rquLgbVQvzXyzZl5}DPi#A_` zFk-s#xeosDq8i`T$%f}PR*#MP`>_KVwwq+3uIWiKD+U9Sx;$lVdh8Y%peMceZ$oBH z9MRa|`FP3A^4Nl%t^q~EIhsoJX*Rj>orsHwX$BjgzT@)#W;Fw6^#WYl>CeG-oysio|hVfy*L0NU`AK&KX@x%P6+Gj`zZY+H+N}*rJx8Klu;-O4{MN=mWTadR=Ukwft^5LZjpg8Ge%Tj&t$ig06)^y` zIBjqWtzdmt`5d4)v&G*mhSZa)9jn6r*6z_O^-QukO1Amf=3C+J)e^njOR#C8s^-Q| zq)P<#*cJV5FiFhImY1Ip3+xZ>vT{ix;#?S=K$*E zmu!5id)5jsAZ_!H-SKHEhuzHMmo|+k`K?Bay<97(xuvx!LY>qb&3vaPwB=?iaZXgu zht%A!Du`+{6-Kt=2Q#s2JCg4^Ep0J7Gg)m^HjD@LEM>U(7T$kqcV0F{dyszN&}dXkZK|}Ox6~kf#w*gLg{_fJixNv-UHc&OcdPuk$X6l zH*eHoD=E^QC@xgM7tY+ewo)^DgGRrv~~nCx{|{&fVq9%Ixnn5KeO!YejLy< z(f?+)0i$n!a(z7pzcDJ0aDs@5=md9E6^POmaxZf>ChZhdMuo|?CBJ;;X zB%^8bzyt!hdqFB3Orgu|NZrqFl`Dt1V-E5wnc_*zdLD~)H*6|A+|v&(b0{Kq^hZv)S0;#F9w}P^j9uc{?(@VDBp86iOLM zra(caO-Wcf2X|XXq~VlN&j`nIN`k`L$yfdpHt!H*kKYa)3Iap~70)^9Z( zHS%YZ`$?dDs+Z!#(C6{X+nTOzODJiHNEUjPfA@mWZfErmt3v|tF2n~x_oBt1Du)`o z=u}E-yxXQ^`BEd%F5fFG6)seocdkP~f6LQbFHVN0&2l(HjhwpZht+1H5}QrV2qL7v zH9a?~W7q*5MnzWXfCeyLAU-)ogFrY1#NUb+_PdTq2>Zm)9O#%vf1URE&?^6h?DOdf z@%cM~89FIdGz@^alkH8nw&_FoeLeXxWb-Rzasm7k z=P8_~;unxj^F|j$DzaSeZv&eISmmBo)tyGk#lSCv$22--$V2z9-u$4$K!Y@4K4IEe~##ZW3vnRAMsXjNN9xC#|m(%n&HN z;5W){rkay$^XUT%7%kxZpEcHAFx0w{(4VN#=rW9bbz#U{uYM>DpE@ z6c|UHo*kBsP=_&Nf3p#x2OVXddNoCk{_|>+0dqeFQzM*6M_2LaZWPv@6GTV{I%2UEh9+T`SO!_u~s!d?se?g{Ng=+W`4G?d=9Y4zAzr2{t{AsV|tqY z;cP;*sC){$?tb&W^)JaT0*l?9QC=Jpe?S2xXEM@IZBi|PjOxpl%GzXe`SXZ8Zf0WH z0LnndpT#pJ#|=CJ1a0iNy$z&3Qrn0Xi~OhB%p7^{1h{%*W>%2mVRR0VPMtH~@IIoC z1_+i15Mp?ol}68tTaFg)`PJ#bM|V$|U1Yz;%r8_GP4C|QotP}1aq`2PiLt4<%8Y{( zcZHL{#WmdM#v21tW!pkN+^UO<&dF!vqVFCI^v4QDq_FTGM>hGe!Wc#W$I`dQGx`4i zJ4~UIv*@Iphbcm-oJvkP=H8eM36-(2-G8B#L{sIW){^c&DF2?vyErh0nf*>LG{o$dbkeE* z)lz*aq4VzhPF`7$|Kjf$bWiBB3R~nY1Z>sbPQRpML5`9!2i$&<8)Cdhub4M}2O_;q zq6UOt)#(q4U=+1#X8wg$J9^Th|3BAH)!&ICMgmJmhSCrPCGfsNLfglXY98B(U$^Z)-2REnC zGnlw;T)45oe>3`5qBQ?h{4TFu%ntsVX42IgOQsHkUKO7N(i45{fi)PmIbCX#;5Jvk zxV}fYlPVuDDNW}>MFh%(SxBBCe&JOT%m;Tx`!L5Rsbe0->m3^fcXM1^bWnQdsywEgreH{G?@qVTCb zgtUM)$^i^Y^douDa%i6@6<(R%k*Tbn1Ef(lc|uK6r!dY@AWhd`zUErILzijg{5`;n z)qlW;HXLu(?LF}->n(;1$#b9>iV*LzANmFmTdHN{PMADax0)L#wa5lQpzp5uDKI2Z zh%kVe8k-R!muzxpKw>hs8)7G?(sT9M$p(8p!BG(zb`r17eR~O2LJ6R&XjGYP&2+po zyI3(TcHfu~+O^3)7O=_;BSWjX_Dy+~V)brW2nbXqj}gzTs=!^!9^$Ej-w5 zEflXz;TxOtU&0Oz0ktstyRE0pYZwla_JIskR09v|lnRCKM%*XOI;v*9E`az$tgw2SVV7`~*`Ob_j#Pz8YKdt45b*APP0Y7S|CzYr*iVT|g}H z2bnKH{9Wg@65EZE1lbD|0$_rH8~L9wz`1HYeiNKt1B~mNMsDdG17sc|?sS0@MA? zugN}Jaixp=edB2v`(~R#XzvyN>J}*hPiATE-uR3OzQ&7!t1R4Bfle6?(XkYVdBhbw zGM^ahkE>CUnOeOsO|dz0`rJJ1w(_XWl)%6;kp*5^PWf~^c=iyw8e~n{X2$=g zsF;e6jh{(~@qWeafxn~IYFy|&n0}(i%x7&l5Cjb74iXYBBqpZa2opZ$g8TU6*HTAy zuc_Mn#Uh5F7jO~JAyRSb2BnvPTPEtCV=7Fo`yR7ncsm*L7+4BJbQaRx&`$DGrs()a zM~5hGv&>@(VJI6So{eLK74`#zv_rA#7;e~IGCmMH?ZIB!FC@QtzgPr+b!GSQPtU~Ext2nbRm3DqnJfr`3*jp`%aTATtZTf?{58?<2r{-+z@m zSv>|MI*yD>17~Ty6K&&7-=q=5!HN|`^Yiv#3a z#on3dCf6j1H`2TedfMY}e$D#~a$}FK#;uhffp%=w7)m>M!t$64AvBnu!SYnum3Um- zThqK*a6366D7`>P;1&nUl2Z}ViHmaOhsHC^Qnx-l#YEBAQJL~H4^$fW`Ek%Qoz)@o z9Xc|!IGk*nQCsq?&xd~Z>@Rl5n{9y)BFlXY{Bg5dGOwE3tf?1e^>MA5odgE=gIUcs zz>2Q_nLCL>_@zgs+FEBYuYw*b{Q9oUCLwrjwn+YDiWTqNW zw#esH@m{Y6=jdm>>-;0e{rp%Sn~uULxDbztmAfK8?Ik}$o4o`cta)&0=LZZ*CW8m- z;$1&;14&lEf@u7y>odZrTB@zf1K?X4QpP=1cQm}iB@-@EY*9?PD(B#r8L4^90GdGI zq=4aBHiAxpd$T1?bS-f;R&W;`V&Cq;atK4kFddkn;@P>mRGjQ&89!~F6P+y!j)YSE z8!e);%#nmN&k?`6ZCNn~#N4SD`Kr|c2 zy**^Hx9E)0^98rI)ffw9_X~(t0DZZXmL}Y*tJcoX0)>5fs+?RYq3n@5kGlK??R;=} z&&FDv9g4z^q0-otWt?PB90`OMV?1Vx)wFuDo~_mCb!T<0Q3?i0WmbL5arnR{38#}T z$@!wE)WknQGXd-iKfO@m1}LKd$HA;blM27u!OZ)1o@6{>D9;@bId1p~UE^k}Pw>0? zO}Ndt?+|Bu!MI1J(A@T7S;#ks8$fn*6uoVyiCCO*Z7|uFTFdZV8DDL_cC|@QH%)b3gcqmh1Ji~UsznJBV zw;9hCx9hxf%dxU;5ZmtF9fH$zHr&2I#J4fC?B3TASb7B}{uWFv9Snz1sK1+a$J#6B zx{JQ*N(IX@D@jHAmB&LVxVQow<>Khd7#gc!+z#fpfJPTf#mE2%nx>V6t%lTlGwC5X ze=_zp8BU|RTVese9V7<@f=g^C9^iBF+gx@C(H10hT-goc&TiTRo zUkx}($XHr70zm!kj8n2Q&|oBAhrGL1XZgzhzrLagu?E*JYQ8z8s&HpxjVhLmABZ2! z`c8)&@rIMHIA8!}{%wzg#Fm$MR(0*5ZM`&NZZj)J-*qJ(N)yL7! zTNZ8QL5a;jx!X21tJ+O&&EeLv!hnPt}T}Z8s04yAwZz zgxZcsB=(Iux2_&pgq^_y?^d z`R`Rv!ro0kFlwayq1t<bWKSF?z}l}Y8-izk@)%)x0Lz7< zKsMrX<0k{x`kG0yr5fCgG7iH2oC&31P)IVii`|sB*Bxqnf(IT&J5G!2#|Z@zJ85gr zm=TIrHxsOdVkhkG5g9}P)b#FU9kN{>9&ukLh(mvvzWqx=3&I4&WB3b ztkedy(p${O=7%JW&rzpaY@U ziuHmq-vn0ZLVPrzC8#Qaf?;}>gxub(yCN54o3)0p+j}lf_E&|!sGJ!^mWLBdOrclo zb9?N6l1Wq#1f5sgt!;hQQ0zxLmTYH8WIeYx&?4b)#(XlqP)8UYe-I1VlUSn$4i)6I z&b(*1)2P>#PuR&9zB>l=+P=IBi5NgsxNc^sG6jUHbXu{q7&v(-7)@a(**RbKBMc*_ zbf(G4c9E|q){*fkxC0Y=ADhn4eBPkK zA-Ih5xhb=#?R&dAXNxreYvI0HCQ588c`#<-*3UBi%$*B(nWj>Q$wkVQ)lNY~Vy9mX$aB;vz?Z}HUX;Gh483-@7cO}5R186LZWCM*x zIs~zXwZ^oqX4Z;QjyslCzN!5AvJci{3_c7XXS3ihc1=~-2o~2*98XmFq~_;W$}#>P zvE{JXbH2VBQ9d?IbhlJ)U8}Y9+ignh;a7rtd!ysmdrdFsJfw5~o=v*U={#M@^3xyA ze#PGT^aw}wrE{!N;^p@OKEL-5ENEswOgWZ~d1rauo%9hWbt{%_^|5_XQ{s{GWS!Aa zi!EFlpb-%l%$814q!oR%j{ahzV|hu&7yfzZ>LESlJ5HZ+O)?$SXa4Mu=4Sg1?Vds@ zMVdm(iERd$CC5BKpi&gLcthZ$RDVET^H$F%JyV`#JGnw(J6q6S``4&?*63Hmc&O8h z^!5UlgXzW9+%24;wy{%r4i%2vxAuzjSPs#koe_R$^0AjX`&P!KGpmp9X(i=6G$6-N z7ExO6pvd~@YL-QQ@;!SGuV{*M$?x4fBwIdx z3gJw6ohwSFbtmIinAJlj$j4_IoP{|{)(K-0Gh>jed{A6~4X!hzSyP z8!sF}yVsT1YOEmFE?C{0BU=(%VuCJ8II&yKBkiHAOL*iYt`=OdcVFkBGK}+3 zra3Y9aRaA{USdTq6T5G(S#epTWKfBMx&-!LB*LCS9wTAbM^B0i>fZhD*Vh-7@z{CF z?`=v*fh6(3I+wU#1D*NWO`Sr=#Vw5O3YUchepsrGnoLu79A#e_9D7x4!r!^oTxT2; zPq-;$RvSW&9+Vlnbup0Y;!7Bk0zNO(atF#wUyhyDRjB*+q*2$a5EaG3e^4 zf?2hm+-Cc;J!;Yhp&>YBTSrJg66QAR5UbHgU1J`vP#+X=UFJUFiu2)a7b^p3Fk9pr z{1UFs(9K}Ehf9cx9_m_-yFPU6KP8zXIx)DjH_C*SOWxHnyO;cUe8+kbH?@7y%i$9< zk)o#%Wx8)88exDCiuJghgWNFN?!T1o<M44qe7p#G<1Ezq3kl_{S8UUGo5h^l9h zaXiT{2b8%2EzVwErCrDET%KbMX4!QPZ2aU#R)TqNhtT#i}gzUiHjr1j*G4lu`8H5cIS?CE&*EI_=7X z4n#;9ZSd-QaIv!fAA@aF_ZPmK5(fV=ygrgo_@3t;_ zoxpTFm-N_8xz_`3qcoU12!Uf~JXCr)4F67(bhobtd2{q?tYSt)9f66mKrskta#rGr z0ARWu=j!C1y8?)wU9;8y{uD9x|6f(?*uViWV>bq}NTcxI5{9 zkFRrn_rb_=x4iWAJ8d1B^Xc8?k7fXrjC^{boN>rVC+U!Q-H)~EHOYHqE`zWfW^v>T z>88WT{rgvDQawnbF#&rwKdM-6ux1?%sLtAv_<8?iDVP4DHZ!FeQkl>Rjg_W70?r0< zMN@a)R9L@=E|1vN_{V>X-pRe+{zC%h8eG^iGtc^l1S7mZPZ!r@o`DAi&cE!F`?8uv zIUGjV9W=Pns5rlXT8a(#a>Nsfr^4bImx|Zpa+W>*#VU&ajT-D<3*8r^k*^f{BIMq+ zuQa~ST?%-Hjd&h8Tl$|VC>QiY(p>{zd$Xg!`*E1mMGwKC0@yR3S#UQ%{xF#zY>+_y zkzc5-3r7bwX?e#e6wZWV#*T3XeGnG%*CIGVaifNuA>_&C6QQr^iMw}4n(E#R(_S+> zJLC6`alA-<+F2f6xc%6A(_S|@LDhMxD(81}ym8 z>@Sht_fu<$mfw}~!Iz`dTsQumQ0g)c8KR)_VmDE(wyr<8RMKhoubt^ugPWXue2}1R zUc3Txt;@~==Dchjy!a*Pp{6|ByJB+R@47qjealRw&;eN-V-pqpw9lV8R3aV0yfjTk zxLK5lcWozi&ajR_fCbx)3hIx*AaZmrH)XyW-;izzUT#=lemSrlPNb4qBjaFebKhR} zQ@=n099V-(Go$0LvnCtyOKtz5?Da2@nsx5ZzoxLyDdx&qa)4(lM*F%J1a*U{%YsS4 z$Xs6QfMaG^akS7hQ#ySPl`lHjbIfC6>_=ncs?(M=RS|mkC5Jqrgb#yiRbPM3!);tm z?-w_e&hDnAJc&TY&dn_Vshpg*S*}A@f|j>T#@T3Y;$@P#Xj=aq9&9`;)g_w*^-=8$ zXC+5P=R{il)8$}{&Q=Z@(9NX({G8o7w3%sAZB*Cc$n4*} zJ`La4U)?b{JT1o=nGCP z<8s6Zw;kX-_s}-r_13aOb2*HlzJ5ZD7X0tPAtZY5OiP1#g(=>OOVT2@^8#(3-1VDD z5_og};5{*-0;aBC;QG$3n{!>bT!FStiNQs7mw(~%&!hkx!tRpqm#zEUME|NLdD7m@ zmURhOURr(7nLeMxUq9jh*C*1F8$z3WHgUK+-v?2KQHz4vtsz<=5I4@_?S5s~!7NX& zW20(^@pt~L(ShM?wCm=}Tl>l=6T)xz5Ry3wRDt&xE*;7BHQI9Yq(*;Hxgl zZv`{Y!i_AqruULJLv0uH4n#H;YWPhlx;Omlp7J#-wb{w~IpO}d(J}}o)m$uELw!D{Yx+SHFf@9(wEiK?ln#HuxvrgHPA(sq~t*4V(i+(0a9^k>JOfCP6CSe>R=CR!T(~{5{ zIOx`Dnc4|uu~TqeUx#+pVZVWEo>NaB&7a5%2Egl))qcpe#ks_~thf~_?RQ)ETht8m zyw$5M-6%HXJ?j`IIFkNApO6)wN!d zC8>Y4LH?9Q8xU0kTsKu3k|(;vR3gYmcu#iIk(+x;cIEx#(Se(eIX86KBcfwsq4!>7 zMv5z<=kLA6k9n%5F~}jy6OY?>Pu7hM#sMc|rO2tJw2Mq8z^vot zo2QzKI_U?fWF8%-b+e$C>G*1H`lZ-Drtb2p=}4GOb#hqHR)!fIzk9dg{3NQ5%CJ~e zJGOLm(`g;Mrx92SC`R18D8!58xybr*y3=<>cV|~mW(vqcrq8So)JWN!3>2F_+x;KeeXeVwL+5_Qi)}AMJi(cP( zKFCTdidcRS*$L~Fjb6CQwx1leO4gLpY0Mf7Ux zf87P%zKYX;%V*DwmB`<6(p+ndS4K|S^>OuKZ2Z^g?|yGBx#$40I$Tn(oAgnU7=PkK z$G!diphz?BM(=IJw%3qDdiCO2qgug!C+!0r$XQmsxYt2e^6c{yCC?8XTH+qhU?;_W zrC=Dr(V1mrFtwy(6N61Y32E|} z$tg?ZJ0Vl~_&W%aJS{Te2C8RFd}XK_aSdXAp7Fmy=L9hk@9=@{1gfEmSj^X{jnn3= zdgk?S;-$A}rDf_i*h!CkTZ{OH`T9A8(`gi3dcb|tWgwJ;7Y?;;j#UH#vfssStWM_0 zd-=^qXUG|dL`!VtAK5NPAj}BPe12D4DA1Fa#)!j7i*lf=+-5UgS8#4Myc$`e-O#Lq zF$@TkC$qnN(~kAbIIDaK?7?n0qZYjyClpCsslvc*rA>ydHzA}nP&DAx4@ zF^yODF=Y4{bG>S?5!iQ8Oa5+tIkkGCW5qDiH>w+j_sYH!h^ra%J(_iudI92QN_WG( z)hJ0|paFsyxdq)|-p85zZfpHFaWBj(ftIZ-ND$;q1f~mKE(z6&7p;I9HUtq8au7-{ zbO)-rPKCH9UR0R$s#iTmDa(+U7fz z-qgp`bQHb3gb!zYAkqam$yS0FvVC+TM+XbVMUb?#nv5Ts7l(cNB$n!;KX<8A1O?vp z9k96#e_~cZ1|IWbsUV!G>$vjV7-7H9Cgk6!@2b}WDvch++a5jhEc&*P5t9Ci86N7ALH+sJnG;Os}e z;)Lssi`>?G6bz1u5(krq!q%~49i=sQKrKf2rUeE~hP%|ja&U-OIboFv8EpT^FHxo-l1YtFe70kz!c1IH`lD%9R(HS3(fptesq<+%(IXf7O)C2(!5p z_hEwN|8dv;sQI~18>}t!SSs@}A0^s4Fn?#XPH`xu_E<}OQ1ZJ&kAB)au6Z0$xpw)J zfJerGles9zC8HJQbz@U{lBUbf0kNE2J$^#Idp^H^Y%@WM{yo7(o~U4&UbKAvAXH+{ zn$nKXncqe{rbJB44slT0DJcE_9Dlj83`mi}+B*rwR`7?G@$BU|0P7P=it!&ALFeRZ z3q0j#N|quo$_5(2L0-gp=f*0T=8s#ogkY{AUB>i(leW8PzCY9ZJTl zFkJooP7bp&T4(+1D`vi_W*jw{?0YR{;BubuP}f;bf#XN`+zxSu`@dkv(KEvejWDC! z^Ro5znY{Y%WPF^PMUC(wn)`Zee8wwcLbdRF*{yax*ycwH30XT*79C9oMa>$6`%CkG zA4jRSb0VGyr1$>~ZNME6nIAf2{`&xzJE548Lo- z&i+#*W9Wnd;g+7SbF&fa5b{D7*Apk%+1rgw>fsP#2}9HZQ)ePb@6F+sxp(GbOXu0? zor7C=Yv3s0z72%Bjc|imm>uhDOq6LY8woFm%jK&1W^>=711fwNrdo=)LXDNqehlba zB*?0{_A8uPO{+xQ71fd5Q8NiQ5B@K;CvB-Xhk`~eCYL257aPpV+xi~87a2g@kKfoS zwS^`-=-HX?Av(F@Znh={&8sF{HurW|;ngF4=UZ~w&jfA7gc_%c>vJ!y$qM}UNdsG+ zQz^S7A&{2l0yOkU6Mi%;Z+nB{>^54L{5d5NMbSDYLgvOpTwy%ILdGk^g}$KhL~7a8 zL~&pIy8iFtYvn%_cRJb4&v}aQc0RC~$z=X+Y{P~M9Z9&Qx;;stNj7p{fYa^&#y6Fj zo;>jI(EaGAfBi`$B z)@n59Fcr-A+doxeKhoJrwV=ok_|`zEl7T@zh4CPKUg4lQf$XIEM~z_g%WZi|H+nb^ z?2qaIqk$V)OQ5sODo+>wn2q66adP%3@+3g_PZ(Dt&1hh%a<#j!j?(Db0<83&t&C?Y z_^G^{Rb25OH$0X5;q&`aiQTI7`n=ntQw*~wLg}9|IUECcYPCS>*RJFY-)|}Up@@^s zk3{LgwwrhE5@;xu67FAG`R|BjLSB4E@4}#T61JW!d`cjB+OeU;$kHo`f?;zSJ%51& zgGQW;=#TDziGn|8RoPFT`eg)qz|(1B*NrbZHTbPxE*HLZyKVMNKvyc-Lj+Tcrgr=d zDza$-W<-6&SoueC0H=ei|6sd!RfH?#M&@lco60P6)q=iSFHaPNC860BI5GY;A+)nB zbXSQ^sF9K_XEl_*H!I5r`V2gpEbn>ri~i6J7*0pta`Klv;i1@?&D7A`LUYX$6EkV; zC1py18#Fy{fBY%$d)KahLFM1F7^_A((;*hGuZG2ggL5AhD7f{&I26vxE z21$l7zxLHwz$k}`+HRy42ZQv#Jztb9jr)$}s?ZHx*tH&9=f{<;JbD-7vJHu=xy?{^ z%qn}I8eblwWP7U%*xc;`>Q-Fg<|Z1pYl=MlM6B+?$AwS8fVE3qaPx0Um{{@NJGL9* zO-n%k34##6zvYn3QJG{duNW1oua0tH>%`0~S$1MTuw9 zl$ZSv+15L0Gz>za$%6ZduBj~NQ3S%=WbUWG4l_r^0xpRScOF%EbHx531A3E=?V@zP zu=pZ6QNX%*(yw*seh=Aa_+UU8X-V4Y=Alw}f-SiDd7hD`l{JOsEPn6%#W*o!Pw&uy z&)M8BySW;cp%p`U2n#vJ9zyav-Q7H=Vx?V{qt9Fpx>@zY)S`(|b69GrLbjjb4Ouv= z6S_6E`Y1uk-I*lNPCA43n&Fi#nX8Fn*oc9am}@DGrC>-cswMT50E)EUP=%vg!)1`B z4KOS_xs zC1xK*nujUgj++G}Ki)o$#WP%qYya$)51nh%$EGq`$@(vAp**|-D9z_ zz8j9qXXV4Uf%CB8R z0h#)9y)*2|4fh3?d!JRLuMJ(#26Nu0b7_D}kz|T8$`L2RRSlTFLMhR)?!H$qUM%|~ zev$_kIvelo?rao8)?c>#jmX!4d9{9T6E8}DqUBd+i@7A{>LJ1n9hKAWPEAfBjz&ux zXeW0(@QbQOiLPcJVX>1e`deetkly!D{ZFb~W@1W<^MX?R!cJSl>+B>Dj#aWonP4Y< z49R73Jhs*dyx~Lb496d{uiwP?H!{Gw7=Y5wl0#sbLO93bXFh8+IVH!tD#Ns{zhonM zxVmdAv4n^2wSaC%--+|$z8m2=FiMVj;`{;)H5!S|QQ*wN?0)4|5B5~j-4`(-MdKmv zr?C*EzvTcA1vk31$C6iQL_OdbFWM-(FeUeFtpgE zVVU3(^UTXlWfV!a?}6b3hP~AYW z+NS#wLz%?TYg80NSSbKAFD8!V)tB$CL4x=v&^^!?L9!UoOi&N9lRA(vunEy2{I((t zr2+m{VUxmdNy&bev5eF|+70+Dx%;?nj|_krSdAi@hGO$qcIGzkD*&U3^ALFj*xrz* zX*QxSbbyXzKne_3$$pjluyjZ2bGvlXe0W;T2lTQ-%vmoFp5tnqOfwRH zd1XB&KV+K@At`^!r6!bB3h0H#AicDQJX4??c<3bR+r?qxRCteR!CAwL&lcy|k5tCF zO8cDhX5Nch>cn%Q30C1~Ul6dhLnoSZpb#1*%jc$2F7{=~swHwL>*Y8lmQ{SJkBJ=V z$Fqh?qhLy4^eRbKbiu^wfmM2Fe44-TT;gn_DavbbWcuMJO9$6x&93UG)y#C6LDRO? zOMlmD)ywK`luYrvXvzJtf%DXB+_D?9i&W}{2b$YD)=0bY{e7#fRJSErRaTcds6!)s zIckbb3K#?f-C^<4Zy_HmArr2XGkE7I8U3#Xu>0PGM?k;5bO3c73xxEfcj#Yt;ZIcD z8OIM)a+U??ojyEv_pCIx|4I14DUYcFsdzA1ihzazXH&HQ()0Nfwf!A@oqMgF40q#s z)^kM`*mM~*`DCe76PXsZkC7*se8IKtvenwxg#_xb_4w$mLtD3*p5%u_23ah3LBpz42Z(C*5ejHk_PGD!(m+5gTqxIC+4y(oohi>3S?<9YBTIY24 z<9)vCR?G^_d!9jYLs$D_rx_Yi>w&WyI2l$qElC9>5(Xs}Fz-pPsCTy@!G+6q+AokOIuH!V>p~e+oUhed zZuYi^>%Ma?+2;+4K!2M1@S!^uCk^7dcx3nEMY^4CBApHtn+=aWU}}u+l5O6UK%e9} z@Ew3-?I%TmJ_L6xW<{8aL5i?>bvtH={Srn<29+2r^J%GEq0%%Bb^ z+B!XJX&-bF3_|I*CitATwc_D3z}F^&2B^X}fn^$a_wbOH`0#d}$NX0gah2Az9(wfi zsKsk_Q(J{T`)aEPWWA<|r{teT7{%U^liV&)i$MNT+Shy=*>JxG#7WfsSdNe}kvt{a zLpl%U$PMVC;!N8wb|x$mMgI5^ab1Xm<7UbP0@OZMrm|HY?#$N%qH*{ETG;u`0mCAp z=vt=dIi|Q4;U6k?J0pWjSHHbBA^6&s1lDgokz;SZ?JW zB0K3%MQ(01VI<%m$wzW&-kz0TRRO;muhu+|q?cIofX#%RmbrCp@yj9}-RJ^zw^zGW z#7s1k=!ae;ur=FjoRJPd89%o!0`e5}J&wX)gj@Hk#Ln5$%EkLOkhQ zNm*BlAvuHP0*E_dXQSr&zIzcy#IbWd^|PO1y8Woq1z z;kY(H_FP~_e*-6EG_lE~k;x2kQWdtaW5+p_sj2PE?j{3*u22t^{BJaXt=8~jp~R46s?(#^QvY)s zTqzkuG>36sa#z+-4~hKt-$jChtJb)^3O{qoe1cAVJ*5sCfrd{;Y_d}R&(D*uDlwlv!`V>D_C~NW>$&3w*c6=Pq-a2 zDXPmJsz%AcoNywzs;Ycq-lv|-NR6An_UbZW757@E6b5yPX92H|Dnot!6*458!86=9 z`ELXjH(2rtp2}Z0LpUhw{UZRJrC87}uzCOkOOFAOa#{90zwT|Ti)HVe;oetuG=fO%(+4=`{GB%MEBz44ryPOI;@#teJI2QEG+FR3 zuGO@60h_2Ua<+&p7N(SalyH@~#2*HzU>5A*0t?_|w!jgizn_1ssJpy;-Z}@R{ zwJut)^^<}mZz`h;4ylaw-f>tmMIc>Fi^;GOTsjQ|Zbga5)npVP`~-eJV_Hbzrn$Py zTwE&dlqLv#1r{pkDwm`LW#NMf$^*K%{J>2Kc~`5ie&gI>ydx5x+V-B ze+x?3(TynK=}s+%3aIQ9RdNr&d7?^=RcqjyDsz!2U0&nCmgK`Tny(hdmTUboB~udp z1{mcKCIny{7`Sz0fqPh9k_eA)9Xf-(Q|%EIys*f1luXv-j2@Jx+P-9>Olmp)KQ?f3 zHfeBaV{Mnv`z->+#-et4!EB9ZB}gzWw)@gH?+??Va<=(4Ajk&Au(id*afoxVNJE@4 zu*kC3;KAe&4+WrJ>4qd(X;hph-~2n$Ff- zRq)bNAT3Ql!JS**S$0*d2QaJ>xB6G-%0901uoJHtM8)&v6BGj%JC5zTQHg1x3W}~5 zo*kcwuO7Z2aEJG0a;(?>8a00UrGN($ZqIXYaO8Ij9zaa*PwEeJFHhGP%Kp&KiD#yS z3129&XY#JJb@XmL(M`};xq_JCd#=y!m&@d*#72J{nrrtyY?h9YP){S1u^EI!(Va;w zm^16cYb+D}ESHj0W@WSRrr7>j|Kach1TBfNm8t6SM~tKK1@a>iL23Qxf>dT{oQ;6q z$F^@g^2Qdg1;!oY@EE5P5z@db>ZyNqLZ^_D*sWW&7`jK3wZM%{e-9C2=Wm20rk2Aw^1vPg{J&!rx+C-KqyP|siSDb|{x=p4S8GHFWA|*?-fu(lh>m$J z2L5FT?DigKB6jdFLA6@hDD?2L#d}&2x$N{@SqSVHaL)UK3k<>->ewQNOonK$3yyq( zX&xU14OILl;ev*MfUT4T_v9oc@mr~-j<9Dae>n!dn7aC>Na&#Gp`kOLEu{%Ey`Ssn zLx3H1gqE=PzM@RzEff5`f86SO?Nc{ zYC3JwHCq=yF&l*ysV;s&9kXkV2J;gTs_w(111KD-XnMI-Ktjn)qBSI@SNzBc^TYG; z8(uACHk+L+udcTbofQ+RSHl_%9Jd7ymD6C4pDrnPpX#K(aT z7@C{^64=8+M)EHIIWjIFR$z)3%r}s|$s-$?s0y|PFe<8}`!)7_Wj6g#%l!7lB@RT(q-e^I?NV5hn*uU1ad$W>qQo;@T4|4O6Dhzy?C*MKg_aDtLCt-5_{ursG&@D;M zry;D}f>ROD&7e^Q^YZeZYv-U-%Y*WeZVsFQ40OFNf9#!Xu&JC?Y|_|`?{;sLZQf4$ zx@@ZcY|wY@gEFK}!rOIKbJPDlUzl2cJmW`(fWdzv3C;WGjTYfo?#GLZ1eTK=5MQL) z_T|p&7>qd^2CFTmhj{9#f_{4(feldFOq$j692ghW*-!!6U}j^u!XaS)<9B;);!)%}_W;=CQr3=__7&lohkm}^6BsS*70_19+RM$XGF zhh2STv0caf)3p-x*Q55$m1O*QF~zUn-fU)QMRbnyboX6t3Q1`eP$qgA&1ubrcV&;hToeAf?etlz5AZD@$ph@Z(SPCP zwY{YK@PlKt zt80EBqZ|*}bT;~o|9uR}%e1y~T+b09&lgBdU~2<|ccis-TxFA)gjMG^lxNY%cu3IC z^mZ^tP2zdU>w1*~GX@aRQd3JgXCPqXu$HGj7Tgh9s+F*7pp}V#RaGBptl%T2eAP`9qzWQ>h9};W)0tm2 z9FrDrC3p@$>MFwHRP z91?7s@!dkZH~pYP^4W_DH{V@@NUro0S7mR9yfso)PL{ENyTBWXrIyAo-YJ6h=!F{A zm+&S;tKeaYPc1ZtJB0n>y3Jd9`WS-jn}u8Rry$e*vB~NLln;uhJIIbEyhHScZORn- zB?85|0I>(Qkz_-#s*f~txBMDa zWi=ObNq>_ zl{H{~!~+Ky3I$in&;C#ny-?`kaV@GlM+Sg%r(%j32OE8O8`du15ra?AW6TN&!Nx-Y zsDei|HB5R_N;1Ls8#qS{mQKScdM=VIK6G-hi*4ZkM4ecTnaXgU5_7de0yUH<|w;yr=Xn3e!alP`RV%>S+#K5{G0PfYB^w~ zp_npf4$I z7ZH>rG_tbh3$|!$YZq7|>7vQa`AsL0Fd3;5PKY#u1*O7Hh~L{DmV7P}Qg3L8(}%c3 zP~i86DcuEkQeC_|PAkD^y^q}eX`*9njn9(=M;e`)AnSVumj~CgEZMQ|i~Vup$aQNa z`(v@)ZIh3L9fZQim27*ICs7UO%_UBos(4lP{JhxHozQzXBb2*H|GXzLVWS6dm+1yW zuD1tDyta6!l)MEg%U$tJsiN;7$;JTt@ITUV5v*1oSR^_K5(g%Y{~ES6zX0$XkL$|t z7ygn+K-m~j5C=0Abd@(HX9MrijAG^z3x-*@=FbvVika`gnWdtFx9lE0M3g_PV%^{i zENsU+eLY>z%0D1(&+++?@xbso;qu107=g3=jqFKf({;lP)7aT-Ej5yX!edo^qG|YgkF@x)hw*;M_ z_|UVQXJ`3sWBjef!nv&0Qj=t30DBE-bx0&Xb$M$MuK7T;?3-|y4e)7lMjmRE} zMa~B{CTN+tNIS=&YMz|2!HL*9d;m$N=xV6JD<#?KPRk>& zSmShY4d!h8hGr6=!B+qQmBy)#-|rn>$Q;qt4K2QJ2kt%c>P5~3Elv!Sku*O(-hIIy z(>oKm(?vFLq?F`EqYPyv+g8AJl=W7?`>TJJy{-r|PQ?Gc(9S_K_x#(i#mtv_AdS4Z zwhLV={z$oSBEze3?m?qB5E`K=P1P!MyI;OFE9bO9)@ls6>cj2T@$qP$l+mF!GBtd36V(_ZuQvuu5{ z^5LJ4O58)%3)kug0;p6nxquQc? zV<>R0gj;G`205myFS39GXyo1}eG5BhU2Y-JE!{i7= z%|XOLMht1_n5`aIM{wrQyI<@5e=Rq#{#6mIIC-gYxj78nWeQji$54po{D`3k`b7W^ z;9~{UKdWvn&4WC_mza~%qtXWx6(#r0)4b7X&zuoW9IloK%*3Tb# z6ew;ZQG!k-AEZfB;J*-t zIsQxqd3WdV2*z<13=gX5$&L6y27q;5vfoqzETHRATt*{gW7F{aoGe%u9Nw~x4a@}H z%_QMP{;b1bwd3ObChe5%Fr4?HwX5=Yog%|#3vnXaDz9dyrAAnTkNF$ST){n)ZQ35l z**yG7wI5Fi^;!azLoNKFBV5g*p?yG)6JS3$IluHC%mDs(jWC`Z*sxrE9i$fB4bf7< zwlMeg=LV{`jaGNbhOKTJG!4o7v_wL99Gjj;_``#HKklDe@I5z>CzwsT73CPPB<;h} zQ`f-OYX%%ud*MZOKrfsWgdEB-$^C9ncnbb41{hH-aCL1lFB5WKzg!=v_!y$=WACJs z8-Anh?Gcg`K2^K{*FHHlUq@#qfjU8aNe zdg_7GisvS*quq|L=}I-H^?b6rWTnsMai(2eqIt%8IP*d+lN-gPT2EH zeLkonTr5OZN?PGAVjB+rP%D^wUwu#YB2qOA@8|3qq5g1m#Xa&^kvo5QtRfPw)LPE7 zc>1c;|AybkH&1=P61G(k-82Ri;|)pUi5hc)BouQ~DEz<^A8zc;rlQ5_O?1mU!}GeS zL|$GrOL?L|FT6Y*{nUfGb+s?O64{V*PNr+(jvLp6@XLjmUuWO!nl4p~x!fo}7qF16 z+Qt3pibw`?s7|ln;=T*`yzUJ5qop(E6%lo^kZTtmmrm?3VFIBQEx2DxkvRagrGfFm zJrKPKEE1~HETP4*I zE(;w8z{bNmyTVslJ;d^K>GUhC3X&S6GHobh{_KuTlhfz`cEdo$=F`H;s}gpGPs>E+shpUQ6R$T2OE`nM1spw;=finDO`yJ{#K)FE5b7Ax0ZrT zjy8JF6AsAqV!zD+d`h~my29o_N-NqXpNu(y7-Vv;}0?^{;j6a6q^ay@aJX$QF;j;w>WOvz?ocAU6iXB<#jS2B> zrLOL%Dm9&s9+aN)N8{|*=%_zC(uy10;Y2qfm}MY%?U1v(e>i=1UAEs9;K84rvm~3a zvRgQg_@9A6+jK~rUJ-B$^lJZ5G;svENpc#_Fz96+SW^)4;C`rp2=39<=%er}VKlM= z2zStA;Q^orcO{JVF?V_^a0N(zlWn>d@MVB+1jaw-E7?hBB$tFIr}ZQrMs`&MY|ObW zG+fNs`_%{2g(rp{+c^Z%CX@!9EDJsa*gZTHnXd;qLDI#IkoPy)dDZ{LSb#nT_n4@q zN_Ea1+3T|>VsKDsJncdNw`*mfQgx@92^CpfmnA)LQ&HnAg^9kV+%*x|gtPOg<4AjI zkeW(XW-mClDbRn+~kCmBn+zxO0LGf_;? zZu#O1f%INs6=#%0BLC*L?GBByrBP*wI8O0DO=n1ErLbizA>EjOG2mheDPk}oX7{&) zXRnVH-kY z&xm0Mpm>{>TVKg)de2Cc*4AXGiS>E5q^yv0?8ywU*nBXz3?c%0nOQoO!Dx)gOx_<9_lRRrhUHtrZhjsyBC@ z(_H@|p7glWQ@Xw6(Mniv*@HAWqGWMX0_UPKj9;k1yqRy>8~lRoOkPnlSMfmc9us{y z7?OkXBk_st3uGydHFb&13?fjE290AN-pAWtj@N=5bDv>b z(;+notRiqU(%ABJ+n_Mz7tn=Z6M8l4yz&%Ny%9pq7uuV3)kZFyNmB{hKL;Qz02fe! zlH!G6pA#iwbzVugT;PV_l;>j_mGgS9e|bWBH~4SE)7gVZOkCi(%6AKKP~yZQ4hh56 z1G*%zhTwiiqyQ259D6q~P6KWP4W!_%`GIGM4>YON99#Y~!kBnU0j4jR3QBH2yiFz; ziW4Btg$w52=SkzVNLMy|u~7zSMC@O+)+{ep-GCqD!pbvJBoWABCTPtZ9{!9ynfKPDe7?kG)8{s?#$wc)^nB(r^PS0dk@h0F(n zuqj~H;=mRNiR(XfI!$rnq%L?QkU|g}gVH5hg4cu>owh7pa43pL1=snmt0~cC^5E1I zn}{A)V}Z8>+W$50z+PxUnH=?sgjI>9B+x2E*R3Y~j0KQq^1!7Tt>Z^RPxH3|S)-O> z`G~=xPdFgpPjVZdQfy|sHvMRe56ZT*B2ZDZ5SJVyaU3lHbg-2o>ng%}Vj_s&KRIq&$;9W*@0|P$4Dg^a@)k zt%!SDY5RQQv*%V|yaw%G@)+X?Hx*1iz8w`6`aFU2UlKP_%6XuU$E}&IE{@51ml?8n zlT=Ls`W(Q20I^?bh+e(xV_1?f~EV`dK7VpFmX%y|9p*MSHo*en3- zmA(Ks%R0GF`A|9-ua)Txuav3tSQ*PpN+k=|2z8>2vbo%EYBerAY40CFFvn^*7~AOp z`-7<8?%yN@ZOGqR?H9p1EaRlJK4_4Iyfkq~cD(Ik9euU?XIY!*86lpu@ajhbJV|qv z?T9}0*@Pm~36=e|Vm-k+yE7D-K3e*7&(O2zeTDdqH`b4n1+Zl{t8_rBxfy*yMFxqc zeNNeRVrX%wVh6G1gz=@XmZrk^R488n%?De=ZfBbE<=cFg@Ws$FuW6sYT&VMCSfjkw zAkDKmMr{p}Jh$2a?JIBpp9Avt7ca8zeEPoBC}%3kSfR?f3J60s&|i~P5V zy5X)S1c{tYAS_+)cq(PN3C;#v|6v>N;ho{*QwDrlA7WmL)74UkTYnn0bQf?meqU5p z#o66XS*1M4uOabI_Gf2sWzzWz$o5qaMf>)|W6`%$Nb`%VCg0;XMh4fPwrU3#%A=;)coRFq`>@wu*1=8#0N$4M54dDJj zURQw8bAQ#KjCIH_p8{DD(hjH`CXZo2A_M zn3P36>(`Q?APdj7|Je8szLfUIs7YJkhR_)U0r5MN%);?vu{c5io_$K1-(~c8tw)(8 zy*iRhYMS1!h=T^vnl3aGNQmC(@E#?B$GAHx?5%5j)U$DbUq0FS9qqHieq0_+77=4S zmfiH0$=|ZQaW4PdhJ6S+RzWLje{>5X9Vpz&cCU3BoOs$d|DwG( zobGg#ExENxXtybRlsP_tx0X*{n|}iGyLIa`pCnIXV!@o-tj9|)g+k2mF#0i=S`7O; zu}pKeW{t!=N`a}srBV7nYY~I8$aks0UX77u_fot;5mPPQvQ$W3*<98Q4e2|HFKKKU z+^zcr%wHS3tqmcjsG!7G!c~9e)wTQ;mdVjC-U=Fub3~FUC1V9#^xGZDr6AFsa&3Z7 z_-95%iadMvKY8}##Nqv`=&^ ztu_8Iov@0UQ>~|J7nqL8*|z6C^4lY>9YghIgfLv1?eEoy$bV_8CAOH1;&PEA^9jwD zbEBZj_8moju3#yB8@bn*&fT_?xZ5Or#ODOlYJO!r8u97i>dED3=I3*ox@V-n=zA^G zwxPFScI2OP8+iOPq3i)|mdnv^&8D0ff9ilU+kaCar~G&sqEzhtp`N3=_wA8mN048C zIN85x$nrkGvl!9fD0oWa4S#~j#6^`8)1p|*l&7NKP_4yz_eISHa9oXi+xsht=d^}2 zch3=O1WDh&{%|L@)_JJGi(Z!}X81LKk;1--rNv7Icck2f5i;I7E1N`c(JL?Og z!*I5@1MCh;1aLA)1Awk1s4CRdG{DI{|4y22JC3*TnHg(3YaY3o^#yNGTn(wdiAu$$ zb$n6&_@33Xg)|n|WdO6xlobj0wtrax9_vcPqA6ser)AjR=m0dMglk(DM(}))z3N%wXL{}pSyQyw7ZSU% zSI3-23-ge;s3cQ<$0tExG5lgaPjEF~G{RDEUgm4dn$ar}B>i0C286;GvVMgM9?OqW z%8UDYUGTF9k7dP+ZCdBV!xsv)Pc5X_%3t0TF1X9<|E@)9^84ey?E!i6CY#8v`U@O?eZzm=uxDOpE z?VWZ0uSbVYCZ^-PKlc#+s5Ow0OnpE;&7XVgTjx8W zaaGD(jp6k!&0Nm5{}3&*iwmw%5o1yoSrv%T;TT z;foNkyG1@;Iqf^#94k~7PiMHhPV>-OcJ5wXGsZZhY(?Dy=B*B)9Frxx$I!vyG*u>^ zL3`sL@RtPH>)L+0JoVX;5thQ^7yAXZHaCu4GkAAd&fm*A1B6<@Zr3c9 zXg7sjQ>5b*=~^HWxs=-L6Hz14meAw-y1qO_|E<`0_gj&*E?Bt8bt! zzCZD4q6yu^QdO>p#+QZ9Ay8M$X^#TE$ZK?Aqljc=BE-zqU1dr`>X{hKZ&m@ALzNek;(ZYq7ZW zA?#j78;1M*y+rRL< z5e%H%9oAqNAM;7~pSvbp=2YWmZ#Eb)?6%JOYM+`L8iSKFdfflo`kND@%+iC-SPCQehm{R-)V(+d7&;i~bQve7N@t;X zV>!OhnU!1kKY5;@vfT1-f{C?EuKWz+k9?ce~cOKIfsD< z*B#@6jNSo)D$1X{_|pKV8dUC`A>Y=suOmVQg2JngeDGHvY0j`$8eR!B_J-`cU&LY> zM)-dUfc)e$dc@3>23fD{(Z&1O3vOEeGI?|3i=Dx%iMQL6YSg8iTd1%0>3`*K4J3Jm zb6d8%ynK@vR~%d5Xl|r>N4oSso6;N{?`*SPphoOhVXr=ns)(al<%tOa;}FN}Kye=R zH4lUTAjV<;{5e=~^Y)H&7nOV2WuH_`IHYx*pvSt!6dxnZ^X`iXy@ZH!g}_f}f|3(JB>_F9Cr!Iy#;Bz-sS zu%4c;s5I1j*N|U3J-40PG#J}N`;_nZY62vi0bHp_o-0r4gGcpbL>vfbmQ+m$5?-gZ zu#Mcds0%=B4$vlL9VZ~$h1SKGf!Acv~&bfqX*()Rou6l~Q*g#6hj$2btn zb&O$v;QR{4jtTIiAb>M%#bE&c2GGR<0Mi?Q@dlVwnv}PnlOV{_P|j4p`2u&?f7%4F zLhBZF(hm!f7PWTa^U><2Ra=N|6Biuq`*Y6YM6YdhM!R?S0-7iO{&;$O zK*%y*>AqM4e}@mcF*0%l(sI@8Aevzy@%wV9nuu`hd8F?v@4*(5P?lJd(#3Quou^pE zrF|P%UOq&ZGh_e73%@TXd*AJt`ovsmL7ej;bhLVs-`)zYLxkZ29Wno7+AVO5gk_Y~ zRI5;3m%Cf+$8exh(f(z7r;f_?dq=*Cq`bVWjSp{VTld_s4bwxG*$VfQ_&=}~+JbzH z@2Hg)$fwpJVimfYY{Gux#$3YGIEN)9XUUo_``aGh(eZj!H=n?NHd1la<#cv~$RU?~ z@wlN3(*_@sM3!$^aevrtJy~pye<+m~F7*-aX!r`;BX(1wd)DD{5*vRkSuL zqFLqVQ`>r`!@@XDE1V;r)dYWfkfZbXPa|)kM7gH+!#3M}(hqUFMFUNp$wPyN(Xl*| z|M}3=C3)y}zUAfb{#*{-bx}nYFyvEO0=Q*g`m`7!8@!<8Y`mozytF;)z<)&O2IK&WQ0b8V`~&gN7+z&K(q{B z4hyY=l47}56J%RXRoc+hxB&sNA?>%imqpKMOX|f3x=bx%H&$!(5PT<3HqFf6Z2Y@V zf-Woo^42*Zsz`Ow%Gj=4tUeHsHr`R5AM*NxQzmzgP)UxHe`yESVZ_ncxvJu?B*uAg z&x>6*+)K7%!Sh-Qq=aXaXivMm9VZauBEOZ@cn4Kv7I-A(3jny=4qk1+LH~OVjqm^P z3D$Y_LDgIT0_rq!${vP5-gV;SL?MMu|g>nQjTh+OunA z-yt<0ea*G)Q%h^*@hHYIF;)Co=I7skM%crg=v2+Y5Eo|5A=8tIf)(YjpT3Pf!tGYw zCdb-|*r~kDSePG)w|5ImA3y$bSqNDl6-%Ml^?$Eo?Tf7L;s0GCU$#5t6r7y44#S06 zs%l9C{G5;_-;is)vQ>Qt!v9CnrGUz(C&YhNE?B?s8#yv(*+#_19*hq@Zt4d;!y0t| z$Kex^OQ2*={||)8{`%jyfTE>!(7LJCN8PNy^>-1wV?4|3&)D4B&ogy5n_fRf)ChW+ zhS;qKM}&$sKih`Cw=BaSVU1LnE$MDjFCq^t2pzDyv2oxe%cX6kqg-3e-9NOHV^m{Wh2HEy!Fczm&$|M}n~4@9 zIR>Q2oRXkC#kMHEWnrtxomM^s>|T8IA8$HP27{E-ldJl zR)Ew9E*#V1Btx$LKb@}+HQYH)>p_?xXBQB7ys=)u`^)7$;pk81Z1?Eij5kKF@76X# zUM7CR58v|YxV)eSO!5e)DDz#nc$|`@gFjPSxMxTh+Dpj_mEwkE; z|2+5Ea2aYfdRQIRbm*QLr_yuua!_1yIFsSJCqjQ|DM9QctuAQW*^TQp(h@-2XX;=R&iD5j(RUlT~Y3dse4Xl8b9AG)d{e zwq(qYOIZe`Lr(N8CO|AMfPUlX=|@XZb>Y!59z2LN3HToi#S=d3QiQ#w8%?f0I!+BU z{I?MOwKut!7HcHWk87L_-0#sc=RAG|^zsDgzux|lMRu>e`|hzoM^p8LQS2Oguwu1I zE&n*0Q~f1DY@i|>ADlMtIZp6AEEdn7449@Q$a#3~xj15GHsej`ZnAci7OO>NH1R!aOV;S-Ev4&+hQOQF>@!;3;Zr|0>X z1#F~(Lh4Wc=MM8fWlIJJ;!Ge4T1tO6rZ@Ii%;`m-qVXWoF_tW zaU#D3nSOtD^eG?yq7}}vcvJ*Xt1i%PvFu>?f+ZwKZ(z-_d?c_cny^w^VAEEui8sJE z`t?{&PVMILpO+LRm-@NYK1bfMihJDbuh?Qhs;XH=*lS`74iML{>!&}DX9(>Ir;1g5 z`OFIKN1k$w^89wbQ%-ibGiB?ov-}BTzN?1a8UH#*F1d2IsCA{dU>Lqw%G&iN9a2@V z4Q(n|1k_I9@g2$U*GaSNGr`UgfXNNW0N|l;*z+I(dlv)<7oe@|w*Ze2!qFXfnUN>W z&^x(jy42IfvVNYxgM$kO*G^Yg&)<+jIH3O=W4LcSsQHTtNsk>90t%=>a|b<5y(|mv+E2J9Y*=oDohQTV3Vn*?8tVk6ShPSvRwk&>hV5|Jz{1?r`s-?|^99Z%R&et>8RSKliV z(kq!{3;Ii>K@d^yr30KlzjNo=+xz7Xegi@p$GN>byCydUljJXw#kdkm=H!JS-p@q` zq(y3Oyv1XkS#zEkkylvfxi!Tn%A<5TSNlIv_C4ReF{SS~$d=nFrTcFWB)@W(K7YeQ zmmKiwz?k7@-)n!uy)1RFk8VG$x;E3GpZ4bf!xs!W=C7K=99X;OA@`6pw>!dMZg8)* zW#*M_+%6b}k02htyUK29Hsru0eFpI;vXPrASw*@D`GG9!?ttT7nJa)N078G zs~eCHC25}(_Hs3&z{wLQ*ObQ`DO(8~JnewfGoGY2=Qj)uEH5sIF8(@}IISPnQ!*S9 z5LLQP%ur_62=rXyj$rk_{aEV=tyrRGiodbU2<%bh^C&wJW6qN!+~GsW zzLFSLZ@qMYzo#NcOV)7qdg0cA#n}d75*LE*)S$JN&aw6>Exx5IrJZ%~i(e=ZcEp>0 z+E|OY7tq~dJMcf>OnDQvx*Q6qdJF zpf~GqfpCm0diu;<7k7*$%_H8dh6>&8VPggOu?(k35gyCQX5gr*@3o2XqJKUR@vDQ0 zkw^=CC~~i^7NE_5Dg`LWk0Cxds2dNE*oOd%4LCJ?ZVD0{BS1zXSr@CTI9RWER@pr5 zG<>_}d03h}R->jnK)U?$7KO}hoW(~=1Q*Y()(wX4Y0lymP8!PtbA(31UMeF2NA<3J zQsW%=#SzNA)%B_nC5c*8HBaE3b0^Xv4GoRSZRu`0h>tf<3;O{~%dz!|7Qj3^ckQF} z&8?DMknG6hX92`k)lNc}DEhi1;A@HoUan{e994|_MX<@G$zaWrfluFp=C)`=kV@AEL7u1j#9BC9+yT0g)mB+T zpZS_%vl=o=hblnjV0K!b@cSamEs#XQ6tZv&ON+1AP+)SJfr{#rt+*b1S`z=C zS%cd%c}Kgh(v{8ovgKFwXw52p&QigYwqCfn=KfA&dE+0h;kK}+y=q8IbWDSwO=d$@Ge>Ta zkLQ4~B9VaG7xQF&w(pgRVQ4r;b#3JvF@(m~d}5mrv9w9FgQrn|)*$dSSZP844WS?K z)F{>uRFFK~^I{R_447gkunR0fTWD5`Kv?$Bvh#r+6)e zo#Kl83g8YD7@T=J^2U#?mDoAZRM)lOyYrWD`*?evPr!M(4<;HcjWcUa8fys%+lQR{ zcBpS3ZhYmg9^*dw5cycCo;LBFC*b?xrW;sJ%K;kpInbpAM+a^)bd6Oo23E;|1Q^;J zqe9=RbYP9Z_J7$}(k^=B;0yYK>R{huZfhI_1JNSLq2~hUetzOme%D-BePqB`2eifg zb6rO((<>?^-tFm@ZX1;#)trt%y-c$3ctn{F&sdrI-XQwwUtfm_AaA~1PllgFOd_{B zT9Ui!N<=sOf692hosp+l0n)Qmd^gPcV4W6pw$n*MNc`a!Ep^Za(3IQNWTb~#~B zvxMdvf!xQ*JnfguLWoItz?#*XCe#jS<9i{O&30c3Z=?y=C^|%aA~HGB&4MW0T8Ik) z%lO+`!*7MV?2ff&Z*rspw|S4{${pe^cQIJkc@i_an6&kX+g8IMO)WbZ^V$a-|F?`m zmk&lZU>30Sj}5!Kzjn9oh1)j>XzqeSnooPq0R*1~an6T7&UwV`AIUM!Nc?(xdt`Gx zTt8dtaPK7K2d~r^w5UP1?usiemzkYd7q zZobq=O8xe~V!zs1!^CZ~p`VLAjY8}%A6x#$t^SxVF1YDD@w3?kZ_DyDz56Q4kUP}Q z$B8*EX>+;?zY&4`85by^|1IKFcn0X)?9@p*^~0+}$+1K6o`~}viDFMNy^X;?BXbG1 zMU)B8LQ`rKZ0Km@3V+A4#pzXSbqsLpTeB`fOnW3oc4X7~>i}(?2%3_>CT>N#prQ;c z35?%zY#d2kxeqw>zPB~qzk~L{C#^bRpiQup8ep`Bqkhf|3&-8SMuz_IE z;M02G%9e2>%4~m=u2l*XaL!}=f%^iliUcgB{TkaIcv@(JgOiBSG590r+bqu`e^0yl zf}KwZHSnWk>rV?t!6fBn#=pOR0y3KI>V$^_6(T7P5p01uP za*4nAA3ElU!1d6=J)5s_f9JL@&vJjwzqAowz?c~XgSSu7h_^WmGfKbbulB~Cg={(e zJXeLM7A-37W*l_r{(hBlTvELb{sP%I0%<#?#T6mmUD{Zn2K9Cw#`*DhB@6pjT$kR$ zk}>|@Mt0wf3Nb(Y-5^Wvwv*0FqM{n=>IQNCbfFiz{Cm(C>7<|^5*SP^9nfo=uQ)Lg z*#MA}8Immq_#+3LT3A>purX<8JzeFi7bTA4Hi^-r2JRiaja0M8!oK|gWds4}%es+; zbZDx@0LJMy?K)T1b=TVgy4(#yahz7Oar3`ztbHwA*L(02v8nub!t%7qPSC4@@oh(f zA_~GtkMGdy;i7f7n8Rg!d2vTi|LGrg*O(_ry!Y#4a|auC#I{`A!DIa%XMQVdB_r2$ z(-}>Um}c#1620tL*Lyf2pWL<@!myNxc{*x6y3@mCIi;?eTfHLDENd8Tu%Wl{-z>vX zh2JBE|KgDW#?9b)iM;31S4iR1g_l;i#kJ@dYu3FV-)}k`Dryo8RNwP&PAq>Wh{7-0 zX*0&Vfr!l_L6@)oQFb3`&Ju~c=Zb2iNxOvFIMP9R4CETnvd~eC*4>)l`*`&rhc`i( zTOKw-yyKFuHU{>1D75npTc){_ZgGSe&i(GGBcT_R1+*Q7=`ac0o_u!b(|6!TIWweh zc__6*($R=ri-r?u+!iozANzg5i9UbF@N(r?|*s-Vi=t#tj6>-y`J~B9tzes4lMP6tl`u5?GklR){R@Q^1 zU)6&3HI%QWD`X=xQ*RI2G)4i@ej)8ziEqV=S;u_>PBtR%TyktLVhv}b#5QY)<_wD) zk{2rbALjz^&P)ikMTN6GjdqLnz8~l!VmWK^&HVT7cL*^dtFMfr=hZQsal|j|lXTq}>2*GAALmkW;dDEJWVT-TcPeJjxDJp}Upmqy-L) z3nvKWA(}-!;Mrgw-RdtJ6U}>K_}iqeh2)-H{?Zp`eC{G}c1c&knF0}#q0Sli3lkS! zj$Q~leI(a;RBv`h{O|VUXPB>dYbbw5`$Djit}aRg3jY1M{c-t0@Wj?Vo9c+4a&t@5wU)+f%Qi=dOVde?E< zm#tTC)!lqx(95!UFp(K*_x5Z*;YFkOP~|Pp`}bam5JT*u$_V?D6S>$Z&pZpB`Ih^j zBGov)Yw(4m!T>)0HR9Hw;p}3>9r4>%i~n4@pEWdUlKe_}*-vunJK{zJuZ0{l=}C=< zrU8GaS5qeguiSZOK$(lc7tVyah-RK0dt&Yso#c(M?2#r*~w`0Ilkxi51@>%#H9?(<5#4ZL@F zFNt+$9% zCZv;oBslC0&(^@!YQP;#hAs6`(nC8c_!SutL}%~fd3jymN~88@G{Ju%#zGc`OSW8( zdr~!J-`>;E6%+Bk1Cj1Ht@yukWtu-v5v$57W5o+cu|>2i%o>c*z3}zZ5jgA*PLrUR zSZ#%zdh~|hL39=#^zB~x8sbCdH1UPABU|0Q7@E{>j6&)q_RVIdL9>#_&K>K>ghH5JPg&%50YUp`;T=r;`TeT9f*STC7qVV~}srfvQS1OYW__ z+;4@XzTp@1{BmG0{P##DUb{00qss`f-98`dL-%gW!-Xu4XGjyGGOPW5RK*LY5T1+% zL~97Fm`Cz{98VBC_sC9@&q65J`v+4-p|3(|JWgR@|jLRdm zrHu_wJzhvE8oP+$gzUh0LPGBh^*1YBDA6|p;_E}eI0V%7F?X!~E0ejjTB8#c zBfo}gvl{=QM{-Z3Jz(f2%n0{QyqY1e{3?`XW;@zC`1Nb1GW+nJ>Abulht}$jFAe`U zm^(TT-`nXUxGu8PM96rV#P{sI$SAE~*3LNvH+U>@-LfdX|D_A@E`82ItUE>neJGsq z9~(XUXMbD!)e>#Ty26avCrAFReLsIk$DpvA?2w^p`E=CeiG)Yfu$hjYjKI${=H0~? zX!pT8H+lA)*yDloO{bHpZWqd)Uv03S``+ z@Y3ANgoH*z>;CZE-5;Qf4w;cXKoCmt8%;}Q6Q$|Ule@3#=K}YoyGra6tG{peUfsXB zvLqxU>2sD`xPhlbvw5Y2(YP7t6G6o!X<%GO-qZs4T4hoI6^2 zQV#^lFa;mT!=sjb?v+RG<7;}yEf`1=`XdOGKrlUIL4qK*63m30*$VJL9r5R0s;~ba ztr-Ft!GP?1E)Bcei{VlB;Y5UpfIbW~Dy>%^7z+@ZY#!hsCOU2wNhhZOK|HviZt*fT zYWx%WwG6R;_yj%ApD0*JtJwLg{%@^n9z>UK|Q2T&C znXgz=05cF!#3&_>KSn$>;~P>e9S!&_x$PnbIbMwL5~^qGFJR>qaPFROj@0{-X6w2{ zJAGl$EF_eU9PLe89Cls@B=RhkTxYyF7i1PxU$X)Gr>}mVaRU%(lbA&BrX35+w^LhC zIEBBWkA7|hEY~33ft*4Gn6Mw1&AxrW|H^rw+IqE)P{2+BkO#CFcL+(ng(QCdbJPH) zI`MOk8OEt{eQ_oFL-e#lhEwITI84YF=^b3wy|hkvd-ka{vFy@7q8p zNErapUDDS(y&Xuuk~r%vb^blW3AIShS6i+ET?7PS9*t4)P0MOd7vm>m?0HTkPS48*p|Dm z*KqZNBY$o5qXp}H!zb@%S;>IQJ6>c>jdVlLTimj9!m*z52^X}(nE2acAxs7# z2C>68-_#+KgOi?~4-}hv?uP6*-$5?!Iay>)w*P+A=dxdNMVbDJ<@Q^PU^aG(lV*uoK#~VYJ zgqOn3dbMXq^qV-;5l11^PY{EV9}`FrKH30?(m_~R@CVpGae9V9KcT=32>n8w06v2R z8uGvb;Gm7c&lV&)sf+W+uiqUep}Qb;hv-wfg~A8>ZSTNN_zXLg7Kr|6$v^C zS}}l0YE1W!hSFQ--h53asTYM#p@P})a4KkP)dIK^X$^yJcc>$97vFr10vG8@z$DPV zv~zPorx2YBO6=W9blsdf5dD5LBz8E!LW|ouwF`5RUOA-x@2V4lHiUnRy&;P`=>F1Z zeIQvBZ3wb-@xSfq=<^^+0gW2%wqfKBfLr@Zd(lbh`@1Q+YBT24JE3)QNAdpCTrm@{ zX>6q{QJ3kUJu4F~DaSxS0rxYd;(*umx7V3=OV_#WtVYzTGv~73f}YbU3P%Zoxk3Oy zN2h?G9FGDG3ShlRE1Ebw>gPdlOQ?~Yw|X$+ucSB>j$^zK5PiLy;XKN@0)@t%-#xAt zlWob2yqdL7iyJ!(hBgE7_6_##XDFwhdN=N?ROi1ze$H^i6d40;C?IjORBXbb2Jk6g z{Lm@`D2Heq~`-dt> zpr6(|J$_ZnuU`oagR-W;t3eoaC!P7S3Agattm|Z^Q-iyGrlBlAUokFM=}Mx&m=)KS zXPZnrrNs4>kNQk3y5o>?80FdJvM_I-=P*5SLA$(!YOL!H(silbKMVWgkqa*QU zLI>afg1P%pcE??+!)FqOfQbYj0EU7hx9pDFH72Cii?VfQ^}yYA{B;h>WP4v5 zdWL}p{Hf7LCnne+l(psPeg#(;$aLHa==UjjKypgk1N(zB3g5UL3o6Sn8x%*uT^uq4 z@Fq}Bu?&YF^wkYq+-uljo(s8Hq+?dZ>2-L&g?LrzdKJk3lX-IP85Qux1i)bj2lD4G z?R00Dih3>RMD`}(*fxcDzsdJUghT_hSSny% zbTzxf`I8F2gHG6!z>BBtu6<7Il>T~jbCh>q{KP1hu?q8^ZNJs#^W0P~Rr*c${=B61 zCk-ibSfM)@w`d7Pcy@4-fk#2D6){GOOF3%a(*~_ZO4uB9bIcAD0^_8g31L_ihME=0 znTkDdn~-?tDomWDcPVP!)jFo$xU~YtfHzAo(F$sF23Rm|AvM~8wBessZ$A z`7kI0Cu-?r1Zexo93ZRWSa2Gy;`;FHW2!?G-l19r1Nu@y074+4%RvVts0Bug!Gpk@ z0=od#9(+C(1HGe0i__r}U$SvH%xD98S!F|d{DZU7 z#H;(Akni9U>07Z17XCk?q`3T$+kF4K#!4=>`tkx&ZZ#J(7}q2~P&nuEB6qK!c#iE$ zm-K7T`>_-q2l7F!ETr`SS$24&EWndlU7h(JjWuhj__qYh?=Kz5D5djJYr39yIU0|(nEKy?_nT$r?F zenGSIIyj{1kmDoo)ERn(Qc{D!do}9yF2l~=fy%4BDDc6~fW{BRMe4vin^650*0U%j zNAc0SVdudGV4$(`M#;|Lzp}jxnYI`h-b|o6q>}oTfUm(VwmAcN)_=0keck?28vJj{ zwyAy55tg2s7f%mwKeBqm7(+!^HNu#v8^lG8fAa#j&Z9e(&H5g|jBO924^AA=bPw5) zlVEIJp70U3FTQra`^0v@++h9m1rLvpRt3&vvulJH_{eXDGB|@ry^tW_?0}{e@EQW3 zZ<3>13pSOw)rbx`6fr0u4uL!2Y2T(vJ;*iKM8EjSmO)|?08jy9tgY38IY+_b0SCp} zGE~t=o#{XfNHp4s^$L$crwr7AK`l7?QIOmLjq^UCpRbmc%txr8#$8co@ZQGWQB;c+_$e9RCIXeA&)3GQ86J9yOk3MsB>3_-V#_ zaQ!ToO@5G<@~N&Va$j`ffA7q0o$U{LQHT5Q|5*AKc&O9w|E<-2skTKS?RHV2P)eb- zm2N5un>;g&W~PhFHj{Llikg{SOE;BDlaQpPcs@QRG^q&_i$c=2J~JpOw`wN2)MSid z{%7|0-`Dr``c@b-&*wbnywCf*&pEftI@I=}xt5$PJ!Ft|Iw|3~);`01%gmY#E7tF} z-&kHW?~ufdy8ITd28Mc9ohOV5h6~ai+fa?N$%6*^+EPJA&vAq?mIjRs#CZ7V2ZbFD zz)-`~B~#_;P%YM48>}?9kRCD!&4vKIVa(H7$8B!A=f_Zi&&AcDRjGL?uq@!O1#ru8 zepDkR?ofl55=s@7l?cwMXMr~>2F8{Im2!xVI#1)yR={=@%bOXvx6YNOx7q~Af36LR z5x2U8S5_s>z?!G2ZL2O}thwgqZRKuGl8e^Or_a_&Nd!v+3!I zizV|M;!JZZRa5R?Ufa|Et)_YNUqw#}T$1f~%xVu3&Tu^hZzgGkLIMW~uJ4Ur;{oMA zl7GP-p14#YghZh$k%TQCMBn9R!#BZfN`pg&>5iSMUwkC&v$Yt%0G;tim|f!mf5sy) z(U5EBU6Pa*E&u@h@iepvVVwM!3PCW$tg^yYWU#Ogx6 zlG%&Em_}XjJ#fSltep;*j>{UqdJe_AQ2l*cOheqOle&%Zh|w4uG_X41Ku5s?S#w_+ z_v!<4k3!7^XQf)%^}Uy!Eejp@StPMG|M1=7$(9SZTpLN)Qsup7?n*waK#Jd9)g2c( z6kMQ8PN-X$nGbWN=8r|}iXGEnBJ}~o7)wni{@LN1%^g9%0Xn=*iA z)mk@Iv~a;h(XGlMU5M1*cqN^-xC>8ZyX-i@Dd^~%ryf=$N;Z1&aQm~+(y^=My9s_Ao&^G zF9d{&OHlyQ)MZlZ_VfNP;y~&L|7%){KwOe}iIX}x&5|ZffHdRgiolZnuwg1n0b}Ov zz13c5%S=PkP_S&`PA_HQ^!}1Qm-PV;BO2gE}KGv*R=#Qwtl#2V_cZK%DLUVeczA_T~+%1dbnp91+)00BhjJLmi zeXZ|R)gg4$pLx?$3R~Vq==h$_le6eeZ#N-|Q+!Lzt!T8JCKhgwTfIc~K4er3tDHmH z`#Szn?U{TAtZH;V@%C!pEcR%hNbfxIU3Sf)t>DZ*0I0asmEt)wGFti!yeh;HQfMp( zhj+Z71=m(l^g2+FP!PM*cXgdrV##|E2d0quf(ZCl`3F{1&EmdlIs9Pc=7VAeVA=>;l&q?Z>cFd;bHic^k-OPv=YgY7oASLNw4DZylUF}rsDPJOC$ zkf%svUXf<*ORR9dwe?Aj_BQMJJH`9r{BkH56^7ULc|l;gY2&P!ZGq@IQ+aX4;^NV^ z_la8B)m5_>aP-?xRX%Wl8$j#RvHrJB=1_5xCf`x0#zp5AQ#;;ChJlLqV2*`J!wg z5~fdAm0QpqdNed8|_pM|2yOei_Nh=L;PF` zDDQ5vXd)H*84#cOps@R8F-a&gv?ciRegylw~zye6R<{_bKW68 zn10D6tN$s*BLOfPQroN^!Ob3sU4kX`2?~VCcO8!T5YS+>DH)m$TZ^-v-Q5I)hIIW| z`NqI&;@QS9#|xOC91tzZEJ~V+biM@wh?1X5R(p3hoR{kST@#>o;m(cmozbY*>mMs0 z?&q~6YZ8s9d_1D$P}~w$F~+@(8)IWsY+moy=yut%+2*+T_TT)Yc2gi|m${gYyKdL0 zoAK*ovC+?q!#<3RJ?@vzjd&lT;!lJVGv3ms7E*e;c-(qujKi`l^X36O+ zyg$1$ip7HEYFMA*u3WiCn=k1EF)|WVSRg=;kCjp}QkuamAPC*Hn4oBqt~h{_ETYDk z7bx0I7j#I1OA+_e5}X8H7>O$wa>*x`Td_}*X~;{3G&=cak=(yOHMbBIJJ!NDZ%6QV zyObZ@4nWdElafxdKlO3ra~U|dXw(jX`&*CKpb|p_3NA|U)z8cuM&2#r$8vm66zE^H z(&Izq{3T(<8YKhRpOE3JXQamtkLLl3d$^6XneNZG!sV-smvb#QC;W1Is_*qo7Y-0e z<3imb7I2SseJMpAEMp?17-pX5^u9Ui`8;U!d^(@4ZqSzQHGYbtp!1g8du%(*-a%~- z^dBwF2~?ve_CJQt3!6|}3{ID%H`*{czj4LCrt<()V`{e;=^_y7d`5f%dWRvGP0uL< zd(ey^PA(Qd_rX%}6%Qh4a3M}^-@m}OyZDj;B$BieUIvg+!{b^gdQ{a=DB&rU>qP8! zZSKlmvDhh%veH=!n=N?VBnp}`Z&Ulex_{35dNq|q%%$4bFIYFiDWRt+W#;zw7lpk; z>kwLSd*Hk0@|XK&c8s|{*TOZop?!Q!+30~dQ>WOy`SsJ?{C*Oi+Wl-^EEP5?i&_|9 zY=2wrB~IZavZwdH_hKa9GxtbRi7R5{5)G)0vOXXFs2%d6?S;Z31SFW~2uL*y!G%$8 zy6-1p(l6N&NZjEdLHIT!K+s_~LXQj)0npm|31ZDZF}xbRwHv1>Y+7zvl&2HWtw;ra z!>XP%GqK3`y{FO}FQ$R(ZMhkg)m+ZbDJIq%)m zYoRsv#zuVhzPrV&g_BO6Jo;~Bgw5_9k9(HwsMf4K{^(SQtTe3S^wfyg0@uo8+EFvC z#;Qg-vLAgrUX7GMfu#ZB!;rj2zgAy~CL01S-J z!G!KGCNL!Kc$gz$bm%vmzkux?{_N8&S_(pwJXe=eqyfJ(um;j|VBVE=Sn*reY(*bX z0B{$a3p}oTzCUMp+Ot&VXzu>>0gb6lytQu@ci-mu93q5UGf#v9**eQ#Pk4*QZhTid z-%H=V4)R`^#a3QAB!IxApwVC8qf$qtKupnaX}I1x5O7`X*0 zToUzPe4CQ#rgr*M!j9eXHgPk~PdT!vR`KS%e=qA)RrD~W7?mGjuZ=0{9jW&20y<{P zF`v>3S0)c7=*54C1I3=VY9xBc&&TWSSHJ2Q^aRA(x=hC5*h*NY~UnS$Btu z0e$?k$;|au5t}+(=ei>I(2ap*w(dZCm0V2^Y-X(!I@vr?qf`~7{DIr9F=G@Ec2fd) z+n98D^!ZJj291&OL9sjQ6r=a!oRFciT(ujPO+G9_!f>VJsr3|6vwmSZ&kAYdl)%(k z#o*5yZFp~NmLC0Q`OfBV$4^h#uc{AxbDsFiM(t~V!HctcPni#8A-=m_1dWtJ3yRA= zpO4`^n<*s>aeq7m-*k)lk3$F$;#BinPh>E=G~Ks9T26?kyLr2CB;X#n_R3p8G~Zu~ zs2Fj@iU6GdFKVBI@g>!qUR@Y3sW!<#Av|pkI3k2x#(E2{(O)?q*JsXr&-b2z)@eQo zoR2cvA^j4!MzB~!zpEQk46C#pJBmJ2T5Z(DWYFyVeNmi0nes)4GK@*&^Ba=@x4>G`Q!eK7Ab&2rb# zoB<$3#DeU6!XodfIig##pXw$@A^hO0Gow>~24d zeE(0TB+6ze2I%ZK@FTJ~@PZ?8Zj|?>TvBL;+q?BQ;%P-Zk)qv2$k4GQaHD~?f_A7#+A!Z1oeaWb(cfC_lnT;U`%2a43Q_SV910uKzhSq!%r>*BOXFL zVs+%MKnK=ra#cE}_CS`B_5ylCLzRUY6_X?6xImadazrt4ydbHP&?rgoZZQ3>`UDq! z`6qLX@3w5}zvfSrG^-qdrV9h)yi*OSpD?al<=U3h22U|U;W2;v?V35?qirQCGP65}z zC|7-W@h>dDBzf^C=l%MwjR6gmw9y5L`6{eWtDhgHbPzjv{q-i8yGuI3T_nKLPtL1hl zroq%YpxEFU+8SioaGD71cCKLvPmwggBIo2Y3;k~DZ8;h6yg8c{TkFL2c^MsQ22_JO zT%j1lF^tplXw1+XE7M?IRKMC_42lj%girrNBXVRnM2JVex%~5_qfa&<3emN)o98j5 zLb6}a(TZbml4HLseCD?+XwtT52b^3@XBZIIkOY0%GEIDEE4XJ&6!is6hIa+6AH|=> zS1mBGMrHd>#e>u5YMj8H5Q=&Xe@2KcRbF}1ZL%)O)xAE2ojh*N&-AuT^T9AO=7btZ zu+i}x3B_gI?(iwq*gNFIxWmzlzo?b3V7FYEqO5!dbnBIB=96%Uy;pxG5mP9Pjf58u z>066hHD_RUWVHp50pPhINGebx84Mk2w4dn$ZoV2pm@>q(y7 zxrHZCdFH$(6TybOs3?d@T& zkKut23N%k^=FA=1_PR*?>j}KsJ_YI?wMxTN z3y1*%7kCa*e705$QyNy5b&99n_+r!0U4d=-&|YxA>IiY{OVkeyF{ zR(*F>``C8K89IdHidlYFD!yE6k(cb*Z$ZagSp_hM#g9J6E_Th!GAXFqqGFTBC*I&M zl*GMq-PqR48)9qsEzytL1$RkadZMXUSUSSi3%8_FT#|OzDs0*^p;oTO>LK7S7!5Ik zrr8U)jT$rkJX1m3MH*ynMvoa-+|p*01%&D`cI?xqP5?Ic3Cx_`VM}M*lgUafQJO=H z`f8RdRbref&V+mw9-eTzf!fX$SHU*`2M%-X45T*PRxdDwtFnR=J0KfK-kbNv9gAmd z+kJ$#F=5h`zBUW2V02cvg1w3NU*yw%8mvzhw-X_$6FiO82-u0YzTm^bG>Qjxwp4ie z(K0ivEae6z?E1ikTnLiJKr)bhJpL@~B!p{O27CO7WX;Ut{zXiVge^I_VLU9QFi~yc zF-!KWw!BX(yZgW0Ce+rdMj9laM@+ROH%`sz)yflT?B1)kKBcsWI!V-AGa7E`GU{WJ z=qP!hMqGC!D_L=xnD)u*;Ru5UQslUWGv)E?}E} z5jHqyvE2zm*6hsp!og8|9Ix9W~$Fjx;f z;7)FW+KOyC817C85v70}&p-|8f`DK`)Px^L!bGKCDNXWrMF6#1lNqKgNq!l0cHL^V z#_y(@;>M4=v9@wqPX}BVEGzI?#Wp_0(j=PCx)iRi+-R~JF0|G*YG4JuDKV*2XJ)>q z=qJf6@N=L1kVUk30Q*Z~F9i}GF<5+$$fv5K7v z{N?Kyii4B;Aq2`?5x>F}YRN!90IDVfQl~?0zt)iXY;9XO&Ap-Z?%sQW{WjKp&L-7F6lwVqp!>C!0D;#~^NfYn}Iva}iTGAh$Pm}{PeZefPL*o5>D2w^1vEGV0 z7?IrN|4`_L%UbCgKLRLa*g8>FM+%HF5vlvDXDhr!myIj?S~!6M!nj!1f(OUNz^ms! z5SUV|VoCsYeed2pn-DIzWaBCY*hc?F9^5=7p<@wHSb{S5^)MdjZ17#8?>u-LroclA z83Nb>=7I*qk06{YT%mqE>YN5KP(*?IV~nnpG(!2(8h5K40a#H-ul>C1K-GU@D3yV- zht4!9?2P&SBIM!zuWZmuIhM4CCJEbJnW~zfSbHDj69b&Gd6^ywa-30l5gid%Od}l; zYf8DL$RlHL@M{HxBJm~02c0cavv#|RA$F1wpOnTDLNI|NAw#-iq zGR}dVHsun0=UO-xxy%Z-qQA1IIAu3(1E3=^fZWB-%^N_x0vaJCFHVMKf+!6u8w%ht z4O4Vj&~4z!QR%725Z*}ottAGxrh-Z)CS(4~_#h0h%NKad4dFI@e-~4hKp_Z!2wWcc zybJcg3>$)?%8Ds~G#wAEqoB|->nQv(nTeGZG=nSR{%4%@b4ls3C#w#a7;k_a2Nw@* z3X**)1}_p?`Lb_m9YdQc(MhSJ{(ydS)*>K>H0cm-y8#P7Ggx{y5*x3c&Wn7r`pqda zOny6|>Fb{&KviAqf5vD*of z$&ceQ#DKoUbwDx!eqkGy;#8?#@j?LHeD#XDV4((4%$~9hLCa2&T=9M=gbOFbjo=&c z5XohqhNnnxKNyijd=9)SgQuGJdfo8KRNY}ok`-fgiYh=L!FjDJsG~v%-f_*Sr}8^k z`pq{^t5&D}-gXU2eiATGqCeHpI&oKac@8)}JTw*-S~0okM{#+Cvv;*;&W>+qC+0B;4R`jifw?U`RBg8pOGwuxqAj7#oY{04}k z;=aA6Fk=LF4hxs{(EJ^u5Co=LU{#@^*p%}sEHx`%;NMWqAHHS0Dln19-u-r}jE|0C}a>#z4W^sn}X?j2XKpSBm{*GoBISKz0Su!3hFjP*lUR*$u(A%&^rNPx{L zafW;!BGZV{*pIfXnbLgTktn>s-Ub#Ezr*XFtQY0c-Wu0_)Xzt}-q^E@>< zr#4M|H>);(Iq~&GV9JuYwe?))&@PwzUG-1VVIga_!@?3)q0Yk8^0P?;QKLT#nSx&_ zT5okRUb$qp5>}-Zk>MxzrC2dQ{3yn`&z!W@b8TY?Xm1gB%y+=>PrNC*L)$XshwPpy z9;>!SgEiKIH>aaXP{Gy$yZ?V&6x^xvhDJGYh2i4Ogn*=GhvkD;4*-9TB}ttPP3ysr zfFn0gfF~%`1wDPmLcB{8P}|#S%AyDqzweI|YkZgPb#3feuRb`s@7@^C*8deJCF-w~ zj%Iv!V~rKjU6t_1M3*IrdH9y*@u)+Eup%()+*+++t{eSUP2K zw;!EE{E0Pfg_GTxw6zM;hJ{)Bvv^NvX=rp#^>X){zX{`ZF3Q4L@EeO;Cni-t3E!2c zBo+>>=Sc42oGVz;7w(CmuzJlIE=KAMA`j?i7f27lLw{L<rtPyvofzL9qowxJ?h^K zeO({-t0{hlomYzQ7;%jm*};hqH_2c-ZRXO9hdT3#aHvr5UjkqT9Mq6Qu5%^uA37$- z!2RFFmsUVgJC>x8s{DriM&OE{&sOGAT6?LPIFnCDZ>>6-4-*4*+tQD&d_kcTd zN|ZWPrsMP6gWFZ_LoWa)NFZ-|6WmS$S2TU=ncv(Y+`G3;+<&p_N<^9!%3(I$$v0ba zy(~i;z2dm(DR|oSg4r9T6z})gC$k}IvN4_7TsgnSX;QX!CU`IvJ9YtQ@Xe_NLc1fK zCQAw!GGUB}xL@aD>AL#J)3Zqwqa^ZOr2rNe%#pFbQ z@GN9KhC-~psOxO~AEO;xcNS$-rPKS9(t|FabxO!Bh@OL*`;+Fz%v_R2xMYv-ch=O* zT38U%I*#%+y4~Rf!nn&7{Bxk}YhOTn;yX54cjpAYd#j483mt~IMEJ#_D zf;z6eL#5zLpopk3%*_U`&E+S z(yKQLo8m*>7a{)6?*ZoYEjR9)y+AOFLd@gmVJ_{sLPphN7s$%B)1hL5;WJ9Mz@yV! zZ)-islCYha=64}I5Fu<^FAt;lyRIwsFWZuIWLCY%s=g+rfIkt;G)al0YOZfwBdH&! zHaL+m?$#c9KZP2fcd9kYOLOC%Qk(x4R#@kBUVAOo+0^^s+*yznG_j=TePBopV#hm@ z>nBY{w9wk(4#Enu(sqa?!0B;AHlaYG-zCBU-WV@nHIEW_5D;s{28Jk$a6`hGi0$Si zeq#E6#Y09-s$%O}O+%VR7(I^-dicZ1g(fZ_OtE}6|Dk2AbxgO--?~vJ%OBy8Vsxgd z#Qq;=l=yD?ldf4>-8HZG+w6;U|4yR_#NOIxvwCV3qCfUal$1-qYfGF>!Hq0S=dz6b z2$fZA`lQN;{-estzovL!oF)kLX^VGNbQnuO$N+g-t(fF7YBH=DFdjSuu@lLd+?8cP zS_K0Vq+rtfdMefx4CT_c%87oF5&{-YW&!23_u-pafDVv*2FS;7G5moZ3O-5+h^>EU zWuD>NW(NAwa8#0~wS9z~hHnilBs4W8@&}87_iTBY(avK~d?U_HH6Yq1AA+IifKiQ6 z$V>C|xxE^;io$+86e^EbARu&nc>$pyPlg@cKXbf=* zcoCb~3FPO()Wt{lUN6&p|I_44m?U=_ULa8$IWQ6DdURfu;twEYV{oQn^)F|%P z-o?br-CnAaiEoD8vYz!9mhZRBqR54PC;oTfxI$GRU0=k5&n)=g$v% z)uc)O2?3wf-pU-f9Dv2;evoTma^8BIne5nIU>W((9>*^`wPuo!>YKZp$f&m@iJR}sA$-bC96Y%F`sUnYi#d%yXOfyV68m+ToNLcTGV2f@Vx=0) z%LL^Da);0cCrnSnu)8M=SlI`e{V5_lTJGX_>R4Sx3|w^?EUbp-!~;MH9ww_Qc+i?5 z&jvc&AD})1(ne^nfzN`aAhNXp5?llitX8$fm(IY7qfg*S5ob^8SUrqRz>>zKV9k!@ z=EtjfN!r_UUuXP!HYFgp=w5-a8rix*afpnbz44|B>AYQ$xbl;l#ne}rdf|WZn`L{< zE+W)A=KXo+j@fIaX%SxE5oRv$Qs$M!rJ3g4Jiz|`U~bosFY;;eD_yCJ2V4NLgB8!9=zUaTT@OLD7-x0H{Z} zh69(j6ZXFEc~fHU7}lFir4lgWg{>O>8GYP#v*+!CecMiHZU;5<5<-gqaQ@5l`COO$ z(MiU}&~VT*O=bf%np!{rcKf5c>qou`TZYyg|3@opJ1xq&CNy(A-Jmjl6t%cBfF~!8 zRqHd7pEc?ibt#(4v7}}kMc;ko^<;cv#1Vb9lK^cV#{;=nS)fbOVnbmASnD`0fNVh0 z2(~J4{0PT>QLr>G4XF0I5zFs>J4eIM&mRwE`VBz>8UQ#WP@HmyEmF$PgUz9Ui2WkF z*}09(J)#Y>r~lBeP94Abi-_Lgu+bq~6l%)@438=IWV0@5jcJ}IU~_`W0YNWqp#VfCr<_ew^C>5OTcKk5GAl~(zL{M|j*INXuk?>R={LG?M zRfybWneU8kpM)zTgQouc>iL?+`M20Rc_demdYe)HJwl%UoWjJTH71qw#lPRtY3l9h z_PH_GY<{uw3$H?B6#g=EaP(+{(O*`HnFpq}7FW8EquXyPYIppJywyO$(>vn;do`v& zd_ln+Sq(^P^Df{^piTq&4U6sB?Oa#LMO;y1`s-T_9S(ckmHuk9RAz9p$1^|`mqtGC z?yhRMC#wp$ScNYIUS3oUJTJJ-#Xe4K0o zdK$TGks4QUmdn(_%7{N#@)M3l90=u{xB0p2>Y38W+6kMTgQU19&(Z`)WkATsYD+8D zSkNS_w+;%VGgr`WC!XC^anJYDs(6)ivBu7_U}jBkzVKMN=|vx@ym~#E!*Xe=wmv-a zNq^*USl|4>@sesApV6kxJ}O4r$(2F%DDuZb=uCi&BY5jtQBFy-L)i)5*3}D%ybV@Y z{*&#)%F-nhlsI#*6^Jts7$rP?(5OQO<%&{a;NOdDw(w6tXo=_mvau(C1R&i8NC6}P*r3i1fvGM9N5Jr1 zuOLE{0nKSn9zF+P5Gbn-bo>hnT}c=cn$+HL&Pub%m5`PYD?B(jJhZrA27EGUTh zNH4;;@=56+6soXV7|H#21No(Sqcn*K`r(H!CPvD<5%xL1}lL9Tw#IDj$r?308|L5T%eO9{UXD+1OuZV$a$dV28-YR>~cti_;GgXPObQo z8E}8Oh5SkR#P?b{dl&pL$tm3V^(19T!uu%%sabyUV=5-e7V#^AKK`%u0xF0VsrTTp zb23s`TI(PvioiFgLdk*V*BbT@2k(jBm-xT4GXKcbFdX?WJ0XEW$Qf=w8&IMv6Oy${ z5_X)DU>-f?Bk78I0Hx~wu_J)HL$=?OKw0(-s6T|snYIjN%iuUkl8QBG^bX2nBac?i zzP+iQJQlmyhd3@%#hP<+6=p_j296EWWdS!I53bGF)?{bJD{SrR6o+F~i8%*rRg>X7 z#8s1l+^te=iBX)jgumZ3(0=f17CnSqfD20&o)woZamV#EB1wEXv^56O+xu#^^=$}; zuYp>zw}$n50jn=Gw%vbPqqZk$G_+QK#5#{9agRVrN7hDYjlIh@I>{IQoK*C7yclie z)sMPJfs}NHp$+>*Xe-Qf^&$Gzo0s_d1~x_6{Rv7CV#c=IsE=9pssjw zHhtw1$N)1KzH0C)F2bPg%~S3ZW*`v798NH3MQ6dXDf zKCZwPBIBk1`&{pIU8rZh0lBhgM-e~@!Ac1d<+|?Di~=hj_0~g903*PJ5eka83m_G~ zk%(yGpKQ2@q39FQNr8zY>Jc~_ItFTiP0W|?<;Gpi zo?hbV*8|CQI&;gtg?eDJp&sA93F5-2i{ust{#f90zZ9WRrOa^IFg~qjcTR^31CWw} zA{(Fy2waYCKi1;f(Ir4UEw*L&sdT)UToy$Suk}25qHoD14wuQ%{ow-l_sA54<_p zxP15FCIDm81A(nCc?AH0}_{-C0Y0IXl6$Dh1xv)$78)o z#idBLKJ4hzzX(-%GdJ z^_e0Do_~WlHN?&ov9hX^xF&FedOU0i_VvOb4iC}&^^4D6buPeXKoK3~E(vx0NaB93?yla z$L&1mGX&<6edC0$oKpzeE1sNm-V+AfeWdXAyQZfCKo>S;3UbR^ahuq%(-JzrL|YcnHK`Od?@%a$=-Bt?;~9NLsMXsJCc`q~ib3uJe#(Q#B&Cs{PTnP#^UcEy?!0J&d@MT(&d8&M{ zIvo3}p?qTvHvy*+%O!?02U-svQW^{b!@dTEgA%@0c7nEs^`O8G7RbwE=n79nIpG#H+v>gmQ_K2tnN>b-1*PA z8=)!$76ds8{q595gw^iXJJcYrWLHcN%#ag(CnO}TEOQ!(loIyYio6Ze0(e%+h5r4E z55zp{pIPzxhjN;UL#ZUlx&!(VxWR%SJjbOJK?HpHWtL4+9*5}CZ5gxQQhMm6NxN{Q zS6_+*_Mo`=8zr^9JNJH@w;=5^H>i7c@n05t8=#5iWn)c{`46Z`_jdtLJ57=H<%H|* zg);+o2=CbaDt9^&Fj~WCD=$3?ok|p<=hPb>GH}jo;CJ&A4EVQQ6$mZR6zJpOb`YJ` z?inCWHfW7O1<++Ed2DNqQRLK0YL!rh?wpEn0uibtf&JSN@`Fbk3H52*jw->Wt3D zI!FSgP449&sG#Vrzx%DeunMxAVnw?nWW-aC7D5^D@kMT+mXm58pUPTejtj<5i=I#y zU$D@0gf&f`eeZyN z(ai}zjIOp`GqQ5zs3NjhYvSHL0~)Fv;KHf`qM%I?+V^0hoZ*y&#vBPikzr${1PWR} zlEZ0zJiJ#5^D;c4eOUpEU-Kc9gG&J~WavJl6$)XJox{CNUuOdf)Y&um^ylx7U3?u~ zlPcb9gKFfU;RJxjfk$d5g~fb~NVPEyZyuo$W8BTajAQvQ{q2geQWkx+7OoRT|H_aT znEqi%P=q@X{ZVF_sOW9>l5(wN!v5P0^D27=1Wnm)F&~c3&YW+3Kv6iV%Q-3eW62lebF`#{r;^h`W83w*qpWq4JkD{YAtN%#I8z9es zANabk4#5NtCcIaJ3o-mhSPidLfzSntMd7t1Ou!hP4h@WS^kpR`fYLCAJ_U8>v%eg2 z!Eqo~$%KB2k)Y=3?BUf9k6H5V#UrG~&jm|jl(;zijEOH&xf?O*GBfvXHM^3>klRl> zKz}=(1BCKDv)M`iVzr-D!q^p;7RUx!LMyM>KPb?3vyQGcIO=yDR~tRA`fY$g{<8U8 zR_(ks3WmJKi{!%`MdeX*kC{zFiHcsbbT>`fbdLTYRGpO3=Z%K>Z%(LbgZclwe0J>bH}DMgW}wyf?!^8Ms#Xd#=s@AJk#U!x4fx2dcs8XdBM^&#zlS@t|KM zc3VJq9g4jwtyhB|fl@8xh!oF&R>5vYPQiFHB+;z@%>kSx9i(~n3eatGh%{iXVXz1f zJZ0Y0Z};8U)OQYa{SoEUvqWes=$~XW!7`vykcc6JHZ%g0^ttp7 zFnh#3dNsxow11n#`B=ZV5+fhIV)y zNDE(XOG>mt6fJ6arz_h=;^x1wB#tpOyCH$1KidkfZM`BxUZ(Pjdg>!*TfaNK7zqn0 zK?=b-t(gb%;?j#&lCPxr*I$Gh9Qn^i#Y@x&}ffz0MdqnZs56T1bIq zk40WD@^9(;;-Rpj>c5gzrt06Km#f6iL$SXBv#(fLVDEwqMX%=#AU$@Zogf3@e}4&o{0CG7ch!>dm#uafnvH z>&+Ys>c!!4&=mrG7ehwA@*;-d251L);zKtLZ>!{v56oaF&AT(dd=f7E`oGK*4Dc0l znK7+w?*rC%cT879ABco0TrirIb*Ay*q&mis%>uY)-1}P*%7x-jo8o?x^qF&TzNCjK z>~I7|Ov3JvVEOv+(hUf5qtZLs9K4wVgrfMP+av~)JI+6utU}`F8^A?ldEt*1;F^vt z>fyl8e0gO7&E{B9KRhd75ea-zAdJ#ym;7@v22LOJeSZWyfIGt@U+bW{1%-!Hpb{Z% zVW>f41oBc-@V)=tR7bakgy&;G1Yo5T;YUSWcX0*NTLC3)t|4>+!3bE{@ZsZm43*n) zc&**Ql<|!~1_!zU$W^kSz6AVFl*WNmv_|(4Yt)Ol_#M zYDx|9cLA?Gn?$=#BtX&dvk43&l8xs`;8C&p@slL*M!-0~$}D{pND#1KU)u0UZ|~ey z61gP(jKkI9UA^<7eo>sU#M!Cgq+xoxj*M3xMBq?qeezd)L&+0&timgRY z{_y2Ifa-JUYD-BJBtH4LpqJ0>-xJr%TBL?y94LQqxfiVohDVK;!+3?*T?@GE<9`|5 zGZ-_1phx)9ne^xWh!uR?7NcNygYFyUm&H-^BmiAXc&j6E>TL9N^=o^jfe`_H7P5k| z0A${4ycv(qz7P4x26rWtyi8)O|0M4%Q1c4QzX zuicRHpqvMbV{~3TSlK>r4Kzj-T#ib3EtrT7s9vK-*uAT^Ih2c3x z?6hj%#GnGN&w^sgM_A_9^Dap2Zduynh>oJ;#Fo@+66yS{D!^n(5>Lsay>|t*a zlYTP}lFXR%a_}hZZ+GnW5)#}lx7MT0*uSo;)53(?-t5ZIGZPM#dG#D!Zw{vLg+**~ zI(2S{%j~*jzB~m4cv6Gw1rdG1P98DW8xcOPEJifOk9ct`HhJ~;KOKkruZ=pJ)R z!lP_0FDPyuaV3hAynr8*jCBvBx`KD`*@6oMztz*B$SwX23~d`5a!i9wfDq$(Eqtb; z=yVk2Y|Ti(jo0Dp&;$)<6cY!=VFd$%#w#EC0DBA7byQ#VE@y+4;e_0J|J=qetcOG> zO&6|4sXk?p5(GBqDgA5VhFLIos+2B!E~)L);pK_`q+=e`LBvR_d*g8bx0%aEw&qL4 zkhg)<0*R~WFe_sTB#<1ae4hCa27r|*F_HX6sDld(`L`F<3f*R!X@9qS_#f!~+h#T; zn7vS~Ea{%vpniyW@gEaP-~8EYR)@vYB9C7#nG%;yABHT`lK6b-K{8Q;MvSB|QYys} zat?N){psOZv#Y+!m!7)u7pC|Fmc;{C&D5;^P%8k%u`yya_|{_+>D3F$0r-$~eRQkaMAKWkaVmR8NAHfcy*$tic)5Kb*w_fLrameMjP4ZYqft^yi=;Ap^Ii zhkM8A4R;U*5E!}q_sarobwDys#5Xy>n&g&BmxhwGdF|Qd#O^Q$%MnDj;@O(=)UOa#K2j?Ho%aieV@K&h2gmmaN+MLtvgTj>?+@zb=m%@YTftMbKWEF&zbj%ALE7)f}J;8WK%C??O~{ zE=)e;2pqLBFiecxqBl|r=%bD0L;^HunSnWgVhj>+G!VvFJqt@a)r<$4dT(_;iUGR9 zHwh(q&e$UCnA=iql^aGA(eJwa76VWRiwn{bZ(A!3>NUi+CP~N=1fUWQ4BTWUfOhzX z_wzyj{0xeuMAUEd0XWg%mm3f&&>jTRe8N)j0wQQx31nj^faL?d05Y#EwShf{e#wMj z5?t0RJ_>y%u^-x{W+)KUhT+Hz{t40o4x~XzD_w|{VCoFHb@?1soyp6Q4VULda0g%s-sIr~%|Gch0`9CK&Xe?yHY9y(xBzCR0CUZTmCeEvdd?vDNeKFDO=PP+{!tWnlhDS(0t zWJ2}~P-VOlx_kn!w#CLMrNzKTZes$58QSHL^{LAFGmL>u5rVq^IuD5??8N`V3QPwv zco_hGHl6i!WT3Wq@NA%2z(*Cl69ct1`jz3!GwjN3rd;M1stMZ#Du`CcyD9npVvyG^)H&oMEmlM3s*SaN{b|m z^>f2Ga8}4l+@!_S(GoUzBxeX{RZdkSW0&2;gT}8?p31UzD9D1rq9K_%3r7KfO0%`*Od(*&wnb-DFpozaRFVK~4^!j|4Ktt! z!EA}4Kn70-gfIOd&f>ro9hhi91V9Ir6o>$ff)Q|8zrB}&?*3I+colfDMpkJ>D)uSm z;Co-TfW%z{T(|5j8TcBAHHMBx%I|;k4eROZ)Elq+_I%ejw|ae-bYfg*ZBk zZC3u?x9pEk`Nii3^zIS1^*J3oQ_S`j4(x`pEe~?X+e_S!Rc7@ssBy2U##N1X&b%6t zUwAC-o3=gb+iRg|;#W%F8lv#h25-@cea6SWD1QvzB~uJ`B>M(?9(VlR2H)E!af@t^ z{7JMjTl@4=$iEL|he8S)?!S}lT{p0!wffTmVRTrZF#gYTU-mmc`yA@{yT!|u5&F|@ z@3fvLcG-KwT81glf_}7LVC6B?r|%u(_T8d6t!?r97B($Ui}*77Qg!>$(l;As9(_hXg+9&7pZsCb;!%HmJS zJFb0`N{qM*m)3BE4Vn?p9VuhOLw@ws3eu;0?%HQe(Aqt#2ZXCQf1LdN&6ueZI2bmU zCd9{>YDRVLMm{`x!Esi+Zo)S^dgmc-)8<*?H`lDk zSA=iiYxewj*4-78-e($e@4yDd1PTQ@mmh}(%B6G1{MIp^_4=cFTavfj<{ zV;j%GmC?2bhTj+^&39$)`eWIQzn18eXYjTD0W-cyl9qfMIel9nqr}HSOq&`z=G%8y zdZR+>K0Uhp-BW(l?lmIK>fOlaht)y-nZBq~uu(d-Ve+xE%QME#$_ozwf#^?-%HzMZ ztzw(}a7pg8=>%V%`)XePs`2|^WzC_k?b;qbyT|m3h3PN9J;e0JwDC1#&OdU=+;`6W z)g3f3I%ey!hpV=4{&1M?6zmk<-aql0J2PLj-OOmp__Oi#Cyuj2e{(UHiu(V@ZF zpLgt?!0A}MVM9h5vjzQr?mET! z-d(v@@z@zmwEBsu--YPUKW}H%i(BUU(g&`-yY*8uQy+CGl?aUe^DouQiu=YhZwr*? zE~~#B7e!K6uZDc%Dcj`TL;kxr)#r*ZQLtm%H|2?P{g=s?>R>r$_jSR73^A;scOGQB zR)6?XC$YTUs!w{lPIvv2?WfE0i|t;O4nLe3zi)hGW{kh>?orqWlG2Q{pY=(KTUVd$ z%QtacaeGhc^~G&_Qx9oq0iM^VoopG{tQ)y{woyyHiohZ!rXj*a{1)=!3=ldH%VhES;cmP=N=22 z&?-CXLzF;Ika{Q70Yy2q9IgXyE9IJ=hU-HGp8TSxn9 z#*c9jZ~XhvNRdMJ`=2{*uFBYK@-pd5Z?Sz)$@`6~!DEZRTp7FVm%hVJF+gji@m?Q2 zg;P>%hBFs&ew^%C*8pSdW8`Ds&-i2P^1^=`GtLqRCw7n9{qOqMgOqC84e$FChkv;+ z_7CHCa(ZI^aorzTgN0cIzkk_(L|{c-7nn^P=U8`cfGcL-y)k?&@*9tf+n@hAS8Fw( zeK52v`W*em$jj^7e;>{2`MkzaJU;&VlC$;qXb~b*!v~l3>Bleh1>;K2mRRBfRcH!M+X+*k_6cCUW zkZ$Q-KpF&;?ydzvNtH&BkP?>e?(XhRrMqMQ`~7#u8HL%)J96&1C!Ub<)GRQXRsOn8 z)^UnqnvI>KUvBX>OczJGhWYPSuG`tu$U{axnhrE6h)ZvHv;ooyM<)PH7<~p*-y1{H>(h*5$CVL9Y_`KK<-vF_;O^|qM|5V zrPms*{YDmNV4xXk(;<&i*3qPRZ0`^;56 zypwu}#w5BAZaYf{14-EW{Po@9`0#e&)6{oM3gx%*(b%zHMovobMAn#%mY0|IGxDM@ zqMToUbjkjwEk@opu(bT3X;jGe>c#7Yj_CEP-A%6pHn{RK<&BGXVcz1*5bfXtFI*Mr z;E;V6SSy~`vUoezm&d`83s|>tyov;_{HCs5WTW|hVWNnP;06_+U-oUK!%L1$UB0qx ze4Rwe>F>IeeZgaV?FyFk$U4cpd4S1!4@ zA-fKp;8lM2U^O5Tika5ajnUTD|`C|6A+N&r0GzW!A`hPwKHZAI%>(`;*n zXg=f8b-fC{3BbaY3@9johWpbQ>$EMJAS1jX1Y5s`vwL3?{2Oizdg8jab||TyEM|eM zVW3JxVT;slB|e&D@<&p`Hia~k>lrLnp|m&TxCC)FCWAjE*x!-!kus?~{KRS&TOfcs zaiK%!OJ+9N`VarO-VA>bBD5)BY8+fRtOd_;sal(G#)JRJs3oji44`Z{{>MbaW2t(I1g(O_$iVU_Fq+dTaT^~_ksKZ?Xu$39;Fbf@GWZcEYXAWUBM#X z7eoCgH6WS5;>v3zkCvz1WY_T9x?@s*>o%$~iZ(vDBy{Gw`Zn(Ay;wN|_#cKRUN9N# zz(tUwXqkWO*Z{3fsiKoBM~>$QEcT|f>uEe!?<1%rAJ-$J%jY04;eD8G)zrU#r)Gu_ zt%>o6yAkc*uNoOotH%|N|LkT{%l1WgumWbjRPLJ3ldzJy*>h5h#;1S z$>a5SlQf5~3Y77)3H-{5hzR1Yk-nn`B|XEX&dDC12F3_!SUrlc*i`mv=t*{QBVodk z{V`2!!-rH8r2R<=)THfp*jprlavRyaX>Z_eMRnxB$((q%9jq(oU13>}3@`}iz{5w^ z3U6q{A6wN+$AdM;&E8Y%Y5;qDi@_@^#0@13ds*JChL_&dW!jC#^Kg(l>d{UE+*R< za%_H^{Kju5cCz)0-8Y$@yxHQ1D;(((ay;Q#sT%3#5KZe;1D#H>$EWtUWpjmETC7^?WUv9TXvJKgp91m5zRlvpX-h!dOCnR9oq z>W2A8xrx%3bx5n|!kUSV_V)>Y0V+zoEb}`i6DFnaKhV{^EUV8IR<4sM#vt=(oddCK z=>hWjq2H#St(W2LK%1*!_YK7QiLCFVO8Zc9m_1hgkIQVHb@2V^b-hY*lySvOQDg2q z*k>EYU+P0C;(zvM*ILNo{NQ!+$zL(?(!tG(xhAq8zW|<+hro*x`4%ml;nH&2u$OQPIhitY4i`KbLX?4p%Ewb)$6hehsO(eOSPiC|K6S&N4+Ge z=v`;h%UiMTP}x1F6vZ@PYp&%TFtv*n3x0DYf7jW2$N+GX^T^)4OdKEAm(0P(feMIe zT^pB&B+dv4Yk`&`>EmMijvg`h8x=xp>RC$_ob#I;z9iN?sr47f>6|=s!)gDd=jTP* zqua?!ZmgxCF#29+pYdJkvsK52HUW?tYMU9kfLp2HK*RU@H${xC=5fw^!CNu{yu0g; z!IloqlTP&mo^h%+!?uG3$>3ziAno@KG#4F0_%8OUR-tnE9xPPt0*QPaF%Lf%m@H|% zLOw-&Dj9Ycpa|5wKBSU0lM20R{A*^olJRfNZ?TE z?W$Euzj4*%-c=-4cF;>JG1gy|rS>TfK5@NR1)z`q0!-G+Zx?%osF=bq?>KE%ua?qz z&z~GuP74#nt%noBEqkO{6|Z^wU1`KZcCQB=?%L?g{>fNrt1%iQI2XIOZ^}H@KgpzJ z1N{U~p}Y9IKeMVik82nq+NgkNP_mzAlen7k3*?H``>kXx3=AaJk#5kB7oixO!qYI_ zSs+n&|1R{SFFfAe#H4ASv&X<}Kq9dLIr6*nliz@;-CiNkkoEj}1&r%pF!NK-p7P(E zJ=tkynI6~?d|E23)&C`XBm6gq;hr}GC4dk%0FA%uNDv_PjklwS0IClnvL``Dj%1e$ z1O6h2Yuud_xHw6R%)eJhlI1AO!KAd%7)hO1SCC=qt2}g4L}gWFALxjD#IYF2Z9F%G zkt?8v_P|hll--@&!Aax|`pc-jssvzeV+_gx=0X4&6gb3t(ZbM%&v-u)^L~7RBsWCP zK>Vw!B|)lhVTe-v|HYZTDpUqDb&bJ zA!9+r&k4@=S(zbXm}@rxTS51E0yUGo`*z6^8=Ts{G1|>_ev?IPJiob|LesuEZYa(( zyIUo#r}!-^JBN+Rw7N@tLK|WRUuL%_gvx2B z=O3cu?iKv?pkCi93IjC?n0d- z+I~rYD7DbF1o~)GZ7Lz?MnK^|s zUuKTjUvAZF9H>zG6rGUW*S7D&Ouh2oMqg?FouyRG9etsf)8E7f5BoScteA0GlhGp% z*iUO|CewUmSY-2AcI)?8nR*T9_0L(XjT@aj+dmSg1lkbBp?{+H0f#AnwssGe`dx9X zR2gNR2wD`NGqtb;wQ#`-lNpN7PDGiN`OVsCJ}oE2nPy-gtPW8%h;2aD4Xo|+d_#rt zuzmB}-HekO@|K@MV$0%cTa7RfT@$r*WSwc%aWHF)D^C{T_EVYshDO$MJZo0KB*Xyi=YqP>!m5I_Uqc(2=FT zq53)3EqC9h0ZL+5-v%O*v;>U;cvAp$uVP*30TDJm@PZ0o^E6J{^-^~STul%a<*{KC ze$roD;|BaAojxbud=-dD*ocXY$=~Yj+I{ySuoUH*DvCsX)qO*jH7;ZSRmtbv7cU!{ z6@t&Kjl2XznQ%!RR;5}sLK2Lub>qF9xIA&c`w`Z!y?NC~D_r4a_ zx*@3qhhO>~SPjX#*sk8M%zf<~;u}5u?CTVBmOQIrs6>b9vE|+fDUgI>w9OY2S#liR z7YT6e@{=f#n(xqon){RWewN(4oqER|*wLhq@^DePweMlzODM$V^K*{+D7gS~A^nwS z=GFV{@aCcpo0kd03ec5zw9bthJ|UvB%S@L6d6MV!qh||Vb8(>-;KQ?z#_=(6h2X2( zs+5uSc(;3?uq#^04<`9a{=;D?n zPV#Q4Ch^qkAD15F9Rj{?K!7!qfvWP<>AK2i8Cab+ic}gb3 zkLl6EUb+w!Q}H;p!%4Lwwy$rVA^$jTIK^ivN&LWK5Yb8WZ)TNhN-8B?V*_cyi@-b| zbjs~i`2Fm8^`1#k(dFO+4lrf^HNV z!G<5G0h_2|o9YyCWV_&Lpkw%tS;FY~FsB=fF#k0esv-eimZ+soZ6f4f5O#|vG4M)> zQZMfd+e2c(U}{gYz|37wv^?fz_%smVFqks|-2H{N%m8^a*{`uNbXKOCyArta10?*V z_B+8})n;LP)9$n!fG#5SXQg!heW(OlsAq%h>T2|eriXi?#A34wj3 zRw>POOaPoyy$ci4R*OaTu}ai*CM4i3ufn^9h-Dkn88uiPJ6I5>10rWaue&6SVZu3@ zVeme>4@2D=#U1-l|LZq{!SOxHS3Tt)*kg||#Rd57$4~{aOlja;QR{c3MrO})d2~!w z?%GGrsd`=FiX8o4Bps?Mpery$w`@nwVV>1F%+u$+J^r~+5y!i+uS1*orlQBVM7Yzk zTTAJJr)p-9)C>kj(KY#Vmtc!+mpu4}s%WAZ^_vbgwYWfPIN^&-)x{aC8tpx|LiDB- zNpvMD3yPxr&iz;6$*wL%^0gh3OS}SuuQzQ5e~BsfG2Wf33a)7>AN|ybS1$ot?&j@Q zrfkQDz=m7INeQ-KiA=+$IFHV5-ofr{t?01z^7NqRK8K)Z{6~devF3IM0QT|vXWw-H zAlpyjfWzhA84HC1`96Hq-|M=pPk zjr;l63~g))p{OjyI6dAiy}fyMYKeHRNYavP-=16|5>4xAOYwauw($L5`IQY15y^t9dm7~mW;+JXVigZ(BG2yFVu@f+K66r1vvY zuOH0TKuPeMLnz!(W+M>(kmbRwNZg4t+5hSlax{1-M2;r!w=8b_=IoQrx}i8@I`1m7 zH3%fH;0{9!DQnubc7Ol7%!x^jSjVe-2W%N({M&oip;BYUy!Ip84%bKeFZdrrP8f|c zW})|HBMh2~$vw9F$NySZ_f=GYfkLUN^ev<7z1yIX?+&wtCijOI&t2LBoSaJT;Q-|7$0gE%C zXeh`YqfCyH90T{)WX93d(=>PJxqO+s?-|L)PGI)aB|+Y@an?|`6`Qh}-kq#8^Mqtr z8IcQpR8PfK&84{O?BDW&{;Fh|cuNoUxac)Q(s_@HyzxGT3hyj@`4AVY`AVV^bqMH# zl3z)^W7Z>VKG09b-Co!is4Dvp*N7yj%4nq|KG2Q?7scC$kEb+drZpSfBq$R1X#H}` zI|aR!xB#<}R~7sA$A1t=!{{h|Dwmrr#D)6);sddsIBL_IF%JhcB;Y&u>!;!k| zbiHBEJ`XaPkk~GTNY3a+5BqC0lrjxY^t=&%7T-QF!f~DWpwKRIVt+H{@NsNG^f#dy zFaBGxoxd%&Lqwc|wF^=I5)+BrQc{JpOT4^A;(VNHK+sin?6v zL%3c-I;a=v?GW2^#)c&wn{wJl0@^j@qu3ihmY@#M0LrIIqvZ=!tzaqPr_K>Dii0Pz z6q$E;(VCUjTK1dB2*CRSg||-ao z{H?9wgJnGsrk1Vq;)5@~0k`M327yRgA_y2&0N`!F3Zcog7~k#PyX}AmW2rT1ZAA>H zb1Z&jFuv#h0NRE-o_P8G)?=b5zIdt0Fj?$5ZuTy9Yv`rbxW7|j(De;PH;reY|60u( z9(VD|z-5q1CUzgj=cd(!!LPkb>ERNIU<9Z(0)mU*G&qYVQa`?RtsyzW3V||MI0|_A zDjAN+s-lBPY2ER7Um#vRxORQxoF&t`RpMx#&%I}8T6O7qwJaGSSH34y&A9`8q5JPn6h&t3So{PT^SNO_A7WkfMKN{qpfA-Lx z<`w=N5y`+ueIzf$SJ@;LuonABspj`tKZK91<5AM1)sIC z)uX=Bh^;aAixWZ0&sCLHt@){&CpVBySvM-QzjI#cVsi^e$`kp**{&Z+lLn&#bK7lo}^@3%x{>w=A)$q-d(*3iyB)@M0AJ01G zy40`q*)u#$+9DVk0f=8T6{d9d*U4%E=9bIPE`2=xO4P^vm>stb#zFU_VnwI@43>I0 zTsIgJoVdNEJRwMg$I*SKqy)YMtsE?k-6~_nEEWROWGGg4iD?`U8{puP5d7TNkz04M9tz%HN*$ zR1d!xAEf_E6V-3E1@nmTq2kAm2!;!V?v3~}uhaU*DsC6@CO)?4FE6jwtmF-timnmpRbB;+M@Zp*A3u7*c_L=9ue_n|~Uq1-F*Sq+Dh`8M_#KQGa8NdNQV&EmkDYB9h{n+mokc89o2 zvDxdeai>W|Zul9NBp4B43I3>A^9 z2ZfX1fO~{tJF&*cakw2*)ZNYYvn3-pGtt&wmjEauFZxa+xNVQ;Km zb%Tff%~STmNeM>4$$6&(zVCONK^37<^4tO0=R{Ygd$}2gefP$l3!XfcZfQ|=19JBL z@p>yO{`QJJOZC?MMF+(LH@X;4GGG4`GZ@6SplTsLE8T5X+vqh!T{G`NqA>7-GIQi_ z0mHwi3S!K0g|JSaR0i!C6ff#vE!^SU8O>g{!uA{b`&_Jis6)m>?bF9!wlkFBJTfcK zKOG$NFY&(e^1a)Y7-EEiAmrrUeFXhp@56$g#!c$}ZnY}4Q_(^6xXH2oO69KiQ>xJ$ zwo+?myn^YInx31gg_CFN)~_giF3fXj$ZI?Cj~cRMDk}l)AkMRUGF}X~G9ygymu4yB z8XHxHSmk&5BDW^a72R7m+UF{V4+mpXGPs35r*`@Di&;j7O}m%7-IW|wViKMt@%KvYJ!+o5FYh2yPo(UZ%C-uYKGsaLC8?qAdcmT)37Fd8AKGXft8+0~2ce&trDesP1iD zASLR@0s|^ARLWh~4?h&j3KXvYUDtI3HfpSZNe4_fk8AJ$UjnFKzrVmVTsayd^BZQ$ z@f!zHQKd<$|GUM58Q?hd|I7G?dIZ2{06tI%sEbbll&us1mxfOwVlKdx*TKlXdwu%z ztxk6Z?)01*uehx2g=)lkTa+mw8m`uOyixlzF2INJzefs`wiQZg$cS;a3>^(9%T;cy#uAyB=n8jmtt1)CutUd1^Q^7Ax45;*1%*o7EMCa%FSE z82HsDz(P3Ev0vNz$qTDfsCVqui~lXYpQWi8-F*B>w8Q^A!L-+=be;M3CIQx~QA>Fu zvhBRUtZOmFpYvw-IJxeZG8we9-+o++$n7p4k3I0}5Q>tp6 zMY^{9*h(4Ht^#Ex;8CF_zhb*xarNxco12cB+rtD#rxK{JJ#%AaZQz~7!y7oyZq@Rl zK@{hsP+(aFEq+RycWZ6UuQbYiFA~r{G(lAk4ud zJ&~y0>d&Le6BDCwV)S981>s@XsSC7Md2Y!eebq~5fP({=Aj9+~sORoqSnBUJ02tW+ z2c``ISdrqi9NqZ6tXh4#SfZOoQ~^OsO28WDcwm|f^mEg?hzB$CJs^5Z3a2apUZ0T) zz@^X!Q2V6HO=&uS;^>O^-!nSTmZ$wivfuBXMJC+hn4fQQ9mjpZM~f2A;rst|TVKkMF55M#;ekvFensW?F-ytd~HI2>wB1fQOiM2)_d2JU%)4!6JxX-ZH?{UmU zM~EPevHnpL>jYTS{usQ_5M+7`b*6SA#0XgC8(+%!;w?H3RcrS~l}6!@EnXei*7~~S zOHu$usP2=gONe|VG90-pj`gxGsdJ`W@!IN67&7b+!S+~k3vyKd!2VmO;GkI0;beHX zeo$gtuQAl=nFz8}u=6~fiFvT3{8(?5C)}K+(4EJg&Je1id+d7lsDPvyS1r=t3Y)#9 z>o)LX|HG>=??_hl;*x4)vYcbuIn*xJQ*Oulj2zT>{lfTWps=lq%ul3s@b@a11QJ3K zeo1I0D{2m|GF9BWoI#-AphpoJ&XqIy-4nu-Kg}|LzlHkcueQ-kR0Op7gDIZ6?7QK1&Ri8p6jN{sl}0CIz#w1L=>W!PqPW zHtqjr+8iI{r^7k~f!F|sz|DY#0t~islTjXF-Z5xr`_`BHyPd_CQL_RNn&YF`^)WJ| z3x{$GBkVtfbY0y<&(6~qV3Y>ihY@yj?&1Ke$s+e+-7)q5r{sodU;jFp0Yo*7In9e5 zCF~^$N5kGv9h3p=wk=FFHmQxvBN0X5EC3Es9(W3DfOywDXuN9@B)|jgfA!+o%cI(2 zZl8|RqyuH}ev881s<&eSC(qggnz@b35=<5bM-BJx?pqgUi?LOaS3nI7TpHe7K=C~6 z#TyJ@x*8CO$o7fDQgjSU*{LDy$i9YA4Y$ypueVP`MB=K)yR^KK5V#Y;^IWW!1Lh#M zzS)4nyvtkpUXxHKjNt(CxwNYNMUOMT^aD5)O^oHa*BzfHpBmS7EnmIZ3YwD>VaSJC zlUY-wu+)~)*@n2I8C``6@*v zZmQCUCI%+~-$5&pwI;hs$|>abs`krxT%^>oMRdFPC8{e!7{QBQ+rCHLOzAg0JeD$* zFnt~o`h=WUAwci$q-81)%ndLo#wr?F4j^vCtJ)P|R;#p-!}=z-Dd&)?w>`y2W1xm> z$>V0kY?U}8J6_*^$8zNGZmU+r6y*^DM~lL0bEjtS@_u?>P~P&YAa6=OkLg`cEe5E!6nZgHu{QTSPR4ihJ^#S_tm#(j3wdoKoh-WOn7+S}X4Qfd2fP|QE2zHl0tz!fe6l0E-NSclG)NpNT(0~=c(BV|oKy!hT-kUf-l6Q~T& zlwN8(WRyZheKF(F=BQ3*?BYQ@%= z1Hn;T>iko~onIJxuzM;Un5;ig&=E*Mt#dV;{Q*N$OQ&4zr6wbor8~@c`24FeJDqq` zp3k+%{M`(>d0WE4Xp&X>Tk*ojI5R`ky+#yAl3S@eW_yL}+&KbCuA++Y0o=QDRS3HT z8aw6lsb%+P;etumRW=+6TPaK?)j3|g)4L2Dm-ycu7l&7+meSXiD7Zk%J)K{>Ub z9@AwCjEax8>-W@=`_)$RFi-_Z&r}&9*!`p3D;Bc>$N7pDX2B9Hr=>PSx3SvkAWnT6Ebgb!P$Oo>$(r#_JC{{^z z!voDiiz)iqstS|>oj(V;5fvQ4YpLt6#qz?8^K!H`J0vVo>CIy9?n*6#PyjX3`Zsr1 zYKS%j4!jqP2^F1fDi2NoW(ws#h$5ynoIwwD&ijlGlO;2)|8_9I*UK5+ye-Yl?EY^^ zp+9A4q|^KxSW@eEPXlaj;kWOj&EFC8zi|;K$ud6Js@@{w23FayX|E}ECe~kntGJ{9 zJT`zyd3{L@guaH)4EZmthawZ?=p=h^G95i4|7K0_j0&Hlm=^Qt?D|G=Onu?M;dQaD z9RmSoFDypj>I4yQyo$eiGujjcurYyxKQaT60uS%Dw<{L(*YXjsBD7Yl8hMAe zc#UO#Ni?OU$f@CTe?US7)aR)k-H-ABIYXsTJ?t12rrg%(BrRLw`PmvDZybu z)NckO-JVNGguw5_I7CVtFWgdNt16wHl0~7k7Su_Bgn!U)vFac?4V{}U?LO_7mGd+H z%9jM4AAOZEOqsgCR3ANN2AOIO+3Wi+VuR#RnY@9_AO*?iv{}&rSP2{S)_pBuZZlmB5=L>WIgKm};d?uj?{{my+au@xOoMw-6+wORH(cnR_F5H_ZNFGPOG==C;_AEbUqRn{+8j5XxXJ!Op zmjN&?tLWh$z4c(@>c>7@S|$nl?0-GqmQ3_@vwl32F8k)cY%%Y9C6Aej6GaW(`EF!Q zgwYPiXhdyRns!+E4OU8-DZHc+xCBaX4c|c#OPl}KB(k@3SOD7tF}QUssP*5*Br?Al zX5#HNWQJ0sP6pi#afEjA26IQKK;Ry{}Ph}&+s?l-7M>2yyqN` zyZ`Vezsb-z?=h~ll#|4Mq`XB(;!*B)_&n!5vs?FOQJTuydMi!{cC zn>A~%2YYSS)FoIeOXX;{W`zs4EzfSlcktWFLMGk^2>yzrO=Fs`ItLmZZH8`v_{*y% zC<&%C9yeKOt^@cAcXL-ekSW;T@)&C!XKDQB8=|VfQP;E1-fFAiTM2OxREhk9d2hp1 z67=m?WW#iEaR&SvBZ~g_hNuFYJEMQND>n(}s_73fRaA51Cx_aXY2)EGX@UkQ{^u|k zPm#2E|2c~sn#;r?aH*LHT_wL*U2KFK^V)1hr}PI(E}gYS=ZKCOEN8V2W&w*GgCDYQcbuM&o5L0iw9YNnJ$;;Qi23VZ0exSC4pH-fs42`@tIYw!&Ny zQDkKs_y7+p?B*u73zozr))`GOAc>T}LZis8+khoYqy;>#%?3+imsW=nhC&ZhiA?kX z_+m>`iQY@vy1ItQ$jHK!t~e}UDq`5e`QIbqy7UT0G&LqXIC808?M(IY{l|4_#Q`8j zn_)I2nVbqa@DmKvHNt1&{Vj;^3sFI6L`kpNX{)ONqTi}RFo>apa?e{Vcc_;$DC$p0 zJ03ax>o~1F#0ttk%(+2EwKt+wGwc33az*%Ew9(U=m3PJ*%=5=!3Y>R6nxl3zo~OiGSAZr#US6<;)c3#TD76~QaA_d6U+1a@sn(_j5+m#g~cp^s)?iEP})`zKZ4#&A3; z>SweXc|26()5W*%`2@e=y7xa~i#~sbO~#9P72`SbmUW&+Z@Fgcl=18yEdK2a1D)sl z!Kt{{N!6^@JW8CUzlbf$8EuGFv(Twy>{TjaH|-L^ShkJZ$HZTNfN0<7)JhPIae{|FDI($`yZg6_ ztBtXw>Hk3aG91BN5Edf0Wte|%M6$(%r_Z!!W37r4k=0<_k-En-h!3C*Mh@|!?-E6t z3Bp$c$^(l`&i(&91(79FR;?-*X1?yFke&YT_v+V{1JLQFVf>ds8H@o0G>Zgd#2ou{tey{{3sYD z0YIG!Xx{6LLM@qxGicdaf$uLjRfLf;ll}_&F+h=Kq5_eO;KL zE5c7UO!M@5m3=KyQ{dcHdk8oJi15&^`IIfx@zuYZG7#P#{(Y_aKD8lbtM(i+>~H zTsL%q7~@3RaGyT4BZdClx2V)}KBlTQdBbZy;1NnAR!MoD4UTPyOY5hI%u&IKSMr0? ze|HM1*(;ITsJN|AQth~?rqV*=F+iWl7sb!0sQT%Q|1Mv7_&UM)z>1J2t8l#8x+jYI z{uCpw!L11oPb+vU_ytj2(yQB&`FTFhhL`>Ke34AuSx2O;si+5P+))Lq6O6Qki_Xh2Ekn$?1eERG&@V@b)+w zTBfVfOk0GM_xzs>EBH;_pmd?6DguaR)~Wjb3PSiXct%7*tB)A-Mk)L+-sVkA(zHU( zqM=c?8SemgCm7RpWuMH15MC4WjVaD}SKpw}Ko!a1*66)P`x0NjyQB3HQWgySD)%@T zCZDVSu?H5v9OWzx;o_&^AL&?@-h|gj$Cq8PhghDs*@D!TJtNiM*?bM)(gdU<{)^Ip zbpS>IT$d*1dMEGFsK#WtWv4A?h&cWq*{}xnXqzuUjru-t+(}B(9d?*c7(_))=Xs?r z^9m+ADLkKzm-r_gJBU_qkd!}iBZVTcN%vH~elPq+4}V{`2d`=cRgbyx-2FJ(iGBLR zhib)*K7H64eUQ53|I6z8GB&UUi}=qHyMAx~`mjw~H24%V#xbY%qqPN?2!cU;scCzE zK00Zat{_O2ZG+{oQtg)e*%k*(i&gH-sZ@M{$`Ilkanz)noLAuno{RH0*nK@YZ;SE< zie}3}T3%zY*jP7xXhdg7AclHG(|?3a=B}t_Ap?Gf2?eQt4#V$o zah&wg^v1_vG$jcxv!%-!RZ)VV2Wb<92sWh#9O^|GHKUp zJ@fnm4wD4~ZwwcxkXI&1^8cjc3Q4#1uep_+tF;b_N_1gHNRP#XY#T%+sfM$U)V^*Y zN0XhrbCxjK!DIAclvA+KdX!`TFWb*z?q4t@FfK2|kzXXweWUPzKmv%Tr{%vX^qi5w z?GeDgVUMXu@v_~$7mQD||1#4J(hAxIWYtA4Ky6j{Axz5_M@n6enYnh1 zkuT-JDzR+aot1Tx^HIh^i$JKj|BN2>5X|QMCFSPDWO(l zax*RzaLLcsok7j<`<1@(!V~MiocGVEmgz2x8%R#`GMXq_+Ti>BFbpU!A zKjJD9y`7>m#Kcc5r1ng7F}jEo%*tp%Tb0&$6Mu_T%^BGqIfm3x%XuENr4pbDa1<{F zZX2pYL zMc93>)<-@ooT`43ZskASUJU!|;4)ZhSxLQhX0<;%NF*0(`w6f1z35m)uVqZ2Rjc?@ z$xvRZ91`Z+LaZL?9K(dqm4Oycg3cf}rH8Uluo5Br(;v`SEe(dhA@F#1-HPCd!*{zifB?gyN- z{>rPzfi>LEm~`G46xq-@H-J8aWT#Jk)S{dgMO7uytH zF#_R(D7X7WzWcVhBRH|#*&S8{lw3xsOW1fSK>b%aML1Jk`u(g-7iet&Im)AU7&U8Y zJYfXTX}8#7C6~!FISM$Il~;TBik)qw;Yu&%AjS6gVK45mrn-r3JaV{Anp%QA-07x@2B+^_xaqw6%deAY2i-K zthab(67;k4ZOaO>KwRc?83ZF#I$PMh=6ZH>P~ZB-L z{FN8OMY_BNdbbAUChzjy6h$(X8biFOaDGPK1Fb26?jnz3RlX1?(#(|Z2ZlH~pTXc( zRbX5vbY`;Pm6sX0Z4u^L50pE`Il!S=vmT%ZN$3uVA7wMwF1Z$(3|t4zQ$WYk#V|!$ zYtC3V`BH)rvTmx$P=Bi1ziUb-KWD)n6jp3=Wgw4h zI)s_og?IGy4<{E@>*?60@Cd&1$^g3p#7*{SuGTQAW1O9=D^-b(y!~)-e?Mz?V7*Q9 zjqYhAlt2UuhhCbHWU-o1?AVrn6Ts@>?sePg;;9vw$T$h>c_50>1w^vu<|@p!jDlh@ zLRng3`Y-DQgB61bYY_P$UdvpR`)9V3!>j)LWlTWf@4=r)oK;^OPev<4PtowLrY~ARIRgYpbLL`fj{X4G!cb)j%DKT zc5?AF;c!-vE>_fF7@$sEY)F)arBRiFW&dwAF-+GWnHS%V5}7f*x+#yWur*O( zP9#$~N7{$kqpXgJvX@W&hZX1@Yf@;mLNZWQ!as4=HMhdn#xJGXO=0AWCJhO8#VLDi z9WQF7Cc(idP<;4LEFXoXK1YS3(y9_Ki;r_^n3`_U=NAshBFr}E1iI-v{At*6n-ZAO z4XF=^NxS&aw?&bQkU_kf0a)?`bd7D(JrV^&8FTGh&0jTCxD;RWQoSE_<|!w$?|L6C z)U&mm15;nfJ8n4^6)7l+TKShW?=Ur=9%S()uC?n95^m3QIa5v;%upwT5sg|PWzUx8riT$mE*G&=T z-M2O!h)mgys$a?3BOV$COUZtz#l`V@6v6U!U|Ev{p3vgxidr(svGYC+0R~OL_@clG7CK(V6 zN?(_Z)N+3h^VGbJTst*G(@sk83MV1?K?vYJ-H%_jzYhieB0H_q&{Mv1Pd2p78)7Ju zoz=SXq%JR1eO1260De2|FZ>zl zm;Ht7hrf*;pb&+U=DWIr+lfwwfoQD_jqqYGwm9`_h%8M*ZF4T%n0IV?=7I^`0fO9! z+LizhT_T@o%5a{s|E>v)Z)MPp0#~PKr|bFrL(l7*>{b6Pt2X=Ku?rW8M9#NA+Lvsw%ZF3hiUV_<0tQPl~%a~A(YB^eZv)pp-@^g?W3Y_I3@viyv>PL`cXnP zlU6TU8+@OtCe)FPKOK~QTp-%L7;r7&2l}-sxWb$W=ul|rcS7JlcrIH^%w5*m>vgo^ zR)em1g77fgT~3<@UHPgqJ-@(z;V+LK3YUtsw2K5dW*ki$`!#?Ez`Kx7GB3pj zb&8JLw1fISYFzgc-&M+pZ=5$MTf>~f=usKF1A~eTQ`i9S^%+cwJqRCRh+~Gzlbx=2 z#0WLdV{xhND~s*T|3yuM|smcsj~RXaErzVLKl_ZVQhHj;pJ* zuzgYUm}gRs%g}u9?TB{$I=U<@loL^uUsuDCp$sgWfqLH#mhkU0uokF3d{bxwY$p)K zDjD*`R#)3LIeR86f}c94wGEAAw+toEfQWxJ*oU=VXUGYqljn#6bOiTLbpE@Gk^Z46 z9*8yu5>aTB7l&w*8fcf*h1u++z~#DwFb(^Unm#5O7{!|bXbstEnUf~9Bw&ixY#PG% zT*~xUL-7Pot1}W0OBpzXhI_&>YMCx@PkzOs`9miyi8!5vp$2uWCx{`5#UV2I_rA^@ z7H}Wn_}yS8OPK{xCK6}E9kkV5g8 z+(d%|J9?T#G+JdzU?B<|f9;ngvJrz^r<$J>5n0s6^&{uXgCw-}JDW*YUBO0lrB}Fd zwaXt7|JPl7fBz-n-HI)VLj1~p0K}d%+heab3IMZCs@t#}U(d_@hb|fyCxr6o9=!wN zvZ;Nf=LgM~@ROKK;*Xwj^vYz$!-i+cH#NGeRZ`U)4-dE4?KH^ty0QAHF<$7XE25Uk zft0uiK)-MunM-IflZl~<95sLkuoEg;!Mj;jUpv5Cv)JOYHv%g-Ym7ic zG*sJYsN^kI=u+yGJQf?)*Za+4`bF)jaH-{`MEDeX`l39BDTH3~998HKQ=_q;UwUjf zCYS?9l8PUTBTygFLhJ-(&F~dl3`E$r5&k3iYSY-fEc-u}&N{5=@BRN9odVJ*DJ397 zka*LeAffc=mXhv<0fI#BgoliE);R20 zZOhnIP#cE+y4P4@f`>Bh=5JlTxtphz%D?9TLyG>13Rk2@w zy|x!jxUjffZ#k62T@kAQ6g|YfSND*nUytIVxwf{7?TH*?#DWvbKa0aFZbXRKF?SN` zKEeWwrEl!*S|+66@mti}-VAoj`S+d+{&(G9661U3ks)hoh3`t}`YElUQB;FnJDM?vGngL(H~n}f>m?7!`s16Nlp?y-`5E6mWn zv;J0Mlv1Nddmw2@r+dndMigIlZM|2K@ExdVAja3M+uSg<>u9ax=4Ib#-?1hBUrgqGL$i@_sr^T>dot%I*VGbf~@0q02mdpK{MeXs4FxLT|>{ z%(=!bbwnd`NL%@;<=XxNz7$K}ck&1DpMt{humiZmGMhnRoL9$iXQx(J4IQ3!y9{d~ zZ)u`%b7$TA>HDBarDEEC@vAVyCFy!Lrnvj6)U5R9Rj)L9HX!{&RQQj$)7n@BX1;!* z*m&3~2S;373^H-}>fGSQfC9_Y+3dBM!jI+b2QLFOJ5Z`aSWh#7pT7f}^I{4B$A zzNA}>eSdA4rgB~}c-%SfT8JB})OL17%{P#x@JEv~@?G*1malf7j8@@0)%&P*Y}gQy zRfUuW-KekV_+K-J*t_3~=}=cT?9kI7f#V-!4A%j?>|gZ^{t=URtDq`%XtByGZJ?av zfk2!#mP1p$y!=dns1BY`!O6rBI#8Wp;t%U(AOpt`9LlfP35Ff^5R@Ck27qTik8ivt z>{l#vVFZNyw%BhCRJd0f#>hErV}U7FE*l$&JQd(SJwuxee3#A|;4$GGa>%fQO7PVC{shkGEr2q`I7i(#iy=7gHV8kIq5UDX_?!q1dAGc23J$Md;dun0^0C<_LR9IG!{-k!xXo*^TCm^OuNXSbV z3$+M~St2mO7;PQMX`S>jY#8uPAG8&%7~bg@;Uj*~5qlApScn!Mre`9KA4to{rTpvX1?RNCzIj_JYItSnVYTiKSws^;BRpGps}$V zD{M12?#xWfl?4-AC1e;0#%iOJRgj@L-7-uXCRc8Kf$ z3AinL^tb!zhl;TVO9!r;cJ(N>?hxMgT6;d&iW)aDXGw8#k#p`EQgIPKjoe+nTAcBQ z(v~c1z7w~<0*ECQ?KeF(1G&VFkTzv-i=U@E@Ud zN`=@vY@RoMy>hs0Z4sfTXhV(2^X55mEaM6mVPv*FCc}G;que}TL!3>)PIh7~1lDon z?J?T8xjDL@?g`Wj+X=IN8eeGEyi49&-F~A{X1^X3Mi-G$CN5a|)?XzI;%ufYR>E-! zDR);isV<@wRileDTjmj8kD@oNX$KX-2lH-KVFT>&ck92Fef3G z9(0Q+w+g$WMir@?NM>1I8WFPE!zl~@O-e!Nq>`eUcijIOubVsU zwR+Z{qd-qm{Q8%Nya$B^*FeBq#F&{$=&8MV;X@!nJIZ@m?{6z6LzFD%d( z{Z?0znKASLW#o>;g^m<`?_e>zUQs`f%@qEo^~1H!#67Gi+TRDT5^WCxjyD6iK8t8uB_XT%-UUyz z0Ix74Az{tSMukL36SuPBQM1QF%?j``ovTeA3=v8Ld$&1@IWx02o1ZQ_Yb^XsXYY>K z9&`fo3&2Kez&B*iLL zpVx~P*vas|jdW^*_Z+Kc)gtXSXT1>1!Hrh!eHgse-IH$5)3!m#eXt={` zc(?8%w8&q?m6tSPR+p1?BDIz?zhzuhz6DQ#l7NXYn?yOJs%^gJ&I>iF#)66G^4L(b z($g^GY?>HqQXP_CUu%$T6Z@)Jdy})28yrf-bkDu7+Xs-Z>j)Laqxo>{wc}acfn>$X zgsF25wP%ng(#2Z$*zS?q@3+DIV$2EfC+>NtPhC~>X}4ZM+#{4CxbsP=xf^&!^xZvt zRSz{Tc+vb|f`?&wW7YaWs9wRNDvnjwCQ4XJGP^46QN9|I7-~O-62&ZAJ)-5 zJN$JTv#yo$6(=8a<=4hiOdQrmkI@)_gTfEO!w$puP*<{o5tISboqs)~{TEu-^Ti@; zufQ_<82Kex>9zeE@$Jqu`jIpF8ZEOBtuV%e^j3NwsYo$M(ag~lD#jCUeP1-|cH$H^ zhHc!A7$;nwy+Tr2a|Rebs&B)t6l>6s5-Atx^Lk?pL+gFXN3yfbA0|@auHDX0j5&f$ z+c}x&Dtk*OP_tHT5#>zuWRO4}GRPX3fSUYB_#=8AufSpJHMT&0zx+}so$lzU4bu_3 zCv8?@5q9AcVZG7xiM(qfyYt4FP)Zyy^r&k7$*COl%+pn*ZT_*6T*bah?|ot=!gG%3 zJNw<#caF{dTjUL@`zgcXxpT<4-e+3Kudj6mnkW)ujP$S`6=}$_-~moon)T+AjDBMs z+JowZY8N&R$}%#j7rQ3BrOT!a4?5mUb0v+ym060=`gP5P42YOOdxe{W&rfJm7@*$a zEoLsn8&OiU^BCn_vp{Di^2B98$khANg!T{b=_TPM5kCh(181ZsdEe(YAB?1HZk6}j z2Euq7Md6K3jB)pW`b+YaB-Fos1qtbs1J&IGZHz$45S!eP>GZdj*rd)rjYuZazUR!) zli@R7l)_j1QqcQXTs_5=e@!6aDn7e*f8VLHJxvj(0+-qck3bJBdc(3w*4~W{<&0d^ zsju9VFxMYwt$ox<1foUr-f5{>9M`IX|7z5BBq+wpjnPoWgo8EoAY>oBlIrqYrtp-j%|IdOE)LmyS zh&GIVPq#aDDGC3jKH=(B>-q(5P=k~OZ#5X^QH5RZlje9qoT6*0-W_H?1=9FUtKxPg zeeOJzUl#Y=sK|u$r=DS(kE7`hc#e2;n=|twkKW-2wmak(c=avV zU$ov}v1VPx0Ph0t|I>fA~i>i4=;sVFS<5XDadNy62<4Lwb5@FI_gE3`OJr%D;T=$D!pbX4rVWZhzZE_*!jtG&|5sP)k1 zqt7Hht!7^B|X)jB$!eg3mA2CKV3d4vM#btED7gC|`)d7p4pCYx3TjHbOP4#}XbHP<^qR!Rq^d;^3M~n1Qy`MLN z=g(vF?xM`DQOgpX&^Q9c7|RxB{Uq}HGevzdhn6(ViZ0Ojl@ilOQym?l9i>D5U0}U@ zGQ@eMSUQr4C8|MvXMSv7XF?UPQAw?HEK3Vpw_-T}k`2%VA>Dh7N-f|y@Yh#*=7^8m(Jgas*!;poLINJ7a z#Z;|8-vgo;!u2pyziz@&9^#D}2TRee^YFCRrqz}KV=K}qMTfgpe^cwKc5a+_!0up` zfilwhstOAUyl81WA*aL7K`zGY|7qocIS4nhY4Pq~|Je;Jit(g&>09Wi@W6Bghtd$K zsz=|zydv?}t&UOIH=z-D~H!%g$Q*9v<$yTb*@C6K|Hj zFOkxRYcS;F_6@H1A}u82;my=ryc`N^4TC?(DqQQeI`T;oSJ(Otvr*VocA8~&D8Ypq1jni{5%SdCYU7tZjx{S@6`PLD9S~933H3n?sJU{ zRjt;n$NNPaX(-y69DEah)J@RG7(tTT|H3K}a%Kh4WuFBf`1z^hj_KpT+7cZxmIjb=z zxX5w6O5y&#VmxaK{nOZXsDp6nX#1Xc0!H4V#ccP&w_TsAnA_qt7CFI{F*P>)y~_I~ z3H*Zm#3MVQk8OJ2b_XZn!5EIp{*+4dpL*N@WLvN~-@SKw&LdYg(34NOV*S>L4H|Eo z!tjHWOHV_v%CI1F|J{8uviu?Ib9RTIZgX;E?f89UEZg|jeKKjc?61A9&)yY2GtuwI zN|3kYiCi3-f6gf@v^_9c13hpeq^2b#-2wRN>be5J&m>@UH~fiSUyzE_C*Ro2xWT<- z-ff?n;iIsLW73z2t5>gY-Ll%hcAfoHDZKkU^-7Tq4F=N6fL{22XamxI3u*Y)(Y<}T zA_F{Gyr+x}i#fRYYZe;9RQYe6V{L{RO<|=`CdP^qWUmcY{N|6+#|)|PH-k1-AHLk$ zyUpEVFO*nzOTW1TejQ0fgKus2fd~X9Q?mFp7lQQd;#2>SPkC!8n&)ENE83Q9%#Y7) zt*ONk{Pt5Z#+cdK9gjq)tV(SB=V-$u$l9XREbMvi`y0KWuwQ$qZW53_GcUwM@L1?S z^xeneVRn;!v;6iC^cDbfrfwWR-ZBUoZ5MY6iAk2Mz0KpxXf@tcDPmTg$t6jg$hRK zRX~{4XZH3^kyoYifL=wTKFBApZp-TUe8Kc)oezv}aQKmqpgdi8**3M~KS6Bf7O_xA z)>KbGD<5gn8ku#Rrf+%PP4F!wB6JmTy?$uY)Im3@V5--T%+)?xo5I23A*gj(C3}q~hHyTU^ zPrvdGt#)wi@Qw(Z_U~T=8sZD+z|qH9l~6^F@D1FyVM86Kzl;FA>66OM)HW4U4so0U$ko`Y?DJwfd>BJPRvcC z)O9Ul*dVC0p)yR%qD{j^h7GX%|=%gH`J4oj0y{@=}xay;IR|&$=DZ z%NToeH0SoJuyrQjQL1yY2_;aC2s(tFIX{qm1ppb=Pm!#5ne zpP6ub$SmxN41ioPjz_O|--KNnk?Or2hxOcI>H5@X`)=+%$j0XTG*)8Q^97^1zPx2y z@;ki2+;~os_F8St+gav${P1||g}(WHekCX4_SO^c2HSnbgU!!-*@M{3C#bpbpHe{W zoD`kC_2j56VXY7szrwkS#SSSV+&?33#om9I#o;gwlVJ9nD>Hz{3IvT(@99472-A%> zg>OHMv9^zhOy~(g;6KYyzXmB6TauaIpp4u?%2sq1`$&9gmb2v2=QvmcHTx9;cGiF6 zMNujVA0H!}BOX(8z9-D?Oz6Dw!fXil7jssLoiq2#Po|dbBUV%0+n&4&;^z>fQ6MP7 zT~ZTLB`+OcY^H>Zq`_b4#_ygP1%Lg0kI_7{k?(p$a~8w%?6Nzn>RJO{54uveZ^En_ zKb2B!Tj%q@wIW;9z)~D!-&mufit?m;fiU|tYl%xg?nc|+2YBg7w><=GhL1wQZ6?r& z3MGg$$2E!I*$|MjcNim0m6@O)@abqsOcNnN;=wZIvugmd(_w~xX zW0@x_o4iL>-Vt|=W$ywd+i1tqzwvz%8^L|=oy1u`^vaFfzx8B+6Px*7{;a-i>p;yU zhcrwQ%-0gjyK6I{1Teq+n}nF|!iBsCV^>l4kUx^=*J*zG z$KJjw>3Ep8aF1AINx7ZL$caRd!4IjYp3>XFrMa08ib1qVsQJ`)eR%4XD-WrkW;1pK z%iP8ZyW6Swas81y|Mj!T>M7q<5Y`eXSXe$7-sv_sx1{YO26nS;E()z-i5?gL<^37u zZ}Q_Dhq_?~gn>X+gqO?@3J7L_^vEg|G35^1-~3>^Qh!X}M};w}#qsUzbY}E~NjZY7 zVZXeQFPTsm>1j={v$yu3sQUcn`rh^HusmGI*Fssuh@p9B=aDiT{6MdW)^KHM=NHnV z({+2(HJlj5r=VRAY*pxsoF}nX`xSfZwA=*vE2pMnINUt=X|&S2gF0imDR?_nQ5A#E z2M#Q{@ze1x8Jn=gBJCat@SxMJ&SF|7S58emkB~0C!$^OojTd)h)_%QLB=CO}lESDY zUke{Y*r?+->0n$caFjOLuX_Ndb>U~Xmy zxVT?bfsxXZg5oX~hVZC$XlvFFUk$J~)0|yCcRr}*!%0OMHVd@HMc52QxdM@j0P5biT#xR}E-N*E)o-2{x5A_#a_|+~&FK z43E@E*7Zr6+bCW(DPdXYcx#F)$pbtkc1XZ6W3_~~y%T==op*Sh@0j^I+jtk#r{`{l zKQ|3qTn9F1t(bChDH$^j(Dna$a9s4VLypT!Ig%En*^tb5;L$as6w&S}&zC-RGqz*L zFBe52G@u>)gX3jHa9_UkgOlFzoU_Wf`Xw3r2DTD;!jx=u4fPL6)Kb#g{iL-q=NKQm zTr*~iurnDY_n;H-#iw1ejW=Sgit<;445E6Sb_*WAFUF3zL91`L(7x;huiCHA{8y3} z@HcqD;iC0?{fV38mkzs;EZYL}+w`Xa0UUUD@OJJ>nIvXrZp6~E&xFS4pIbc1S?exL z*PtaQ_=1mi5VOBLGbwSk`(sDubX8@E;L}JoCL*A#ZcaQ^3yGT8>*}R{+D-5I4pZ(0 zroq?eeCQ!Cfw)I|V5S}(d0|DBB55F5aiSp4Zv>K)-{lcUs@i=ndP=k#hEBQ(kslNW z*tl5oH{i#pms%**DLZ%%AxSlcb2<~5Rh9UXJ}T!*Mx-T zI~e;cvP$BE3nc_=URGD5#7%d8W^-wVUd*5rVc7-p$C0{;Swc&U5Q{Isw-<{tcMR3u zZw+4&g&`@vlCH~->@9c5xYs*Q9ZD?t-Sr|4FgVSm^QOM?XZ&pSqP5GuULZ4Ta*FWE{** zw9Jtu!4b7PhiPhP1(k&TqIv=(NWEi+#x+d~h$*rSjbrdtFy=`*x)6`uLa@!FqP(CT z`kbt{$Q`M=)Joq^KH`59Vt4ZERxl}~=nw9?56Q?5M+Kdkw7qHSZ^*e|^etrQBMUzK zGIB+`;*Qk9$e0rrlQ*bOhtF8&6DUeprw_#6^wFaUU(oqrOkpi=#iEidt8d6Qu^v-1 z?^_CI>RU}Z=!`0NwLM|fstzFRqZZ+c?#@fag5~KHydYIK zD=pAyG(HAd>dw4URP&hqEbyfgdC9T7Ld)CC2j2H?XYVQb>Ig@`B1*;HbziJi#|=E( zmusbC<^L99@!T5W)Olc;U-ZnHMTa*sn~#Z{`2E4`_noP>$9)RcXsx=WE)IZd&(cS^ z@*!GbTkX|~s)W|#-lf(MdFS~C0&_yKNOSwuFj(tqBX=J9YxCE0LeG2wqD&%BWMWGb z35+@BHlZtUVxGl7n|umbf}Qt`kniKN`_261{N-c*3l@Fv1lZRb6h*!x{EpLMjG&`Q z`_}U;^dp4vNZNFeCasxb%h74?GP8+gXW-AIa~p?AoavNXZqj&q|HbxK7<^yT zlf(4qxI+usW;FfW%#Wff9Pmz$Wp-hxp3W20bKa%aimpvp4us?4c<;m%4olx3{z&(x z#U-hGrA^^PiUIr12ozo&I9VuBkEnRjvgwL@>uO`c_Lt#v=TN%azmY zM1Y;|tNYFZ@%oc%?a#u~m0uAMOfBq7}@cIoh(ink{ zK-(lx$TZ^7CFvWPd`x%ohv}nv;w!p~Jad9}iarWOes~?c%7CLp<*yyiomxjbsB;Zl z4ToCkz8EPU67!e+RXoxX_!hap2)t6rvMGe$KU(VY@tt|08 zqqa`%ec|oTtQgW58Py>q?^}D;PLQ~O@CNQUZVscK+MO@151&RUPU;k) zfEEcN2NDG}dVUaN^sk2U%!zf(IpwGBrSTaK2Rzy#>ig}O#d8s`ZmG80+o$u6Ip`v> zHr=8&kL$nJ5nssrqUB*&W1m*~!Tk_-h2?NL)Go*{f@eTXSBE%XWuwz3FMa3%uFwTK=~{=4~}v|{E0*u$#>(zvmkyBwsi`x8N}n?DydCy7ykZS zd5V!}{b)@}z?O(kL$@USvHWaG5LukWwUITOT~ov=KjCOD7QuP26L3WVKTmD;6V4GR zHw;0-AplEp(QJ4pPN4T%KW2AkR#jPkym|-W8fAar zF7epl{h(vzmq$Fjzg!>O-?<_4l~vtaxowGX2YuQe!uP_L`4p5AR#zUx7ij8J2C?R= z(^1V$%(>Hi6`D{x;{ITUJfUFcww%b$>jO#DUcl%L?S2>AA;sw!!J8!R_1;yxZWL9% z${uj{{!Y)o&e+YutH92e)e44xSKMJ*4TU7rNxf9(*rH(1>WFyPMC9qzt=Y^Ak937F zbSnX|Ynhe_7Uq9xM97FQevJVt2Gj_n=k3__ol~>bHFLIO){#>efeiQ|^#)eMU{BaF zZO>;C-|yH8HRl)6CIaD~(5Ti8F3Y<#!fWcA-T!liL)U@-!=`Fm;XKA>wi;;jddK_j zGLhT@q6|^%?t~gdq%AR76yO78dj?bzjYdkiehGY%klo@;;TtPyxsSu4FUB#57(xLc zsttk0%G-xfpr!=}EY6~hf3SZ+tfS@LoZjbuSPqcshv)oiajZ~AQ$Sc?HgSqioyG$- ziV%w;fgect@= z0#A|mt~gN6H5BD0?X@V#V~Qp&^ZngN*++xNN{YC9xP~AF(^yd#8^MR@l#{WKaOjT_ zMLQ;jO*mRH9GX~}jeAYl=F2vT(@V3BS8EG5%o$L|G-W8%p?swe!bH-SdQ^Il{g_MS zCbUph`Sz~HwxHQXI+8@`z7(-6hgrpHOI3SVO^#%w2%ai<;kk{9oIQ`v^L1y!b!ftP zZ{qGme8v;Os{xZz(?1m((7pGu_4+K`DjUje^0I)UZlnM`1=;l9rljtOQ14#U3Wc=j zc%%kA0GXRpXM>r+_bK`}(?T!wS&_Or5>5(%%LsEa&^yn`qb6EAi|z}}W|nZm*{Toa zsWR!k8^UHwd-vTH%i$jbABKlKcy!J9@^S6>vz50|ERb!{fQ0q3~VpAq`&O3x5>vVL{67K#v%dJG$($WXB$*4zVMIR z*w%|Yb8FbEj+Ym&~>alX-m=yOSn8&g$wZ;82#GkCy3ft zIWGon_c{_Mm}4&6b(H_bI1uH0e?0*R8qgI5bkK);;I0NfCZpE+Je9c(BnGU2z81)# zY2P9%zJdKe1tWZ+Z--=n9*V@RK_uxsPnz2D78f zcJup?Y??2M{s{T7{-?kRwtn&f-%do9Ox5Lx5PN1CG(oJZ?aTW{yhlMi_3o60u_!Du-FA-3Fci9Llw6`8bZKj1L3yS91&P z(=$feUGyaF=@zc%@A*Jt@zd|U?QZ_K3UoRBZ`SWqV>ceAu-rC2?#$zT{VOEJp~26_ zZidn~I`8Fq0gDl=x7Vb$!EDHS{fl-1z{Q~8l2ZOr+na!=;ms53y-0D?H@^GsawQy# zu82A;1SswnA%4us_~2$<_E^&>GBxnV&$+`t2u6zwA+<5Rf*=QMb?KuBPUyK|Q;_^x z>)6)Pqnlw+$K=)AB?*T@l(zr?ld>$q2mZ_%B_+SGjG!>e+A!m#v^IFEP^;L&4g@E> zo@^x73R^$aQOBv>7O5W(2gV2&c`F)6$JGM(rV_!M<|`RTd~yUv$}r$OZp~8Mj#d^hc1wV(7mC!~ zmAeTZ3q$(;P*PPP+aL&oIpM~7#mZ;H$n5`)H65VqI9voThS|Yk@#DqGVX+nxZezTv z&rb)9$tyz{6VnaO1$+N4r9JX3)|bK~eBYYx?-5kmU8Dhi3TFCh)67D-v?`QlUlvr_ zPCz2hD_Ywr1BDM=@r0F<;1}~wipDI2o97aI2(s}=g5ED|A*@d!eIxD+OZYe7Y5KdI zf|oh46q5T6TG{bFfaG%P!0AQ4c`yC94|l#VetdGc&EBJR))W=OgU|N(^u`r*!JXYqAdbegvsCXB zFRvgz1LJgMmu|5LYB|3qobQMN7FKTs%#!1OP>m~Tai!N^?jMD~?3o$$gMh;r?-M^j zna>#0kOFV@J)ilpcgPpxiLV{>()6gJRuuLr#+*p>DMR6H;iJS`*e9#iKvSKkVytnr zQ)Vlla#;avC>(#)?MeaDZUlOCG}nk@Qmm=Q<@V|E{2O z{AlVF{r_EAQNQEM*p=cD0Kz*2UG~o(Ro4&Rm}J9{>d$3u9u$}%*aYGi08SQPe4-(; zHD1U6NY!3zW8QRD0GN69yY_Jpo37)+4v(_cmi>`A$=PHcn`MPt)n59~e zFpY1?L@jNhJTtrRGXiR5P-ZmM)UwMf;|YOS*_9a2yNEt}6Muze44wCTKZ|qIfO*S) zYfffEI92FDSpaQ$Ubx*@(smh0`z@A_klIJ`bH_QCK8iYzMRH0-3`u~Za8K<>}_ zZar-fwzL$s{HGv0sDAw7tHU)v$dZ!iNWL2mFXF@zvX{=Yi4Sv}8cnmU`nNBl;T+Hn z1Nckf=gC>;i3+!w8B3(g4j&y?YnNm`2u4KyTFdpd)WS`G_&n1sF21e0PPe(iD}d9Z4Q@VTaAARM`dCZ7`cFn);k$-sGgoN=_fJZ<2Pm}w< z0mLaB@{skWdeIncd^y_T0EixowoXIVfa!VbkDa5%yHXURL4rsuTY$`<#t&^KvA_07 z#q^bqAbaGmP*|ECwBzbn@*HNlW@D~$JNo=cQ@y z-I*QR+rt5;-3pcxb3KTPO<6q6owc7uD_8OjjGG)0cc0NaGxFUDN;?~1kjl_&hatW0 zXwK3k^YbeT$V?b1TH&>G)>s`$FyND*M;{a^bb?AFHmvN@J|M1w&{`_MG-rrrRTLN+ z9?PvKA1Xi`THVOBFhUl)+o9R3aygXoDNFaVS_R2YXp6Z1G%Sv}J6ywlG3Ew(ENmhX zu8LKrwMG$>PY*5O)r0Zuqgl8atz`M!Q2yfNFV5FtxSu${OdS{p@J6pvy+Hh!AE3P3 zfQuVU0_RhEf_S=qaT6E}!SX47aWx3vR@S@A!LmFO8@2e}X)@J=&Wvf5^=31F>J2UK zbVlVBUDd@VvCB6Xp$8`gSK_lJCN5r1 zY0C1Z>1LMi?aZ0u^>O2E;W;LheE3|M%1Xk{%AWD9tKR>f^&M&&iX!2M*i~Kw+_r#& z5mxhj@VA2wX*Pw|GiGfK=ign9F(Yz6PE)2TRDhR{BdCaM0eONRypoB_@ljgg&I`-@ zG*cFj!PmL}fa}2Dw(euv2+LGqpzy(&T^sDoWcCsm@LS z$39idU3gi_{6z4<*W3@m8EIk_JkX3-edi{k)m;4t&+NG!bm2_j z{bXL+--}%`(X`9$KN6daN))G?H+xo(JBd~p9v=pJBlIDRG`m}XHusu22UyA#qIQAw z0_b*n>q)gAFV}Nhh}7=6Z=*6ktFP&pyH6$gGt+*iX-T6fj0&JUUBl-N!Sv)T$_Rg=vq^j%QQ0q>Rbz!2nK#ymqt*{pp*pzE( zaU;vF3f+zzxkSTNv;rtd*Nm3yzggMZAs3cSL>EiBM2^;{G4w&Krvfg^AB%IBO&h9K zScxXJd;nQNlPdnTV%l+Oh!UuWa}e$rqnQ3d+-McZl6Bil*L( zlIW`Tk00PdeJXSn$SVmi`ft|kVn?o4By2+ch&R(wIDc_7EZ^h+Sd#BQX(&iH(m~qHmO1dkA~O5A>%G1-SPzWYydUYf&?6c!;^v z@5yV%S@Mwv6R}-VfALaX4JR9bwFHc0ytBJ@j$nQQmQo=gK9N{1I7accs)BVRMv?b5|S58_rnc~n%xP5|1L~t z{EEg0NfLe{a^Z?fsl)B)vn=k`qtHN;alvhXFC38e_O64<`1)Dei7ey)_UJL5k-vMA z>%R7opVYo?@*%el6KD4nq;IL~e33`m*x+?0b?dS!utDAQ3kQ36_QSr@_I|fZy&Sr& zBp(zHFL11v|ADCITSyaa5*GfL3#?G^%4DDr4Z{f{IeT%iiq?jFykJIV@^g@rE( zn&Wp8Q}oqPxCmRJ6(YV8&ejU9uTz3acn_+yd(mRg;!Oc&q3{>1S}>;3+0|9`MPlY+ zPw(VAsYYirSNEeL(aL>1L+OjAM7pMbO@zSQ7Of_9x0{`T953Vw)@DyaX1V}0Q-?3+ z!sii*|76&}k(JR&lmK9Le+vJ23U3q&thyn2KfBmcQ;Bf3S@?IyKO!%%?fK`SJJ%KR ziUM8@oO!_;z6A;|*28eF2hCNl?yAdLn!l2cOe*&uh@uDX{Wr|+@NZ!~Ry^FgaIdQn ze{KlN27Zp9w&l)8D1f(h0gjQgM)0SGsQ|DN?H3JDS%gVs%}`fegB&OWuTRnLH$r{H z+Z@V1Jx;y}w(fIqgV{wNO$oWU#2?%@4<3NyudPJf$ojdN#8vE?dgxDR?{i2+eNTB| z-syh1-1?C{>D5H26%t(T9ALph`vn0@aY-q^oyA{QSV?B$UV zG5=9;Z6)Tt&h^$gpkc9&sxCF4bsuzv9r9hUG^^DiAR#ZN=OBs_?JBOSG%d|%f{6JO zXdmu&eBD3C1&&%~Lm+fRY$lnuTU*)bF`MR_3WU3Vo)z>l)r)y)SJFG@YP?1C%xSj- z^(Kj+xFtrnx?Dr`(l0F6MbaQ#z(n}}#{u8&Ysf7r&pKd(q~F!T66tqN0{x zNNV*=9s?j!=;dgyAJlXX>Ts$3<$D48eUAtHxXWdijO1TKHeZ1uy!M@PSVM6cK$rOC8`Zpal4MQ2s|yQNk96+a78T?pza}B1=2NT;E#n{@($xA^j^w z4tlMS&7*tgeq&$Ik3M0>NX!WK9Cbgxyt=iAR3x#-;a`q5@sOwamd1BqR(rR;vgX<* zE`BAbuaco$wH^Y92k_Wh59Nl^dndbOY6^b7!f(n}ydNDS$6Y(cG{?7<{XObz6( zBkEf*ep4?-;chAIXnMVrF7`5dt4Ws6E3ZJQmmKcH;=*=dxC;BPbZB-M693g9>(Qs= zPr(`rV%!;-09HaoI^X{ND|Zbv>`Lyunoc5ERFh#^ZBm{s(rphw-`Xdfp0m#S7j82m-V*z8I*tzu95KcciZ zZzLU7hbDv8rU;xX_xoKcJrw8exF#S6Ip!9a)PLkinPhm)YnKVB;YX4h*!8$yHuTT- zb>03hY#R0c`EQ?fN4f6qVFXss3eJazcp+UQ?=4ifpw-A0s08IgfQr-V}-KQeW@WOlvKJ-xZv596ozsB6D+9zD?nI3$; zx>YTJ6$-D@=DD=b2gi7~Od)ZBYPpV~5v9s-fVjbz{wOJll-HnQxldhDtzYpgysGo7 z&E)_PrvPXQ4hOoz|8E#rAejCo&=${vcWu>VIn;7Ld1}qN*En;yUVXFS{QW%S9|ac} z!f=Qig3KAXs)pxDTr&gIbRe4o#4LcIMK`$bGvV#S;V+L7^H9KMfa_8ZSXM~3PE-A$ z8Bh=V|ItDItsy4g@x7_ZhT+$}GZ$}_BL!OBzxlt}HG=%vBzZbI`@5s)y5IV)QUt>n zWL&S=-Use6NudHu%6`QOl$W79jP14~(3lKNoxT5ac{^8>&aM2l#63WiFbuDkjSc1q z&682sTkHC_i&Y4a>{u%-$Vk}}V|PH;aY^^(Ok$oa^U1v)T!p58yJIAj`V7^j%gsV+ zg%~)WHr$O4`=QyzO5*-VOn2SmIcd&J`svZn9D(yr`}(<`v2U#JTz;*k*>{Vj?X|jV1FaJ}qH{ z2+gN){NPYxhDBX9yr0?(bZ)JXVE^R}oEkBOUFhRN#f67lQdY8~#Eqgn-Yj7!7d<5- zcjyf)gkI`PW}II>0#|~vhj!cBAeLxyexe4a$BYuR6@P+@ZF#}_q#kA(+GN`~0oWv& z;LU8$<>?JQ{0L3z-36Do{Z70+^}_zz9y&tW@BSW5Og)v~DDCHOA}rI!op zNchbOK>lvI4Q~!Ifx{PsMavH0!llDd=)xW|bZhI>0l?kf!V=+M4}fnU-a7)+s&)ZN z9fWb&AB0g?G5B>(!4hQ1%-f2?dY^z~P4-U*dt-8ah!gK-0GKu>e7Y z=y{$vX9#sJ{d()r0z8*n8yrIbWGjFV%AqOJK8_mrDHzYnK7560F!&GPFhfn-{`m|5 ziNK{$Wsyc+Gvt)Z|HZ;Or1I~d-~X0SF4$#+!&WQwzt8r!bEK}-c^3MaM2c03E})IdHA2C zF(xilv`U}nBkz{;07sjO*@Je)Tm{vbCZ`>iMQ0k~jRb|(K6)K+TwnP;k}0R?V9Zdm z>cO-{+wa0(A61FvMNNmi%)SM^sSoo};Zi)G-_A+nS2}(z@v{*x{nde3u-smCQ8qe!Gx<_=GIOhLN`1t&Zzgz9J2LIpKf^mw-opY zKLvk`+3)#+$ge@+jE>6S?UuFyI3Bro1-9{&1^}BMBw4*L*`xftpXmehPEVY#j^Cff zn$!-(_=nY6Ln1^(&>BDI29m~JUhn}9N%%-j2C>~8T#?|o8dOoRDsn{f@3foP_2lIo zt~5fhm~2RjFy*aWau(}Uk9EMCzV>=y=WcciW=pV<^VL?V-Jnp5$gW+})!x35! zr%&`QXgwb1&N}#d3XeF{(D`>Sf%|t7l1IQC2pxJ&7%iK#pAo_#1c3jl;qX8FO>_^S zlvz$hfUhBuGr<|u+%iX5G?KMxQuXN(`fJ29$x{V4v{^E){F@y5l9K4^!N~+K&iGb5 z^991K{W+L$>>PnzwV@!C(_P;9!0>h<`I5vo<@s1n*sh^Y2|WONG>8i7*$B&IoncKLZj?5e@n z`$S#0V#W!iMPmbj<)-79V@%zr&|1BzbApd)5ia3+CkkZDy2T|-&8|0{O#(EvDa#?H zrJ)&$cm|=nLT64O^L|vJm>LTweJI{9Q%$FLEez zcb2EWRhVs0MPE64qBvHJEp}yPChq3N8&y}TKIrb=!Dk@@D59wk9B^t<0u)opPU1~! zeak*-z3}!hH{IG*BrTFLihKXv{%@!30nhQDF=HoIS$ZVnc@dH-2J`j7YV7k&2_`ce$_SKfmB$Wm!xsaxBbhI z2Wl*WG{Gh1(mnzX=jN{& zOUsW$#yWhc&QTie4?$&I$hdjeC4f`~Y(6A1yH|VWaMJ10G}42^LO;C@xM}SCB_bZ(gGOBhT%zz>)QPSZY`mFW>pa&) zh-iplF(PD&gVg%d#R$fAVwdNVt^18%VQB10PO;X_*-8Jo{X~E~aS<=f zI5nT7EjvG$@V&L&C(4t?Z?RQkXu*&_sEG72I$PJ8s`=@+XMxd+P+-S1QQ$C7)C84L zm-3{wz9^_abbB7ESYYASnETgZI(FP&UtftM|3IOS%iCH4Uy4xUd7FQtOM!ogBeK6_ zS1w20%Fs+Fp>E?J9rCPgc=Rt-x>m-X7EyGeU6f4XC7GX#eW@;I!waMNKBHxei!4NE zKs#!FO5*BdkA-a|qKMm$mN9Nt%ti71gEv7*>GJn@XG-aXo~!6l=dpck8+#t3{c^J^ z2lKaK?5bt?M#wjcybCmK95m0AhB7MW-^^WjC`O7(7|yuMM3YMucKQbGbD$RFUF%V@ zCzz*@yZh|2S9GOx{Ahk@)^A_U2T%>ND9NEJFo6SfFO!wQDz&oxxNO!EPrwO z#n!e5fZuS8z9=B4lTEKvn9}N<{RxYwhh;NE9s52}6t)=xI<7?OvpNC<V;{e=}9z?(Tu3bbLEw)C#&|C!QI}tO<1h ziHoy75}+mqxN0!LUl?I6f{82?GsS!c`dgW%KMx8JZgG%CP8)*@B;++d0eUEt|c8mV`)oKFdClzZd=7vtUeNTXtIup*X89uZE!XIVI*-AI4 z?44)F1^E*DGe7_Xh~eR{2LEz@ z@~wvfFP=rpF?W=(7yJ4uPq-`beRCf;qvU~pY;Cd@vF!fm{R<3oEw86=BJM9mqJs`? z3vN(~uz_9l+_Y%E38w1Oasd^$CAH}({nX#+mICo2cO0=A6;eH}&!3=aDdUANIMmg!w-rk?C7Ca& zj_fPh%18@e{7_Mg@*Yi~Dt*4C)@m{@RfV=kvwql|HqiO29TB9ax1DSXsW=>(#=Nfg z?bAXFhMMK)O8rxmGZbP$-fvTq)^SN~|DfH=laMSl)SC9lSB8hpGK{fc9v7}a(A27U zo+9=4VvLUHHwlKih}MuQ#qtI{B$cU_rzdU6$R4TW<8MC^%8mzNt5eYvLI~BU7whMl zV>Z$gfESVPW8cvei`X()zM*<>OQ6H2aO5qU-*Q>8v_kLOOvM^1%;^|ErHgf~U zNq))nKIk;5Qb;PR)iHbQyL!nileiHPk>FdGQ21FnVuFwMx9ew~&mxnSbf1YA=cnC2 zqW~C!X}d!q)pg-RU5RTNO0fO}H!HqvGN5+9_|zaPL+D`~)t%^&eTE-#wVSt>Y|1^p zktf5YOGrngf< zWMlw~1uT#z9>oSyE&%sqapuL!l-<)msxU=ICjyyv{NZ za}T=5jOgqX1GPkm!xOhXbsWu!1>dD6m~q}gN}Xwowd4nqZ`)#v{OGM_Pq|w!ZocXt zI#-CN)uN2`GyC)TNG2|xD>h59udRj)T7`7j@oeV?ES5K0(|f+KG*r7DX45b+XWHJC zF?Vq9cVS>3yOP7)fmcus%aXjDv@r98(YN^;bW7&@*4L4Ck4quxo9yuw6sL5b)X+)m zj-1B64{pOY*ZwOBe!q4U2a`^8#ayssN{{>yZ=RMUn{4Nx7w($+TX}Jf9NR8Ra5Y9 zGSmKQ_eo64gJU!WmaF<8eR+9i!>d!dQ`|lB=k^DAGg2|00M zs@FP+CE@V`jW<#`v+B(USiHVVetEn35tqE36NLZAynfm$iyWX_DJ@5X01WT+6FM#u zU-stWmRP86e=@eLHR(J_-lvPMTzpW^aSmEdA|ndkP*YsudrCr?eQ)iqpehD_6q?Qh}Mw60*`!aTY0 zuN-J+_rk5w-OQ^J{*PE`EfYF!?4f>D&rn>OtrLsCU-;bqC6~+D`)<*G;g{U7t&V}v zSxsZ9(wBXuWKX_{Jn%IH2ujY@@s$5*ar^JnubpwD7jM+DH;QP7Up6Pa^GL{j8aG!& zHOZaZTH~(GO4UDiX+N&KSP99Px%T>#$4xg!<>Z=gBz-)`1oMN6(7BBfV-)_f?H$^O z`iXVFw=%9G6pFcH|JJy_$emd^a(h4YqteYkmcQXza^g9~GrJb??Iml46yk@=H6({r zKOZ-&etl6&UZe@1V7=E!ed5-lj&BsZHTr{V)*WZjCnCYd%io+%Js%}HrdK~CJz-Fg zk~w^a(o)>%`)oEFxmMRSU{PwX$Koe#?w8J{+JH6xhyi<3Kbsz0+ zL?p>Q;Phz_qW$$tfLP-^M%$2iA3H{ed?YtVCfi*%oqnV+MzKbPpuS)#2tv}j)=I-f z4xl>$@>(2_$$^mie^CQ4qB{N<>flA$CdHERT1FlMBsA% zlPu#IA9Jj{iMR$HlJNnXk2wLCVG6#R;-hPA1Lg;_OyAB>zNP-JCZOHaG&F)Dp_E&Q9Wi|o$v-ChodP27BVT4A7G zF^il35RFT?pc+&m!z`27nM`0Ft^9DVfN1FN`3m3^zgJB^TSGo zU+NUXDoV#V@vN(%aih2=F z%4^5Fv?|JAWy49$L}4d}*#RbWHXaQRmjBpHxc`N`3XK(_SLr7xb#lEq-7Jn!`O5Tp zi1zB0qSxigit^;ObGCn+(7gd``=dUCLMI}`_XiKSCAA?dQZ8a7p8Yu;Vc~n2O4KcY z(8h+9F@!&*I*$wHK;#RW)Y66-QMOyJS)P~uw$SL!wYvR^d@gEOKCb8w+vwhUr+sAF z2Q|}D?K9n<*9*d);mYhkskRczYUp>k3~!$waj>+4=$v7331gz-YtGcULvn=IE9qz1G}bYcVf7) zHv17e%kkC|scrA46!%VW=UzYknJD&xH%ZE!wO1YG04gm)sDCT6i4*GKyteP)f~Fkt zrZ+n7gKDww$_F^jJD$IF4wZ2Uzwh}gb~IgSN;zM?;6%Y?T8sLt?ups?Ds)UIew{-y zSp}tD7k{)%wdp@gSQP;Rf+ zY;Tr}LkfhiqK~br-tbTtc;d7wny@A`5!WHq1~_LHx`TVlO+T}h=VfMMah)L5}K1HORj?Yh^~Y;^S|K>s>kW6c#zM-k%+ zDEyJij2UKAIIHp1IC^7rECw@Qby%yimQftlH{-HbQ1g^FnE8W6(v9&qbQDW*5+Ps# zrm`~WB;f?hJIH_A%p1fEuRQrhQ%j{^<|FX~kayZN=52Ll zu&svS!8yg!LtpAhy1U|7sMCei8Ni(ZvQHKv5m_Py$3dUPnV;<0UmnV`Tlj+=gTiZPwC_)>}M%_}!f|IW>wxSoCO_E8?6S2j28X%dH> zJjH3NU&?X&sMQl8ROkJvnP?~2HX^(hzX%elE4V3x5!rDAIa7NN{OedL2W2^xleH}$ zmQEk;;Qk?15v_4eiW`5tR;m9@E6qC>u>Y< z;~Ph$Cw2NQdxy5~GiC_HO6!J8Gu|| zVpy}H4tzM|t|J>ceE2c8VdBi?NRia_QB^k+Gnu5^M)ui+cB4VrOL~EbkMSk%Lfeub zRn9~C<-R|6Z@!eg8GkB_DS~HGGFQ7%uI+EHa^Hez4b9g#&`>s~j+x}7aA3#WjxHir z{HyLj?PulsHJNb71juxT)Re7t zu>X>XH&5Yp>EER9w~yL9y-3AfOWXeYK8>jZ>x;3%t#~>i-qR7&gr+4-1% zMN9gJgk(P453L$1a@y!VdmSi2_XikR8=IhiG@g($z3&I0cIdSnNETQFJaFbp9R08K z8{8p(%Jpu1zF0_kAwvqOk^|8Eb(9DpwIY2WE(*DfP3nlF&10q((dXChnGc_9O;787 z^Yy_6X&6O?$Iw1mGggCuY@#tZ?l|@b`%}yc8;F98(i0)HKW|oDy=HuGKKgTlGzQS;42${>YYpBcfxN866W6*u2lHA zicP32ndz%OL+aeNNn}~7%9rLvml75lb#=ho3K!l{;{D06I&_be8ej={p8$iMV^K2(B95{ zH~GE8-SAV%$4^Zsd+*Gx(5<8yr$mlEdi^}AyYs8F7w)mT$@L z8c$oPp@lQ*`e=d(H~NK2gSSZYOPyi^;%aPS{6@7l5=)KYimOll?qo!HKm{q)uBuO852-ZNHn>otPAoSm7Pon5pR zhrb?eQ%6GjA&@a{f?k@9BOSe}@LS$VUge}D4XtQGx0#!Dk8EjRzb_TSnc|G54^ik$ zRAgjO!9(}XY*E96tzv5ys?4xAJ*B!?8wJB{bGRRos>TWZy^YFAy*EyDj8qxA-2&_# z%$ea&bAS7{k29T*lz;j{B=YaJL{u>^yR9>adj(%DHpuU}C#|l2eT(Ctfuf!=!nrah z`L2loB)#;MbujLG-Rt;K=2Jd_!U+Be8ZQbwMH#C_N5eo05&0Hzn>#Q{lwmG? zt|mKofFaYjL{cDmc$gVvA?T8^_s(qH(c>2+3<%fPgg)}B5quK^@%O{)soAZJhD@-F zKm$4fE)SW7To_L^zFK@QG~8hyH$WcZ-k0j%z-YO?%c}2q|Q4yuNF`Mr?8B%M=TC}!_?jqq&CAj8e=Pd z(5@}aeG4U1-5{KCtRN+cQ0-lGJ~ecH6<^9HZ0HpLLGn*UlR1<=1j*cJ{_UW3Pb6)_ z#UdzS7JIs-thweqZAjphS0uPTGY&Iwjru-CA8n(KlaEji+?Di9P_-=1Qo{POnl97z z<${Y5-0o~?iUuYhMn14eG0}W>uo? z$9R+33E5j{S`exoIK4=??yuiq+*FTPT1N=qzZOXj9R0Sw6due;sb`jcqyO`v3%!a) zTaa0u+K*72yfxZloNQD}EKLd!~>WB{O1Mb~<#_#yF=NB|BbBd!rCHwxYyjYW8I_Z$??Q)b zYrhUh8!|3<{1v*)UvO;L|9dqjcf26e25ko=g^vrEYM!pA)DpU1bv7BN*F4d@h~^0E zd0l%niVGxwU1xnL@_JF-bG3fmcEA-J1Gb_5Om_dVL?0J|z*|L~F+c9#AEXqd@@Mqt z$>HFbtTscQrRUbM54f48JN*LROT(Q5>M`a7BWr6K&kSLp(};1Kkim!L9qv$#sPGZ< z=JBuTjSPWtADcI*?QfYhWc-z-JfIr%XL)Z~;{3%vDPRBn*h%vDyKo!%dMWvr9-6}( z+$iwpRaznO=v(TSyX4tKW@V`GTK}cDqv5xx;*;%su8Q)Mg4*GgEd(~rq(p7!u^r=L&;<%WP zvSz`UD$CiK?Ulps?sDgJ=ef(GT~eyL@np(ihKw2eKs}e-uuPHl9aMDfSc+$R89km$g=_+I6a~EczNGjXn?|GfrSDf^6=X z{?qYGIE?ZVG$ktRUq7=d07Q#C^v=f84I5jHszs4=qO!2X(CxO%L4f!t(inrmHq zM-a(nr$T!5`4GO#^>B5&evb?SYoHC{d@QU`w?}`+^O)Hm_;c`{Q*&FeMf5+vGqX+h zStIwpR92jNMceEjpGg2P6ty-a0IgdZUYn@h*}P!K4&YTlU&IQ0%<+%P__I%r#%M@B z8N}FCY~yEs=4D3SF1l=42YI{vvvx9(o=)(xqF+4aYA64rEbE%;>+Pm$lb_@Ac4`P zT83hVtCvT45^Dg-URZG$U-VCOw4J!w^a~|#o2wuGNvoWZAw0gO-?2jK7(N}y;X-kZ z`V-qp&VW5lGhZUp(|LOjHHK@H>>c?%H~iEDIaQl&N8oy$IeXT!&f5GvH@}`PhG@G0 z6}CKNdxKF*dWF9<(ZW?UjJiH}a z`?N*VS^!EDy~H>{6$tCC?&od|n^+ARsL+*R$dr2lH`A5Bi)EbfsY~e6s;gI?+4lqX zai34Kd)p?$e7nNNgHMl>F2>9ws^PMVN9CFO8cis@uST_24#xwlCJX)jHBWAA;Mi`! zFvwyN&!g!;wA*ier9Ep$8*kCPdFb*tvx0J3eY<{)gxdZcQB8!==H)>4qk+Bc*SuMM zdaFuVQ zQDf6}mf2u=@;iqALH^KU)d*V*lfEjNfo9|)AC0UL_K>OFXVIv?SH5~|jrThO%Xcmb z1PjY9MdC3g2E2e)*l|ezUq*ib*O;h($y(eeN+R*UUbIJrcf_@o%iTu>n+eWmjSB8FAPg_Q%FV(0ejb`@hk|Sym2ZphSF496j;12Aw+;oy@~26%?B8{^6bbP^iIaI-*JI2X?&n_7zl*U!RUt zMBp6VjqaJ*+LZm$x<}%#hC)n55tZSOkTx}|kGZLh#q>JI)z>e5Vd&V+XsG4iK@V63 zkMOK@aH4X3t0LTN7iUf}-ovKSKq!z`9Sw9iVXZvAdBovKYcW4Mvsyt8nzW=i>5I~+ z*#7$Z`d+6h4|<_(#W-d(dDL%o33-Y_OpF03VFZ-gzX^$)>sZrow9UKzi`MJU=Y)Y@ z1@y(nP>m|7ziR)x0M$&md(vVtwrNtAgQHo>7fY~gxr}%rAssaIG)wc%i!4baD-+0k zis*C`p9GW~T19{3iF(NtpCJQ?;A7+R{;Jn+IQt#R%x&?z60hxT2>pPWYcT4xl*nM^ zgaM#``R4k8*b%W9Q%8DoN{Hf8=%#a%`a;oBIT>?0)~LNSo<=WBonT?P!_N!k{q*VW zWm_A=;;W0KpR-Y2zhBXo+qX6BQC=E3`5>V5tiC9;w}*Q99vhAqmOZj0>QsoO2o&~tWhNaZZ5nU%i>{L3PqP-zIC;TZ^2fI0~bh}?pIjpWy{BWgHdC2-HrTS}d0a70+eszF7 z^?H^8uOLrtMU0j|iBtY>&hxj2YiA#X9Wk|cdBa;v*RFBV4!nkY#}g-5Jug1spQrp7 za#5Gv%|dxsX&{mhk;?r-Ac^(L{LTvjZ!t^F62us+t@&`^Xn-4eTZQY9!BJ|NPnDwg z{?=oo^c7W$I+yzR%0Fwj*rtGJ@1MXHTX-35StV}H-Y=M7QO=J4y!)VdYniOPh7QxoeGiIS z!ydV$on_~uKzINMq$2W`mFK8t{QR^`Y8b9jT?*1^57`6^BoVsl=)>#eqMZf;gvlZJ z`)U+f`is(2oa^0h_-JO){DvB`{#}UvE0ifuefT)n?dqY$)O+s7B-7Ky#f;z4E{M7{ z3#hV6d6kBT+X7yM2laL2(i6x;$#_cNI_&sNTZ}gtIZ%f_z_@LqJEso(uCMxV$Mb}z9Z_Ux#&V)K4WV(r3q!K4u*9-4khTV<$ z?2N`N&!43y)%4py_jcmLu3*L?w+RQ9n|fk|8@OsX(e$y3s(enZ&bV%0MbIkML>R3~ zw(yg`)>zZH=GizWUbeUDXgPQ1k3@~_wC zZNP07RdldTsCHA1nS^DY@cgLL6WDQXX5(tO$~l$wR8H4(F5h6-(uhnEZ5wRm` zx3Mjh8OEAH?aP#Qw4E!j6o3pn!KjnHO+Yt1Vq1%~wD=%Rs&2aO&&7S0;#$WF+qt^A z)y;QiZ-ZFh^7y&mdjY>bd#~=nBeKjzo^kb+K?-`r)&XbCS5&F{uCkk>q{_LNE2|Zh zrYD}hy(ncZ{#lO?`RTNZxV;<1H&)yp?)Oy{MK%B&{|>>nO0-BLX}#h4eXdMA*}KFiG2ZDXX=QI;Qc5?O%O6Yn zI4Oi!wIt5hV|%*0w_8$zh-dT?kbb!pHoF-sr;k=X^qKEZT{3k`YP8E>_;|MVj7?w+ ztUJTnH4>oIX_P&xAw0KOQGnaDwzFW0sQVP)!L++}P`43Sv?NpCPdWB!P^)?N+yJMI zQmYV^VjbJ==P`L{7Oe2^-%xbm_JWp0!d8%WPt_JyT=O++V#tIiMaDI1q~^T7Prl}X z&5%SJBW1HEg*}4TQ#xV-a_&!4Bf84Jrx`=Qh-yS7f|p0jBh~5gnG3pIJ)CVtab3K; zqP4AVGb!1mlYe67Hv%^n5fNbqVHr&t)D07*HLznxw}`U;&9I-8I|lm+<5+PB>ssGn zOas~lkUZfkBU#Qejb{}G3`*!4XE#EGxZG9HRNT2AQtEojT$|Jcsyu>5_xf=N(Rb@7 z&!1Qb)-Aq(KPR<*N)G2}BG*5J=d-=s;+W%9;v?~O!42rFt58j0g<#uXIdRgd*FHc=~BG+s@teIWbfQe8ER5ltNK-b&oYDZIL{(8!iu%h+2BL%^8 zmdYX6M5&snt<;+xX7-VWoA%akXEsmK(aMATG(n1!(}8|`l-v&8VDmfSd z9qscp-+-e1r-voikZJptyNjH7jVj3-!~gm)5BE7MXWzTFnJ5=ZW1HQH6~VpYx&@IX zAKP)mBSQht4Kv=JKVar?mM)5tq^=mKM~5hp#&&ZIeO1MrUM~X_;TcyH2LZH3h>$zT zxaG8`J?XjU-6}S%3RRR(l{wC1p#8~Unngs2$Km#Jlmp`7f_}nL`Knpeciy+whtusI zT-AwX&tI%T;%h|2gVn0>x_NTT=XzJs$XY)^s|C=?O%-RfpWe2Ruyus!S9wFf9b{qV zJNOX6gEhwGkmvWdibdDdYlfvbX8-bzYk=hE{0MGqZta9QD}wicc@Z8%sCr(ei1}?o z03puLdX2Qye*=PKKnRJ;^%Wd$J4o9xQ@Ql;W?%jnw|!IvgAj8aevOENhb!9pX4fe8 zUgFytlL|xR^=dR1ecbGd!}3H@4N6Tn#?$qcg${I(_lA(YnOdxyh{h0Qw4X8v{c)(V z?d`UA!vot#p?^2oifYeL7?(XT4=Af%xM4Z4@mBhM+GOCEbm_dfk7%kWeI8ZTY-{NB z9$9y};$!p}FI8sM4Snn1NlIy_Z_iovX@IF;rRjcn`oq}Y>|Xgy3vZ( zYZv`NPjTv}myn>J;BM5-(r%_!v@y_;>}T>UL;y}bD{^z@z0mIc^i6q+%Uu4s!y`NC zJ@-tUy2cn(B)G4s$k}OlJ-qd;6?}^nDX3V7mEcMD))B1m7I75 zg|x<2Jj{wN{m-TFi309ZNo3U=_8`&qIWY^mx z?m(iReY`nXIMQu+dmOA1R}0ghpy#*9M9NQ8+H^66!p&Fbj;6HPB78@&IHSS6+?EMV zwANpDs=?ULHFCI_y?;xbR!cB;Ej4P&g|xpDMRCz6a!o)UO+Eto7UZj(pY;UUgyq$~ zf~MJcbmOzpfWXzj)Po`a6R5!DfG2(xlKu`h&Cagdgj&(CyE5&2t9t|mK$FgWKJ{RG zJEWXEd>Ca!OYP*Yuka-Jvkz|5@yhIp1rn4*rL-$LwYSMSl1{F?x$aGnAt>Sinhep~ z8fUn_z3YceKR{Idf(VMnlJ&z^qknsEGX$mAh>E+=ixiQ?C#Yv%)Ctg)oMx|=wXios zsJrtgm2nB{)ynx79N^T83`hcNaF~zm?BFcwWa}Z{y7B;rS93ru*E1CfLhUK;TDILM zF*wLodO}lTz`Z_wOw?nXB^r@jXr30^c$2mYFcvEvEDUcSapI}nJ>cQ_g`qD%^6fJt zpl${GfP|RniQ3MAX;J<~(Bv%GaZLMUZI2_xhi=7{UEf!)!&Ti$<7^PR>S{(lA7at} znG85vk^ClAyZL**!viQgGX(A5qra;aM&qWf+ty36-^2IPpIRwplSYGO!ah2`tJu`H zA@05`P9Bg~3nex&lDj#h_*CyR?bB>D&`gG)IZ=2t9!uP9DbXIT_ zp|{KJW9bpC_td7&f#!j36#KTAU}@zUl`Hscr^QtdE{nQSe+bWO^6gFPFg@;wRFIY+ zK!4Wn)EkV9=9M#M7Ug$ow0z*-`6%Utfie;e-m>a*zo8FWS4tvekrP z!H39+SA%p{>ihOX$B_DAEVSI>H7$gk4zjTm9Et@p=TSAbHX7Dxqa_b}ROm!tDniu_bNP}Fg z#nIN8j8-PcS>r!nqT%Y)c0wqqzln>N_<*+#E(6k9Lx;x7U_ORir;!f}ABy&75u(5N zE3^(^Phk+sQJY&*rgs=D z<6SI;vm}!(L01sublgD$*K;Ns+NTN_`{awF|G zw%bY)JT2;T(4C1=xXb}Q(6%Q*)>!}p1fyqwWRWqN5UT(7X(fP`Ke0b>GzJM0=d8tg zPEh0o6smANJM;|}FD}U7y^`;*{Lo`z14aAlk{W2}G}-wH4gu{&O_Q9fi4%Ocd7xV6 zh|bFZ#=ckysmcWok@r!%g-2mb_s>TJ)%!&i-`afRcJ#T9w04KC!p~=aszIvb%3jSL}P7+UJAO@7E95w0`RYKF{7=_349Z`*kSip(u+$bt~IL@Z2vT}UDJ?y zNM6>()g+!z$gd;#eWehTvg?O!R0+t40yq>A_IOGY=7i||c(3LX+C;4CfhgcL@V1jl zv@*$xP1qwim)(Mr74JHW15*OCF6O{j5`6>CziJ)A7y}o82b=|}_RLrxWuIMn)Yc6c ztdxp6PRk+l0u6Dj zO&rEY-#f&Zy+LMX=xFruj=ATY(D=U&n@I=}g`3@icAK(JZk20kh=~#@KSJ@{cR8+WTLa*)* zd#sQ4Mo}@mvIqG_|NrzFr&557x55|Iw?3dH5lH14G8kDqs&%#0{ILU%CkP@0sV*5f zp*tj?UAVsG5_m{h2%vCZwxyh{Qu||FXW4hYO~OR!q^ew6R!!C6-UL6@dp3ecfJ62` z#XtRIvlpkmzfLVd;zuq1r0;$q2K{LmvClbr{am3;t#Iz5VA8V*i`aR>ser-168SPI zF`a+!s(IH$Ki^85JKy?-io`X{!>~X8Q$_^EcRZby4&Elq3g`0x(bO``)Ia9ma@uz$ zrH^|FwWAjE9U&K++k7K~@VyHDsU?mJkVJA@SGz;+6YZ{7PsjPkVl=O^T!}3#V;{Kr zotI=8VD?IDcCdIA$=_leUS-}U?dQTfHACh!uun>Y`#+C4LZQ;Ywk0^|jq0E~{#B?J zX6G**H|Cc9<2Y~@*OCC1rJl|S%nB5@+PnE^^rfHZ^RT_YT10jj_dWc@|<7`Fo$aT=SmA-WZyd|;x1J)E` z6Fe*bnw(P&X3>b1GZt*;_q zZ$_9e!6iB25>$}{8>Uw$Hg!J%_TA%RA`H(-(Ywa#Sq*koBXlxLZh6)Mk>$47X1u=- z`M%p@-lkXd%=VyqpEWb)q*l(YPiV%V%XFS2Fze1jmK9?u8NvTfUhvJ7Ke3jfO0LL({arp9)jBoM8j$p0@r1> z4`tmRj_LMa<`@8LCU7j^ZvkPsk9GB&a%PFvkh6~XU%-yX9B%~vt*gulYy;Bw_DMsp zCtBSJF^2E*;p__N2CTVIn=^|?dw=%V-}=|w-Q-;agC3yFdHi5wV3485U!|mhAiqae zZYi3=gmr+q5#X3w0pJ&L7 zHtVqRbE6@}|DN!VPm7>|z_B?ncb@;s3@*r^m$bL7_X?{wFIKq zhqxL43R{1o=Vlt(^hbPto9OEgHnENKUC;Fy$mE3jiAX1yoNC@$Qhq2$nxJ3fhz9+; zFdEM$g#`8tW`Ov$JKU0qpqqU>(F!1lp3z@U2UO2Ck@u(o-UN;D*`mXm6LeklPpm0% zG6dh%+7fa?LpJXN2mI-I^{$*dwTChRRk}MHJkzj;2--YfAveODn91`B3t>DW)wcw&-LQo6|cm?oU z1j7#*1f&2Ilu2bL7+^Stc$s3u0k{Ws!gU>3%ksY_d9{AvY}9}*tN4FQ8wXgXj<3KN z{usieQ%*h)*f-yc$a)ufL`t7W^o{u(hJnHanD=opqyl93h6MO(N&&Bt>x&R(? zrqpAevUjI74@px@Ia~Bp&ecVZq_H#nCb}Cj1jD~4l9*$A4^F33zObY_66E_LnTrm5 zR;b{1)71x~mzFinktTnG?!7`q6&nqdIjU|uK25G=f4W!~ll)^ha>5Tu_&0a9%6 zV%>mi@cx`^jt?OY%bg!q$NtthKe!d#n3eH{k&`jt4hYbk1=cQOTAdKR3+Xo}4ovd{ zyriLh)MA-5dc@HP2Y{4D*4azSU@1-ntfb~i@Ie4a3V6~*Eg*zJ>-!k`B<{3>*wh)k zSFs4ZF$@qOP|+MFw2>bIZf@t?UG?4Cl>v(J{2(EhsgvXR-x%IGsRX772o|D7n^Amu#{cF?>PNHY~%ky{|%5kx+e zyAmURS7Cyg*;7Z#1j;F!x+TmGnHWuc>H?ipE!jw5; z^FwGPt*C+BIoEU_^GNgz%LRqqBkLHOerCvdc!Jw|$v^gi!kSpHA9I4B7p5uSuOs~= zV}-#R^TXIP;@H&V`FPXl(oXvlu;Q5fn~kMm%#e+KdbgALm-%U>ajmz{xsIf>lAW@f zB~AsZ&8p3sO!F^^44R`w0O1x`gTyv@0p0@Go57uZ_6^3bt{2SutpHO=zO)B_3uNck zET_;WH5Ai^EhWeDgkpiy@$xxtgmZ?g;Z(MjU`ObVZFX>HO%e#G4uBJdAT4}VFc=GG z0tAKwxZk%olR09JeI0zH1jFxAlYo11JhpZ0@u*Pn37V5Qz<3>pY2fHY02)vOo-0zI zIR*Hj;QI@uKAiq_nwXuHvWL>%H&O5)2Rc(}(HIJjjhL%r0PNa$d+@N<7|p6t$d#B}CwqHZ&$w1}HI$ zfbHGDpHQrobKU)Rj58KIv*Vy30SBI{IC?!9I_{VO{ssb11vmxBDE^ZTh!wJCmX6&s zDz*#yj{_W#P*(p0r+0-C7nzP9FZ`be%U(KOV$kdFND!O=$K=K?8>N)|w;j_>iWNaXYFc^mR1odm=8?Tzyu>J=j@1>rS+7&%HE_O zb#T^PWMk#I-6^Z(^6O1&1E?(-aNh|rdqtJ);BgduL}Lz~@h)m59`j9`1OH^6S6Ov~ zSSo&Ro701DY6&25f61rECY&*{QYC$|Qk!*IPt=>IGmdKVHW5s;i7i+X5XBjnNK8u! z`&a*G((r);VEG2EB&tUeiTXD=(xwI4-ufgOtb>X_2Wu{1f9ZM!RJ+?o5}AR=0*BUG z8H3GgJu8QGcK5U_c-~;FW@(+Vc{L!$0RO=NIqw~`3zVv)Pr!hY`L|cpV!t;4ng8Cs zk3QfhKqhO6F0hfAIQ1vloio8_h-mfElmQ-g7si&b@cKBv{qfxrajsoPKCTD$zRYT~ z3-2AWUxYS^zz6<0;GW@ui8tuMfp+J@3Lm(oQ7n#)*OvX!Wnkj=>-_@FaPW$(_V~K0 zEL4jD@d_@~Nbi%2MjBsHu*Z>kd z)>Ggt$e3{VLk>9>CKzJ@OzglnYieo_*2pG<<={8~Hf>B5oECAH;9Uoe8|GMmY5ZeN+or3_cwv8D`Z6D!Wv7s*eMvylN6B!PxmYaK_zrIK~8l z5Xs2W`T{1{jvbsF0tlbAWJecxpy>^`0J#4BWh-YGYa;}LT)1u)13kLm1^I-44e6wk z7AE-2i_a!Ie}A>XXro$M+k5=*?9zt%-37IlcOj~tF$Vkas-A{n5b+yiO$Wo(1@2*I zq>Q^Md6-_7j@8YqO)%U@zrz9TFe2^wt2XH{}9z+0(FU-EcEBTHD&?B(5@HVHR&x|hh zQ2)IGkgnukVydhP?24LQ1R;t3Tdx2XhJ;0_%mDxDyy^o2v_}j1m}5}X@|U1wf)C1p z6R^Q|V~e`XkF-tJNw_62tknbXIR3zVpyieii1$3GnGe-~j{8`xKWpS}iEs0yoX~ac zqoX4BU8Sshi?wN@UnWNK*IrCm4)+YToFe#mxEbbJi>AiF#3e#4qo)SAWK3M6K<5|G z)D!z?pRZ%)B21#XXO0eRuce-4c>RAYT?;(Z`}>zxjc%?Je4hC-CCw&~&$x=rP}%w^mE+4=o})8-Kv$5pR>1$r~*uom`4$fmufoy0+hr`{0>? zaPo=a@ceIpcwsgYxQhya3td=+b!j-c0<7k%nP#*hWz&@(v!gviI;?D1e_8nq2cVM> z#7#aauwnTK0hTanK!31lA5a^moX!wxC+L#kKlyio-7$Lm0Dy3id8Sy!ND~R@ za<|s=tXN@ri7^&C>;5c;G|qh!D7nFM!7#gP1Ar z3SpO{Yvs{&;d!TUoipB(f;D;!a%j18%R9XkUQ3wO3^4}u9 zv_iPBoKfNdM7I8K0h{EhgZ(6yJxVA8%Zo~_Q0Th@?+xJkTm_?$3(gNPf*Hw^bVq0o zA7(+z9{<-@M^2yfJbd4joQ5lr?8>`> zPnU)qid9s1fO}`gMnFqXrLWCb1pqC;+6`J$YO4{}(zGu9$5T@s~L#VtVR5v(`trT@IEP>9xN9&(jpU6_Xg z3~0HgEZeLO0X zKaIKPG+(b7wlmFZz#5Ja%AJ0f-`Hi*_$=mc`}j{`I55WYK%xemA)#^k-X|%ln!Mu^ z=0ub_m4;(|)}a#R2vQCuh(qsGVZ_pK3?`oO$%x2DPZphdv3Hs~Qe^MngXf^kI2J|} zLd&m<0gbb%u(q&1MVB4IU5)Ez!`+Zmk3#1vChLV8I?JvIVab+3Q4NCM>R9!HTg8YC zFl_!KC^gVvRren-J;tw-H-3WALF6!&jd;*?c<^q*WC(SxE;iWvLB-Whl4jGAhx0$S z2g_ooUwM7g_BoOW(a&fcgm-{({(D7C?Tko>WaPu5MFn6shOErYeE`Nn<18RskNmp_ z8H2Gd2DNn-S_U*HMGm>aO*|v}x1$|ABz%auJ`&~?{xE#c!pi?3w z6^o4BGrqORO%Ui|BV5zJ^vl3rxrPhjGiK(aSK!L<-Ev;mBXTml9qz16f=>)QPcP-b zx*T95$oT$#M&M?~=++%*{%2g-#t`3O>{MlBbKn=rG-On~#4a2rrI4iLvg9rFR%B^d z!h_*wS5n)Uc#k;cTca7LPB0p`WTO1C{M_gA6wEsfm?~B~k@dTlhmZo+!-|4?4M8%O zRgXlOAS2TTQEAa$MBXu$8VIdv5n@sCE|kwfN6yMOK*6jt^mTH;ZVC&3-|_PDdn4qqvV$^O;s=BrdL=<)F~UcC zN;KHhSQ>;+TqRAg0rhFQz_2OJt6+*Na}$vR35BQOQHD>Z8c^!sVs8pYQg93)7+(-k z5tc_`6ZwzUw}d3AtXW;-WgS8ty#}q{H=FB&Ay6HC_Bed|9vJapu--;OGqe&Gd?L_R zekyV0=uyTYx||jPTao*7$b4$uqMY^ZFmPFDfzi1e1GthVQFC(}+dX&jm-lv9RWLbO z54hTB1sm8?Ig84cJENL*xH%M++Z{jJg>i2Z<+iX2u;1m1Cef8z5khvvK}P^+Ye9jL z&65L4c#(FWp>Sr8!RQWII-Iz9Yg>;+t(Sh{v2sHG?5c)wRm2<-$S)j+is*(BRIPwI zJcFFAf8W%!;$X1U^pO9JaH)?a4bG(BfPb8@GCjC(sIN$!2lrLyrbiX)Z9w8jf??$E z9y&VlC|DgyL~4^I3q1!e=awC$w(g~-ZZWi`zPRJr=Xj!?+<0IaTX5)TUv@b9abkOY zyB?l94-7rrW+IfRUxpt(jyP;C-Z4NZu4eVs9J?)KA6uW=v}7;dNMNPXQ>@G=<^HtS zg!e~GZF@>XD#rd^1}*9c5r?;k5b)Haw&3snhfm7>LMzB47Dq%xVeR`)g8&ZNb{`fd zigq~tkQ0k-b}jxS0*ntxkY(CDe{ul8ITqknBe=R2*!z8H*AXg?t9=cIyu90a@V~%Q z2!An{nvDqg->y*|nl*qe0UuaB41-u&ldVw)8^={i`~u!sPgVustw9W$mH8N5z$Am&Y)6I9XPINVRl(969@md(NAH zB=pjYc5mrEzB)zQ?fRkGzcmc!okE0YS=Jl&h&vz*RN<>9c{b%9hi_KBD)j11;zZxM z_2@&sTWZ)4a`<77vg3zhyS{7(erucL2-&t$tjF_<<{06*H0Hj&(0!BV|6a)Txehfw z#38M6)6@PVw!MYRM0qBL|0aC4QPlg1Z#NpI9+Qr~6u0N2Ong*uJ|vg4fjyKPa-b3E z*-)o&{s_%)C)xB9h`T>st9KSa{&b-fe1Vn?)GNh72RVqBh_F+#%E9eE+E~+8I|w4( zHUK~6g7;TAn)t8rMPIjSF|Y3MEc5{*1qf1Z*~wt*&;RdRYMOBWbslAtz!2V)OBB__}QN-qKO~2)My1`+z*KTPS*KJi+ev^1bR4wzFDO_Lg}F&0ov! z$cWS-?MM=5_E4;Ss4IoTboh4VeZ9xRC`_ZQ5u1*T%gir_uxmM=!u-kdCjg4vV@eCp zbDffh^H=q%HSd=T)Dc5w8ljrXK-Zc95z~jfe zdT#0eZj8-?rL1N09Lzz6=sFQ;78c92eO6bIURD*0Ac}dBRc1R@Jf>Ba1PEqz<9)C& zTF9k6*13*2b{+z56lEQO0k<*d`R@{-u<)CiMjXQF{${N_xxQ$$GhqIvPS2e zGc2xIQBuly%EV<;l^2D1PkLUPcNT@tPu__Ue)TMfn6qvc^FmGCSnd0Qw7reTE~&lF z$gl3XY{;*gpuf3MM;3Wan@Zb=Olk|&gXV;qaR9B!p=gP)imS!*C)J+M1G@!`_L0ja zX6{HH3Qdt?2+IHD{v0jfzMq~wpBG3huti03!-baFq5@Vkg8u+XU;p=kHvbWjET6X` zSi`R0O2Oo`XI(iBlSq7Hk&aN+!ywiJuMVGtFHD<_EEdV9te_+EGZ{#KvG`X~#C?9KFeH+|pJVfgswSE@Lv4GWlOo#;r?j7#d~}8l*aDLd}0EoP#7^ zD^HirX>wYNyR%KQukFyerOC`wSLfw6U0SsyyD&Uu@Kdi@<6w>9@M(j=4a4oXb?>>G zQPLykg~fAEwSQVlb86l*cSG3D*I&>(BVnr+chu7+`Z=AO{*k?X&ud%C3|BRsFq`UK z=GHqwkdV7cyPlt|K;5-2ofiDIBKHgLJB7t+A+?|M2;m)2!T{a@&=udjlPrG5kq<5q zTl(Wl9<_Vy_Y`|~F`J*y+%}hT8#)g_Xdv$l8-d`#BW)=kb1!^gb$#D>JLnN2M2ZUQj%(+6*ZKZhj{+C6 z@JC^~(-9h^CAmk^@A z#8|3jCd&Mygk$vgTVGqm1^vAq70F!&Xbrn@!Q|2|&+dq=<1t1%{?b^s)w6CT@=`HV z$(4s7N0+ax{H+?7#+Z5L>APDs^>6%UtYYJ1Ov6QA=dKO1S6%I=c;~KNYFV*D#h~A! z?Ya5B;MDBnvcfR~`@!j(!w&Ds`uUE2l~e5`FceDxp&9;o%-m}*egh$YXm4p>Sx-hJ=L<+D&UuZ zSux`<4j9}}@-`FAKM2u3h&qaOqOQ|t7gI(QQ zoSFjbviuM&H4`3*MLiKW?*kJ-D$Dir?`7$6WHAE5$htY}eKeDz8cITM=EHp~7k+W$KPA~VbU+_|K!Ok2cK%v`S&9rt&W18_-ORqx}%T7wYcdsL4ClqC8awW*< z?G?*4-bqjd0A~}*7}8 zR-bNM;zHk+!8;&mjCMEa{;h?~(9`1N%=AbI3{+RSQCV6v^Z?ma_wl91an?@j#%Fry zFR_^wzw8}l1il4YoeZzu8bngbL@8|6Jx2!Riu3*JCtqFhYR19{M~pSbMC)Z^?l2L0 zRF%CEwJ+zh{X&T5A@&R(a5Ud+M%=%$dOQI`)HTtzVNwFCWLVc!U&w|;WGiPIDqT9g z)UEfwPWx=2EwYvO%sSZKt=(zBB*cvbyYVQjY1?gC@zc9siQO0|=lN%QZkp%qAzPF+ z8W~~>$CABG%_S&W%$ss5q! z-c72!1s8&Z?Hy5)-HH7ol{Te?$Qhf2u;9-Z34h%su+OwYjiv|37Ans_Zz5}%+ZwT zaRD{Y^QFtf@%yFRK`?aF?|>n}hbFhZ{&?T-c4ph&!x}4sKfe2I#R%YJW$}(uW+P~P z7zmWBZR0!5#0OLW{tI#XZZH@O>;m9IIBW-CY=}!ZWH&M-2p(U1?hFhpa*UImG5*M8 zq&B$DFY`lblMI{d69W`8FJ|)shdm`CWaRR$zMhm zzLZ1J1tQFm6ioz)qt>aWrc7+dvxy@c z1=Tf+EjA}v0op+!RBP8*7blN6&<|lSmC%>6%^^nuJ zgza8~8C;Zk_NYKQuLi1hz?2|~c}Y2Qa19an+`vTD{!`G%6;qLtsILdhxzCgsuu&6s z;>vq&!&Wgf-x!|~|FZ#&Lhzm4`+wHofzH#lU*zoC^b5n4jT>m_4}y0fB4nV$I z+0H_s4khPYQ0S}RjP$+*amm*-iP%Jc1rP7l^VxyV@S}xL(B2##wScib9z;JVYkkDN zZU`zN?N6xjtl_XAN`}lzv5-ORNF5*B*FNio@Dk3$(AZ3;nfk_lZHF8o3X=+?$A97+ zl!wIUfU1INKKg;>fl%(fR9q9-vzZ{3b(Qy+U~Km zLwo0#q#nW5QS%2drf^3Hle(;b+a;}inD^=fvYB44^?}z9eeTN=A*BUlRD13w{2%@B z5KPLf`c*c<3jbv7&fosz?xjh9bHAmZ?QuYSiJ(El=x?>r))=p77lxU~9`zA+oJ zHqe`yU0x+?xFoK&y%^3(y&#`)gx;u%WAvu6L3NVqRw4YP$*({BXc96~%)}+Y$uQ$m z<2w9rczx_tK8Zbj$Z3r7;o8ytqdXHgNS)*Anlwt9jiDJom!xl?)y5uk^7Z=x%Sohj zfM5cd>5aHLw#UOf$iw*0Ak94W@a_1d^gn#2RITjMJ+2KoCo4+`+6@u5Lx7@pdtX}Z z-YwF}yd!bu?fcaWLTng8b7&jG`zQPbFc&5tVU$1{wKrO6&ESmW*s|y!K_Z4EX}}sb zC6B`R89@V#1CLp=!s0BCf-;_e)&zD#kJfe_G9}3!a}B@nXwUarL%}Zea%=p1Z8I>_ zA`HQ9hi7%BV#7*D{iAimF}CyHglCt=3BD++!VZEF2->VITfIz)CET>1yj)G5Uqsn~ zpMFMfit-ME6&e(y@>|K8L2Zy>?q>0*xO)W_%YRr;&kS8}7`{8QvC*UeHc;80<8$q5 zXEpQSn-S`n{8?=6I=ELj5K%pHOOp^AF`z&~m>(|7K`zp2e^AFeIy&xlU_&)Op-3Lfk zHW5-T%!=+qxYmp0KUQ@(!D{3})DI`C1@if6f8xfElmtdL)aBxb|H1jQTw zz&2?`0I7bkXMDf;#QZ+!$vR!Z*5J|ps4zYF1c(HIb>)DeV%13S3=>}BLP+Diny!Ai zkcM`DY+Z~+cA)IwvglJQ&p9Pu%i2Tx^C&7LV@Gu(>_4c)?!bTu83_u0h-Cy(^LyrB zR^l`BWf?9M^AjbO&Dd3Gv;33oSPGY#)wqoPXJ+p1d#)%W2GdiUWVt-8KqYf*Hb6T| zdli=Qm^ya{uj5FJKKdj78VOWFbIqK89qq?)SSrkTU}mWy|J<&i77Ap!s7RAXB@HZ* zyL_N3xo3I4^0kw2Z>=I73(E++_tNiI1<#Dx2oxw7`Owh2U$V=JDqeJhR?5GAqmCbg zQamjfrTK%xh_L>%4yB5A2uU)4+{QCE*2r0qmmOx5 zW76ierN#-6%!d52@%>GfNt}Z6a?@03I50W=i(6r`AxbRqc+N}2lSjfeS}&pIkUmOM z=?p{7?T3Wnr-{QL0KpQ0OY5?Cvg!fS%JVWYj}gkFQs^dN{lC zq-L$Q?sY0h{C9Zu3Hz+!Moq$Q)^}AJzPCv^q~9G&4GO<#yiTltTG3@-yJ|+dD(igE zs!X;0aZ@Do2W;}zlwC-^)!k>%I0&JxsLUe@e~?7eq`OmbtY!*F&?Y<>l2EsIVcK(~ z!Yh8j78L@#DpyUtrk6-Sf=Jl;UMS}-5~#}%yO?X4_=Pubk6qp_B9?M(%*ieOWRt6C z#lw0l#=BrLY!B%0?fcL7LRHy-HPPe4=s&T?3)2i=^b1Q~-2JNE(Y*N%vH_{0*Sz$6 zwBx{s(z;lK4Sr0#nOPYaGoLv09f&CTYf@Gmlg?K9Oa7SPhnO)d9Z#UZbyCeo)+?k= zK|?OaDaZghH^2RrQ(998J{S}>SwQcjz-+pHSy26vCUWUbnP^-|VH5I=n^|)reGrz7 z7HiI2Ofac61Dkf5$b;}krM~uYQZGx;F;AP*wgX|Ga@c8Of741N4X6Vu59~ZIt~FzR zSdkB8V?Q?7XU#84HX;g(r5f1rNE6XQEA!7geSrP(H9u`7Km{p9nuO4Fnpl10g)39`<(uFs!N$77GV~zXe z#&vgLRI9T982Sz!NHQGs-8bP!BNc<^O+i8fj9&SE(Dp<0Vw)A^DHX;_7S0 znrc*{5^?*6rM=zMFWN*Qg8)@Tic8~G701hD>Zx9NsD}4kyK2**A2OM2UY-vPyu}oK z&UmB3KFGL7NX?$66nP;bvCA_n?$i2=8(s$2*D3}WM&PSBJRs3dsusZgAXDjJaD$#i zs~gy@L40VW!}muaw5-_IL3pYWMnw?t+xTS-*e7wnWqSm1|AyFIgybuTpZkZ1<#nkR zeRFSG%*|R~mFh`9i0jjTyD>QNf#kl#y7uoE>(Yl_iv41%7(Di<0nYR0euVHdAI%j! z(R1A@?PwF4%bmf8C#rAqDk^s{0%}Q`-b&45S!L3ztdDnt8|Lj&+>INJt*Lc*c;m{R zZ|ZH?hS16hT6i#&OBW^0-F;2M{WYBwpBjNw+Ugs`3Q?SC3rJ|iJFjjF{% ze3E>&BNVPCqIH+m;)iGvMVoE!OIiSo9uz*LvE*5b`*aW{=K{u%v_b?TLRoDHxtSW& zA;H*|Uytx*wNiZ(D!eKXYuaxEdFU$C=kVxQaUGOmWS3cooRlG?MDZ>nzj(|weysxt zW~pKa4oo5Bf3pyMgO23`Tw(-0jZUY*RIyrUNC?OeJs{^0SQ!!dL#9q_`#IgW zf38J8{=@STNAXn_k*_!*_5%12#2E>ZK%1+s`JwJpJ0=GdQH?}EhQJPgzc9%xC-3?jLSN-|M8@O4|mmaQ;Z)m_AjNPz4B#s}h ze?ItLYpf{tQGCYHD~Dy-St7sie17pY`{}{e7D*IF!Myj)-`cVJd4)+T&?#f$OB*jaqY-S22d1*=JYhZ3^(>>oJ=Qy3BbP73n6}y&E2IMvak0k#uk!3 zV2^D`Y-S>cR<^aCoxl~ZYIH;;O&Dm_BrKkp4dkQj-Yg5JEM^eG8UWD=kdaa=P_o1E zJFR<$AuMcL1H2<0L<^8MfQ-8VkoXKD|A6L{o9-TUKXQY7w!=yl4!-G^2#+cyE{PcOY`q=B_~spNk9n`dE^^x$E|sJM|`gqxum zP1BRV86&+-P8xnc3#RKLGwxkr{)@?>M@PLjRlGT$Uu&zp>l}dBis}OjsviuCw|?=9 zJT~{|UrMjqs-gb zVY09uC{1YVpT=f`7f1MvDtOFfmwBP~*2gbYX;fPNrIr$`@Fq0dD6{AB$XxZ-r&FK) zcMe~Z1tAvaIojJSTt>BC-*L|UhXCI*O;)@pdEuSBGXYY=6F=H>Xa;08-(w|rm z6k1a@Eps?aX1mgU_5J0kcw4F8B-^u_O+J@;op9Q5^QC1xD>iay->Ik0&49^Y2zRqa z-#QKv(b<1JPG7EBI~|Dp*lCpViAU0YGMGY+w<~zZ()f!8+Xqg%6K2VQ_`MfJd&Z7P zH?rtIH>RvSQtTBqJ~{O9X>#)Xn_(-S8$DiT)M9&2eXh%ibA<(J4{9+7^_WFdVlK)z z!Gw62HidWS@bGnnhA~qDF9EZ5lQDX)V$NdfxtuZ4VX0=rscDU##A*6S7YDKu%iUPY zHHM|X3Wut>pMxD|=pJ0LN?Z5DoHcW>d+HLRGk;O4WEekjp#A)#zo!ok%hCY^d5CYk zMOl3pINTP#BP&7bvd|Qk;FV1Sdgdp5whfJ0TrRT}(IcjHA8{KTE*hXEe;C!HAPPQO zXKv^W$l=ey!U^Ns&0p(pp@*Sh#vqS;WMUdEu=WBqKn2{Ez)h8r1woud6jZK!Vu1)D zktFNDZk1ziD&MWMYKWjF)XzDuxVt?kHj;AtCCVN@%k(cCBK?D{Ku?i%g-mu{MV$+{ zD#YfiXB0VuM{$>`3)$#w8d0jxKn3T|{ue|L-Z7I1yu=IarW#Do)r}#E9}{CDy_X@L zt0&&g44(|fm`Cd`iHXaG&PdMFzWzJ8K{@|YnU>PzPGRd9(xkMpX3C}>M9IR0^g!&0Q z3Jb_Ff^*^pbt9^cl*k&L=0WPp-Gs=bj(!09gL2o%{X3Er;`V-m$V>vxp+!^Psm@sh ziXJ#AWl@Pt@Ukt0J0Vrvw{R}5j(~t)=$$FSY zx$guf+(^yFh&;L-O9mwmBsO?HWVkWGWV1WJKISS!YDp?WNJS(Rm%Q>X74Ns|S@O9p z8|4IVQFlrVBeU+XvM||{#`J(k~O2JdkSte<{3xG21=qfiB04Y-ar-`Whvn~cS#3!aFwXOUZ5<1IYp*A0*kmbIj{2d z6c7zZctl=oWn2ai&lb9OuM@k0)o*rKltUEs3qdS%1~P-7MOQ>Y6zJS6I9NZwA+WaS zKDZOXA?})75rTw-t}Rm4#a({9=jHl{NR*8bOH0gyaiK=x(fz+1YFsSWA#~ZGiZcKP z{(SFHGc@0B*AA%j$)YX=LB;+wJgCTUN11 zH?0nD>BSNo>LAshA#usYVIPxLEV{W!a+RHs#ykEq#R_+7z+}ocrpw=K`x=#-l_!nF zVHPfnj%qKs+wPUn$?Ix-%SMc>P09s{Co;~1SmS7NlEI?k$1rI~hIfy_-~)c?{0V!_ z^4R@7n4jD*GX|7g@xTcZM^p+gf?=QKW~_v^;{EJI&a45GwrT7Ac3W_E+!to%OzN9m zl*dLuYn`DmSgOE?%sLeg*@&-xL&hqBsYGv&k4K7r-dut5qslhNXY**m*JD9YBzSY7aEUnKSd(`Eu88 zPz9LtP^Of5G4o|fy{j@>)46H>r|<5y$>&@c{v-P^F(#N)8vbdhUJt4t?ab9wTy4BF zYI4qor+txwXD;ebYGyq7>QBT-3qIrEF%xqDv7oG{ zc(8F^HWh+afnT@~jj~&F0K#_sqrVdgJkal+MW<2rL=z3*)zo}Q0p!9*(YLda;X=j^ zDDCn|QsJqgOqzIS9^nJo6C5v+=OPXxK1mZsj8#ky6Cp~Nh zv-1^P%!(z5tDs`O7WNpQ1}E}DHJJRfMuM)ZU~In2iIx*cWonh0dsEoC^9J7nqn#jEkf3b2>?lk!{F?B5o`=3{fN!CM5B+@ELoE#+$$8@ zu`U_`VL^D^{+7?s0-7*j>&aMi!NOT@SL~kju8Stz$(fwFTht6CK&2eA&N&gMg)yY3mXYJHozuomM3RXIoKHZpveTqXLKC(!W<7}c}^Fk$5$YD?) z*_$IgKirgNYoj*);j*Gl_bOfBK+=p22!5TTeTL_~tL)laY|nZwhy7y#nhZ(qaav8nXqZm+1zlc_Zg5D@WO%>Uhsm4 zfA6|2`!#Elx_Het87k{%hwOchG|(<^+NMQ~Tfn-Kw|K&Ul1(yFk3zyLgWR0KXCnct zk~u!M%!GyF_oCDL7EEcUl4KrzD!7N1zF&PZac{)$UEgN^lV;#{ywq3;&qkt-gn*C; zqM*R{uSdjjoJs?@W^e3}a42hUqx~cHlbgB*sW^UbDh_4D^C|V_k41D9JM$DjS$*%hziuARrdwiF9Gf)`1U>ZWKX47YXZqJ4d($oI=iVI^WwbJPT1=;{3WaW3d)p; z-CY>ySODAONL4y@@4W?!of3kJ!lb{GbqnX)vk#{nQspRis>u%mDLQjIe{aNss4(r! zeD1s^Fnq9bTs)B0IjX_cDdsyf8DIIj$v&wb2Q2bu_dEqfZ%gY*$47msH`C`(&8uZS z67D?jUBvFMQ!(ZAfCv7M7eYf2>A*E(tOv2)i=?=8dSZj^(2NSBx8EAg&3$zXp^oxw z0!k{=zjd+^@@Z%QA>M^RL@(a8q7~)W4~*YMhz}s@=GO-_Z9x2csDZ5C4AvTOw-t4+ zL@0HJ;#zQxtOX6XWBF$0NC=@uSiuhDNF4SNMP$g4F(4H?Xa1swYx8{bZ0bV$HyKmE zNEe*~tW)NZ`GTvs(+EoiWh_$uWN!8dvRL`HlofDl%eqCFAtZM;P*KOX+pe{H-I3vkoT3y`=Kf1)DaP*W`sC@6-c6v(+>3d3 zVVbPTG^K;b*L-Z*pdh-Iwm|n>UYU2Q(IS1tkHWYEvR~Ogm)17BJWX>b{d~Y>ZD{Oh zLG0e>>#q`L_@yoe{n0}gHv5S$>upWWIk1Bzi_gqwyA{*8DG@pvcly)T8Sn@ftk`}u zVI7pIz{qKlb0CH|glB6VLpijuG*j5{sML%xPQS_~I}HwvVnDe(CPF^j@?lM0`&5WZ z)!OnrV(`(P--o+41Wm|(%!c7m;O^NF!3rS^MaEhvY^XmeH(iUhMdBx9`SgaK`3iZ{ zkljbhyAEEhpr#*Pbn%C5P$bS)Swx+a6k`h4=8;JY9iM-EVsG(1R29#w)q8cNU}S}Y z?n0#eJM-yU{*jt&gUdN9^ZhV~=`U_(Z*WiIlDhUP9LYWUST;;AT#aqo6SNom*T$S{ zF%w%wW2xq={$HYU!d!|qNE{D*Tp4OWKir~u` zMAm0};O`mFIACt8`=4$AsXn>zxetpq;(NR)@BzIk(aig~ygeql28tt1t#w>c&&!|7x)J4-IeEdkRZn&^|-*c}n zv?9jYw2yq88MA4jY4}LKch|3vIz>nJ2Kza8wI(ebIEr^0@Hg4IZuC{VQtBQi?$&7Z zWsUfm;b&^Z>2#dj3!vz`Na^L!xr>x~WUme`gK`iSf)^~X=v#~J?-$o_b`*wYV-$>4 zea(et9n+V2#7@mcYx81%DD#E-~}S#&0^Z^Th9N zdaf+Rq2{$SFX)$u)R%=m+>qu{_GiNr^JA8?HLZ)Ovt0)o3}U}0$fS$NK>^yyFXu;F zD>kH47^Vomr71LBtP+y2Rt;o8V0wN2rGItwRxoaiJz`JJ zllsSD*K8Cw)NP{+*lk(Wq{H=TJbu5edrXYyRg23bW_#i31I2UCu6CdVaqiU&2+szW}G z_#Ml`ukU>vw)MoO97)?!Zgda`32h$YP>$dsb~ocu{TPiLPC+@;e^qMqu&}8%l|DVX z7h8Sn8cEYy_kQ|-g7K&8|0EuIUO2v^UeK;I488;MUH&37E@r8i+9Ft`5 z;_1qYx|tQ(n&13GS!$)j!m~ThVo&0}50@G;qmql{FAF0`s!<_`5cQ%7l1!^}GBXfsiOAOq3!h|`k9*u5xJ zb|5iE%1hB7)xuh3-Sb09TXfQ_eP}+kkeGGK%gjUmRhgr_gCl&HcR0BOb5#?qFz<8I z!`0&Lbb^t4 z#_8#b%4{OgL-$F$P2qb1b9X&lZ?yc~-f)ky$}4+SeY0AJwe|*2wTv$>$km!C&h)QP z;C&zEih}kAocH@ntK+NPG$wN6;@U2j(%AGKoc#5rM#EsxN&5T`rK z(m+{tNTDj#$Bq4f(d-tPA($H39mmukOt)pS`HY|y5><`<{;2fMC@*taedX;_Qo6rW z^pT$_+kELmqzu`u?c(!8f!mX^prq1j>^#5D=@MGPn+ zLDyrjDG3BNknsu`>LFEh-cJ0SPnu!ZRI5x^(xsj~^D9$vC2c-SwvCaRuvV&Rb$({p zowZ7)#}Arl%n`=En(39KuDI(_zth7ck9nwK&Ana78(At4Yn0^GuT6PS%GWYp8PnqT zDZ6F+$NP@| z3%CCuYD=NQb^CQZgyymN-Y~{6F}(Sl{+yNC45CE!aucQ{?li?BZQ+K~1oka^5hkd6Rot7>Hxg~Bx4R8uB5>JJ`fB($HVro% zR4EGl>nhLO56>7^brrp_W<4jCIc`igA;)d>kZh+XxSjf9!aOR=;q7ZjSqDPn6^nM= z7MczP5$7drNWWPv@%k})gPH8^=(eb9-U~&mJuJd-^owJ@y+}p)De0o~@q)*7VvD(d z8}*=!$4HB>6i}$#8JmHA4z+Tt_}}96^M?~NbZ7n? zwmbFxad(eIcK^VLG$r&Z>r4gLMmm30x>57-B+30myC;jVrzM20gk@N{AOJ;2#Sc{% zFM8n8`AAp2%}bVQaRFsT3@b4Aj5x&9#oS679FMxFa$dBlNn7`|TGfT|J^m8AFXYem z&qH8wphce0tvCt?*MS~4ud*fA9FuH%`%c~-dQBZ!({NkmG}84n{J3_?4Qt=hAG>~j z$qHXNB{tw+Fr$ z_F+bJ9>F&PJkgy^pwA$Tq!YXl6RGX}aAq7jxtYoGv85qW6}XK-Nz5*r5bCY0r+p@q zQ8m{hx1F|EhI&~dt5pcU27Hx{2N5Gx@tJ1wjl~Oz;^Bfm*!c#Uh@+o5RgbA732hnX#OY5n>QpMSoS^JHLU7ljyaQ<5TiUT1ViE|m^@Lm?s*sQ>Tqiug~fRY!_Mpi6;vZk93J6HgPhb$S~e ztmk``nmQi0G%VPQO&m|iip)_w)rXI5t+WKVBPug+d&#&}jmGDrq~`-u`By`U{`Ftg0ALtGgS)*@tb zts_*1c2&x2tBNHQHpv)%fYnh+1C<8kr0&zuie#6Rzdf%Lxml~!(V>E#{-(KuT%@9V z3vs?mjFLHH-(-t7Tuh24dB&T5Su+!&}n5m>c(mT!hdr=#ylqaN(I7&hn4_3_5;QM(zk7&A4` z%8cP_DKyANmDCCTvSqDBHO6?)g{esS%#s`SZspNy*BW3VR@T|>DZ2XsgB&lY4>DAY zE4)NfYB0&RY_0he_%y^Rrr<{zca?qE#??zKUxqZ8I1Q;ahC1Tz$L(58ijI{gp9xjp zxzlj%sq7bDh9;M6)N;jYM;)q&AehnMs`tiBe8!wWdv|n!!XsnFOJrF!FG% zpiP9Ops4#5&R9~JqHf=!i;7O^{3==MJztEs`fYxliiRtPhgdyGe!0-TVZ`y!v8Kz| z_wTk-$Kv3y1J<+e!!u0oXMgN&_QTjy?`#_E8@_97hYP|M!}B-&6I#>`KbGE%d0q05 zmxxf9S$iEkD_Yt!UmRW@VeE5u5&Qa?S4%eEQo`(c(0s4WfDYm4nXi^@1LD2zht>~w zJM=bj|4E!ZpxY=7FniQj%qCH9Jt~yV#(Fu^gF5#vi0baSsCar#V_W>2RKq@Jiqh_% z{O0Fx34`0s-s!Ufoj%`8iMn#bxkg4W*E@QR+$Ow-tDmzfRsYeV?h?%#4FMSb9M3r+ z*wmG3V{>~w*#no)*;MpZY-oPROm9I-YJujD<_xnsRWjD+2SFsz`=Kb05@sG7MY!S}HN)|AyES|}qZVBC8aoqUnBiTOt zU9+lb2MUIRGAcOLq``6Sn(|&Pn-Icd7V@>#QtBocT>&iMW(Fws0>?oOIH_L#np6k! zVD3M{cYi_%0IWUH+ov)yP{=4$cG!Hig3)pAMUlGj0**!I(ZjA`Y{$fs9XEb`Y}hx~ zC$56gcSwgva7$UVi^IskAs<`Nb z6E#O|X60Jd{mn1FPPiqAqah19#<3$0Dcsc1U8{DXYH)~q(!OeiY>U2*xi4wA_SsNr z*#$lv_4!M?y)uH!tcsxby?Z1 zXFa*bvHP1_QjiOMp(zPxnGVRh&WQk=L+MR~tlOMk}3*# zjAtgx1eJnViZX=UIM%>dDZ7K8qypW(Ang;ZYl;ZD_!%q_{Z)Zh9jV!Q^U;~yx}AFe zE(%+!`s(Y&snd-H?klXkmH6nYe;T#ttY!>IQ?}k2-?-88gTYAsnso)Uf7H9^wZ)Cm z>7d4td@rhEqr!)`5*0fjZHFXp$l7Z^vnc$Fnq5~ly*k+;R7Ec|BOEOom@D?q1lcRt zFC_M|FT)j}KQG*>MAorA^*$@7C0_jOH6zXC$Wx2-s|UmM)Gkz>JZ)ts8%Rh#vvz%J zYU8TqJxHF`dY)UFMoBA6ShaLK-c4}kdXnexxtNNP-izZ;ID~;s-xQ|us8coH)*v?rTk4v-Z_)E-V!55O zKerCo&hdIKQ?tKSxBAPB9e?vbzwB-nrRn}2RxPSZx9RuJ;~%k0naKM0 zdq$^$fRWmjaL}PKUQ&N8i7{aSxfBS?4$2@KS)e4fBMLdJrvilhc}2m(dXyv5*$g}m zdlE|nBZ5{mB@z%ZS^(Oz#v&D0kkJrlPktRz4mF|9(qByrUaEtdk2<>D?*Ye~e#s9b$_Bs@1t$*~69x=`uRN~DaN1U9I>eqT34xis6(@E_Ih@W0} zQK=K(T)E%%r}5{zyO>Gr@ulXn2VfylZ2ZFU*jKt@-uQxL;I3Yw_z(3gbjcBH}Y|IDTU9NQSJzKt^5b$G#=I1eg&T`Z{o?Mpk9B{g^v;OZ)FN?N1)f1L-Cto+4<6c)dd?A%a4pnc zM4+OeHBLr^SlvruF*l-|!W4)ULBT_EQ3A#~n(z_A1=fd#;sqM1r-*#WYfUZpx45}B z?4FFIlRaKjJuo?_w$KH~qaG65r&huYqdZWn?GE-+e`(is&wm{i7LjkbO@YiVpzmxw zBtF9{woiJUI>IlMR4)14B~B@r7(RJgF)C_O_EBZ{{KBfobk!EIz78=f^hw+@4IZ)w zh)?KHP};u=D_dD_@O5ebN{1)6hcpXDFgd*=sttD*>f=tHwp=O=8T+O4(3kPqzgFU(_wP>? z&UfM0Mg%1o2bidoLBq2nCxK9bPDxPp%m$k!tQ#w~dEmz9VA6;m;~y~V+U9-Wv!m|0 zKvXij4r*fM>GGBFrG`e7p1#L0r?+JD>QVOS^sM^D9uufqxjv()vKE-fU0dlRbY&XM z8WOm%FZZgOd9utg%WUJLdym-93}Wkh^P+nHvA5KO-^Y9w-z$Nd7@+4oPZFYcAy7BA zX96oiw=w^(qH~XjD*ylZuGKE8&1I#_ZW}@o(@i!NsZ>ZxojD9M=UeGwCi&IwB)73y zl~gK&X-P_KoH28vhK#vvmWs*hHZyG+BMoV$j2Wi6n)!Y5c=*fXVLXn{d4JxQ=j-+E z-E&L}&9f8KKZWa_-N4Aj;a|!M+cr$;P{h0?%y;uwPZkKKL=e)MVxePN02qgY-$Prm z;|cg1#JL-Z5Y@=U-pDP$z9PpHu#*(X5J%{i(7+aTD%Pa}Q>$JW@c8`kPaXsdP!d6f zk#^jF0Ht5Aeb~NRc;ng_az^aX6!S#aC^?sGpaNrATjTv%jS_|53y75f4X7_M1d z9au@@pdbT99G`9f#?=u*Xii;VBe&#L%dQ^NomCIkQwaTz_t$3m<7)miS%JtQ;}0{N zR1^RTzpndXyTS*H05|f@b{Fa%8vh&U-T0fES%+*AVTIIiH6HXZL1v6yGHiBpfjvC1 zIma@shSuCU4c$SH?(eI}vYkKPT&(^#a!31yc2rsa?sNT^2i2xyC$##-Ry}Oc z<6R$Z>l9Nf0qU4gomk5xy{okmx}}CEuW+dH7a>9KKyHX*f&vXZYz*SrFPi@2iFuDc zcd+e_sd1v#sf_fTnX}!xFDZo%`1@@u02&g6iD_UqT1WTr?b*5i0cdzK)9P=C7JHA4 zX=copX!R%hpPWmhjBoY=N3R$#a)Qf?XM=~(DhRxA>67Y`52gFVivk(V#<$r0itiaC zn1toOC{dKu1blbgR0Q$e=5L83fgS5D>D2UZVs}};CHRLY+*oyLv3*YqLZ{nvKpChF z8Y~AmPYcpJ4wjaAG`TG|4`6xknP##rx+=$YHfQUPs|N$u#+mizzL_T5-)9PoO(<1o zdljfeIX0zk@J3W<_j$1`7#?%&od%H7VgfdLAVovf=0f2Gh1}by7RuuS=zt0t*N=T) zbDqqM(NMuy{~;c?`xBYDJJaxy&3Rp?F|kgdtiChbwmLs_GoI3B=Z2{ngB6~G3gnW1 zqh$dQyRn;X8#daXEJAgOdDHgicmkaVgcKG@IM86Ht3Ab!+^++3(cg;)#x!;jejYjx z(R_cq!cXJnn*u4#F7SK*k%?Bw8jU62+UY3~+$@^g$-l6Z@i#r^q&J(zDs{LV2T4_0*+XXP@(#NIv_CggsI-CdyDE3CzsdFU_+dwd<7_6X3R)zC-_9okOOGz; z{pfO*ztznbRF&T1V6axH)C&@)PVBSLn0_G%WqU3gih9Kd?_B>XojAE>Ocy(L*OXOj zYbFaWf;rYy9Wa^^6zCvGVplOE3(=FrV;L97w(Bu=C2_$H`7Vf#Ur=BqC+;*BICf*-%X z!CBIl`4E@$cR5ojFq}@e*QHs0uZEbU0hc*~hpvuS&zR;8ZNdFqxN{tNJD9TKmS)>2 zk2=LPD&aW+Q}3Pjc{z0P?VX1XC^LPDy*t2)1=sfG{Wp_qZIGte6VND071DLt1125S zDa&~t_#=?`gVi+egjI(B2=t?4z44Q#fM{u5yBL5(r2(G7ge$hi-(l0t#4!M z77(gTch@8cy(aSa;W%(NVvON^ZP|@k!YlWLs_-NFl8c|6RD{#Aq6UKg5|cLF zAB-rW?33Q#FJ3y*MWuwAHDz_FP#O;U3|c}B5ado4x{1lpjrRRe^(>}*Rh<}*{C#x> z&l3{I&LGkfSom67rwT$d41ph3;VK-Z{?kI)fAvD?U^}6b$=?CK+Oh1QlY^u9sT!)YsT@coooka z-LF$&4Skj-fJ?w{os>*XxMq&FAu|{5aRd4Qk4BGgH4IS2!yzF!K~tHSTvGx5kf+e! zTg0E#3R|`1tKU`G%q^(K|odcoB$XnF<>?f+-*rj4^XVhWNkgW%NOy@C00A!#%xlpFoD zqxK_x{*AA<-uNW~LaIZbyWesej9wO?tXUI^cCDiA^BcfRhN#vUDzDyQ&kMOfm%-uIxjAgLkUS=tlj$l15N%K-a9D9sg>?S0a0|;wY3#CfiwINF+F@M_!kFtn zO$-_}4AEQ{`~6que_TY2C(uPC$NL^9$yY5U}Bc zyH%AMUpIm_Yy5Po1h*hD{6Em)OMCVmuEM4wX!cc+g{w$4`qHaQ#MvjqHRGeGE~m1o~q8CJ6kWj z+AbBD^tK;y?R;A&$AdhV)^m>EfH98eb3_C1%&dSkLNkOH7=FuT(iGQh?ppNe#z$0fL1ow-r z6OoS?I%tfHVp3rs<^8do$cZUS6s{o)&w>Ss$IBUydkO5gLSFR~YU7lvSsQ+f8aWz! zA|^=#!m%V_69E`bNj!Gr#q+%~^Oi!ZYv0SIlV4bta?ncMI!x0c5&_Z;wH;S&?P$IR zTjSE16GYqkVMBFi0F4NLj>fv&_VB8M%ESHVvi9=Mcww5XXP}hBP=(~KrOr_a=Ik)! zlcmtBu|)-h0ozfId_=```pA?+w4h-(;?+9Z0L!Sl;YHWu(}KGlQu+?JuJ#V1iMmsN<6C((0XK)lK}-VD z7Ebyiw;ER6i+coOpoI4fH(=^g37_y18}j|o&5_$uK)k0NLTEN29I zaVat0!jX2zXz@a*HjSci_#~yHst-y*6c>Cv5x!CgvN&y6Tuh_i?pzqrf`3PaagkQZ zR7`;x*5hFK(xTpDQpV5YYE*NyN&Mb)!b*njRng%YJze3vO;gUekcw7 z{IZ<{Wk#93q!Tc*Q3rS&>7G`K$b$$Yd_3?|K0<08E@q`znWThhE_8bWIHG}@M9^ex z1!2HC9V`img7|rkzwSd-N84r+GY_KDZlEW;96_$_BDF0g9qsdPEOEgL;>*Z_Xa)UB z(nlA2|7SBp)O32N@v{7SkHeX`vjxK+%lh^?Pli3=4?TMNXUeO5`*K0uY>-|NVbmJU zg6WWP-IYweIQzT=SoDELjOBIrHI-(Sqy&`~vAL|2ulLiUd?!NmURHh?{C>dG?Bf0L zPx#cl;*JoXWt)i&u>G~GPaZ^Fw86G>kDea4xe=pu+8d{LqbjtneIYQqg%lPB+9;3@ z``|yH))Ay_6y%B&VL$}YRdGuk@fBA`%-n2GW`03IBAfu$2Y@dI;5r z#yFNp7{W>-wRWDOq=Z+=&kt8eY^BicsYGTssNc`%xPNOJeInES!iOXMLVS@cK!$|k zaHldLrx|ow6`89Vzqr{1E^6-2Ns`08=aGn+v5r+#($lTGH-T)`SjZs_QGwd~OWaGA z!K8OOREY|Uz<1M6;AC$CF&zDCOcjQZ&yPb!F1GYEYNEwwd$akju~Cra$^uprqgQ^o z*R--i@pXMVR=1z1R9wB{G3wug8@Aolmt9@PH5LU^jiAu1kT5M`w&hM46}1L{oBp_i zgo~v(LRr{j6+$091R@WZzwS%iNd3BQ`u}Du;1`FGx2;dNZ4nJcLCP=kW+tRNpoM6* zWuQn!S+F?}-<;7X3_ce9(sIOEVcv2szVkodvC%EToQuq-AL0$?cl-RR6+@Y{6Y==k z&zphIWPu8tMi}v=pjt6SIWuAC#}i_F5oiK_=m&B!O$rDDk?cDyj&J}mD^C(< z5u+wwfYTDGkhHV_Wg{at(D7JeHxJzUe2{jby4BI*%upX}e@?#}S?*Lw7C`B=WYa>%Y9V~`o9U-U;I{kl6@*C?T zL(;9BGyk_}(yno0&ojEvYPeAfauJH2fOSz5R~*s8&O4Zzm510^(Ut%CugG?v$QkHL z=pG|=C;1$=h)d-@#~lIBTBaKxT44QlbWy;7wD;2w5V?GNQEz6^h0iwUK=A2sX)%-Z z7Pw7tv2vG*1NggBQfx^9s0Kh@1h@ut3fNQr3@91EJ;U)nBvhCQiH6NZVrsK-&2k!9 z)w7{04P_lL%)d?7MiDIe%wS>;qnFJb(F#2sZ^yl=loJF@|HWOz-}b5!GiR(_#oJK2 zzS>eMh_??QdF5j{^^0CxoF#biCEyy&PfsX49X@!8z-9bLMJ|KB5LB`#*$lBxZi2v@ z)-cg}}tkE*$hrfOB9%L2wfp~`tY zp}2nghl$=KNw)7;yZyVeEU_wC_(7>XZvPPI4un=$@cuI&ftdkS5Iq;wrBYDKsX(#} zZU$mw5F%x8>8Y8Zb|Q4#{~?>zM$1!ceo0;0*Wr>C3JzuZ%L8QaHc=36))(jdV~J6I zNc@O((b$yw%^CE(*7=|E=FD%Em6OXEj~%F$KTZ|M%n$VPrteKUxT|T_C$puj?IJoL zom>dItitpCK%){V&T}V1DYm&uWNzge)FSNE5r9b+xNgC5^yh-VmSZ8}IaoIkd3&Hr z=9e=IJoF?BcZAiBjH1wg=u|I+SgR%lUQ7s4fQ>7t_}9q*h^xY$cGmr-V)pV2HxUzq z8{gcs;U6COn6tsVeeAYc8~Zex39%+XBblHPw=luS8LG$)Q;|vz(vXGSCQMBSRG$GH zcy?nM41zZRD=_*1C*k7AN!Z2RoYimOj6X6dvCFp>tcep*=(`rR$2p89ISeNh+gdL;P=|O`Ymkm4u-vhT zuEQ2Zc|g*-I6W&f9kRp%ioF}PrcsEx5msIhM`&A(@@zNV0c4^c;BjRt*T7O?sqr$F zEva4gO1N|5PW}EVe~U|rJ}*x!9`#aOt!N?~XLY+1VS<(W6M*Wum>WSNES{heGjD#5 z7M}!d3;<=-%DcfX#WZqr#P=Mgwmdnf;L9ZuK-0$R=2#ZkfSs58@blFk$#QaT=?Umb2YyiOK_BU z#iM7cp0s1%NYAZm_bc<<1(yp@5gr)kEGPIsfGK-!KBs29aq=I7tj&5Yt2OTt|1YkA@ z4T23@A&eB8!evFhZe@IUBA9i``84Jv&Q^(k5=^fbvdy;1SQ@WzM>nn644dpjQQP>R zuf>!x*I>|H^8}vY!N8>$p%duct)#zDOu??zLgahr#o1RmiMcwcd&pl`mz5t2?RQ64 zCP^8<1=*#9nKFPSF-1zP@p~=<>lNpm3I&OFbq_&y5(|nuFLVRIo%~riej3#1|Ok!|o7Jqk4o!zPS^Bd!0I4CG~e0R{xYeEdkcO$c66gnJ4V^Kz3 zVZ{tlwNZm-j(iF*&WzPxD>)em>V~`&i}+=wk6|0A`!P`UW3S>uP-XSW zi)1G07Kem#r}~&7ZK0S99_|LXp-ZAfScrbYM)bkz#Nf7I!pka{uFdpi^GjOYpW{(p z8LDuAGFX%`hgKwp+o}+!6UHM61F;?MEGn>Uh=mXhmCe-JaY1p(Ld1xK=L6`Lqg>2E z$pTlRR)zR{#({B}h+qyJv6|{HJaK_e&^w5G%vc#A4sUVQ5m>ca5V6`j=*N# z0ppZbz)~!}Afuun;`@uU#qf|SE(8${ahX{bE;cMq$9h>Hc!9EOJc=OK!nUV8asL3kp<$giod(52$-OBMcdK=>?Wxzyh4b`WzjQDF_fG zN1dJ`GxpW-O&9H{ET$jn$EW!JJ9~njjD9 zLA#_0$kRUrAcr{+sg9K+caaX)Q5AcgfLiIe?ddzmiHBSJC!IhuO{v3NfUYkXea_HQ zAN#wpOqkzz73eSiursz{rG%p-i+}m*D+hB#<%WTQ!@f#)mFiPLhda2?4cnIL^T1B2 zp*+6adL!*)f_oL^?ZUIRqIP+PZOT60tj`dP8Y+qTv`Ka~0i@&-?+kukaG!1YF{F_%M-YTzJZctos$KF|%lh4XnV&V z?f;FP@$Ihv__-d^m8$ap*6KScvbSq^EQ*9Bl>}rb3GN;>pN#tnWeOp5#QjFg+}S$P zqw|5OP=`{=Ao1UaRELB|x{(l^p*==WlX)JsG_BE(yvV+Fj)V%p7ZBM}Ahv+}v3W#M z|Le`KtNNXe>=q7{3DPT_g?`;yuu4pJEiaxr3Hfx~d59qUzAKGZ4TV+dgATd+1`e4G zcg-Q3Y#4hdAzRXH{q9{8jPFhS4p%gJP@3th2|LoPFlSo`X6R!;iM}wVk_S0^?{}>v*4L znr17;;74fa)2tO-YAiVh5t8=T;@W+V?5^0PllO2O< zECNwh;PK6tEpQSIx%%wKm4#*R?ml`w9-#O;a{iorIP^-gN;J4*MDtyQiyZkQCmq+f zXZIxkU@!$$(aWRnk|xHxB*ia%qO$V8&tGTm=>P4#&DP`JHKLXdZ(I2I`mt})GcxYp zZA)c5R+rzKTHX7V9Fppjn++_#cHZlof%}#RZBf2D8**+JP|E216c0QXh?~~1 zSDg;ZhJU}t+-n~p;cY=$-jIt_X~b6-uiwmeJ}+6!$irp16*AV|zfiq|ED%&*Ur_P)&TdRcCThz~CvT zcK3}0wq6u=MOkx3TVi1(kx6Q%(hiNbB8dL7_K?-lY3y@H&x#0ZrP21XU#RdF(zgGz zFZY6U#4Q6nq&e^I2VOkp8VH&FxQ?r(fwQ(%AFaE(g&3CLIL0f>z z*12Sje(>bwNL6FMkO)hXWRguL2g%v2xyEQ@Xc%`Sb$WV%&kI&LQ^mG!@uc_#!&vpr zzcwu(hFxFzip#sk89eX)X>3J+0n5yl*I0?Wyu1SV>aJOWxRQJI(V@qV_s@I0nRv!` z#$>MA*7aOX%Xg#@COxufPMy?S_=zlx)GH-Uonyb2zM#iFu_ER5iJ>OUdo+=Yds>Av z5>JrS|81lS#!L^=Gh}~#du)hJcF21cp)=bu|VCa91x<{nawzHf9%&*=PjbrU!CLdx7D$`>p~ za$GV;rZq&fPWEs=%*R;vKeLG1Zjk~gl0YKaz}s29^q&kWfwMY)*+|4OUs-lw;XrWb z`X);Hw1xkJRm($YO+VKAoD2N^Mx|?}W4rPFlZ2`@^G@^bZKQg(=Hcph^|LZVGDhRD zI$g}*m7gw9s^>dL6Miq~TH9IT7P6K(xoE3Mt1>-HJ@VRVOK=HCq}62U zuS;s*e@-v*^AqKqbqMI2C2bsf75B3A)l&P43?aSha{BZKj?LLOzsGjmGj*29Gq^H+ zUXV7c=0E?op}r-u9Gden{#xBo?aUXl8AFZ{4_BOGP2l3*c5Y=86b#?!2R^carsFlY zM^ErvO2*W~qH3)va8$5SiWB+96-vXerUh%BCBfO%AvAXfdt58v-S2y{S$kab10hny z+dH0<9Yp9U8H>$imXiqo<$5Gv=7QTv6MgsGvWPL=Igen+{aePo+k|1wxw*4x3B^R7 zCM;t@o%gS&i-2@nxzvU9c{C8_wXN_U^vq2pStEG^&9oV1Pa=F3?+xcxSn??cdH1%` zt7e?E63o54=1VwMHh+LA#;V^7taZcHx{srMT9uk4;ispVLZ}2>jf!NnoGwe5NHqrE zm3z)&NY`iR6-UjMb{T7MC{WeS`qev)C6cqWd7q-_1XG|^UaBL!sBUF>bTg&r$JA}> z81l3EY`s*S@w(W$Yem|_p9+s9#iuew3>VRwp-11?jwJ~*#@T62WiPWc)+b)w-9b8xSB(CMYkX!JR%;u6U(=&`bew{7IB9oRI zb5Bs(xv$xYD{AnZ;nz& Date: Sat, 10 Oct 2020 15:12:06 +0200 Subject: [PATCH 116/313] remove the TODO for the resource for now, we can add it later on anyways --- src/05-led-roulette/light-it-up.md | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/src/05-led-roulette/light-it-up.md b/src/05-led-roulette/light-it-up.md index 229d25b98..c17f4181f 100644 --- a/src/05-led-roulette/light-it-up.md +++ b/src/05-led-roulette/light-it-up.md @@ -89,11 +89,10 @@ proceed to construct the `ROW1` and `COL1` pin using the two lines below, initia > want to figure it out, have a look [here](https://en.wikipedia.org/wiki/Push%E2%80%93pull_output). Now we can finally light the LED connected to `ROW1`, `COL1` up by setting the `ROW1` pin to high (i.e. switching it on). -The reason we can leave `COL1` set to low is because of how the LED [matrix circuit works]. Furthermore, `embedded-hal` is +The reason we can leave `COL1` set to low is because of how the LED matrix circuit works. Furthermore, `embedded-hal` is designed in a way that every operation on hardware can possibly return an error, even just toggling a pin on or off. Since that is highly unlikely in our case, we can just `unwrap()` the result. -[matrix circuit works]: TODO ADD LINK, suggestion? @code reviewers ## Testing it From bd98cafadf0cede93e46d386ffdfc496dcac22fc Mon Sep 17 00:00:00 2001 From: Julian Oes Date: Sat, 17 Oct 2020 11:48:44 +0200 Subject: [PATCH 117/313] appendix: add hint about ST-LINK config version My F3 seemed to come with the stlink-v2 and not the stlink-v2-1 config interface which caused the same "Error: open failed" output. I suggest to hint to try the older version in that case. I've also separated Cause and Fix headings because I didn't know how to phrase it otherwise. --- .../1-general-troubleshooting/README.md | 28 +++++++++++++------ 1 file changed, 20 insertions(+), 8 deletions(-) diff --git a/src/appendix/1-general-troubleshooting/README.md b/src/appendix/1-general-troubleshooting/README.md index 59793461f..b1f4cb919 100644 --- a/src/appendix/1-general-troubleshooting/README.md +++ b/src/appendix/1-general-troubleshooting/README.md @@ -17,17 +17,29 @@ in procedure 'init' in procedure 'ocd_bouncer' ``` -#### Cause + Fix +#### Cause + +The device is not (properly) connected or not the correct ST-LINK interface +configuration is used. + +#### Fix -- All: The device is not (properly) connected. Check the USB connection using - `lsusb` or the Device Manager. -- Linux: You may not have enough permission to open the device. Try again with - `sudo`. If that works, you can use [these instructions] to make OpenOCD work - without root privilege. -- Windows: You are probably missing the ST-LINK USB driver. Installation - instructions [here]. +Linux: + +- Check the USB connection using `lsusb`. +- You may not have enough permission to open the device. Try again with `sudo`. + If that works, you can use [these instructions] to make OpenOCD work without + root privilege. +- You might be using the wrong interface configuration for your ST-LINK. + Try `interface/stlink-v2.cfg` instead of `interface/stlink-v2-1.cfg`. [these instructions]: ../../03-setup/linux.md#udev-rules + +Windows: + +- You are probably missing the ST-LINK USB driver. Installation instructions + [here]. + [here]: ../../03-setup/windows.md#st-link-usb-driver ### can't connect to OpenOCD - "Polling again in X00ms" From a6677284d8aed3f7441bcf7010678ac1ad5d7213 Mon Sep 17 00:00:00 2001 From: Tim Small Date: Sat, 17 Oct 2020 15:33:25 +0100 Subject: [PATCH 118/313] Misc copy editing without change in intent. --- src/01-background/README.md | 62 +++++++++++++++++++++---------------- 1 file changed, 36 insertions(+), 26 deletions(-) diff --git a/src/01-background/README.md b/src/01-background/README.md index ec9b84abd..c89bb9904 100644 --- a/src/01-background/README.md +++ b/src/01-background/README.md @@ -3,43 +3,53 @@ ## What's a microcontroller? A microcontroller is a *system* on a chip. Whereas your computer is made up of several discrete -components: a processor, RAM sticks, a hard drive, an ethernet port, etc.; a microcontroller has all -those components built into a single "chip" or package. This makes it possible to build systems with -minimal part count. +components: a processor, RAM, storage, an Ethernet port, etc.; a microcontroller has all those types +of components built into a single "chip" or package. This makes it possible to build systems with +fewer parts. ## What can you do with a microcontroller? -Lots of things! Microcontrollers are the central part of systems known as *embedded* systems. These -systems are everywhere but you don't usually notice them. These systems control the brakes of your -car, wash your clothes, print your documents, keep you warm, keep you cool, optimize the fuel -consumption of your car, etc. +Lots of things! Microcontrollers are the central part of what are known as "*embedded* systems". +Embedded systems are everywhere, but you don't usually notice them. They control the machines that +wash your clothes, print your documents, and cook your food. Embedded systems keep the buildings +that you live and work in at a comfortable temperature, and control the components that make the +vehicles you travel in stop and go. -The main trait of these systems is that they operate without user intervention even if they expose a -user interface like a washing machine does; most of their operation is done on their own. +Most embedded systems operate without user intervention. Even if they expose a user interface like a +washing machine does; most of their operation is done on their own. -The other common trait of these systems is that they *control* a process. And for that these systems -usually have one or more sensors and one or more actuators. For example, an HVAC system has several -sensors, thermometers and humidity sensors spread across some area, and several actuators as well, -heating elements and fans connected to ducts. +Embedded systems are often used to *control* a physical process. To make this possible, they have +one or more devices to tell them about the state of the world ("sensors"), and one or more +devices which allow them to change things ("actuators"). For example, a building climate control +system might have: + +- Sensors which measure temperature and humidity in various locations. +- Actuators which control the speed of fans. +- Actuators which cause heat to be added or removed from the building. ## When should I use a microcontroller? -All these application I've mentioned, you can probably implement with a Raspberry Pi, a computer -that runs Linux. Why should I bother with a microcontroller that operates without an OS? Sounds like -it would be harder to develop a program. +Many of the embedded systems listed above could be implemented with a computer running Linux (for +example a "Raspberry Pi"). Why use a microcontroller instead? Sounds like it might be harder to +develop a program. + +Some reasons might include: + +**Cost.** A microcontroller is much cheaper than a general purpose computer. Not only is the +microcontroller cheaper; it also requires many fewer external electrical components to operate. +This makes Printed Circuit Boards (PCB) smaller and cheaper to design and manufacture. -The main reason is cost. A microcontroller is much cheaper than a general purpose computer. Not only -the microcontroller is cheaper; it also requires many fewer external electrical components to -operate. This makes Printed Circuit Boards (PCB) smaller and cheaper to design and manufacture. +**Power consumption.** Most microcontrollers consume a fraction of the power of a full blown +processor. For applications which run on batteries, that makes a huge difference. -The other big reason is power consumption. A microcontroller consumes orders of magnitude less power -than a full blown processor. If your application will run on batteries that makes a huge difference. +**Responsiveness.** To accomplish their purpose reliably, some embedded systems must always react +within a limited time interval (e.g. the "anti-lock" breaking system of a car). If the system misses +a *deadline*, a catastrophic failure might occur. A general purpose computer and OS usually has many +software components which share the computer's processing resources. This makes it harder to +guarantee execution of a program within tight time constraints. -And last but not least: (hard) *real time* constraints. Some processes require their controllers to -respond to some events within some time interval (e.g. a quadcopter/drone hit by a wind gust). If -this *deadline* is not met, the process could end in catastrophic failure (e.g. the drone crashes to -the ground). A general purpose computer running a general purpose OS has many services running in -the background. This makes it hard to guarantee execution of a program within tight time constraints. +**Reliability.** In systems with fewer components (both hardware and software), there is less to go +wrong! ## When should I *not* use a microcontroller? From d3ba396b4562a08a817b8db6c38a9af5b1ae1566 Mon Sep 17 00:00:00 2001 From: Tim Small Date: Mon, 19 Oct 2020 08:30:20 +0100 Subject: [PATCH 119/313] Include @hargoniX suggestion to include "real-time" system definition. --- src/01-background/README.md | 12 +++++++----- 1 file changed, 7 insertions(+), 5 deletions(-) diff --git a/src/01-background/README.md b/src/01-background/README.md index c89bb9904..e9057a48b 100644 --- a/src/01-background/README.md +++ b/src/01-background/README.md @@ -42,11 +42,13 @@ This makes Printed Circuit Boards (PCB) smaller and cheaper to design and manufa **Power consumption.** Most microcontrollers consume a fraction of the power of a full blown processor. For applications which run on batteries, that makes a huge difference. -**Responsiveness.** To accomplish their purpose reliably, some embedded systems must always react -within a limited time interval (e.g. the "anti-lock" breaking system of a car). If the system misses -a *deadline*, a catastrophic failure might occur. A general purpose computer and OS usually has many -software components which share the computer's processing resources. This makes it harder to -guarantee execution of a program within tight time constraints. +**Responsiveness.** To accomplish their purpose, some embedded systems must always react within a +limited time interval (e.g. the "anti-lock" breaking system of a car). If the system misses this +type of *deadline*, a catastrophic failure might occur. Such a deadline is called a "hard real time" +requirement. An embedded system which is bound by such a deadline is referred to as a "hard +real-time system". A general purpose computer and OS usually has many software components which +share the computer's processing resources. This makes it harder to guarantee execution of a program +within tight time constraints. **Reliability.** In systems with fewer components (both hardware and software), there is less to go wrong! From d838e8d3c22f45a75fdf762f3d0cca26bb1169ea Mon Sep 17 00:00:00 2001 From: Julian Oes Date: Sat, 31 Oct 2020 08:19:13 +0100 Subject: [PATCH 120/313] 06-hello-world: change note about solder bridge I got slightly confused by the note saying that the datasheet was wrong about the solder bridge because from how I read it, it says: > SB10(SWO) ON | SWO signal of connector CN3 is connected to PB3 > OFF | SWO signal is not connected And OFF is in bold which means the default is OFF. --- src/06-hello-world/README.md | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md index 9c7316445..1c6cbe7f2 100644 --- a/src/06-hello-world/README.md +++ b/src/06-hello-world/README.md @@ -1,8 +1,8 @@ # Hello, world! -> **HEADS UP** Several readers have reported that the "solder bridge" SB10 (see back of the board) -> on the STM32F3DISCOVERY, which is required to use the ITM and the `iprint!` macros shown below, is -> **not** soldered even though the [User Manual][] (page 21) says that it **should be**. +> **HEADS UP** The "solder bridge" SB10 (see back of the board) on the STM32F3DISCOVERY, which is +> required to use the ITM and the `iprint!` macros shown below, is **not** soldered by default +> (see page 21 of the [User Manual][]). > **TL;DR** You have two options to fix this: Either **solder** the solder bridge SB10 or connect a > female to female jumper wire between SWO and PB3 as shown in the picture below. From a8e79b83901d319b96243312debbe183b65942ad Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sun, 15 Nov 2020 17:26:21 +0100 Subject: [PATCH 121/313] Adopt the serial communication into to the micro:bit --- src/06-hello-world/.cargo/config | 5 - src/06-hello-world/Cargo.toml | 8 - src/06-hello-world/README.md | 145 ------------------ src/06-hello-world/auxiliary/Cargo.toml | 11 -- src/06-hello-world/auxiliary/src/lib.rs | 17 -- src/06-hello-world/openocd.gdb | 6 - src/06-hello-world/panic.md | 88 ----------- src/06-hello-world/src/main.rs | 15 -- src/06-hello-world/target | 1 - .../README.md | 16 +- .../nix-tooling.md | 47 +----- .../windows-tooling.md | 18 +-- src/10-serial-communication/loopbacks.md | 49 ------ 13 files changed, 22 insertions(+), 404 deletions(-) delete mode 100644 src/06-hello-world/.cargo/config delete mode 100644 src/06-hello-world/Cargo.toml delete mode 100644 src/06-hello-world/README.md delete mode 100644 src/06-hello-world/auxiliary/Cargo.toml delete mode 100644 src/06-hello-world/auxiliary/src/lib.rs delete mode 100644 src/06-hello-world/openocd.gdb delete mode 100644 src/06-hello-world/panic.md delete mode 100644 src/06-hello-world/src/main.rs delete mode 120000 src/06-hello-world/target rename src/{10-serial-communication => 06-serial-communication}/README.md (72%) rename src/{10-serial-communication => 06-serial-communication}/nix-tooling.md (58%) rename src/{10-serial-communication => 06-serial-communication}/windows-tooling.md (62%) delete mode 100644 src/10-serial-communication/loopbacks.md diff --git a/src/06-hello-world/.cargo/config b/src/06-hello-world/.cargo/config deleted file mode 100644 index 115130a23..000000000 --- a/src/06-hello-world/.cargo/config +++ /dev/null @@ -1,5 +0,0 @@ -[target.thumbv7em-none-eabihf] -runner = "arm-none-eabi-gdb -q -x openocd.gdb" -rustflags = [ - "-C", "link-arg=-Tlink.x", -] diff --git a/src/06-hello-world/Cargo.toml b/src/06-hello-world/Cargo.toml deleted file mode 100644 index f9260db58..000000000 --- a/src/06-hello-world/Cargo.toml +++ /dev/null @@ -1,8 +0,0 @@ -[package] -authors = ["Jorge Aparicio "] -edition = "2018" -name = "hello-world" -version = "0.1.0" - -[dependencies] -aux6 = { path = "auxiliary" } diff --git a/src/06-hello-world/README.md b/src/06-hello-world/README.md deleted file mode 100644 index 9c7316445..000000000 --- a/src/06-hello-world/README.md +++ /dev/null @@ -1,145 +0,0 @@ -# Hello, world! - -> **HEADS UP** Several readers have reported that the "solder bridge" SB10 (see back of the board) -> on the STM32F3DISCOVERY, which is required to use the ITM and the `iprint!` macros shown below, is -> **not** soldered even though the [User Manual][] (page 21) says that it **should be**. - -> **TL;DR** You have two options to fix this: Either **solder** the solder bridge SB10 or connect a -> female to female jumper wire between SWO and PB3 as shown in the picture below. - -[User Manual]: http://www.st.com/resource/en/user_manual/dm00063382.pdf - -

    rWgO-~riD=&0OEw&?^TLb`SFVn7wS^u=CwvUU<&&Lg+PR zeA+`eE7M_H0_NRuoLp}^1ZZ8*9yXRNaX}D6`psP58WD@t1DdRN4pUFl{zO11W|U2G zrCO(_k&78z9hc=51yR(hzH8Fu7g5nO{Vc}k5{VJh)4w4a(d~wrc@4q#7zC}~x@s4| z=NsceL|3d>|B>3_<$hpN^I=8G9u^1bVojlr8t(n`Y=aLJsBJ?SL1Xn#xL(PUXj5qY zb$x|{C4R<@KZM_5Vm)sXRK&TF4q0CZxDEQ>5Z zCl|iU5?H2i0K*JB2CY{X51kBNTx9z9E2g(adpa8vm>HD|K84(SIn9M^h=uld|Hz#s zk_fBde#tLy182q{C5XUW1$5HJTbm*P1wk`ErC3>9IE0?3+Ivp7$=%&Xe?%|FDocF+ zvGMYq9AfmZNv8`!70iFb`n?~vpwE^UpGjfTI&k|0Cu+lPy0Gw}Ui9NeL1iyvV{X3< zsiOS+>hpVsAzs2jv@ycy>CHGKFL!w0rw$t6!5q!LNucGPGoTOWG+tAp4P> zbemy_%|Ylg>q(OQ`a6r`N5!4DBSI?PymHi4Ix;3ibm?9DL;q6rp(HHHXJ0vier^K| z)BR8u2)X}w-&B4sokHB|)P}i!|Ab~=g5I3C+g^afMtwgdcRlKw@O0%C<29@Y3WT;} z?6wAHbTn#Y;>)$Cs1+szuUz81=ZX$peXsxQuf`dLOU?aHOTu_$Vej--8_)!7!9kI; z_ZB^UHOj^t`g&NpFqnac`O~)IE?#YkAZFl>fHClHj}hno=xCxDF{t%;usQGWG%;@V z06Zf21vN>i|9gGgKY0aocc6O5Tx;X<94{e`j!4v#1r_Sg`-hlhug&*S3-pM3oB3fh z9!;m-;Dx1YAEP1l$=Y)N&HI1a)?lG$P5sI1A@n)Tn?PK0^9GvI=52nbE;R&y;}tRX zB~YO`F$yNCiCZ7mXQ75DeRscc4w?F*|gg^41p80Yg z%Xad^;?6;J$9efD)e?(e7mYVe2g!GHLVXIAFC3FYnc73)5@x5kGszVVKZRg(yk;u# zn68r}NU3XkQm&^#v-3-v#HjRT*3jOc^xyH51FY6@8NPc~@^btCA*i8@sNLRYZAsaJ z@wL{~$WBU0ww-y+oGG%gUlYEq)Ty@gQF*SjZLCjdp z=1cl@>>cKfhDDX5eqF8t-iBrMtMo4~iDgH5BE^ndzUlw-v2Weu;;kQJ5h37^W3QW5 zOmCBl{Y0RYb|wEGmOh3u&51#|$=_E0;s!T%DI!(?W|h}XxI;6_Ma0Km!Go(O8uN-! zgb#^0+34A3_d}YPH`LHjwyt8XITMu_gm`=DRkRul>XZ^aOfrV5icCiT@>%^?9%jsU zhWC}Ebdc)Z%mLPs+1KO8ZyY5{@Rn3%0YPXqriH+7F1XnnCSe8h!EUozi$jp7pe#tn z&?NeWJMFq7Skv_;uEKH3J;(TT0&RHP@*p6A0crO%&Kf@oe3SlOY>d&XUJk%rc1?ae zTI$ew^uV_8CZ<#2NxU&n{`O;RMZmiU^S})?m=V5Cff}NpX>A__BGWrBK<0&=y1Ve} z%{b1qVd!)u#m7S}Xh!rkMeD{m%4O06mT>@A+vw6ld6r;Io^U zNVKcIdRJy=+J{}~bc3TP*ddkTt;kSTOl=L9jQcmvD^vT$A(dMfejL=cuc+#KRqt@u zwWIO;a#qebgzGdq!`QrKOTeBlj#86{`&wK79h)6C4csNFnB~}?BbLb|SUnka z<$E``${|zI`uHT)40)32fr|LLrV;}nc-CRqgNH5|E3+xJsF|S zq}r$cXWZh7t`div%Zig|i>9KiNe_XfC>a#nWW(7^p7cKW%^(xx+3F`(nE>7khnn8p zS;YNiZUBN<8o+6hw#{-JgHHpWOrvTNgCLy6EH!1_U8U$@SY-DKjECP1lZDAbq1yJc zfK?79$}x`LUFU$Xv_$cN{wobTn2nIX4+AD#7QQH4@&)R$J^!NsH6vWR(8(gOD@G35#ojeh`rQ2F*YE zA%PMzel(gy39m+_wjCrGIt%u}$yu1S`A-5t9ThT( z#^bqVi!7=lTnIjsM!!Q^T5t<&Aod26wN4vYUAvVaZ0y zvf!h>7}kkZ_}g~T>>T$_v!3*?P2#`CIn+<0D}_BZ{pmfn{6Z+`j_tf!Wuv6xOP*T= zg6Sk!ok$~7`JEO9U=spW>ntRk`gB{|6D;Ak-u(x7h@Hy&Y2s3UmRT48@^GPXcp8oP z`*t}!xjo*1#t?nQRacMd-Z%9+3plcae~IXbw;W?C4juXj>^s!{gFpUk0pqHr&M8Do z(Db8fU;VTHaC6x`ijVCxEcdx~X>8nZfXo^Pi4yM>B?`GckezB}P>eoTTH&Ka-KVxP zs~pk^%{aqsde$=vDtEGw`zXNJbtSbsaPJRb`~#01oZJ-&3b4nnIN?%caFA6avXumIzC>WpDthhEvh5o|+3-G}(+ zXI7W`tgjkgZX1J*ntT7<+4i7~saX<3hc)xu2GOaNw$mTPe~X<`vMLYA;&0pI&zl5Fy@cWS-~Z77S0{ZQY(UA!vo=tLxmX7QAO7L?K|WA494Z zp~dC2OL?y}m^9ioDcF#i6X+4JPpt!KOd9y`G2+09Gm7w+lij1SYxMKA3Fpj0MA6!U zE=0XbQml%I=-(V>0e=#qF7tDt!gtix`ELgo4q#A zq0A2g3cv9sW>lf?G*Lx}g0!2^jQtFK&7%K6%t!Ykp-v+UdZexW3SeM>h@#4&Lbaf| zm|JF_7$g{I<$ywg3+Ni`%NMHk;)B7pyLLsbS3G$4^$#UJMBtFk&~n7_yB!~6^N#;z zH{V%g?{JM3S+Ytz* z$pPC*iB*TuLwm%PkNhA&LoVAES-r5y46&mh^70_2PZgigS7`AAxku^1?X9H&1ux0Z zXP}{i7R`fb_L0@0X})u#mjJ94iTk!s1k}YS_gN@+(u3!ilc)>!^q;Rj7XZPVW2t@JtX_08+Pdu4O%EMvIM*J&7ew?Ov`*#Lw~4O*wu@zs z;RuWCy>$wDsnfCcYq*YkMPOaQi3VW+C`V&s3uDtB0wZKHZ8ZoWBi`PS_VsM=mA;#y z99QEfnZnmw5mKHkwq(Z}ZRwbJs5Dj1RUgY(t(%r}e{wiNTYt6LIQ(vpv zjUU7nVxhl&d(uRzUivUzn*G?_%Yq2|`dHX)%zh0-Qjj3!O*W|sTBoFB5WrarK267i z4pT6}dg>_Kk%a{j9E}D|`kfnLvJipaNW^1{f75^N?P;5bepjL@H`I5*-g=nc4Ef#U zY0MGF3#`>g+D8Nf12HZ`jqOsOlcu06`j9V8Wm`;?wY3r905B9oUsDx$pDV4~ecF&} zd(WTXwbQ7c*1dD0I~nTT4~BR2tPW+XY4*m)oC{vApDEC90a+wYD?#s70F>d+d!o+Y z0od-{B>GyzJy`>1CPU8NBSX+N6<*1+!+Aa-W>HV&4yh9>j#j%Bs%wCV3ZMm%1{XJ@ z=exI9;BDJQ#J$R?SokAnuOqO@w`M%8_ReKEwzZtbfAklQo9Xg7)%+Pw+Gp)`*ZWwJ z^qBK{jfy8~vbrY|9rC$*a&X&W<5Erb3}^n&+{nv&=ul%ZK&=Kn*MycgAWM92n5lZt z4%zbSxZ~pbR)jH7_t{JK_PSZd+zJ|iNBTE|^&1?YC5X4@`k@GRg`3+4V^anVW?ufX z(ZX(p--6wDJzIx^FyV+=eeUsw%juOIe!(@M7YM{U0_oAz+{$gl*&QG9djWHW5QOAr zNLs`fg1LY@xMTn!xJ0;b$cKx#p%Z7qZwIbrkZjA!9-01E07XJ|@19rzh4jH2oOwQH`_N5F6QM#w|nK)_Xv_i(Pe254xv}5x3x$AX_zFV(;}m>xllx6&&|R zMEznn>|#mI)h9*L&f%)y>S9uJ%bOgfN^L{7)h`b_Tc=mg8Sm-NPf3QR`)(JEU~}H= z&1O!&`fs!Lle~g6bDOorVP`6f5sGeiO35Ru*C?Dv77J`Fj-8}Dr%&O$uMzHlh}-I! zE)UiL#;kgeFsoNXGalxDO`}G{#`40!6_Gah7~+Bf)Js<*Z5y!iP0*l(|L^rKKS!1+ zpjqBEXp7^qAa=ABJ6ELqcHNeAdg}4Mh#Af3LHUYS1Fqk;0aaN(9au@Fw&hkauWnfX z`iSJ`XHi%zIdNgt;9|<29^9Cwje!o zW<3d2FKQgQRExiFAB|niD}mqI=8}~k%{+B2=c&$=h5yMyBee=uAR|sq%e}MjE*TvN0fhSTKltw@5W5~6OGZHjHftK*U zadYwfh>Z*JtzcLDFWS?oIdGUdX?ts{qeR}!0hTI(A)53p!;If%p zz4_{9n{o~UEdfi8x;_vXz@PTFk-o`l{I%O9{vJ26`_^IiNp>G^0FIIeg|R3DW=;y= zC{r-FFs_TIY{c!RB-MzRxfl8JQfju&F-woVz0f0fjgADKh2%R28X@e)1#dMG40)~P zur$DH{cs7??9SC#(j6X;yes;X*uEcugH;OuKCw^d(~Ip-uzpVG6<6G8B4#@Of%Q`0 z;ilS70uEZ}K3v>;EI;b7^^X|0Xi;U?O_BS9A5*fs>s}mqAkvo48<#}t4nSdWf|H`X znzjchklNz3clbP9s@94`X}buk*smHx^N3ODK;OGMhiKt?A>H}s);6bjsN5dj^a*K4 zhSEYe3i)hXwj_f#Ph4U_Y@aZB;qx=uY~=T;7aa$#+NEgeObhcRYCog$W5Ydl)*0kLAlT^dYq%> zUuomkm)@%V?RK|crLG1TlBjbcoBI9Ir*k0Tb@e=8x@4DU+bvUn?NO{eE@a+0fr z@BUInTxoFUT=^vBC_jrpV=WDqg9m}%4o&y{L>6&FycUwLo$$NbORlMpljjo_p_aaH z8AEcL9sJcgSa9z1eAlkH6I+!SaDymC9#TWGIGWu4&xx{j!QoYW@3+rfky)^a_fPMW zXnXLnZ-dpawqyrG&N=3x?LKo?LnpZ_Q!&q&7<5YTzv&H?9kc-*D3T)TYIf(#aY=hl zG-mR;aNB#gHPw!Otx;JOHyt;87Nq>Ez&0TQ@(H0(c*>K{i6{$&Y!f${%!9qsBhFs` z*0ED9EqT5l?A2FuYOu&W!)#{okE+A3{bn*^^(;h6XXC%nRQhQrdFOSO%uaLXxUh(@ z7ec%*oht!JM*H7EVXnh)oVrrA%2HnAK&L`ayR>s?#;teq*3LHCu?LlTU!jL@jTzWX zS&P6f3ST}*4|*4|^53fokppxM!Cgm{<9~|hC}048u_#U-lzFSTw zGhFotNARxEvZnE%q%|@5_ctb7b4R5!E5^(j17u}sU$f(1G-3YE)m^F`MSATqd(1yq z?@75lBD7I8`Oj5QE0gFn{@R{c?X3z=@_w*IX|sU?uFLSno4NQq#r#xW&enTONL2B* zW>Qr#+tp5!FXoLwHAnO~5&Ns!-R+!1$;-U(JYW#uEeG8bllH8dFHB4iTzH7~xvYZd8D3niF1HD;lCq(k>X4n}rbJ^8b5 z{Ia`kYYulKUr&nZ_>>$NH3QX*%mTrCwHyUjdX)EZUMo!-;4#qWI!G+BP;e#2IwAy& z@p(FGY>gye3rx{bNk&dA zMkNugJLI9We&iN&w)J{M^wn8%`ZYvQM3iG?D0j#d<=XL~j6ZZrvFyO%hK_K!;qsa7 zTjM{8BOm#WwUh@5eFV1a$i7E|)3GszgEiM}q7w7&lq=QzB0Ie#6nCHrOvEJ2MYrCg zKY2;pgiZH2!aWgmkl^eHQfg`j!nzy;)S$UF9wZ1xHP(cjeRwW^8GT!X$N)FzncpFvOrv8WDi#8ANKbp-(^9d!F z0fh999;I9fY?qrlya`F-!jHeSy=M8gZrxe@K!{Uu-4Bf3J_J^9KbR4fyBx?O6rE4b zj)k|apjFY|nI(?V#DAq3!Z5ganCmOot);d-`m$*pH$|oSp196H;n3nEs>vfr%5M{M zgKY=4T-NRd(WgwzSJ!A|K7r=IjqI`(%(DFXwx|wS+n}m-h z-z#O|Tl%+!!&N04?!G#Mrivrfgf*-Gu51Y%aH`W9=xRHtc&QzI=hp)#n@-DpT&57` zT>vz0dvyGr&NG^C{QYbUiM$cSp~q!U17-Ebm`EaO0#qd?pCc76Dpnq!K=)moE&V=FX_qRl1g=3M<} z?~842ov1iKqenM<&_S;9gEl<|uUoKBRI6*A$kDR@Wuz~RC=!~q1AXsxpe!jq;0>{x z0DIMUPSa+)=w>ma20;!gZi2>_V+e4wGhIf2xO}R#Bp~ef=1BsfV>C>Vmx*GQx$(>Y z(}n-b&TK2j{_srm<1&Ug=XY~TK;$x-BNLl?G-uLIEN$> zPaHHoN&k~IVY6T}-tYHzJk9X1Ec#7`xPD&iI36IKsOY<^!bV+YgZxQWpI0E zO{ZKqI(w7+CH~#9XF%DTL`mc?$OE4X(L+c-Cy5Ud8 ziP*G`1X8!}s>C-ucs<#(x!k&19L9HWjzU_GNpL>hwT$C>&93zG_G>kM(#gq7 zC-*F#8@W>O;&^$l(%zWXMRiAvrpRf38%$ca^lczubtiZO(RERwE!e%+uR+f}_@x-O zP+b(jPy;n3-UIl_rCXI3t*$Fe?3Lt_XXWhG$8k}G+I5qM>1T?4YzQ>^4Xsa_Q8)K- z*9^O*oW4lo^-!f=e89h36GNs4xu^gstX3Aovy~omn1J}=;0Zgm$ae=BPI^FQnF!YYGa?1QG)PUtj!YL?sQct?XmtkGF}0&Y=lE<;Xte2cal6!C zbXW|c;}vZcZG0kZk6Em4Q1yKOQ;Q}Bl-JhZ76{jqX|320j?FjGnd9gzZDK}i=3OJO z|Bs|AfoJmn<7k)>a~~;3L@7rXQEt(R!jv=8$q<)p*?Sq`WEGC0~QaTcin{4 z4fKrk`?`t%-+`#WMTy+dw}5eI_Y& zCJ2|bYXMP6Do4#fdB0rF{!kgZ0?kv)u2@7hvewLdJ^PcF)iacMw6hvX`-M)?%divd zNbc`lRECe4Bo*hS>|P&$U2x~l_uPB+imd?Zg2fDO=zX|T?(b9-6 zAd+P~G{BQuHA&ckS5X-#$E&eM$zWQs6X#sAt5c``#WnMqZ0r}uP;Jv^qn?;#Kbl8q z%;%$NZ%^q5%{jR^hUdmO5}p<;3X&z~C1?h=RoR=|H>6C^lB|%@rkFDjCSTpm;$Jbm1S#L0p zoMVSqnIF0zKX6~s&*au&v6Fbd?Za86@Czx@!)x#U{Jwu1E;C29`w(q7 zI$sY?-G=>R9G%go9oOK26jKr32$>~<(YFC6r# zFK;LPbW@eoxdg~5KWbGcyCYLm0NuUK`IJXn9eQxHG84ZG220yE>mv_$az0Usrqjep z733Z$>2K*e=HL+8VIM%Ai;8G|+>Segg?uC~k-^P!qPgvfzFC(Vbrm8#Isy-SJuK%S zw3HW$-A9?XxBd0Prx68r`S4y>JB=q3gsNSgH%%@lZ2$#{LRksSmQ7cQaIf9`Z^K(R za*h^-+9KyGzEAR+4qW$|Od=oYukxC0wSRvY3JUc{1ij{Q0_E(ThGdBg1PpR3rT`oe}gI;4BI%VGd+d3ZD-ZqMO2jgwlIIrI+}R!FVkIx;L+N=@0K z&s7~P1@WJ2k^~x^b(~4ieQ=1uCD>o(_lEkiGh?n}@)hOHAjsoJ0E;F3+zldGP$#Af zu878d;_l%N(SL6wtmpyiMzUw-jGQ(X49YGR3uO)f2Sfa`e=8-gOTJNQUQZ{7mh-*& zM&u+DDRGF-6PTsQu9Q-zCV2V#aH`FA>|;QP0vDS#_GS;TBXVuJQkIj0HQZUB!%%Hd zoNDWu-?M~es8Tss?lUPZ>ypmjK>|tZy{*li&YBN@9=@LZPcJ;V+pB7)*WKkx$;n)v zm+WwwN8w6tvPsJGfT|iy;C56XT9Q`r>=Ec7G!CDuiARb(H+bzg;%~HFbLAqeo|mRs ze`g=VlVf!NMpJb1;3d0AIdR&~R&-S?Q?~2sHTR&Jq*L!}5xHWu=I0T?uJ+a5P!DGC z^Yppra_j6pT!HzDb^eY|&0(-uXTo>;`|jdvw9FnHvoW7DHhkOG1CJ&4Of4jP2dnB! zSR7vY*&3-9L1*219lT)}=~ISu8qQ{MT~5aCi%Kik7#Y57;92G0z5U`Ca)BCP#HR#R zG9+w$4bae|K;S6BTX6B6)sk(y9QLyOal}yCNrc4>{oYYchmN_{t+QsSTWy7X2$JIm z2*>=Ciy)xoaE<>13ET6X%vq!4cwUAYpb8FYd>!m3t&OF}R81Xz|x-o5UbfC$b7X?Q{ z<`0JM2oGUu-rJX#lJO9b5Tq)!Clum%vNz8MN^F)K(C@1VY&@m7Wg-2!5o4iWP%3at zD}BG`tLv}ICF%+?@t$)=HhnHR~( zL4TnQVCAW$)<9k5$y?!B9gJD!N@{&B*v0feTl&o(=s8_q$Kq%Hj4fvFMU9R)qUem? zNAn~SFGbwZ2D~i@kf6`vC1N}NM21|qb$;T0|Dgs=Q>PaD8s?^D9a@~#Yio78)(o^f zD9Zu>nFhlxL{k6Afk9C~Luy*-nK#en;>p0Ps9n3cC(FP##5+v}+XoALC-5ZFd!b3s zI^6@EVOOObV!6gbL{rZQio#;doWrnw2jRmdewmxu^*^+T)*=|}LiKDZa>9QiP zDY~Xa($hqoDw)n4aooeS15;o#>_3~)Agp8VD;%B>H`f5tR|v44{Wz$w)x&EodjRd= zdXQp*Zm&a2qboqSjs*>2Tybq;zpPPPM@}4J@W<>Ampz^xo9O<&g-QE@*imS9-J>JV z<*9GAai&b%c7^52na&aQu!?AG97VnD@GN0|LfE$Dok7*buI7UTqOV+rb?TLXi-k5y z!-hCFUb;U*r!KNi=)No^aef1-KS{z?2Ah<4ua2-v3@PSx7ToWG3mJm~QurP#hvC$s|e~yN=nz!t{90UgCOV3Ir^f!8hf*K2#RQPw{(|c40 zd11R>t_jgKA!@-jA^t%cPBbmajcT!6J6yhLg z#*M!EgMqK6r#iB@3_C4Z8DItgCIq~Oxcu%7@UL*vhxtEX2tbaDB!%)>H!0~p*0j`?5b7Wln=g+HEn0XS|>LW+|O9RNL< zW(j0knj~9SrHrEK7Qk*m?CEkY_hRne(|n0Hfk}QUC-JNaMsr8@W_fdOZbF^s#7)3> zDsA}CS*QJIJauUa78bG}Pb~52oiP(%h5`{J^SM!f<(5)6Z^r`)9f0IS?up~`lb8j# zDaQr9Xz^oxHSe9iVPB(U$J*o<+IlXt5f@`d+0|tSE?8cUg!?FHM6?-Zx^K?u#CUyr zl=9_@1ULw&7sv-@{pM3w*j34)N44!L14sgM4M{`*oy+b}8rw8ZN@Ra4Q=Gi#Ep(5Z z$)sh+y_k^%(hvW?zD^ZNrsKJ|rXK(oH`>eyU5aQMsF|5|8@nyA_qYvK%~-^TD%=1T zWYK|m*5vR$%gj+VONt%2fA~pX38b{NGC$b>WM7NO5c)Zkcro3SK1T0maeu+)9$>H$ zoE8A1z!pa%{;9UVS(u^I-{w*j8T$PIG0}Ha1toq!RLi1w;_mg2IN3DTfK5V%$kqU0 zNQY+sukHnIgS&WDXCE*NgiJc~dGgVi_YCM2D`Bo97+KEj_q>6Y<_`lYjCcWx? z1k74Wu?)F8m89B2434~s&$x}m_DwueBs~ITiR6e-n^FqcaHGVQj$Cy-1@gbsM&kG# z{SD0B7?A4~b<Bi%Le}dB$2JH=$kW(BI;f6k5OV0({0RDgiI2F z+rW$u4u>8a1RsbRrV+t_fO%m18iGz8?ote))ee_9#SXwV@M_q#GPXW;Z}2sC6e;wy zB9=c6vt4iiqg%T375omSsJ2J#ClLB`+MkH!UG=ngeYWZ(_4^m{zIl&B%;_V6NrhFj z0;6Pu0JC+>%7TLS2{5DE_1BdURL9fHz?WKI)F?~2YxUpWw=x0J{p~0O^@z=24IF-W zqqncSxRF4?r-^@QdFhGWzCG`mwG%&@7u>gJ=?jbcCz~U2)oY}Jr`1^8bFZ&HKm26} zJWGhwL16He47IE036f~f?p)T4t#U}RrO!IRY!M&uwr63ve;_PROi`z58HQlN?!>xN zpeHN3BDaX^eME-ehZQlt(44&oykH0e9?-wnaz*o*|33?) zQf8YonNA-E^L-=Zg!!)&8VvoB8S?)T9vJ-#bqGA zh}lbM7(Pw%i;PLolDJz}6MXz?^63$|^}@%(`lc-(cBHI(zU{lPm>fX~uLu$}*FGZ* z3`wZ}T~FrIn#Cn0e==ALcOD-xZW2atXMYVW(+J|fq(T?8lk1 zp-95Yj#y3=0y~y8{^%U;p$u2xDxsI*Cevq&Oa1$3`XdNrQ* z+$U^E{28HDu`_b?Mc)!ZV0-|F2gilQ?IiukJE?#=od``LainccUO=}Ecs}~C^94&m zt>{99bCFrN2YUO50zhwn$OoJQraD@F@;uGhzPr1#>CUv@)UkNy1ETW<##`5dCn35P z?mnI?90>O<=XUBu4Qu&pgDc@od^2mXYBZYyG%x(_m^ z>q$)7dGY(IssK4d?vA`WmT5J68!)9{nWh2k67&qtC<*3`%l|l2_IcI5RraQ2E=iF5 z64WX1bcnrmlmB5Ex*nVzdypa8=p8= znc6=F+m!(#UGjN*hx>{*Sga7mtYQ=*jLy7t+`Ns<@%Q0g!ZS}wf?@(Q_9jm&15_G- z0mL^Q-NL>@HAsF5>NL14boR0s7GZ{aO*0O@uUx_7)H4hgjT*(XW{OCa)(=4zd2)rIxt^FVcNI z?qy04Du9UPHbpmOL<>U|?}u4rLWSIn3?y)N;OU~3$$YAAatXIPy+;=Wv*7t|0Tp^m za1IlY0_k;)zC~dHtrqb%3JdC?P~e>-EFc>Uo;*dk!J4%~fyQVK5=zt~8=k$VqC|TG z%;a*rg^1t-sm}mn1!$o2g{V4G$pj8BRAF(6Bmsqr!h&K;1B*pS*i>eKEiAbqgxw43 z0GIql(w<3X;>vay6~+e}74UB11;Hjn5rCD;J|~-VuyLZPwagL~Fyu*MEH16AWok$_ zf{r#0&rFiObL1eIIa(h;8rdzDo_L%D1EBzTSVI>Tum7FP-XKOq_>#|~h85uOVt9(7 zN>imDYqlHw1t%w49q{fHu1*%9E(S0!4)viCRt6JaF1Py{Bn%Mzp8O>voZ88k1xNPaO7^c0S5*9*d;A@XL=->#q^zd9fbr?vpF4W~9 zh>6VVXS1JFY-RSFr~<)87fDM%Z2=MF9W1>!IJ1Nj!B1{jXM5gl?lj(UFG zJ5v2f^jR~FvMXOL&zC4G;>nPIcrCYI`B{lYsy}aq@tb1Az8(k_YBxdtzV`Zc)!W|T zd76laE^`3E3mbplM;aAu#6yX|RQ$?T0)_}+lyLNMVfET8C%*EDJzN~e{w4meRZUM} zknu(`NCvR1gtlL#8?WPNeT4uh%g-0Y^vVrfwe*YXoCT}tZaIhRF_Skd8V~tAaOWqaVlMr~mc` zS6Ofm?3=C;D6y-kGr>4G`(a->M4*^hLnQ1T)j z8d~yejao(&WpevFBzMKcmM{#v2K(;<_c{c}jDZs5doZjn@-!kTPrz)F>(^MW1f(sN z9K4qRFdJ9bRxnN%jrAP(kJ?sfhwsUw_pm13FKd#(8iOzr7;TVhFwHK|VNEb20KP|R z8;Hij-)9qTwdF)C3m}f<4VX~$IHo#$a*_%ioO|CI1^^_Bmv9dz>{(AO=!bJN8ABNS zBj{Ls3mDaBXx=g!`EhN<&WKP4UZ+pHJ6Lzw_E`>1KIvIq%sQ!K$WaiCb?*~(q#9$~ z2kuKSC%89!Ws2S@ycoVLacM{OVZ?gI+jma+l`oYt#O_vI&}lYQoycDWbG~0G8?coO zF2MI`(o_f=gDl92w#*tFGA$CfpEXMAhNZ2XNRKaLmK=Atc#=p1<+v8aCsjq2)6gUU z>cQXw~NWWBi3-!xSrb(szNTgoitBJib;qR{4ob?k;QYplb81If%b{s`ja?_Y7ypD_AAbX?CYcXDge z&=3(%B9fNG!?@!tLvG(Jr-%aTVt_T{$RaS@pd;4e90o!N7Wg7sKIl5y8nU&E4m!)% z#DhKzWa|fxk(MIx|CbVCUqn4-h``WXkzEFn1B(v@0ntlR3mN>DdW`5NyrWo9+W-+d z1>zk6_88pLLb{6bN^!v7r+gu>;w)C69{|lvnV|w^$4j?#ih_!fFtPlIy(L9c{Xw$9 zMnrSt?{<@f-abq1nma!nRex1@cZfX~JEdEAVRn%Gp3Ied?gP4YJvn&+4S)~X5Ds1mD$3hDcbY5Iqm{l_9#OzXRVSnRmfnBk6PK*n zwPH5|u+P3;AlS`N*)LKKy1ZCZKphlndZcXqc|IJVmRjV*Jf)Jap@x;S2)UIru#nv# zcB=pHUuxuEXnSTDfj<}bUr~_}{y1ArQu1PdVMde>AnZG3lwIOMyr;*MTcBG5Lpgn>N( z^^i&h&%N}x;P#Oj=-UAucydbipCazN8(M1^4DcrXtc%N1b``_wuTKYog>ogLZd7y0tC{JlrfiH zCTvSNB+EZcC|IEag*)X2AKOad&$D$Rvd^vF{A;tdX-F3238`vCR zeU`|_pAy)ylUskP=t{f2{##mG#BB+`k0|X7CI$wWEuud+CR45w9QMoCsqrEBInjAwnSWs8@uT|;S^3TNUv!MB{EPgN8+t|U5Mwz+u zx3*a!xzxH!A{7pe8hGg^Gw#w)5S-t%m{9KMF2j7Nl*MUv1qN1>U4hm(gZ(mDF=GA` z!R*Tlv2^K#TMdZ9a15y0%}=+XWIyS|jIdGP1goR76_EQtt{p!xY< zupM(v8g^sXro8q!Kb_vgYiWkx^}aXRmaY&ESz#o0mK*de!y^e2TOx@U;GpR@!|SJz zGwgx;2-|DBuiwI`xotSvaQmenyfI~!z8SGq;C;1H;`FiWHSp|(grh&mN>uh2QhU-$ zUDBEAkM?uE`?#8m3!|L(1Kml|YqRs?MoAU!b7dGK40D2FGX8O4VP4;`{Wmn<830`( zlsyJfsED!+K)aw)xldva)Fb4W<&(>CfI5X{0b5wdfC8cWnj}+@LNHi=NXzmK|OTu+YJ>)UtWDgf)W6X?<&N4+>*RTVD?w*_T;EINLoG0ooC`;!IHXyK>ZK z%@KTf3!xHggwW(WSsflB-=Yc$Kk&5Jc92j2)USF;#6+cCTsTaO3>3G|04@Q-wdG1s zdYVcGV}(rR?5lD{DQ1V(MlPQ(5IhH&Ab`VmhP)Uk2k{e=urakzHQnFx)s1k^y4LUp zAl?SZO1J4c-R*+swX$4ZFLz9h)}<+mOaHg0r=k>HM&G32eQ@wqzwiepQ@mX4Xt1x? z@04J1SMk_AZs3#^$lMnRz_ny_y?bcA0;oJ?M(i_w?n7CK$o)m*TL3Tcd6S*uckf}@ zvnpnfr>=*%i*ee zU+uH*F+hw6Kz;6%AN0&lMv4h^_?&X7o)AAUb{c*lEcgFA7`q6R42e2 zKIjbVXuTsnFW97e7J^yP^Vk@Btn1G0qI3ny9S5Zr~_( zG>11{?_1NUA(|HR&mD0#zQ~>L-3j;8TqTZx!US3A++2&A+PEQ>#V7Z8Jf?Sj!z68A zgs@N(wKEe~B;KC1I`9F9uUfS<;m9yzkPIz-dw&=2^C8Mb2!UZuA`Ymb;z@5tP|R&YWiqc0^+db?j$q&j{N8bKdoCeOI;@Kb zDkUQOCpz_@zQsP;Sj{t0{Z>a2ito!Nv-l$}ks+kv;8nUR;^BAWYkIhCjaU`xuO(sW zec2SJfZyZbgtK_R4dZrkF5yqVnM=Dc-_8FBFR7@bQeGu2dHD3RgG+tHvgIXdXHeLG zqaEK)FUv^FHcCh45?0QW*1btvcO-1((g_EE5e2hIs{iNd5iwQet{P9*CxQhKUFBCO z(qhTZYX3ZZu0pb9zM_3D#QC&!^P1Tce~euLY9h(x-)~rZGDhM@Y{8WxK4-7#s6y?z)!Tfy6?tkgvYW z*fs4+DEnxqsf9yT*#2@A#S0I4ZAxz}BCBm;19#2lD`o<1$^ZiLghs0=Aah|rJWcU+ z1th^5v-msRq?X1-7S}c?2gt380@eOBJqZJ-F#se+_OfSa_nz%zKqJNmRW+PV>xP`4 zoKrb?By~;Bb{`~8QSAew;;P^F#sOwF*0%xe;a9F}2X*^OTJj|IWuxV&Hlx0^k6iJDx1Mdi{=#z#LAF8%{%y;b(D ztousT%L6VqU1u9#d7m@2X8IKu0M|2oyTBSAy zXKV8f0!q7UEWu=}-7NT(AYNjCEh;LibK@~GK7pZju=|L&GV`ui!Q%4DuX#Y)fu7{E zQ9~Oix{_Xs2)^1fBGT9r%3cfVi|~|@d-`+3=3G9Z!5#a=R?=8CMfIX9u2my=yUg1= zwOm{RUMaA2r7=dLMmaC2jw!&<4vLkal71N)ZOs)#vQpOud%g?6P0s0Uv79~Unc=WdpDA7U zk>_!sbRGBK-NZE_&7VJaC4ai=WnNi2SUEr6J@qDiFZ#h!SVHFsy~(#>uCXvc-~w^a z(8z4htvjw-ROG>jb`VA%w_6AOt*j_5&d#^K#PL0s=U1((O1s{0vA~WfWRz|W1AQ$~ zqZC&ss(mx@EEpL8k|9z*=wRr*i^7DEa3rdDvV^@0$kY<{Z`>$*P$uD&>`ZTO0rj0w zpG#uC1;J2b4HFO19?3WyqECV^pv~_eTwL!vP*h)BAKu!1Y1%KP)@`Tmjo%{^6MvH!Da$GWXmI`p_b2BXB)Wn=+D4uxN$z`BvEgfKz(ChM`9*yl5^CptNNJv0Drm5SpSndB#m}g$I$< zxQ}t1&i9l|-9W(=hQ0JO6-k{M zksJDpf+WPVzpstvIp-An9*Es}vG=ne^ty|$f2kSF;w>FoOQ;T18tc)_{-YyEZGI=u zjlX^5*Y>xOu=c*P$9CaP1-pW?Lm8j1r6Wk7_Xv7ef>WZpM^Yac%^j>6~{iQOs3*8V>IioBs=?k=lCZ5sch57Dc7fXBTmLg z99mR1s3LG{qM0@tS({9#i)mZ8??OX`E!R;aZ+hUGz>b}B0|$sB$8V(Ll!)$UPw$Mv_?NYrT?@2Tx(j0aaD>&r5iYb}KY+M}o=7LZvr zrKe-yQbrwC{1#e9tVFG1e6i^I%ggdE-1NcXQL&7zX_(9hVbUoEzF1O$9VTcK{3$l{ zO02KsZJ9jpPXvUer&d=($0C|}C^|{*9@rwzs(EE^5 zA+!~xqQgH+-~Aob;PT~0O5a2qm+zgal+3S z^nCC=`(cVsAoPV(i9hS60^;GTcUN(&SAvAc-bNMj+b_NRl|!AYta~$N`zh2PTcVhz z`}6cb7xI1Gp;~*{-6|QXpk74&le)w5_k+pC@Mr522lz_?f>LDbMu0%#`DBJ|d$7Yb zW=Y>9%RaZX?!~X7Z`21%mLJ>4AgxbQyP{*>+Iy{!_AaobGlU%@d%h?qQ+))(hRKfc>%{E=pF7)4aw`_T&4MK~^ctSp zfZAo2x8b1s$Hke2w1F-dgIT6EE7(7hPfZo|yd3MzE^|@4j@eRLJ=gvuG5&held_kG zClL3Ya+hgYZmOj_*XRJb%C&7Ay>~BH0+KerY;3n`bIe(z?G_ou`{A%@c)TWuol1GGgD!A(O)xjuh_f}}VgtKL z>M#9^!_dbcAUs?ypLxQh{%G~R25Pg|B=_7@`mYoACy~5Qg!B`4zMN_e`cXJ_EB^qV z9CU{>f$*-rFF@ZtZ!K_=?DPf=9J1JPNBjK!RW;dXb)R1N_I}5$ZJFy;wT2JPlKAsj zEAhGhTw3VqM9}HA1b#>B-eLwEuU1xYvB3s;KY$sp zsF%F{-s?W?tb&UIwZPY2#U?IGk+g0k^Rgp}+_%P7on~~Ntpmrbr7XKcqKzH2Yd+-h$&<8=Z!GQF!cq^0 zv=uR4OPN}8(YF3Ix@6O!lxC?jM=j{zFMalPA{W+lH+yn>j3eGA_BlQjD*Y(S9kT%6T)cK%CxDQ9cBZ1pAZZ{2rA8BDUfT&lLzTe6P_?Tn(BheY_!+_a{>Xy4d{ z(CcDn7K<+%waX)7!kP%g8H!uuZ5gvCV_IU8s$oxj`;)3{r0vxwa*||an?j~*O$fa0 zuHNC)h14x3k+@sdWZa@ssl9( ^X&FYg@o^|p)3(Ul z^f@bNuCJa~NB`iaJ0*TB1(S{!e z&eFa#2d(Vc&eTjc6L>LP;3sda#G(fEgO0Dn9_7xie0wjmzX-6MLzaJ23}pwlfZS7MA^YQspE?>bFtBBsRxv{YyPm(2Zpsy5zetWG zh=ux>){qxwB~iw7A{c%Fz3`rvlLwXWb~8a?$EqPJ*ZN2Or>)%sXVMWGy${F)Sk1=v zx5b^YUSjcW74zE8nBOLv?3zv-F*;P*-Q3MmMU8)2qvG+!u?>mTJ}6$o=C;fS*36!M z*B9S!EAE;rA34w&QR0)~4cRXF+VOTG92bu0btR}JA3@1VV)eS$6c>>)jRtQb#I{R> zWQDwxo%Y+{q1Im^e|ZB#COr8`5s8XLs%_qVY5XiN$VT5P<$MC_;Mdr0ek4wrMX$uyP5Az4&HB-$v(^x1Xy$BuXKNV|Km2;CKUsl@WzC6AxcUSmHQBH^3%O9DWE2 zFHd+TXQBaan|fXoEvYz8`gVu=4zMx;gyVg~2OoU2qNHnQpq?y#Qt7Ex9ac%sx@*qn zD%79|fd%Xbz%Ge$1=95k(~VFWNF6|T1(bm(dZ^1^+fpH=cHFDEiLXy1cBwQ;F(th^ zBQr;{ee_szWP?hM+}+&Pov`Z3DNVdotkNmgqLjReLcU6g7@fRri<-Ud(D0x z8n3%b&Vp?i;)Qlm-JhJXGzTyu(}(BAe-32u1u(z1Qdx~w&#(R}~izf_c>sQ)O! z07Bw=Ij8`xDj76iY-U9zl_vgAEJ#CL38+8_7qiGG0ebj3uSkUz8Fwmp7Ns&L0B-!v z__y~PTX%ds8Mw8Y5s)rr1sLQfx^V>6dtPTul6BcpvM!`4^G6L!j#q(IVsV19ILw3p zuyI#Q{xTX49DZ=;xgog{2SXP&Gmd;hc47S;HvI~IRmI&N>@acu4~wU9Ukz+%5_vIRpP<-p*&Ypqd>Y{NLv~Jd;TLr*Qf>)XCeN?xwad=pu7USE7;rf zA*!?+;$p9G7RrfTZK;K1L70nmr3>fXxM;~5C2T2=wuiPRhFw%gYG=2+D6p4)^kRwFC% zwA%MAQNr?i+Sql4C)YkT8ylGRrlNx-oosaY+@*xF`!N6;mo4;o1}zXI5Q;2g`li6T z;*b{AOgsY&49)^f3uqS3P_q&JWX8-ePrFWM64kT-jw!!P%_;7-P8yWRXl?2b@v5-TT;fB~h4$;4MP`7qBEAQ2Su z0svF%^}gW5Ars1-Pu>&oV})mTh^my00hb?p#)nPZnG-*sRm~B5l?%8TG09=N_iK5S zCH>5{{TGeH?A7`YO)e7-gX1uK!dU-1T_4E!!XFYB7wTBMC6W3hdcE&zs@6$fh?y5p z@1oj-BSN88&c&mUAs41=wBy0C=$TIZDEeA$vc44J;c;7a(@I>q7vcs`wk4zbmdaH+U zDw&@uPB#^G4lX5XnlsZSBJm7Diw^+C**DR;~XE+#B{$TA6 zV}z#@oDa!Ho5(nBau!A~ZDCC3`1DgTbUsJob^&X_D0NTd%`IXtq*2V&ZgAI$R7o2O zkwiM(p11cs;&>u29IJgzYMG5zR43p{5s@zk+r1O~JzP$&QR_%8xNZ98NkqkMvsL8Z z9Vb@z+=yvXFU~hMkr}z_4qtG}?)uTNMP+h-wcgcT`%0B4yaVjAH-T(~tk^?u2hC1w(AmA# zQ4b$^W*gu(XGUjgg*-l%=_&8Cs$!K@)XPAYNu)1AOhqy^xGV?E05N^#gE^mMOt}ds z-J-|z1>5~dEvHQCq@Fv`>FFxg9aK1nYl28MUF3U(C9c)2h)4?IOnkE-H24t$lMDs7DAb+dA@g@{>j1 z7RWx_t6}u#NQyN;@rjV{={5B|K^5-6kXhm>aOyfJVbcR0x#)6G@E@_1iI`ScK1YBN zcnU8){$`+NK4SVP|MY@8>^tt$9R%>806tD;<+U{;FIM$<6XxLL9AiV$f`1;fy{r(J zwR#kKhn7S#y3IS_T(iVyRB8ZI>;2k*hTVFf+QiqE#AHgk0+X%En1HGq^B)AvWYR}# zW-Oyk{ia6HuH8hAzQbBNy(e5G6@~}7s%8jHKQgv|j!@aK!x7@+M;!9VB7+Vka`aP! zK?#XX4{rc5wzejsh;(B$W;f6=Zmi~l#0$L3r%x_pURflK38*j}AqR`Qww}bOl9+g= z$(P@s0#798=dvc06l7JD)#{=HoiFZ?)NALN8WbNGyVuDVL|p?koC)Fa7k@Rrit3bp zPrZJ9@<-BxAi1=sz30eq?mA@JB$2bq;Z$H`xC2iDtA2AbOc8`?_= zcHYXGnbwzerqBHf)SOrOcURGVEh~e+P@2FgalvA?lx?`c+bQsP5Uqe$rLrr=`4E^G z=aWXN#igjvchpqkZ{DZY5Ctj)I9op!fyE26zgEc#7nXXh#P5{U%>;$LI~zej4scS+ z#&_U2o@uEqpzLzeKP9Xp@P#s1sE|w@&cjpmT#x9v=u)4-oMTsaaCGk%Cb0%iYaJ4e zTb)mHGo*O>hs5@%d;5p1K9b-3}_{q$PqF4aCIYrf{Y< zgQAhG{sDlbEVRVoahDf>X8`k;qKi1Nk<85f1!^|YDTg@s_smkj;eP$@1gM^M#*1R~ z(FR`f155nB7dMbwT|}RYY|#&X29lb#LeX-7!6Aox#RLCQ!g( zEwJP5I&qN=?zB{SfJl!dM`dNud#+50j0=P()6=$H9WI5TDx5$$2z=DGKV?#uResd( z!dI6ISn+$2Z@J6`LhD5UVj>`0H&eKDHpy83#|H|$;AZ}TriWX)&L_Zwt#8p6!_TLL z(>K3SZ_ht0T$rR%Kp73{5+s-60cxX-w@RnB>vRf0 z(}B{dT>U6e!5JG?{g;)1^@xo6ToWEL$Fh(wuR-RKeVZAQ6onTnO8-A3d2%2 zM~+4!X-|=WWtfW}{gKC1g9Ltjo5D{Mfp!;z1pX&Pt4xP7xBX&Hxxe942miAct~t57 zDhbAq6WD{VxG+oTktefZ}zkIp&F4JUb@>0{#)<-_a z(3@?@VDlyXAoTwm?i0PmAi;bin7;+|$tt-+D|1!|m z)9^t28aE4Yl)&Htm!A3&_!O3k4~us{A^gqomfw{dPWUVOxd`vWg4O7KN9JqcRQ%L& zzH*A%UH5b1IXcfeg;W3dFQ6nl_CZ(R*RW;i&#nQ3sQ1bt`9ObxD)|j0upz(PTf+O3 z>Ww6mhgF6!6mE-O+kMY<-7|DydLWmgetNaR513bLV#!~lf;Aozp)Wde%^v?8EX1C0 z`C-H(hQ5@o7hksz;$nhsv(5LlVIvpnnw$Tu@{qn*%>;DvsiB%&hR|ow-~pkVT>%y@Na(>vU9x~a%kg38U_Wt!ITI{Q-wuFD8#^2${e^9Kuc z{fVO|vu(gy0tY$k!rjNLkp>f-I6QwptwU-6fQ(q2c%-p`B5Aqwt%?$OG>Hs|I|UW{ zy-!&U8VjqAC?UgG;O|#fdZh7zj?>{Gua@7-l^vE$4FFGtd*JtrKPn&R@I_?i@5aro zMmq|%`vSo;12X6=e<WSUTMTUeWYuv0HSluC*OrIL|)Wk&xFd&x6ow9J(V@Vrs7q$Gb#f%ws69K56 znNH3e@mJzq{6nZ@6c5(%_~34tV@AMlH`Z{eX;SVBo4_D6pCM35)lG*k(~WEsz?dMI zmIvT|Fx4ySM<<~>BY_VJ5|5t>2tF{$kVum|^}DlH0;Ps1BG+#cH+uT`eXaOi#AP}$ z&B~}d5BDs7vxLVAYpT#}DTE~Sqqd4sRTRx|91|Ut48_IW;={;(U>Ss1OYeTgBm= zvsIExMx7g0vhL2jl2d+44&_c)e#@;7EdO23s4Q{ zcfSxQ71st={C?{E$U^SP-JKf3&(oH1N=y>yYNHlFVRyn=uSxl!lDG#%uQ8SmdKet=+*mnlZnO z$3(NPiCB_})EJBum-DH74ixcq< zZ4H+J20u>x5_l#?h$9LVDwCDL1~sV%nLtW5wjmJM#4%12lR(vz5&#Y8?!nT)wwBP7?I!5f zBjACUyB-n4+<*cfb;#2}=%-zU-HfL4)J%EodE4HK1v!6^7XuL?FcSQ$C0^e(?i92q zdaRyW>v$$^UkKxRW(a7TcJsD!dXl}_XY;xB^dZw6+9yNER~1A=b{Ih4g$t08L!UmK zb;B251qTtea1UfUAROwMgFQb37)GS&e`M%h-IZJX4m4>0QozgEU{8Tf;kNg*bWzD& zGy-FS31l+A7qFunAN9jI%}Z@n=Y-CvCYZhM{M=W8I3wG_&MBUEw^Ol7n?C|p;1p0| z4`{on0kqcGE+=x4bLb{%*e`@GgIuKuyDkjVwv;RM@Nq)eH=ohuUWd4_Mx063$-43x z*@SJ?%`Y|`r(w)TT*Q=L3JRfi@B6D>&LYk4EFCl#1H@6kv54bh##v`$X>nBH$3m&^ zO_y;-`eR9H>ydC!Mn$;!-pd)bK?UOAv%B;9<)je%+<7a6!;u#E)7%~|wdRvJTuYn5 zDgWg$&_f@RO*}3R(pyxc80^h98z-=R^eRI+6cwm`5{6k~uJOk)45s*7^;@xn+*L^C zgt~k57x{-U67(h$V)JXv&8Oc0nDf583RTsUHJW$Pl?Qs6BJ@Or+_Au0fh;{cX^~@A zm#EoHA^aW{=KLTgm`z;WArAI|4Gu_Di^U!pgLTJ%j*#E)C#rJtcIgEX*gV8JfAsz> zAf+V`Y!@V{qwDE};6%^5=}KLN05n0W-N>(*(cKv|fKDX-mw!S^Ve4-L{>Q2{phoF} zGYPg?a5f1XLvZH6KPgs@oNjbhUx9q_7$SLJifQs~OT#UU2R3aho0-H9&f^|Jlh%4wPas7eLR>bS4x*wW{1^;Y>0QfC`-nalEKj&f*;*FV z)(lj4p%NySKr(tyW?~cdL6txeXn^&J{CzY=`n8V&a`R_}HDCj7kmccI$6nCNg(Wag zUivOA2HlH8&2*@cs7h_q6i6`Zb4J{3;VOhK1(b(+vlddaF)KGe%5 zjkr?+p;fHVjm=UTj-+N|F;gjy01-(&;ME*hZyDZ}+4Ogsj7d4T&H{4751qGr86PR=zo zkOp^ZfxD3%18Sdz*1Z47>fpsZQ4KIPPSVycqz`e1n8{Eb~TEx9@mZZe`^dGUG z@V_h&gK2aIh+S}NqCr)yiOW2q)59L9Tn4O-|MJMV{kQc1E*)?S)K1KRiO6I(VZrju zX(k3RJ~{XEz-U01GR!vR#jY0?q)sbvpa9+NRxpJMgB{Ve%!AS5{~BtwZMu08=uIjSoCEV)6yip6&GdxxWqltgC>$fE%MB@^U!<}`E@=D zC*?IA#-`y@eidrk%&;mpG%XML2S!3z7XjQ?=w)Wv8p{V+(_d2VlP`1SK473hX!ulu zjK`qsE_%k=;O&3AE9SHs260|6e}o4b^RjMuuMTP?Up6SLXK9HKdZkE7C!VuXvs&p` z34|uUncb&_+|RF5p}p)~h+tU=!^f{)`$&tZixH>iWY2q=l8~mum|MP}G-wREqW3`C zz(pwi3jJ_VpiuIz9n?}1?tM9XRISu#OmC@xc1`)V#R0_2qlaSwbE`=y4R!>Y4r7$B zUFqV6V}rN1*4gcZX9taOZ_EJdYNp^S^m(oqLcd9G%bMZe3AMjZ@vk?_M6b0OkG~cW zv9opv^Qm#v9T$&m{G0$1CQ{fjdyH=;?OBKhEh{(Tgsg&Zlx(}O4-9EY%ET6!`aF!< zw}yR^YFPLoZ6L4r#Zq19EzO{7)lYX3KIM0RIT`Ni&b>L>rc-2BzqtbagY@*J*1O!; z%k6~;6EQ9)cTDQPI_V^#g;X96zQCFERPH!y3iTw=Hg*q8)f;7pkDa(1>x{mfOEK^>%*(bL4>D$Y(pH|ki}8A9vRC>l$64Q0F6#I74u<>z`Jjv( zW&Q@f_*4!3BmIFp%~Mv(u7ThMM{H5T#AVx2T!8Gq6BqhybOdWhEgW)#%f^U2mBri9 z@V%gS9`HFVU9}QoRYR_NXNq7sTsy3J^5IZ!C9e#bVB_+_1$~h?twkc zU5EP$A)zqKvmL%4CIC}~fvR`-wL===_SF7~O=aeHFd`0&FOor8+A((Z0R0&=#|-92 zi(=hZeZ#9EzgpfE%emN&?!8f|`K zTUDWQm(0bw3*;Y~i=d!>V2dh_t@L|_4qdvm`~z6T`g)j}7w+!-q8xgCfkL$mGkaNW zvSu!&l$78x`qOJ=supy`j0LZguum&XP0jW)Ywb>ZtbDzAl1pW}=-8%)=g5Z^9?I1( zb@jJ6!mRrNq2-V4SeW4zYU-2i3LY});-TA;^m|T~wuD7hd~qpr=F=K=MIRLR&<3h%B?xP;u@DcXB}xk;PfZOD z_GvzKC46QGpDz7aG?%i+C4A(o{8(IXt7WzHg$4eA5zUMgTf7Z-reXLY-**uwyZ){QuGY7hY=3iLqI)1&>`RP%=hYGG zOwKu!d=AJeP<;1#Ic=P#xDrsd|=5QrB^Z=Z!dx)UnaRi0N- zoDs$gt2e*QB>G&o5L5LG0VcqB&^A6$!@2gZudp=Md~dweX+4IsgL$BPbba{Zzi*Ia z1Ct~eDibzWjWA1WimJiDW7#v=i}T=Z(kq&jvQPsmrb6X_|k!hD*tON(JmSXZQ*l6C;&^$@Sd8urHy9G9i)~!i{7I}gv#-T&LuGAO?Aed) z1Mdpki@wTT;^U{@aOs+`*cPAd-E+gM{`k;KTq@iR(fl8$77zid>phBM7z8MUj5T|xWWvgiXP2v_5mX(ZqgZVG{c6}*zxccwmS+sn86=|J@ z0Fbnq8aFo>VMmea;47zfL-qeiVw1-R%BsN|ee`{vsP$y&kG$7%*+xA? zq!g8L&yo1Z5t-}#_LKvQ_pY3zFQ3zaRts56I=BMTOW-dASXen7{+^0Qg>(k-$v2KQ z6T(Q)LLOd2`eR;A4mD-}t>>rbYPJBBtw=-u@}bE$cEeD% z@W=jA9tx-O(~A7=_0!j<%Pncc*rd>XkbqV($16~dym0K_B~|_*d{U?odbsDk{nA?R z)IT`d(uvmlpnvVsC|!HEAwb@wZ}4 zSH|k%3P=l4H|a_^Q6MIS0Y^Q~SoaZ)*3ls)7v=V}Xt$-@Wwq-a@Fw(KK^OXKm;KL*<+2@Hq=L>Dl3B?o zwA9ump~3b7Vf}SNRJCixs@LPuq8%_xAsu3yfxKRQP!7k*sy6PO=%?)5I9oQ#t*C?n3|!OL=m{RyCVubo?lxFZMai@(qE0B_aw z*YNz0#LFSAEThD2Tckk|0S3lr#{(I1#8e=g_#ck~a1GbNDj)sQ^Q9q&%C0>R$VMF^ z^j}+cEG}Od%p2tG7eB=&HSIbo^1{U5%i@qlGx44yes8LhVffpQBY}~7g)RJf&n-7G zIF-=^!V<6|L(c7PtT%r^pp-#icOLkeL`6U$`KSc-v-PFW-1r(yP0gEnGDgnPKd!g5 z_ASzNU+V#o2KytPB(iYTw6$(W&~q|cK$UYi_8~&o z3mUE3>HF2n*V0`%RXUnFUl#m0NyqP(!D4Kz_m;;4;9~@yIaA+oh$X&lh!PR7v zCjRkqgz?U8gN|)B85wv9S@VL74E~k@`8y-tO2O36N?HS@7{`b!2bVrP?bKN$;Iyb4epi0#5 zA!454usn2e$RwbNsNvoU$DeLI7P2y#p|T&X;}57S^7EqWG$hJ7OQ!JWY3;1VZDn7}J}Yg}qRA9|QMdGo9`j z8@I+0zrU40=t~OyGrKZ11u5ecmx)nv-Oc?-PG!kQ&Ko%9Wfb!c{UUN)^CKH`>dn#9 zS>J@VW;E6G^BY}@in{){s`7-|rFfh&`~Vwxu=%PWY{o1ODrD6&p(s5Qb<0fvP5F9# zmD8OTVATmka8}qDzsETdog+Ql3x-UaHHti)u)7pgyo6cixckjJaW|2pDh#z-!AnS` zhZrXI=FaVxN)HEq>+Z=n8kM!>J=L||q3WmDu>rf=<7fg1!hi#GqPaR}FIaiSqW^vE zd=Gy1vA5zc9p5|4VX8@0mN7<5_WaxDra2Fu*m&bJ;@TgG;oUlYj!ky@O#b#Mdjd`M z(5ipdJ~|#KXIEvI=wBJirK!kg331zN^T=NKr3bilBvQS~vjHwH)_rlxI|FgX>*{I~ zE;RAZ7Pe!4>~Ry4nevi0y`bpu(u0{d{s zH{;Crak)ME`q@(y#?rIZ4`m?0=NZ_45x7K8RRnf3);uwy|uC+!&A{2p`r^|6=fg zkAw5hziTco%O28D?aq5sdGr!i#N67+1Kn(u5D296LQo&J-g(%ed^T13E&Q%Q>Yc(T zg5k?bs~@tS7aQu_U$al!sXf-Iq%P8)JZcZ|=P%_38bLgn?a_)($zra0nW{|o?~zI) zXsm%p{1Vuxrj!p7g%vV8*c6MFfTalUb(_BsODVltbwJYFUu?36vJi!4?ZjDhh*E>h zq6gu-g(EYtX1x+)}_R{Z4N`y>?wczz!DJc1J;uS#rYv4Xgb8-P1S&hr-tmPml<&O-}sS9`3tlBbKdvDqzYovbR5}Ov&B8>JpET68nTGo&R`h zg@+{`oPTyFRDa}YHcvoflfy<}ak8W^ukYfDS`|FX`>0?j;o097H}-OjF79;c{lXi3 zw-p{-0_TYIU@$#ZkntO5KG&6xJrp_+sEh6ZsIEbg3-}n0dc$G*CB#36Z(tDY3IKcS zGu6u)Sg6I_nQ5GK!qc3VarD@$<;<*UZ+>sHmP<7pTK7ea0kJlfvZQ>8SgeUaBE4n38>OD1Fe7`< zwwtUO14OCDz!Ys}*?#BQYqDckaA%AX+@>L#5oAFVRKI$3%qJm+1QC2ctMq86KV(_O zbs>Hz5SpG?XSq5#8QKW;cWHfc<=mvfLUnghLo=xsjOHR7p+i}(+ z-k0SnxYoA^lcpoD54ph676H!*rrbE}NEz~5(-Y=6^qLPFmQH#FOXr^CF+rWvqNX!- zh=ln~KZx*7t|`AAv>-Bv;%t{$K7wb5@!5Xe$Y^NZ_hc`v#% z5mD`plzvt`v231A=XP@32*6-H-*&vG*RYoXDQOMyuYERCZuOWDupuK)&cON4tlK35s_sBg$aUMacEOWlN`Zqjq!rzk zg7aFAi94_)>cZ;vfAK#yhx`bqys3)*YChNSHD_+~Y>e8%3+7Y7JPq5tCqUdP1oWLj zzYAkDmQV5()o=(B51&iSc6s)N{ptFa&c}yt1J|6<7Kd(fm{=}#{@U)Emd#4WajcVI z=(qIcV#|KrBMusyRrM&%Mg+OoxkuY#ceHkA9hBKet@#H(lL+59M_2bB)<1SmF5%Pi z*1p28*OC$suWaASroqk%Qx~`++jp%E=wy$&U){y{c7oh!2+d5FG}wCY_uj8o1mLBk zn+)$rWkL&>j_MJng!wJ{2nG*OTqhA7hTQ{cozp|i0EW}M% zS`?ioOf))49kO#Mz#NU>1K&2)ErcofEg-fF)|r{=P|_#?o0RaD-b_s&y)J0Ly4t3~ zH#{NsLoS?CI!HmOJ)YZUvZbksf1`vWxlM)w`lFnCH+_@OpHly7zLlPPl`BwjuwX7< zgdjuyyiWYU5M8&~Q9m$L6MjQp^hTk6&F-tME zqRhzmyJJ`-#Xo}if!{8zH5Mf|N5kB_JM90QO59{tV{1NQY5Cr?8Q0XUTpAFM?>WnD(ewJ)Z#d?Yht<4v?RGm5vWE=tMBABvmbZD`QglrKZ z0L<$r3)EIv5ck(a1uc8(^IQ`SpKF(fzYmS&C8S5M!q%@yL)1AN8afBe2T zUQd;yk{HjK4YR))QYUAR9E?35Y&5vWlTpURMp@h~X;MfN`$&aKE6H2FY;43d+v;#e zrSYBL#3)XWL-%jfG6BJSHH1k>@^UzL#(}qY_DaFBtMEi(-s>mPzu8_aWdmoc@@owN z|M=rF8RE<{S{%1AWtSU)kFFs6k{xvMJFlPQ#XPUfzzE0eC?ax&t^H(PIdYHWd! zHwQCdsz>1fM-#%BQ;9jREmLqGC$+S}SQ{Q80ra;IG>F2^T5fa-_-og{#|k#3l%DXR zz2F^*w2%OfF?3H^rUUv1Rwio0uaYd5`2@@Bhf8dQds17m*S|j2D^c(m$)4+^94~6 zt4B<+vIzyhSvJu#oe!Pan2|D3x!mzt{w?#$+67$VX4pHKfa|mnNKDZmtnIPx5MV>nK>Y<+nl7y43T&4URReM zcJ_Sa*=ljc;$8*YCM@!cPjyK4h@{*t1@>b6kPI5b(^6-KTUq(1gZJ%Iig<`sYXPHDft?lgP53k(kwGfGXDxpWg6Y2?ngc!4Dws@&{v=#dW+`T_NhnLxQ{)emj z4q_c+`g&#sieDZew{xyvAhR<<@oW_DP&-ZvU1N_`sHF*LB*_PesNg#!GPgu)RpA~C zp>jeSNVWq;i7=d2M{WWTu|J%j#Qwv?Tkpke^kKcP^PRd~7W}`68A461xuBj7=svof z1KjG`XgL(sa_=FjR1D^1a$;wU8P3E~?Tkigs$l=y0MVAN-u~LT^0+u`b(zRc#SQR{ z!>e5V1os=8z2Yy47G(Z?qmB4Ww|t z(vsHo&B1IA~W25b;Q8E3TPYw8(D)|Mu zJ?r2S%1UO6wXa~GS3=sr8sqZnykf7BXA@c$)x1YjXOCGln4y3laMSh-b;O=&+ow-6 zu-}hPT{U|c_{WHg-MI&kp#W;XaK0K{F3{`CDX6itI;wJQ+wq@Anta*g)}HcMuUDD3 zVdreS(+ET5osv(EysE?b2_08p#|~fV3r8=+kySnI<9DzUuX3U~e%^@?I%4Ymido(S9dCiU(6wkSrtss^d_u5c9z4`8Nh4$hX zr6}Fw#`E!yi$rDyrY}FmL$fSLIpLaq0HGGOurBrI9J>9Z}g8z38Nnh5%N}xj+ydPzD*nW28biKgSO<#ASO9%9P%Ez8OMW`NF>51-M z<3Y_u8EY(3%cl$ZWJOOwe@%1C%Nbq4@mC2&x2bo*xV9$<`LU?zG-Y9IfO9}cTDefl zt68gE+@0eFbK+Qio5d20}IW$;Yq>M8v@u!4E$P?Z7ea?yuv=$)t)Cec-84z={7$L*!#yOZv-v3 z4$)2#p`rA3`uZS81IS^ly6!buHGnt^ezwo&~?HcU#uK+H|@&-k?kKQo?)D=ve${ zwP)ON<#U7zpSrqPQ5PJ^2-?B!B%)wl-_gVexaxu$h6()DT?R8aYQm92S!>TeD2{D( z`zM_NB===fz~OF6GxGwY$mathc#)h#t%gb^LBhw0&rS3t17QAle8@{N$01rLsn5A6U+H& zaqjig;7cps;1nI(X)KOHnFQIChxB`-9EcK6+N&jtOc-<{0}^ z(KDbZ$qU9HkIIH~twT#iar^Wq$z9MOs}`=8{bMoqB%^i?GR@zo%uILWC!Mtvnml|S zxGFnbRzvoz=4igL`(yiNrA-@ododPjdLJ7|ck_x6`fjm z{G}&BnmD7u%O5@qpe9N91kL^~Zjg=>jR(=8H!);@DjB~Av4dMmpmOSP(QB(4wo@o` zyNbd?iT80wzdVty2`z4L%ZFa96z`h<6u zV?^dl)W60nSkL{4CUHIPXZmYZxZeo}T>hH2=0`Cb?Q$o_Yd8zoE~7OvYwD<9g|j0N zx|SnJ7>_0EEWGJiSXf1P68%C`r$w4Cn~LrJmdSDKb|H3o<6wdvb8MthW5j;(dp43! zv^q|E=@kFVmy#jbjN!t04fL#=lpiRGy>zLXKdSn#pAbw4q}K4nC0ZU7%^B1>^5mCn z<0CsdknoL>z7;*@M3YH<$Ya9D7B;u595nEMykfRw{KQ`Mh`rq`qn29AwHL;jdVg>w z*z~8qU+FtbFWL#5w* z<`h+_W!1RJNP-cql)du{yTxHL79hWGiX!e;5}EQm?_>YY8O%5#@q|e}=~(0lbxKMN zXy{wJ(b6~1bitTtKpKwI*rJor`r>;GA6b`GMbO&dTv|JEbB(sPr!y)Td!t6>zlqT|wo*R)v5CjU3wxlN_7-d~dE0D#3mb_fGi@@zH3=j+`%- ziag?^N=f)SL^dTk=|wlUBc;xhoji2OMMvO4(#sLk@w*vwoLvh~kY*~-twp|*# z70DOfo&meQzsY>Wuaf#TY;%mI=r)^eCtN!rNgu28_O|AH?Z-Y&g?KeTQcAUFX_rvi zLs1MF^DjTtT(L3K{P}P|q$a{!>Zn?krmc~=l6!c;Osm?jGtkd|ppf}@K1yK!`8e5$ z-&!J-Ej;cqmAHw79c4ZG;yCnq%H6O?cKX##wBwiwwD{L(t4x^h@Q>3;a-w)+C?kSk zG)cbau&eJt%Y8@R^aHbjuQ*9tdG5^~ETQe6U=^?z5+ynMGiFHrh+3ptvtIc%c96AL z>0Ui#mA-zY>xrF|xfF*azvAN~%7=*yQ`Ay!Lw_O zmM!e-&5mamIV#QR$t^DbrtCQ%lu`wL2GpD(kCuZZh(ujv_K9!0K8cC>>W;e4Y(|`3i{*%I5ZiEMO5yAe*D`25ex+xMW?qdDw5VCq#vq{K_s7#feClOb zl66<&jzVcPJvn0Hn}MKFMnqXGD^ujAnARo7%F6T`?@_IKPxq{vC!UPD$sW0dvt22f zhJx{2)k;P9*<0isT^f{NIS3+K=;_3xdJ|ki>g+MNHPlA`zQrj{!I@JrTBUJ&7SuC5 zy*-oPw(dk^-s-a-?{%ZejWot?O_gb|Pj5~;BPzDzPN-;f`SzNH=x8+C;Heg(^zFww zEV$j19QQY5jzg?(u++IQK^wNut2!l1Ws#m(!C>Bd(1l|qv^jdEu2n_?m*TXh8Lt)_ z42IlXgT!M#5`3$)dd82_PtNuw|2j**9kI*8bnwZccnOFc(5%bV?QS_P!%h-<{2~NA zSLr+A|B!H5l8JyW>=OA^)MNi)GcO3K;kjnos2aaDUK4ZXjRj;wa=zYF8@jHx5nSZraMag_FA?({av}%cU z%$%0F>}kS}oK)T_x{3St1MB!91`pUBwxwH2RjTa0rWST6>63-bWKktII*KOwKCbfYs0%ns-p%@1WJCV!7_Z`pWF;+IIA{)G*? z>7ue_Ot|kc3@9bBI`ILXv^G6<5O=~y6 zXqmHYC_gx#s>|uz!d;tBPGqV%!*`kUWLl8B9&JC~zzV{IM z($Rg@t1-x5Astr2J!;(i4!dZ1c^y03DI6o`$)^D_>e0; zc`hZ1M{kFIeg10(MS^0qiX=^&h**9NW;w}Gqq)$oegsMhJy!B50KSPMLOINT4~=uH z^5VtHf^62XUVVB3&B%L3KV%bic5$}&?`-0U;fm+6B4=GNK+PU|)9tzO;F!Ua2p8Yb ze{JXK>epgIb)6R5H@QR_z)@nUC;|-&M29<+>t15y@vqs#r++)x8GTd3hj}vHx)NT9 z&rvAd65R__x&v_Qn|oy1oQcRp7)Yu(?`F&=YBdwl)uRPKO}p;yz>idMI|e{i5-57) z#eQWkVPCLCfZosQkO;y6m()qsylny~p~VFRB0yUc5r~GYnd=WK06seNM_u1NwKM45 zewWN+E_y-EMeh9QfeGn;h z3~$x-qxvRa`qn&W)aat4c~5Kf70oa5NeZc3g zsQB$?Bt+g2&kqc1KYv_Zf}ey~4G9We57JfYXZYWm#Sw@Ta#s~d+O>Ug9$i4USmo1Y z041`$JWfN~QDRW>re2GOZkexz8C%zBy6;9>oTi^kBQl*D#j2!V9+k^q-Cv1enZ=0w z3y4FgDaN5XLf9#xGl$YXr_&QfwB}uirc^fWQ<|JMh(BK{I2PETqO$cw7r+Coo^4Fb z&_1^SC%jS7}vt3<~Cq4N*nSdUu zI-}#vYzU!lQD{U-v?gAk4AT#$FqetM79f7awmR`*SZ5r15!U-530Q@%QP>BmR1G~~ zKgUl)Vg?od1*oPa9&1ZKoqYCLn$^mx_zfBMJ#Crv3bmrbo23-hHKT2U4AX5w>G{1@ z<_TdL;2R$+2>pCY3^{x(Cv#o{k+%*a1HbsXN3UX$SaBtgx`V`>G56T~qge}xDuXj_ z&5tFDY~+r#A9i*Fkd?pV_Mc>8`vwffLyQb(Sxbc;oO6aK`3H4%cT)knT>r0zx+Y3G zjGA@!)2-7^u{@VrU=WbLL>so{_D$yn|NVB{u4hli`*9+p?-egErhnlxd-lm1Cid@K zjNupQned6&_i^?fz>OFFWIDu+Zw`P~BZtLQ_L9d|O-%7F0v19n{w=QDEoLWTtM(%X z5A`H8%AQ6DWPLMMBHBZJ^(mp5rpK1*kTAps8Oq9|fEJa6O5rM-XtH>nt#__HL~0$< ztiLb^+0j}bBeyPHRv`j&mGAP==pJNe!Wt#z*Z>_2 zFxIp>2L{lmSqE`|1`qdQOS8iZ&_Eci&IdjJkbrRJ`->A=dp9DD;eEL(MqoA<`LZLn z;$l}Wc+?2AK|bE_=ynPk934gunptHKQT{&onXuY+$;#l7)(SU z-B}s4`#%X!B+*M?@%&h7_o*Wx3?Lf`&?kWt;vo!nLO0ED{bfdY1_kdu>VEltl$Y-wu)q!!V%Q|$M@&iiv#sC-dC92K=1S;?y zxt4ca`7(NZ-6G|`YlS2X5YYdis$vSw7Q6&R5V_&gIqM`Y2D8(pwZJH3y%j>3Px5*N zq>27}jkVJ)z|*X|@QL=yb-6p7oZ1awF9pC7VTB275Rs-6)Ltv> zJ@kN5i{_V>BejHfKT`Jcspn;)Ar@YXvEZ>}9!uZV%nNJPwkuyzQ$>FY5c1NWf-YVX z*hefkDK<+${l}ismUlL+*yVA39w9$Am(nzkV0vi>e%@UkA!OJ2Sm#$~l2h4@lB>n3F#EmrR;fZ{MHX@(Q3k|T+u?^JI7dG|+6JPheA zS@lm#tsLxR-j=U%0=Pw~FNL2L`qI8S==t)#4|R9v4FDh=T#SjNTJ-Xp->3LA^1zYD zW?J^x`H)zFLijs~P2%92jy&AG)=7l)aStZIKn8Tk*Haj5;Gh5TIl;bq1>YGaK?5}x z1xFrK3KT+rzG2g%bJ~JlcnNBjSJ+UJ-Z~U(eUrPHe^wH5*YBg~b&&dcFAvN6Br@*p!W!R2V}AaP>~d^7g72x)bIf z*>c&Ob6z=AwiMT71shRWCTegvU3ec9EssC@h<5P&!;H6c_3VrZspi^$m>(vM-$EQO z_xy=w%)9v-^t5eujN5whz^!=L4q^BvcQ@iN>6f@#3AcWp=Q-rmymRxQ>3L|?T*zBp zUw%by1N@Ln-CdG$ywShE?R2Y`S}gG^I|1VNdW@zxLierhG~?sgRU9 z_c-`|CjZ*|*lU#n?=}HpqXfx>vjz#|4uE9`WFoN29cf`6QNkKXPeA_2yZzT(z)l>d zK@^XG&_7Rf3UM8qImZ9cXdQ8>=i34A0l>6eYkhw8EY?l?>V7ZWGWI#K4sY*T8zzk5 z`Ga5xVrweseBFASH;JwKeLVAPfI0CPt9|S;8WF zI+6b4Glz~e`Y|UV&Zk@o2s`&qlM{e*!MAPi$)A_qkvM61v-@uGiphEm8h_(={e4-f z(Tv=FQ&PyY1G&Zebf4Q&7Rbjs-H6eN+~><5%>D6*w=@xrW=Hr=;kP&ZSG&wR0=R^x zysxe&UK~FcyYP2{VSj$Xs>;2%Y3F&3uO|w_v6z^E$&8A3n7PmwO{|TgJK-wAfFH^( zZ?Kz1C2VJwzP9%wntRmky$esRRccNf8jA(4eZvLIi7Y8tVh%Sj0rxi8P1)G)Z=v{G zgw4nN$r&zH{y1!~fmaF9SRrQWpDLXySl^K3Ci9sP8j}v%EPs|0LigULw^n}=vOs>J ziP3F>VUPHD5^qOpTlaVc9FdS^MO<#&hJfw49kxIwb}0YXqpif5q|y`{3~}%iq?}es^2$^6>EO^JV=QyXi}5+ z0CyAhk+KUg?WfzNAdAt}zyv{6F@W1dv1b3Xs>^$t>zBkMu%8p<9345Fi zPPH+67KLFFo2M}Lzv|h^Q;jOE%16Av5NJ@8hZF+c2>Ge0C4Be%;AG#=o!T`>cSxO^`7$myrrrfNnxF4MZI3OM?6BYLO zLeiTMH-`=H5iom}@ok6mK+e>yP@ednu{ktc*lc?O;bM1%TbN$lfRG97v4pT5nXPBX zHYY3Nc1JmTbg?#n7ePuf*zNTCiZE^Atv9utEcL!*3SLbKHdyRV`~37!H-y}ko38U>= z%99y#4$tm(;MZc!-Osr0A~0gW--aD|YiX%)-tdiJuf?vo7d1m22!qQRR(^83TuuF+ zj!1o|3$m5Izbk(`(f(d6G%B1rv@?7Mj z#OL+<@ulh)*m@r5jsWnma(39{F<9GkxZm0yn8m@cd`C7v{o}y+zu8rR?~d^CSK&ko zo>Bk89dN-u=QN}s&b$>jCoKR)JGd~vhXjb8qdCD z+M3xle4dd(N@o>EGj@%tUR+)!T-HG4oa-i5>Zz9eUWt6Bi3}uK(Iq|bU$92F4+vLH z;KqFUQ>6bbw3E})v*BS+r4}`o3I$ptZTS$NxQXtL{8(=FlMsolLag2iz0k|Uz63lq~^V*6oyhg^-V+Kcy4YWzdFgbek`IsM@4uy$l~R=)G^ zxE*O}&OCXiz-xF+a7<8iD zNXs8piM61aaH(fL{~S)6r3J%DNfD?qP0fU!`*bF=&U0Lz6BR&)Dzgv7O*Fv5b2Sb zAzvm$KYS%?cj0&}=d9b?GW53cw{Vn2ojej(!9Mr1@J4TWo=$#y-W_gf#pc7CNTg%C zbLQRm;dIxY|9Mteie`6z7b?AdcO;cNDdxeZ%D2KT3k2kt!m-f&fcfnyZGRal4m;!G z8d353XSy>rp;g!b%&N+!KQL>Pg-$x~^LlIpEk^-|>OZk2#8@{pKc&@X@woR19^+55 zVj@bI+trdpIZM=r4e$b^)izksgg;hY_%M$TzKp3o z*P~FSH@kB^e>TZCZ~FN<_((sQN-Z#fgkB0?dc|gpO>=!ik3NgukHT3`%$fRSgFO?{c}I# zPkE!nYjO9yD=rMfruY72ptmoIjB$R|Uhc6RDfwML!L`g8bTd#lQtch%gAfH~fL+e0 z-lph6wuS9_ENYlB{UkObmJo-8MFFc*pyqORz1${C7|RlajLQIWZ|%sT=8NvGChsC7_zH-d^{LxE8On1 zdaz#?hZh0WYyIAN_t>Zo=3%6og>3L2DdR`Ps@F)rbKhpj*);4B>^93B1EPsWRLj1MX zI`wbWO;54BeYguZYe@XSn2xKVn@2;PQUtPfSC+wtD$-)u#Ikf0JtPVH1nN zUv6g->UkwD4)~Gq@?q^F*|cXfT;0O8I8u^7EYm$Y?%jFd;G`ZSE^m&e7Oo~%9RF)9 zF>hLc~86_8{%EcOq{=vN~fw^H?v31lSvc=}X+%zZK$v{EmMbOvdf275P ziII0Dx?+DywXsY8nkaj8<5PO4tO9;tjC8ViFcpYii#@*(Zk$K?nj4?l+7$sD}l;D;g3C#cGKSWH9;RiAlocT z?Ds0bMEPxZ6Reue!hQa2yeSHtb3)h?VxnbUPpSU959W>NyD@h!=fGLZln>H2g=E5@ z>m4PmOOHma7h5i-Ud8!67n2+f3?8ixTIQ)yS<VQt7AF z-8DVvF`;|wT1-i3>4XtKJJunPrqRDtoU~WRx5noI^B(hKeGTO4%b0j^o(M zNF`Am$IL$GnCEcD{d@a-fA`fNy6C!c?)QAXp3lcqY?wpt@4xGh$dZ~2S{pW~iX{di zvHPswa+Y3UN{;_%3}RX0JKnW+>O7e~c632IqG_n&MEPOtW4N2T_~@!n;aPhxH@GsN ziId6+C+o6bVQHaVYKRYwr&q0CzQyznPd9vGD9g%z=%~EX3en7uNB+}={ez&wW%NSX z5te|msM?tRSeU$vt`JLx3;Vv8edu=hC*9Jt9SuhWO+w}2cA~qlbog{zDIh_u&^%4{ z0qhiJOFVh@&|1H$Iq~5_WsE1Sl$-PgZbQvCSSL=cr~jkU5AryS*c>KAX4n3xyjor#yUp_ro}5m(Y6({RPMF zTo1o#@neuF^ZMEOiHdlqrr+haAK4fU7ceftjvwz%^rSodfu!cX_7C3*o|x7!1Sj~% zrt?t|u?K8IT55CO8HCu7*M|RfaVp&xn8bHo45COa%;6Z3L{yiOBrv$$l1ZZPM2`Rb}8pS6OG=kQYZn4+58 z^b0peMX2~>OPD8WA@Q(T>_Sr~0^h(KZP>-tY)E}Kfdh8(=P7+9^_Y#}pf)pg++@*N z!>)m?(kjES>7VNZ)ZYu5$DN#;_QegwX!SoW*^2ll8&zwGcBLRKMZojEPfL~CYP1Y5}%;v)+%qHL>pJER?y;wCbf9C*-2hOW@x20cw zxO}@O^bK$>UHi|ylf=-Y4YdWbd#;5wLM9 z6FrNd4;W$r)KY8A#P73))-Tis!1fu0uhP*AxpWI^QZ@pUx96r&dM$c3!ls~QXqWw? z&$x>=Aq(ah!Eqg)zq$PdA26${jA8yUZvBPjzKgPSjP#rI?BD8iD76Xb$(5n=`wsJI zIo@2VmgH_qqvm@gOCjEu{2WZaOc4kjF#mYA`IW2Kg5ijH)~V&anXO9I(3LnFc~ncS zT)1U!fBz4*3>{owVmk+}ppdwNuj7NcL?jsJH5Bhz-j*P6`UVADzg42B0n{pH@jT=SN zt+P3CHo?b-zYd?EoTprrg}KjP$k^A#zM+$2!~apf|A5i;U`#r|`?vs64a`3Z`rBV{ z!*eT+hOpj&5W&7eeE(R=>V82Tb4&P`G3==58b&UB@7ZLMDdmnaG<0NKv3*2RWaXj{ z7h?4=-9~0pJ&dJ8wnWe2y9g?9+XpT`C zo0gv-{IYK=9g@}}IzC?3hC5k`eaLr>0--$1!`6X5RETJ35#)KB$`2w{3RaNN2P;*E zBka!gtLO`#1_dFACdNPX)Hrn`b|dn9M9j3ux|1s`zUb=YPjpDhiKSt1M%I6(ryq-t zj@7j{cARA@_D!v=ZO{tu2FnVt4N3K3k%(O9-`TB0uvcXFIcOo)D0oz$?SWL;xWb9C zlkK~J2fWy-tri4q7S}{Fx8;R3hCQyU0+Z19>vMq<71K)6SpvjaSNMDE)6%p|^ z%~crL#QiTc6!bcNoV+K>HIMTQfn1}n(Xq{`5+^_^8>onH+nj#*GTx7R#&L1UaXW%L zT2XSFVf&tE&mGnFrUJdA8mPE!7t$qPhiN7g7hdq>S-B>kOm6qRyuY;TM4^NWpVWO& z;-<8eDDvJ*)5@Hy#v=%i!1|FpIPv0{_%n<=#f1xOAM9%&-<>k34{_F$zA&-1^0>M z=D1flCRgkr+JLtF!}fWM=DQc^I>p@QB}^`?8<55EKaw-cmLbvGF6nx6<{=QHan)tv zAH=$P^h!OaHFM{`U*Dk5zheVEhiNAeaC!2%M!s`&(rmi}7tSk<`6GF}S?MXm^Ow(u z32qXG@8Ny#cQH0^>iD~O8v@dyE&pQG_>*h;fA=d`6LBt_vWG9`X{J|Ct;9rDNvn39 z*Z;-T4f`>0c7d44{n23B{oIg)F;~aChqzz;sy4X+Y;dA8+B#vBhGN^{?uNd+H;&o5 zjUJDIm3Z2S*Ys-~{e%4V98){O8ZyeUR#y1Tw; zwWSo+`{!31nSHk3rpKvzS`9{hhn&D&uWmS$Z-N{S&K+KeANuZO{_6^8@zs{3*x?o0 z?m8}f9VnruIWD@?OlY?bgwNCXM)=2U`T4gy9ovst;~Ps*LflpiY!46W-4V?<;D*$P zd6X(}5Mhj?PeXn~f!K^_;qfzi5Cf=lc({1_w8TX#gN_7?FIj z2u$Wz_kTqH<#<(%y)!ERh>Hk zm%MEEVoHL4P2$DTQMJC<KC_wPe%Yr85)xYwY24Aa9EZ zvi|l`OLf?&Mj`e2I93W)%CsseD5+!#c_zk`U+BxOUHD!5<cO5odjVgVa7`JlnDY!=HpybmHg>U_hde)D2Q2P z1gvKNd+FfCZr6~%P}X5Z@id8N+i!a5zxP^V7?MUp`!I>b&*fc7Sp*2fPQ4^{{%twM zBLtd_Kln^c-Q?G~KlY`sz{CgMYLL8mn6`X_F5sV{DI}!e^nFLXZ@!tiuCn5j2nk!> zwUv8N-?+vHibS%Yt&fF(&m9S1&zAKSPPu=tXy3{KZYy|B`%WEh*raY>TNKTjQlHCR z@8`^~s1U^1;{B;OH04*M$1hpz8KJssONaaQPVJtr`rX_IfFbWjyE_dp1v}mN-hi+b zb@Dc(o!Sw%+_5gZwY4|+1*CA9Sl)=RpuQe{x^Vn<4e>=e50=YM=mKA38iu3boh>Tk5yY1>2%#H1!{}<;T)U{If)y8~(a9 zU|hw8oh>_yN`?|_FIy1W!7L04T60Wksv@r&#fvB;?bHVyrR}HcprK9tVO|ksue(T8 zI7$Z^e^W}u5ZL8aiQk;5Aje?rnu!S)un_|$A1DR`g>FMkn+;8Ptdz3w_}#ffHQjV7b9CLs~wGh#A!$)pvrj3a4`U9?|! zpRGG^%WJ-m{%0!nh&pxTQO$-pmwBy!|Zez;@)h5?LW<==4j=vD&(G%KkQD5bj(On_~xDH^aGQ-Gz)uPZh77jnB>-Ct{M1> zUig+TKj-G56n&^wO!$Fl2?kmWXe|_Pd)P8-%EUB&V+<&?*wfCdu}48$F;GGOV2XC) z>%UtVb<8cXt}8ybI&S*3YGZkhd#1-N6+q=Zd6KSAYKMm7^m;yboEp_qWx3C!N!Wgv@F1hNS-vDqZ2`eYKRZ?r=rCmy22&n&h>4T;`D&*{=pf7p21;g> z%R!qB9=!HqCv=21dzy5w-hXns{0DB?Q!pF~(wOg3GHH2Y0z=Zr@Pd)T zS#efZFBGWb_1P?3nnj2-7Y(&Svkrd|aq%b{jC*N5SyJ+_vnu8qe}>Olo)FQDmf7Yu zCo<>X8d-;-T$<_m;-s~7VewNnN1jb+Z93(;8ac9K>Amf+oT#cCW}efx@H)}dO7{NU z%PZXw=g){0(JY2C0p8FVt&TQe|6gD03}`6GUAfV~pSsF2ye zYrwP?UNc%2VNK>(H^4t(m5l+&G(@1q^Z{x-h|lFEI(!ObOwc6r^yJ(fRTm)I5h-T7 zcaEko{EO{r@KKKtNYmYt_-PgoO$v(8|ceuB2GXvT(*6Ii|-SVj$m7 zmVc6dM4z<%j{0@OLK%Vl#*}=-Yx!KZ=ESY*jsoK)jv3IFqjc`q@)uW?GPeGmYPG3J z*;ijgCGU|6d0Kltgw^Hk*~sV(?ul=OytT6zOZvtmL={#0=C&3JfCI+Ney(%trY$t? z2(3c*GuF=~CHY{*mZt9s=^2uT&%Zv|H>bH%U1`)#u}8vgiyDL^k*CN)?#_kQ@4ZHr44Df5u+l%yIK(n4ArvGV|*v|T}=KR&bBP_TrZS6w4XBjH}#oFPL4qqHK5+dG@z%8l^+<_hGkLLNL=dud|C?%KkF+W+QtNQ$W`wzv#LR zRMMc8V3_4z1C1^v4K?lhM9f_=!vqE07_vDY8(6md^5CD9sIMn%UQFVB(LN)o>E2Jx ztrgMimEMMTDr#zuK6SxB5-Hh^(im0U4no-0w*k=zGR%E7_6@}A_vKJ&nL1~EAw?MKRRUmI&Z# zwOkyjG&;Y?(G2}2J>+~LmyT)kcXD!2gZ){W>)u-0G|bK!{=K6~zSX!0kKekX(-N=o zci=W7>vG}qiO?jkCGVHUnG1Uw*oFjspVtXV}X=z5GK?=Us`s)4o z6|Jw2A|>u(c(ZCbfe3>&Z*N&))vyGypXw zAAS|b?NizDAF&bqgZvmB89t*`PBndNbLb3DKS!0X>}HN#U1$$>C-?%x(0Hm|O%_iY z8BFlj0T+q3yFOS1hD~y=)1QAGYcu?Zru69Hx;wD-?Jo7$T&cGe$s9XdGMuNJFzFA$ zo^gc@km!ZfcrAI-zpmfw32FS{ugTHY{Y zH&>5Q?PpVXjqSL+g*K>owqSA_p*v#x7Y9J$KX;|t48BDcJGh4Z$1lhca$SZ4G+MuD zh53&az#fi3`p){<)!pdcrk-to>c9t)JdHYa2YJPZOWPyPep{JLnep-Y#gUOr>b4VF z&)R6OUGiY?C4I$QM#)&7xm6Z2E17&7{f-)W*ID{09W!nUP8k3*lRQj0Pg+S(yH{4S zCQ0MB#oB8O*DX3s;K|;H-2^f5F5(zG*+ajZUl4bVp5X{Y&UcbV98Z^jY+R0d!}!f_ zpsS82ql%Il!BQ^lCN=X`1mWyt7E#2JD%l#$l@=4tCjQPFJWyRWZd^U^%W_lQ_xe$I zfqF#HrfUfI_tu?{&+-~^(=oEHJF1*G7$@5uCa_<7i@h7Tr02_|=jL-aJ=Zh66eFV~ z$t5nOK|1w6v0rySfn`2IY2fRR+doU?O&;NppzS2iR%ZLnHi9gmKEqI1grMqCB~fR13Ynk*r^Fg< z)q~tZ%c7&VW}=64p<-*Uz%cuRjqQ8&@y{2~<7Vhf0WH^I&%XjV=N9x&SeX6B6i2>~ z!T0;q<9D=(vuBpKaH#0DyKZ3qZ0mCIJ%n4EAdhI>|cOJXjD1e%vZYJ?LAVd?EIQ@a`|!GeheY}!uoPs zyv1TL-}H^RaUtx1;)9kiHVPEMXr+hThwby85P=JSX9*FPa3S%%_iXw%Z*%G9xhlj< zgf-g(#z#rq*CdbLOnzAP9RNbQuX40e_k_Eep3q}uzD~HxyB|%WF%k(#V#jk{v8!jK zJ#kw(C(7E`nQ?Sf#3<*ogeGuT07fH~tY(`=S+fBj3ekThvL`@ndX19R_>%eZ;T0~i zn)RTzPORzHx`BX~3-jo2k^%8S+Xd_CFAcC(4uOg;?-@T%F@lU<9 z4m80litD>LQ9+;t*y*))<{jAe6Z7hmQ3{?at`>bj@X-5Xxfk|e04(((>0X(~YeHky zX6D1`E*tb9-YS{$`zPFc=c!}k&)LMjU%8x?MccE-*l~Kd{EV(t>%Mt9yuFiwWe!02)3i63#2CGLipgX<>hGs zq189fEq{xRxg{okWblOqFUr-l!ZiaQbz{+Cbk;_02Zc92MPDy# zRpPy<*iU!i-w(CwsuYfWiP!_!ztAZr*`{EEpFfOQSa#EgM(xsM4UMn-pRkD3s zA$%*x^(Khs0=qhLY5j0_ZN4OM!-3=%ixksjlcg2876kZSM0dtPX<6Q9FWOr=^`!)K zQu=Rxag3lg90(GnP#^*1lACGdWCaq%doD-?`wzv5%BeIuAdhkFA8C;D%kaM~_Z1 zPnie_?N8ii?CBsl$@b+nR>qrOx7SbL)-RFjIZ?K{>rk)X1l1y+0cJM0lFcAG4!2 zoF+#~c%6ZBNvw>ARt*2mP(+WT_?BhMeiIjuCZ6E=BTF65fB=1O5xODR1-p^a)46;4 zxKUiHba?DgAeZW@#k78iDnu5~Uh{o)zwbc|=XPY-NxhX5rjv(zj8!)?RB;6vu4%a; zJaAhZ!c1RW#l zd~3N$uZR_ic%qLcY$Yl`EjhnzvH8a1F%Yp8F4zs7$>d@Qzvn73mr%+>T>1AQkryGU zM+{ky-zf}#9CNYkLT91y{jM@{e0J0?c}VonO_L9N*m@#pfZlfbRaz73B={$p3?+3I z;fV-e-Ol5-*CxO6YF0v2c`WI~P5WuYTV)JSS*LIO=sPt(9ELk7vaCa7ExFP7O?C~q zj8HJ>~mca-He0ts-L7Kwgbe!S;Sfz)MEe?`Ng&IHX~K#*v7j)w}g z;@x{TY$M}WU zx~hq)gX<4ETHH1rbG*{n={!(JUlhA~;c3Sk?|=iNT&K9T&mI1TY^(E;(;$r4)s_JX zgnaw0wYia6*YI`}LqgO&xm)e6$I(3nS0D()u2FnLH!T;#-&mw0=e;hAfCUj4GlqI> z1ql{Ugz==+KxQqA43~TsLH)_Cg9`_vgeBf7a+Q@|FqW+ZWEd&Jv#hJ|UT7e1Xx7-1kWYF@rxSUO&7 zF`|Uc+f!`eCZ#QQ$N!dH!7}aPD{jx#<0*u|xN#|z$lL0G2h&KYgDL%Dr#Gu2SSme9&SPw%w8Y)J{ zOMIv}=rYx5(*$Iq2<%PDzltx^meQbqtm>Lz6}Rv5XDR z-F4x6bd0VVpEv*!ZJcD39wQjwu1{{p^8d)#HZz7+mc_i=g9}ra0|rvkwsEpip-O+2 zw@K}Zr{T#5B4dMV-l|G9K}1f9%L29H;msxK2{{A#t5^eLET5SHv*FCe%n}9iKQSuR z9j)_Sn8v&Jc;NbEb}J-Y$pi^OmmhS({sj`DmT3&?IX`dDd{p+2?njE5LQ!aYHu}rV z5>e)KQp}%%V$7^`CN-BJG-JqkGU@|C-0vHc_Qzm|d?Ih8Yu{r=)zTv;OtUeEA>l=h zQMSy-7W1G#TgzCvV3F98Lj<*^(*(x%kAsMPt%}a(%UU}u^h5EF!gD4(dJ9S8**jeC z3suG*r!#99j&RkvFI%hhuUjAfKBDuFDQasl4z(WH zE$s*~!x2inw6_M#(hIJ%v%rE9smpb*bw7StH>zDy?b@j??|Faq^3|HOK5>Cq3=tx> zi{`yk+f)%v`o|GtC+lNk$(l4ZUakAP5^lMmNJFN)-=G_fpS}D96Qxnt$gRdfrese9 zHuo5swCrShvg4_wQ=|4$a@fnEpArXpS=qY6O8XL;?f}bld&GnXbk`sieurMU4vk0( z0)Tw|jirP}FoWoE0v~6;_gb6GC4>!UD3E^So{ju&HgsN&rt&xgCml{#Oigubop@ayQNijEs6ZW6TsmX2om z{TJENQIUb%F5^+BzM0G%{EIN*!K5DIa1kQMduav3cLjQ>%%wf4uu02PhdId@gg3Xn z-H;OO=_V%O2#K5)b~REvQrbjFhyPH9l<7{lisghiH!ZGhvC+O~kG@P%ktBC&t7Nsj z!)hy*$`dgnEp(3&qUuw=(hUk_vLb%eP58d=m0NLB7tu??FtCD| z;d)=jCiCR&LCLAr9V;m1)q)~T9~pJ#X6)FM2<%J3x0&wT6V*g)Ra!lzXa-W+Dhm@X zJ3Af(&vFd;zUojGOdbu^Pu0`y8+gAm9yc`7To$-{n!oLDR7j;Ft4X}3foLPnAY2g0ETP3eD}_r&YfhUr!4?swdblz@FWC5jx^ z1p#Nk_gWETX=^*|Byd$=NDUk%Cu&mF?td%V0deo_PLONU-P?JJS33(dF2SUs^*Fn7 z3^E`UJ8;qn`rM_e9{4Tcjsg?7q{CdVK#DGTZa!>uKl5#qhl#d3Y1FyiI8nLn6oI|! z4{e^K;t5+udz2_H9o}L^){&QeL_3YV^0EjdMoS|vlZc4#Xpgt~aV9{vNIS8#B3Ucu za6un58T*AJAyHUZSl#}PqA$jE3G>L_MTq)us&j>tP1ZWRu?P8gBFaR|hW3&lA+@x{ z9_&?2YkFocZPxiKLYIeTdA=4oX)4W7Crte+nVG;(BFDus^U$SnMUOrLBlUB;kgMu?QD7#f>?`k!`&S5@MHr zGg3sZ3^NcknN4h(2etVz@AHryX6`iY1X(CH^oSpxCCBpsoUdR`6Xh1wIuDvP{>FaX zm59)K%-v6+HQ36mpviBkUw4iQ!X|V@llAdsnGOUab`9KnSBqb4eqP%Qt1? z0I|@Q9bvir-6$#QvJjfz44C7=MSR&6k7s^K*a_Gi>_%F)rAXCC+;|n0<@Sbrly-i* z?$SP$Bm~?%IG{0Ts{>UyFp&OsyhWI(gP;oc0!`F+TeEF5{q~c?CC0atz<&75v1ek6 zZ)2**^#z&cbP#Yb@OXCV)yGyOdbq!1s_y>nQa(VpwDnO@ldzA!L=S{Xyj^8$YG1@O z^PaVLOn`9`mz$^)@Y`epV=ShC+Yb%yLd%{B#*>yRZ(g+OBH~yiPiTr2Mzd6=k6}3y z$l8)cz+uD$Q#LCZ*>5V0-$`(#f2M|M8d*XiEq68W$rSo6Cc0+mMy8g4A{(tbxZs@m zu=2?X%Z+31OPU{C&+mS}L0LE^kxEmlO8>nA+O>8aIj$m|F>RjHj?#^bQ5LHYPeg}j zqs6Ga++!zO?jP_{O=+BcgiBs+9wpx?KX>F7newZY5ayC46(AGeVjS+70pHEvl3ka( z_WTLM&V{BV=f48r0l9j}2S4wI8oM4E_wM6l8ZsH>rLgCp%q7cgq6=bc1K_H~!}_Yk z+)cm`ko=;OFhVFolg4g)Us!S&$+}+X@we&8jz#vtNH$0W#XiXKNTp0 zaB=G*dvR!d!;y{zozEDZtr(}`v#j#Gne9m4QThva-%IG7utZB>Q!MtXRX%7_w|W3DKfJC#LFs5#Qs~?$)7_F`W>aG)m7t^RPo+F%82l9S5*YOI%O&@wsHsWSg|9 z*OC)DoL~{W->ux`2%W%ao#st=qQ#*{F_))Or$r>T)oyj@+7hxP9-YJ&3hN(C$KY?n{LK`Jj9!DXT*Uj3!wj`@~X!X+^J#YAPY&fNX6?Q~V1~2H~ z4;mcIkM3hh2tWFFao~voP>`eXy@Bas#m~QYO?MR@Sb5W#K22pdsWd6W;vNTRItN#K z66n!Qm1;5xCT%n8q)X#&2bk^=gXeS6AOivuvnON^)Neg1{tTfLTzY0T8lV0ljy1oI zJxv>8CilgT5Fpjjo!HEwP3Xupy};>etraSH*f6vUd!h8lGQpiVx3DK(VSH461S|Xn z{wKku^nzq#9c+V}^*Wt#n47~IRYlO_(Q41R%xiz}^PSwgh!yh^zcLY7;Us35+Uy`i z^C}tpBA0<5yt|oT_K5Z*t2U$HN!s-K5=+Wsx)Ue?9f2|C_h+Rs)r5N*Z?39bjqLVG zIh}+@$Gp6GM|14Ou7t55Zdhd)+&cR-J)BfJGE(R7oLT3g?3p$yjl{%QPVAR+h@{8S zTkcAdknh9X94MRU)0&`&C3|rgUl#=AX8_S5N%-HALn2i|YRO4DVQ!8f3y{K|jR!t^ zuo(QOPb;f}1OHsARxBy1a&wdyhCKq_BOP=cNTW2q32hS zKZswyCy?eE=5d3OC_x&X*ybYBmpS{Bbk33h>IC3XB$qcY=ce6fiHk^Pfd3pa;vQ?d z(Map=hc-FMB%RO9>50m}sO7EMLtMNd;COrFZ0WJ2#KTL$meV0QB0-NGrBCuR_TkAX zhw6=;_@z2DQ%3reO6KwJXQrPz**TX?`;3J|zmhCDq;*|FA>4-{ zWXkpOCJPl-J@gv|Ty^k=eU%t?;Z`o$@fXK6a!+2SBn8y)yZ&!9)o=q4p0=c>!!I;i{L*-zME<*6}$qNY`9nECPh&j_kf zRgsOD5$5P0;tkX&thIRv&=9jI?|x3FmRgto$(h=a4ShaRAwB)2EZVoL5i2;s!z1f5 z-|`JsT4o&e+>p-M$KXnZo$G4#ps6Hb?;M~e(nxh2!lgJ*>>1;HE+IsL{d~MydXFL8JZl z-F#z&#rzrsFc<<)*PLSz;rzD9mmRWH^e980EcL}fi*PxMJTa=6}!ZjN96O`Z8c&Ax8#E`6k*QDx1-V3J=e;ol!w1Z*mTz*n;EB(1 z9xR-g@%wE+4mc)|7=Nb$F9mcuz}y3sNgrI$fiFAc19DwhkJV-Q%FLn8HaI-8FJ9D76gbld90Z1d*y zbhLDKx{R1DzrkP}N?xk8O{%9-!xoF$J1bMO_^&$@*lR{MuS^iDBAQ=&yq!6$G<8XN z`aY>QD`|d}9ErFr4D;sWOU6|#oxU?d^oopkjz_2)eHx>$T;*g%mU?o-VYeEhbZ#zd z8uj5X>6wqWmTp}xrFOrLv^rV>mrzyMiM{F6 z7yKcB@IlZsF)DQL+u;}gsX>Fc)h4drdUPynE>;X+2e@``Xbh~@CPVxl+Y8P1czCqZ za&LPr1yAx1MvYgnF#{(`eR#8+(GXEK`D>x52>Snp2_Ty|nd+eCJW653X&ssg(wzJZ z5!Q*={)CZ65BkP>vjI5JSx^`M3#tYc8c@Ze&Vs*eKav3agi*RknUK55#NkjVOw1cA zJmF6qWoF|w{tC}Qa})mFs#h+*GF|QCRC7Db_H6&=xC*DcQecpm$~H+-ZrSTJdPIHL zH&Ck8fYQ81#MSs@7_`=WqTiq2*b*3iy)UxL*Zsc2R% z8V0@6ls=?mj5Ku9(pA)s5<6?P%eO5&`hjxD{=m{j`;$Dn?nI{^w+Gg37fM!U>TcHC z>c1a&*)jwpf3+#V89FJ{r|LoXSK_MT|AqM(g}LKUcgs7dH*c2_7Z&aI%DtJ8Yz^aI zH-gfC!w8da6t!XrWd2I*tCBJqi1m761K+e4`)n|dCHUPj_j=Lq>Q{yIVfv?=u@MdH zVb4F&a$vcaJbTxcsElkb)SUh%L&Er&?}wGiWF62W z0&{i(R}gk#vDpf&9pIQ80RUZrN}{y)VDoFF&~Fyc!l-84b~nSKN?fHoBeZ!VI+T+a zT)9K90(=QImO2W5m!M2wJ*-t8tYf98ExdA?O_?!iSJh{yKh!<(~bF1x+ z?kRqGDuKcVsW1b6V>L^SbJDu(kc1^__`@XgOFXOa9<{wL>k<0wvQ^BTfAxwbxFXV& z6_jGGaimQ%1Zz<@Yhtyw8ldS*eJpri65LFwVXie^n|LgnD{Gz52hUMDhz5b_F%HNZ zE5afIn#@|j*`cHsH6^rs;CH8^Q1#U~syY~YXdo|4ZjXwSAmF!u1l(jK@mHjGef{(B z@sBmr&=;c9#Zfo9aXsyIUfg{#r!()09k3Xrg!>3*Wk5J zb!(fzd6eGD%HN1&&wW@M{0&QK`ofoTv^T?g3qMkq$0Zx@<)>K`H$!-;K_vBNT%f|# z7!u`4bYV8-3xQ1CArgL6e&iMV2GgzQt@V`O6`QV=t6hI|ihMw(jY`PB4dg&sfK9HW z<*V6Y{I5R*FR91kFzg`-Li7YKVv9i5;^sPA$jIM=k|ehY5Ju-t1@Aa{_xX?`%vH1M zJeir!_EJlP$Ea&ENt?#|j2lElqL;^{Mfc$A=;QaTTyoj*^?WCb7PuaZoc5~SPYSLr z<_kRSm#GXdlby9+av^6SAM-UUa)~y}=1@4WpZsNGyT;}@u%)!Jv05y!c#o-AmqzmY zmHJ5NpzM?G-RHeuTQcE>Z&Tw<|2uCrmQJh9BI3zXvf{<5X>8f4V4+t^bO=7FDR zN#3#9h5>lt6x+x4D?z4rn_B44QD{?mRc%$9sVYXatJWNqeS!Z>LY?bnc1C5Oi{1 zcKbp7m;1E8<+z>M44AF+S`DG|Sv~*!)}z#IO73X#44txmL%;d^RW9{C@*XS)%Fj_z znH`b&nlqduWh)aQE#&c2%5t(cxe!=n0ypNf6|qlRDzQ%PlW8R4hgVCDG$9&~idYix z%8Yv6f$@#G86rf4okT`nLa@)ipWeM>_5GAn6(PlXrUGSFInQ$9kFEZF@nF zZl&pGO*3pHOyEbCs$25x79Kq~cs7~Zq+l8T+F`}m{;sL(z*?=EuJ!r^F4@+GtP2-z z-9JGTkdSsKcc(J;O~^%%)tv*0u#XSh4!OZ!m0J-0-Z>L*?sH)kvRN9>`?#;cF~~IW z6#s~>G`FbhLh`WNnX$6&N4Z}!A_fgzitE}s?9uoMTwpXvp1)m&FbTCF1k#*8zh%~w zhG!4DtUESN$kl9`fDT~H@0r@ajK`_L%!Y>3kJeLoTVSP?Q#Biaf*7^e3gl{@Zjbm6 zrUbUmNS<*1)|K zZhSHbyg7x$ru}aEi^*S+cStRVtB#K)vR%%<{1c{8-NdVg zXkOiv9MHM?1I5_Um3U3xjL}FRGm58~?xl9JS#_UBV_&(9s<=ALWBikcGw7(K(Bn=O z0*W?Yx2WT8ylnWXLHoEIjAJgB{JR5Z#^wDUH0yWs{HVoT53=TSd758tlTWXx&^7(8 zDvCW`L}l9@Nj�tjXk{0^_AAO7U2-ZR%?1TdW$TfxI-l(NCP(jn zgz_~TEUn{Z6LZz;%wK#c(~8E4&)5GhmnxTsKYo-UKY@RM5g6NXeZK+qx5o$zGMZYO zp(Z`!BGa^n2?U)Lke_{w-&UFBX;@6Q?@ zRZ!#3tKSs3UWVMiDcfWbVjSB`BC^=P4WwrkiC*<25ox>)BAaOM7+6HzyaD?LZf&vq zOc43>=h!hCulIMAv~<2S*P1q>Bz`?BaxXXR;OU9$7erBZ(0YTp!( z8(p1$x57ZYfQLQciW8YT-b;?-J{&3DSFOq4e(k)qwb{j(Q*O6(W|xwf_>#f+V=9Id zbz9v7KDey9os`p6B>yk>emX-VSv`{xBhL=+#doKkyr5%W8FzuEnUY>)=vIgR{bQB( zjq4S=e5*vhYL&4um(R?)Dy-VsOHG$ZhyMT;W3%!F9#RwEgex+zEkTHuxOq{lk@vXKT&l@$F83) zrTl7S4}XDa`w4kfvgu*&?w`*{3c-&ZkZ8P+RV`{HyY+9#m8)01UklnV4N4_(YL1>v*IV0 zg~5NA=KliYx@VB!845WXGwuxMW$gY(E<^*erR@$~*Y_6dak)0> z4ckA%%z&s=o#)s(eKAzay2sff$KqOKI7_!FM;-r|yYsadt+#7tM9ohBNJ(qZ+#*@pEcW8gHA7PUj>so_r zXfh*p*GPW~V?O*jU-|9(C@ouQNTcIBG zyK$>oMZ!cX%a58KZMk32c>ni<#s|NceQu^`odBMdU}8+}26Me|;Ok~fExhX5$++Lp z^;ZN*iR8js@w|WpYFgH*igWCYqn-a)m5F=pW3AHIzd3($G|kAlp6-30VuSYv?Wn(m zcb(6*S)Wb;dL?ZOAlx;|W0!>mb%f(Ys!8I>BP)M7;-?@?4>T zv8f9I1R6%j;~wB1qzPb8635UNUU2HcK6q>W*#_i=6=-U80m)V#zcjM?cTU43xUSb`_kas}jLnhM0@SDqD5|M~e zu)vf@eOWXrsGt-JLZ~8F`rXiw_X#>+{1?MGVOrFSg$HEcp>RcJ_bqZV@UGgcS(>4q zlowvH$5iv<>4rX~K5spkl!bghPm$~4%e8}rgG*x|-*KuAKON5{QL3&E1dJ-{buf$XoN@p zk>4$&_01$`tZ+Iwu*fdYs)3z1T{13i$Zm{dG}pJ3Tl)`R*d>a=pa(a*uej&WN>Z~W^E4IiQ zwOIMgECPhz?sKI)k8g{upWC^;JYU{7%F`()Kz&0kL~o%+5IuxH0@q54FMIhQZ85V+iHw?yGH2upf-BIDvq?HXV_+eQs_ezR&f3dJam<_z07mtgmxy)+YmQ#5+bQF$1BJM1YX z`%UHall^yJWf5JU)y75VKCmf}{snfxw(LCPB!rSGV$eqO5sdvoH@PCVM zuY%eAu2-uxGb<^-Q=NKC2qrv?y&twwvwdUx?0mzC5inwFhO;+XjvGnZQQdnckX1JV zGv;$N7b+0bASnh#UvA|$8)2U-`uA^c4cO;P|J%%k76Rly2HN6%Q72)&_crUk^tuI81DU_Q0AH!HIEh> z&rhzf$I3vP_@$lFPlE3JbeiY5+Z&g?eu@J@oH5kxszBL7Gpi=;)LG`ci!?Dd3{&cS zZL-Bx1C?Ii@CGx(Nx1H!^NRa;9(i5`*%ZTy<8Hhb2GNdN(f$0KfOdE{Fopm-h^^!C zSPts~K%8G#xn}e^-AcA{xsFg(K5_pNVMPlamRXT6Ic*GNrYIKeX)ku|TJ6HQ7^MlnzI)qldP^$a2*o zyN6eBiwEwTcMCx8s1QDwRu`K=5Ao`F&Cm-7l8>PUYqN_0`}lDUpRf8Dp<`t+P32=# z>^Wecu|msrrMVq~1BE4AY`ekRpE|Dd>**O|%Rb?)88NoEoW3AD)=R^cQ#$vr@5(pT zdUJuVM9ec@ zx%YojGoLG5V=4TNXS;7Y49;%=P%=LjS$8E@0nPK{#b|T6-cpQ9VC=rK%^;{q>^(HF z{Qe~(OcvH=SLwfHxV#v@rFc-wx{+9s&odz>AjA(ohb;NO}lp2vyozoLCO++{;2nl-30%I(o~*1R$yd}vftRFZxvDo zwoKHE<@t3EZaRZEgD8gYCJBMA*ttyJuz`VPF?7T5JFhnR=@1XkaODs+J z=5@w+o!$~HYF@$XXmuC22@lgsY$nC5FD-1oxY1m1V#=dq7g8iSn9eblNz@>)TEDCA zdllyBR-~aq(GB(Xxc#orl;b(Hg`3ESRoqXQ#=c{-DR)@&WBvq|oW<{t>XQiJ0a{Fua!EM>-GwL_h01au5-hL7%rR z6jOrl3-T&^f8{}Z!yp=LtKa)cA~c%Gs-L^g7ZwppG83q)W_<`TFTr;~oCaAeJ^yp8AzLcwEVv6lCB zjk~1xk3;_SEig%B%CpRfPK?+r&o% zGL21Ii>>LIcvLps{}?n}-nWtY68D@V5)*I6Kl`4D`3{F^lAqk=aib#(jL=ZBftdQ7 z?{m`@^6>UHci|r652k)$$Zd9wUV9a%ju09$aoJpA@mb-(mg(B1d|l|lKW7+E(%vs! zHHyAFlWD)Ums<4or!44At*Mxd9t|{47@6?~90v4PVa`^eM|wbgB~wheUqe*vDNIH? zP9_GBIlcqrX547-nYaw$KXt<-f;?YRSbb-EB#FUS6f3Jkp{zG#b}-rr;%&V7Z`>SI z@XlDOgFu#MC(#tK&@jsL{^YqX|61BMnfI?wQt*YQn+JI;Ss(nKL809z7wn=omVp4> z*eFG!mEj?AdT_GXxdm4Ap1&|*sYC@YGAQPR%pDjj*4kc_DPuza)s*)Q-i6kcBQTCw~*5FG{X zMXb|XPDn%i7;gKrJtDy5SdffglX~v&Z^T1A3P0GtR)qiW-SUz_{>pTjceTKEL5%R| zA>lAjH$C^h8f*Gm=>q{e{OivOJan(LGK|B0KCzwuKQa)gp#8v3>}tsxVyQ6!`~(oV zvKw+aAJ#%m!F3k_c19ii!hjDDax!ECF?fHU1tMzXoiijl)SI(j){LL>3!k2lF+}j8xcQ#>hBo{e@5o)rc12MrQ*5R;TK7T-sV1?L9gtK1^MIVnIx3p8=iAglgP z2IaM7EqBU5(O~wUqLRFF{)x|V+YzY9f4?~|G!j+5ech(L>~sCS-6~Zqhj|4j|050L z`W1h%Y=jrqqJvnr!IAWd>P(k&VuXibFMamy&poAvB6iO+B(O|Y=smF9Kb zLGZx1tLpEH160F3kheT;;5+~#tktB|hs3*J2+n0fhdkzgi3nhsMK)otEDS`df{j3% zH;oc`CIvpWXC`L6Pix}K8WUd)?=>heNrt1mLzgNJP;ge9Cs zN1G1f1bb_K?yLM7l~r^7Rgo!DBD3w9#fmfk@ki1h86Tg@iv|ytIBRR)>b0#gNo`O~ zFltT~lj^v7-n8-=0Rfy{>?=T$>j)}JujinLUr3Gj%0%AZ0Ho>vh$ANxW!u)zM$!}^ zD&~ASHu6VYHpE*M2@SgQMh>@%9Qdicq==a{iUHs68)FUc>)OWQzIpFFE)E%Z2F4}7 zfN5->wK};CjBx!`<8LOmrgNFNylaY~`=mh=&5#i@L+1uwXkcR5>|>zZ0`NCNvz=}ls`7Lu5;WigAP8)n!%gY>&^^?%?U)iWpz}&UGzdPZFGQr>64gk{tz@Pu!-r%c0 z#?^#90lQOXGT53jqv_ro;>Pi%npMr4-@ueR>f>#V&oOU@AAbb74%!%}_+u`31#b+?^oca!a zt_I}4F~oL{pHMh!>1I;D?P$%%gvV$}-Gk`Ab$q43=vS3r^ZwN|o2Jv*x@nH+nntsp zfcM-@Z(R{N!0$5>Mfv1KJfq^e0BQ-dl1MZAH~4pXq5`HenZMJ(=p%2B?Jad6Wo(&! z1N}^wH$)+E0de*9yz=k(y=C00q6uOy8i?yWw{QC)&RG?|DP9~gloFt~+F4u( zI-fCb=HlAeENdt0}!FaVxoxjk&GbecI_?e|KnkWyH_r@eieXf&Vym3e{-yQ zg!2p|0y{%(p6NEK{o`M{)f)V&UQt(d?(kU9S&_BRXz__FtZ`L8cd+=@A65@iSt?F< z1@6+XLFmUlto;9qbpIdS@*fX`Zegx83S9UnaN-Ad{>;xM<5Y;?Dq{XFCuCjMic5t#S9lGuN5g0Fo&V12?EGk;;VheK90x<6VB zKT#Bt&G%;GYyy}mji?Mi>aKDXdh=krb*M+lk=tWvU~jL`L^)-pL-sF-N>!+Rn8`jd z-3xSo(B@eRMHn6d2^KI|jrktMJ0TA9W&?w_);VIW!jW0jk8dJAYGd-FE0jWVeY z45p{@1~c23FG#gJ!HW|v5YY6w5!I32TYd!%2DY!#aqnOTkZDhcuzHgZq zmun#tuNAD6yHh>r+6}E1r)Arxi4FuX{?e}e<>a_JbfcaSg zAGKruggis(h4FYx>jJj9#~lVvnXU+hz1?N!b%D2gJy?Q&1G!}Q8S=S#7&o>)tjJtJ z($HN!gMR0rYJ|9LK>U^BA@u;=DWd?V`AZRs=&pXTOP|XmQn>}5`;3fJmVT?e%fFy} zHqU5DV=(E5g~v}|OVKDZV$uJZtArn<_qy9U(TSB#m|JSEp(+gzd<-tcE;>YCzTo|D z9`EtZTDYcbtnYu^!iZof9{#w8&RkpWs?AhBl@!+Z zn(HR;&7^f?vDjVE>w=~7=}lwoS25XaM$%U7Gwdt711nl-G7qfXt0(Wd(81<6n3I#;&hZ`mFo&;30FlDl=d0DE zjNJyt_N($qwKUTKpRq12154T46ybA*N_n%VxEx>;0U?W|2Wf}RyG0%Xvu`6Ex?g8j zUQ1C!S2v#a1l(>N?ZF&DZAcb2FkQzZKlD6(T6+(n(Cy>#$lzFu-V_3$4{YCR-xMM0 zPa?Mg;Fi9s@PghD+j;S>L!0KfdHXq$JDrMy(ri>Skc2`#y*DSBcUO8lzen%Yem$upb;=JT7#q_@lQjui_ zRVhb9KRov4@xc!Feu6xX7U~de74+phXn1fzqH4!f(NeaniMYN4wx*s&l`v*h>unRkCedsvCFKv#B{Yr@Gu2uD3?t%FU(Eux_t_Nqr2fno+wM zeTk%i%(u9c2^+Pv7-+0`s{6~n1h)*CkY4WVi*@}?VZ^uYsXs*4d>?Lo%qta7u+=)! z-GY&BH7GzvR|sBuRStP%@mSgE&WHy!nETF3W}9+KNnfn+W-wIwk{^i5@G08odzwW8 z#x4P)-a33BzNbI!NuKTlbypw%DLd{_hy4phbZmFi%xII{qJ-*|8h?MTW+$bVn`6?w z<2Bb4vWh-#|9Qr<$8>g{?9HMe9iC}2_Tr@SMc3+N<4x(rx#a!3=T#DEw+ZwIX-nP` z83?)+^Ye_5QLCi%f1;C<9g3m>?mS2B1$c}T^a|MCg+9wy6_VAOpY|dDk{;MnP>Vdi zMLfx~EF2B}aGU@}3^Z}`!2b;A1W@oay5PZUnf@=LuN?yIDs$01qOUBnXzadhBnnx4 ze!t5{T}wFR;q)VOH*K-!QC7{mUi1hH4A19JM^*lWc9n(s^O<(%yzSP#+H^}_F6!Z; z&*Gy8ly%7Aj`wg2r98hor=!f2JNF0dNLF7CYZuHXjv)|_O6@XXXWtUv0#+q@z^nc;cN*&=r*tn*|~Miu%a`- zzYy7v6)5LmIjhN6`M=l=e%J!l+|oIKf8q0^LK4tq@;gAY$^V4C4_@Ui8TJ`gFC$vn zqTgl);+4llk|^SsE8MYSm`~g;WrSFfCYN`}J{57WFoYP3<)-`1`?^+YkOgllcyHr1Zye!cE}k%{%X*3-=X~C`UhXLjZ|E}R4jT?XrHQP zm#@!!R|Br;xo)e#)_!fY7w4;=@qvNT4@mymjL`Kd&6`lUYaEJ>$I{_=F}GM8oswgP z+Wg74uZB-I`dhbdk}B;=*WA`<8kDFS%!eCcnGtGB@h&7uX0sszPjdPF;Ix8r^b8~LtpJvZkt@4q*ElR%>e9R`8(grDj{ju-)uQ z8~PKnGLsWRuMP9>u3FjV&N7o9a~)Fs9d`_(dfH5gS@zLV=CPH$k8S2zj8XH4~q=%26$k3AI_VE*KpBd)~1flXPhz z5}*qhNxAcl@^v0e|8t6?hm-BjPm>!egPf&)g3e}e-}{u&%zKe~ng{YGsi2B(vENXM zAHP~8Y@;#r9@t5SUBUL2w4KQ7I|DH&R5t#@!Qv+!XSDB9y9SDckucTXF*vZCD{?>6^|&oM+U`xes-1r?(&7D^`4Fk8>znkmtI6T`cQUwN{%|_)H5p7}Og1qJe>V@Hn25 ze069&rM2Ry2_Mt;6BcaxiW}?YGyX~6%Kz5h6m;IBOh8`bZbnvE5)4tK&f_ycBESPDD$0n|g8zp)c6uti^x=NgkTcuShsE-%*DKt zTY%s{)%_$^m)G|>@Jr!@bA9g}c<0+EoIl;AiX~?IuVn+%$7L&{o?JYha-hTYdZah+ zH>9Ev2i@=c)~V=zPyV#a4hy&3;shr6?g|DF5G7p$p}sk~M^#s`y~+wFkWLif1r_eQ z;@@whr5073PRtaSG-Cg&DYtpdqcwrRV{PYid61xYHQZVQw3`0+Eq`$%`bX1p%MQEr=9K3pD6tF=1#7eW@2yN2`Ojel*8qiXq->E z55^oFt6&31P{)W3ld7p5_xWH*+JeUz0+4xTXVQ5i``95(moG%*#@MqA;NM<&J{ee) zJ{MLxYP+ZYhug;31Em8V>UVT)%sQ7EkoY0i)*b|zfy;FEL2pT2WO1Li{MnuI<{xgX zNK3EE{rgoj(y)I7qB`Di&%}yGE*e!{@>eH-5zIXP_}7JzwW+kYx@3F(IPQUh_0_#N zP`9Fa(`Xwr{g*@)@y!U_7;XNArnnI3C)h68j#Yi778=DyH%z#}OAoAbUT$kc2w-KK zj`RWpge^GqAVk9maR0L9JvVl94CuwNT*Ct0O{TGN&5~`rO29S1+eTOv$8Ca#b3b~}oF ze=;GfnH{{2U4QGm7mV=$gh4;+C`e6knUxPTi4 z8{RaKk#ll62{I%SixR!F502f|m`c;N?mB-&QQBs%JSN&P00)YFl56A*+RH01Apzhd zRAcS&-@JWX$YVzYEN^I>gf&!0-zP7K(xytax}r9iCuy*858=Z*iL6$&>#(FYNG1ho zH+Y+mV%CyPdJc;{mYai#U zXbB6ch8mk+k`P})f>X(>(W@lWpc54mi)kNs7*3nH(^Y+tL$3uKc=D04r?ckh*Pjrq zB#rQ7aOrA7HQjRBQ9T>}pAxT)1I4{jmlx1OTL%w~6c*7I$l~p`yo%dRrr9dVmtYc{ z18-jdAT_N~+krj^Ud=SeM{QQ^qxKAd2(;++N=lq~P=}>};d)0pLeQ&mJ5Z$@Ay;OH zv2DgXz@gG(Z?B+{85ubL%1S^Fz3w)V&lmO+__odLntJb$;S5){%Y)PxD?{?&-)@9F z_u*jq=nfV7iH_0Wg>4wZKXqx9qnv9|*;kTH z;*;7M_5ASJ3thiIzn@gHam&40_<=dm`079${d2dpt40J94D&jvCfcfIDP*+gR+DHuu1DHD>kAO@ zDOZl54c>C)&q=u8)V{z)jzt2m_r^>5IkK;34AkN*-%CHEQycUOxX@7{k^Gg8zX|rS z`F{{N8aqqg(#2`1vY~GnA*b@51u~DmNZ4FD%)@uEYTb)WkAYorunXw4LZiTvNhiy*TS? zd(=nu;PyZ&O=447t*({GIvZf9Vzi0OpXq(ybK}H-096ECeuy2s zuo-B6&j+XWd(b+Yb+#|d!u!YXdyUn z@s}#gqS>_op=gKS;&^0B3IR0CjjDfYF z-F^}cv5~MwH4Qw8j=W3h)dO1qb~`FB^QW35f~3j;77E9p>I9i>E|+yTO^sF<{rw$# zc{Q;(8C;yS@2GFpZl6xRKk!SBd2A6`dkXx#o}jBAY@0(7n2x)Z4@$%geah%Fap~yY z^}%xQW1-w{40e4xSyL*;#3TzVB8woF2+pT5L%R&eIUQGp_p-#Im+-Psd@d}ka z_df(^x9W{D_V)7|94On-^fX09OU%ITO38htJ1RNlXtv0;T&}1}5X6KZP57GEb-j<8 zM335RZ_IsVA}C$gvRd2tL)t*f0fFl)+WRWjRgq(v%ug%8k&STpDOB>FQ{Y;U#Q#oq zUlF*xt^IG%=us^b=y2!O_LeFiqJ0_|Y(RsB{Q#_~nh$G7xmX1IUP>qDE}CuZV$S_i zn-EI8iGE#Qn+EPbhRO_efR|n<+NRq9Is*N73v4gn=(x=WDEw5xmiHASm)1GrcL0t9 z|Hl-GPi!Nvu@z&*^>}&Poc5#w1bVPxLx%u2WlN&BeF!icD@#@>=R`Go!6%+M7aj&P+I zBUJ3$E_aq;tw~y@SvbV4CkBs!$jQ=ATy42|1f zN$K-8j&sY3jH{HTwrn$>Ni1$BE>loh-MmKFq9sjqd^ZCtDu~2SHo9bT=gIBQCeTqF zQOEPKan6GccJ$XO$<^@gRSO)Jb9_*}&)oqMP(YJCkGAuOYsk%gBz<%WY|%xmkJPq5 zSW>QPAExC9a4~#y6xiYZ@l0reB8Y(_%#V@z0g57B% zpA~rGbbTVGT~$e|92glkP!_A5fIiU0De_+GF#aqD;5aX%xnd3^+Jb1dFu{%o8bcR{ zqVwV7L5ue-g6_Z5Ag<2QcYaP4t+?CN#B2&$oYPvT+DumG$5~CtN;!>!Udzz;&8#)H ze^}CQh_shs6@^ZuK>6guF!-UeIv-!97`6f ze-)XC9)6Y@^(L&A&^^n}?5j+Tq_l;V;BXwWv|(}sHK`wv-cFRF_n2X&&ED*FJbL0U z&s8B=7xB(Sr_OuG&EBUy8tCuWdmBt=0U6UHKRC>L5JwUIL=NB!{OR=p;7U(Ug3z7)?9H!;e)+T9FaJG9qdAK`T-z)C z2g8bJW}P}dq|7$64%1Uv+#y3==FxnG))_)b$A zJvofWOhzh{HG#MzK2fyhleu9@o*rK8w%f};?e*BET-V#Zg)v$g@tsw5fex9&V4$LM z!lBIeUP#c*y5;7Q5d5iV7Ym6`xB46q_Agu168Ot|0J~#j!^ikWHAv*+Pn|q0PszsW zW0W}To^loJSP-J})51YV9^|TFK?6OP{XjqA@JbdsgvB&f6&Kg2Lk@ZE?0;hs*cBUE zvh2xT(G%MdguI6Tj9c^yYEvFS|J{=#X2RcUrHq|QOM9%SY2d2@wK2;WqPwIy3YmG9 z1}sb$Ng#YSOn5hRJ76t|l@T?L0&LSy*QZDOvK)NZ~L zWqPkYLtYL#9CeGfakTfRVopjT*fturDbQ@i&tO%lDyH}JB zdtxu0%#zs@#I$F0CU#s^Ri-o99P!Ze{S#nHTmE<@qp^3mP9`<~OS|o5O@^m{h4J&@ zC%ReUHonlQRJq%K-k)RNoCrI3>4i8Nit_}X*$M4CmU!e%-3Y3LE8)!p?gw{f*IIQn z?@_>^*S-`}rb}7JvZSZfpIG7UWXL;LSSZNy3k!eZ1M0_efsrg|_amX~#Zy_~8bW@C z!th3}!Yb@`3n=5;OFlCC*Ti{WzAioMX2wwA_Fqi9J4WgXtAd_&zWl>sbC}F3+Tpnl z$0WJZn<7YCO)0DX4G|nhYXt@A8Xd%~h1nD%0)RNkJNe$v&DI?$WP6z{Pjw`I!XjgQ z_`^(F7n9rgPUCz?1R-AEM)8<1uB=5<8}TVm|1>t`|I)a7pd==6|D2iZ;~weZ-8doNarw4b0v(#qXG zi$c6}uXyr0DjCw#!jv|Gos6mGbFBrTIb{jj>&@tfvaNA#xE-~2OW_L+N5t4+9Lgtk zkZ=9ucb02vQwo{NT1>x2f_rNboT|)sSeTD0O9p^HZ{i z!-qv8f3#fQQ}o0%XF80-w*bOjrZADQYK%8LlgQ9*7xtDf=3?a?_vVZ&9ph?=dR30} ztJrw^FP+~#bp#)oZ+66eth-rNCTQR=cAPkakdVN1?D_s7x&8O$RB>BMt=#81|6Az3 z&w_(MB9#Dc5DZ+8-WQzI?S7Q@z}w5}?R1H>O}~$BRVc?@0f&2&g66W(n8)E)Z$u{I zT5VhHAb(H4oCv!m3V%>JDQj~$=0=|Gi=Za3=@<5k>5~=tt>l}>-NyTe9a=|)BtOK{ zk&(1V)&;dgU`W1-KFU)tUVvXF zxP|>PN-=*ZVA(qE>QiZ=k-%HBQN-Hr5%=+GGd`ky{43G?GT+qe`I9ueP@jZLhqDNb z?`!m7216Kn92!M^y{IV0Y)?wy{f#ZGiZ3GWz{mm#*B)=>hEi_U7%Sg3_2$-OXmNzo zDk|ye9oItu%{yt|BpC~$?k&NlosB4(c?6t}MDT?BHy{bVS|?90iDo*G*i;cA=;@QV z-A6}!$aC^h&;|!W2MEhd^xec@9Dz1kfAn~KXjkKUd{^(GwHg|mwZkax14#;N-^dgq zx4#iO9zK9$O$vUuJt($ppJLpze>1elH=F2}3R8inp5_fm=-U)%jqv7!(^nGsTfKSC zaX;}%Y@G@)=eVc#8$X)J&pNUt>Yw(nSI`NN@j(ppz~MUF#|{c=DL&IZ>RW8g#T9S= z()Zr$uu+}@cKOaWYf2;TYu0UGUrzD4e??fzY<6)1+f@7TJ1w1@vCQ)X0USYp@z5&_ zng^uUpa|At3=b56-d)6Jq4ttI)-bio&fYU2M;4Vupqd)A_v5wp4rHVJ+#smSr^^no zkGTwn;Ov6)9aYc6q1t=oJ_XWpiP62}RgM;HLj($Arm(d(;ZD!wRNLhR9P%40H+%aS zr!pD(iCSX)Z|vv+VG9y~PMyyC&gj zAbH60^7c70v{9Oe>dL2SSqDm!!rqT%Qa%H`B=#qodz_yeR3H{j=%j1`>#e<_;(Y4( zol`u|hw45U(R(51s*YQ`aFmW9$v^>Wr(h%r@+7TIY^KQ}F`mv6O7i>_3bV~^vZIDV z#tX^@1Y5DZkQlk!DM;kx8PIBKEhjGE@3~CsB#gVtw~qy@XL8qgfeZHSbPuP9%@^($ z#I2BGBpOTVFQ1b#xFbbU&-p&3qj1fE;=R`m8Nw&Hrw+3^&3@nb^;%c3_1aM}KJ5lE zuzfhd%MZl)h~Yz-HdwwS8^>2KnMF)9F>o9m+w*tQUeSvsZsXvQztR|$ii_@eAk1&= zU4WzC_H~I%)S~z7-{|9!p&+LcD6I~OmN#3j^B(F+b}|2FJSkFi@Z25sg6VI|H{tM+ zHWy^6LF?;?7!?)lkFy7jBn19(xH8j{RSEQ{cu>1aftSUu8+0M z11_R!&U+f@eFPsS@r=&_JXY85#ATI_k$wQlaF5-W!AHCq!!iiDvKg2OaXz{8vhX*Z zVw3-6aUJ;H~Gpp-33q z<3mTo#~mrp%F5;FziLCuBN9kcB*UGrEs%mbznP+4kt&?w)d@{SG$S%MC-zAlnydC z$^4i{lUYnWdG)9tsLVuA#PZXPOk6dTFV&2goH$WN$CbT%ZgTwd(Scx^LrKlrq6cNd zV&5VTw<}#PdAeIyh!`&uR@)P?AT)zygbZ07bp-toxcM7+(j;S|O(@vyR65Un)UG)K zj0yQ$Iw#(rvUBZ_E2I6Yf;OJBL-0HoktpoF^5_okD?*Eans<2gx<}o-NE>3kT^)1qj@lVG#dq@M}>`l!ai4m`s|8F z!-P%cZqNcaTtHxSCI{Z-MahcPd5p~aW!-~gw5~MVsX}Py*=p|yCSJIp1`?(~Jq~^B zWnU=e0azEoji!u&{$T&ZGfjxTqcDCR4E(9P1rLa7$y@GgS@Z?dkRqq(Z! zoLhUN@Zqmn>-Fr_9gRdWea_ooL+gR${_|n*9PTqmaO>`F`=84a0Rr42#~4gnanvrp zQa9DwlfP+}*GJkh)J7r^)J_wSRfdW4X*+`>#KL4LrJ-`%hptUAmCW*ljOg(bmPH3r zj6G^DM|Amr92?C~?+d}I9^PXWgkRc_K!nnjy&cB(r@}-bvu*9|$&<2;SeLSlr7y8b zeqp81T4?xbD@;wIR1+XOt{Q}loEZZ}(a8oR%OjzbA8HFO`Vu#S2$P4IPj}Q%`7&cK z!Gw3x=zq_byTI=^okY>jQB$%7pMnOy|NL?kZciszK6uYZ(Q&w|8^56B^XuG~(F$Fy zs@&YnbTYcueP28uA8KA~IwR4JMoCNrA%%#eYPc3g#MthViO}5x=RqOkD9E!QT&@b_ zm6Q6UO{u9*(A+5uXP0wBKHxDB;@1Ae%heMZN9yr6x22Z7-k1dMi%iEHVd5PshHFzE zPr*=S6Z<_(D7Udw5dKZo-M3!BhMt)LQT*5KM)E#2e+yA{)T+5>c9l zWj6U)f-?Vq;xH(G*-0=HcwTs@|Hz86uA zxM8KeBhI+gNK@0VzZLblq^4(!>Kl;xnO~GA2x1v96LFaLGS3w(n90k9-NGI-{c~eE zmsAIKRPmY8>e&SQy4ge<-}6-o63`%d*b=Bs zPS)krvHZui)UvCa#UYZiJ8o{9%sqHnpULsB zYn+y_1C5IK9Z}wWO>O{+O+t&$IqlG1pql9%_lw}o0>ZHIQeJ}Ka6uw((4#2X{}S`T zr()pjOp?7nE;P8GL%ejJ1n3df^bMtgTDAv|qZ@KBPP`C#o87$p;`;!ec&N-`clKq-gZzwQI;*sm+g=IzHfV0w16I{s&&tJg1;DW43^~4CRRD-fgf)o zG4KfJPgwEc9&b|nn>h|WCZQ9_dtbrrutx@dJ!*Cx^EBb7VpnKfB_k(_|Kno8Pb7<< zx>xyax7iz?5;TK1i^uOyB{?p$)nUUTa>8Z*4Zxvl$5n=vV9pnaBUigY7x?)|lVN;U z5L$GRlygVYTGM}d<$l(wOR$0a{*{GU;)m<*`y!#%e4wpX!;~MiwtEDkz9jUFN+(N_ z$jj-o(2f-**8cX)H}9%1BNNdz&o%E16OAbk1b0_Du!zi*uHVtjBTIz;IR&tT1!_f_ zn_1;pWt32s}|3eY!B`uE6s?HHkSdCAbp`^|~P5xm*T%3cI| zW$U;mP{g}r)%YZ(t1;wz7DqsfzI*Ua83*@myR|@HF@f1dpGri`RHGM#!@NSL9;OV#Heg3|4d<7Cd!95_Z)6cs-A4JaYj-#ExSheuWsFkiDV-L+SFd_8Eb}y_u z3{+=3O)NY9Og8Lm@jxIn>%}6tf+8d0>FA!jVvbyA*GJPn0_Ex)^qS4vGe?B=72Xsy z$R{kU&r1;N@?|THz>Tzg=9b*Ztx(Tpsm_&b?PRf7T!N$cUWWZ1!M~cgU*opf0U=Zu zZj48=fvpx7S<90U{}rk8JFR@x=eJ1^s{s|W4)z-Jx;Yw;A*LhdAaWf1>~AzY;y#vF=vZN%gbOR(xF;3 z-i+f==vesZyFf9|8>+P8B3I)Ph`Bxzok*j*=Cw#9h;^Y8eBr(o8Cs@g*apleNWE}5 zBUEbTtCAbVC973s5dFNzhU;)K1)B1H?w(s;SSCP=jG3OPnwKDnmeI`&u%8M#^6g=zu<`vV1& z%pgIq^KoRhpa^)8nAFk+g1478R=vo#HeZC)*)%;64T~>b$|&RmOU}eP%t`y*WQ@(^ zc7aO>+eKA_dtFoKpPDsg`dAl~jA1XTBs_14q=qDdixs8kK=VpR>KU<4g&j;T@{MJq zly5T=q$_SJkpKQHZ#7Wj_Q1Vae=MKaM;Ij2=1#8#03S{W#g%PR9$actpZhPRhRlp( z-cDaLABGu=fUv19#1cM1TAo)9qdlRpM49dDc`7h{*K4A}OaK8a#BX{)2uu5$i5tL0 zRKd}v80WX-$J@=h3e61o=y&Ie@8Eu^Aw zVt4_#fm$~VQB6_6Pww!hDoCc&&NBmo%hQ*4xKg1dZX<~|M-ryXJ*bs9uW`361Xgx4n;Vkk%@iYcC0QJ%(X-B+Qeg01 zpWmPJ6oYCdYEzsVA6aCU$5L#G5UX(49BtAWl$+drLtPU-OY z^IH!b{}^?vMoHoR@vQhhs=E}w5f;jq5C|~AC4!~>O-}qUe>&Ki^aflo8Y|h=< zXF5nNqA)pO>f0j{J8rFV6OM14-*vlevlfxsTNRqiDx7O%(U&NoE zN3}8L7J}+?o4u)FFP&#l6BoE2YZ29C} z##Hv0?Qh|NLsB}uw|_E>a?&pl+T?geV=hu$luv=!4lbYfoHILYfODjEyIIY&a*^oYCaAzf6Xnz`R1X(3{&Xd6#Dy5CA3VUTo+JrshQPRNQhBb=iYGWY^ zrGXS%5Ps95nhMX)`i2Hnr~<##;hU8dQ5zXfmE;Qd{f}fxSIV*8>=EV432LfR+483H zLK!aFR{>6MHjC#8FMds@{RP_gU2HzS&Rx)0DLr8YlX$qIf!IcmB$_uWfcGl4*a0{1 zC0phGBqR>jPh(as=MI?*#-;I1S*S#A4DX>nh3nYB>7)kC6iiSRp3HBzVTHkdT4Qbk z5NqE{JXgS}f6U-=YG%4a%&(?M|H8vhO1(dP^K%g*%4Da85Q;eGzn<|?J8ng9-70VC zUYYm**jp_Imri6ji4{py(r9XOJo?<27xKZl0cN;or=!2Wg31dm8ms5Y#&QS8Ux64C zG)^|v&?FCI1*bz{hJnzANXfUZp=TV_y*;ny#SuN;JKNNwndd3|>QC4eBj?_sp?6`% zDze^VE`(MdOH3Z$#UjIe6=Hi^qr%e^PK%5+GS2Jc1nSQ^yY#7Gf=&j(en%TqGz2#H zdU70Yc^033o76q%+XaoiJ8aM7htQ+S+8q&rt}+hzZvm`ii&}%ki%0Sp7sWL3t6)x-&j3D3Ay_uSHuwH}E7uRk6U0aRF}S zz3kN`%iWrEB-fkN6@zZ8KFj0Q0^smq=j_Szg`7VxTR7w*1SU(IA5=4o2xLfO0$)S8 zCt=TvhvvVu_)(zo2Zfid5KeR~6>bY0z|nDb_p1es{>B3IM>u4MvB)Xe6p$_gM62^q zpI^9!&@8I2j@sMarsH*+;&4J3EXq6IDB;yq<%D(l>g z2TELfbp*7LrsRbuAOGqb%T@-WYB~pFWOK_wQWxt}* zPSTk9DrBve0)F)!4NScwuTLeBSfXp|4%-qV?QD*@j^z4zW=$J$ad2ZZ$^^l2I6Ay; zMzQ9Ym7f+voT72Pe*PIPpBs`+n~Gx?YzB>BJD6rj{0pCS6ZdG(3g(ct&ie z80}W|#M)jCi!D>$LG4yk@dalA;TxSz5Wbl#D|Hf4ly>LQCx`aGvMuEvg=CJjy19=e z9{}{%@Y)e({4_q)n|P8>rTqDq3c1AZ67M#;`AaX*=WX6?u$9AyDpHUpGwo}WYh|v0 z5wUd~wII5PFdH8%yq+X_CJPN>84h>mSxvCtxPt*i1a=lSp0*N2BIiL(u)}SFCSc$} zS6GwaRe|g)Khgh0|4t?vQKKG>)6G*Kp24oWZ;$zG}&>CDXcDpz=uo& z!t`NbFQItCLOx@?%L3^U0!_ET9VzZj&;rI}0#C`l$d>zVpT?93F2J~2PcrasyCYN+ z;{j-293C+2UR$cS#=$2yhu{DBwD9+XZG$a-x2XC_z<`E!QfsqCU*_>-*1m35C=@OW zg$JM$Pk_z^rJ`eUfO-w7vxy}!FW0(|Sa=${V{0-0#_5i+I$v@g`m!f8zMaH#H&oLE zsu?C3nNRpNKq3vl&#fdwMF_;2DQ7Ov&3uC~Uk>J;|EU5{0uyn8t9W>%_}(oV%~of7 z$=Rxa#r!(?dy$Ej4lS+CFMfLjwRq2g9v(B2e-U4v+w`rcn-@iv`;$lxVZR5svD@F$rA8RJ_^OzcWf zEBNmvBNqPGxv2T363>ZpnYZRFZrsbxyW@r_QiCk-|LpW`d?13dL2qfE(s5MzpAxb5 zU{?sgV3l%pq_9r9wSk3*@AqOq=_qpdTRNa%YJJ&|Bwtiyuy(HC+Nj4qsR!V^u_3Tr zHgn#E{q6GlUmDn6Qyy2D%7OPvx|i%FUd95{43qcp zO&OE&;g~(e$w@=vrJqF|HUJ0}t7+40B#EMwE4qE*x&-p9|4U%@5WrXF32 z48Dzup{Tlwi3a)$iHMajAsR+G2Dwg(+aK%_(KOe^>;I~{gefwEj6#D1&a0)Ndf9zK z4(R98J*iU`mtREE(i0A!qEO2Ojalr>C&GH3T(iXkX^{rT6{j4X+)|goyQ1|N>-{bj zN-mTM-?^ezFveXYiZt!Me(?Hf)`PPon1Nc5@{?TO^>=NNVkcap3n!1E?$E>;k}M{e zrTv7wk}taA_HS>rrxiES8w#pNT;32J3EzAFD8t0D+9o)0FwbvIFzcJ4$uTA=ud4ss zTaakAl(^;@Vz!u;ujhIB(@DWAoqsDVLl1_uZfpk9{Y!d)GVmqMf>>P{C9$A&W`2(Q z(t2j2e{;K37>Uwdzw%v1v80Xjw%QS}8DheBn`8*M)8bQC4{^t%V~hVlpZq?A`J(L@ z$QY@fPHzQ+d&wOvugzgo#$X*+XJ<)n(9pvh^&IbBH%)ZfHhq7#?EB|?Wz+dcJ9D<0 zDJH~ywEPo>8Y#Y8{w}|=TSi&*1*@u+_t|Za=a)!4==uu8s!KC7^%F9AYe2yOxxTGm}w$Sur~Q1}3{zDx{k|-o*Z-q`JI7zkP*C*P}i- z3(}VRTy9?2Hw_a6gMTr@q-@djI#mZ+@Ft|^FdIu-x@GKk3rDp zW%9^pR2|QocD{PcXD6D7bEaB;b*ebd>Ydkb9cFqWgc0|bFcWFpv)fz24PIH$Sa~6? z!{?h`4Wabe#9$h817-GC3bv0CEuSPAh?<{?Wf(;d(83(|Y`I=6%CPg#&qZM6<4)7# zYKkb90=|#sdaz8Y{ATJArBFZ$UDo&^zl_}^YUsUg$I8xkg=y?HzcJ;?;_5H&^v4hyW z1Ja;b|0{iXsp2=3bQ0AMT5$2BVGLU$M%n#Op#Rl54vh}oYt^=Fi8q#g-Qd!%Lo=0! z_&*8~W@?HRv`lQWa#A@Y+76s-$rX=?1VXYnu?F#N3`;kE|M=NB_IOq;%&I(3X@yFS ztIJvS`@_{|8j8^{jcxAm$6ona)+1Fa&|Rr$Ka1A3=gU})Ux}?8ul!!K>6p3syQ?Ep zSGkyMS46LQ42qWssNP?le4Bi{lq23U#j#!3mTduUINirT+z$U$MfheC0UPEu_s=-> z4GeS>4|;;&Hyt9l>N&92ybcJD_(V)E@derN2h$j=uB#q|4r0Qe(G|VEnlxcMm|}j3 zUm~)Mw#rkCQOA_cG-tS>YLjN(&;xvo@iBa~{^YADO%v;!ZyN85(wz~l%Z^FCbgkb{ zNumH`R49z$TmK9RqqX^6rOCGTX_CmhbY{*9C45@gXMUYpPwv&vH>&YMd znfh}y(v>+8fqm&Kc9ctF9w88~W|UXiJ<0J&W&toBovq}8lTpUg5v5raN#M zrTztr;b;$={k_qZFV;`Ej39$+9i1`xH@V%FhE^mil#Y?d+z@kkTc1XD4Nh0c|pR}1Dk>r*>3)2XY`Afd~U@^I{>_;AC@f``g|Hmh5EQ>7K z>1Nl*PLc1MZ5t+RgDe{crab><+CHr43q7Ktl5T57W>;GHtS631h}7nP1jpVy9CTZF zI$G=^n}eW^4Y0QVmo13mrAR|IQozwpVP_|3s4Y8QEelKxqL8&44A`Ikn(nPyarLKmj!e z+BM83?tF4Q&`B$M2;1sxDeu_rxSc;waqg4p`pZ{vZ%QW3~m?Yj}OO%?2;Eib)-QDbDg<>=|1%(w2(-oy9FL zq%V>++Nca>aScR2r|(_4s~yrgPUd+MJx07o|hLkJ4@3QjJAh7FNYD%Tvd-*)-zoXqeqyveqE=SqVrBwQcG)6N?i`}=V8!H4R<8^_aSGz$z|<^`yovoP{` zGGm)faz=*ll#XlB9TD1hPiR_n{HWc*F@S~Ke1XOzR2rDySY8aIDvj}qX{3KjGI$gd zMGc!1E~qnBiK4UYU&{Qn^G{Y{b= z!hIl8oRAYow!~0Xia5jezLNt{eZ>hBCq&4bwcaa+`|F9#0vLk-_#ziye~G`me)pxt zfD1d=#AuJ_WF_Tuz6D#)vx1jOT2oW;6mE5T0KeeE@D2baI4_)Co{MTiZ9!yWY=~IO zB+kl4u^w(d_A%lO;5P#mPj@Tu4bG_LCEcm2)>M`iC)w%|8`a3$Y=*8TV&Av0OCbZ~Nj_rA_ zH;xt1rYd~fIoMu<5mTrqan7!z8U*cHH9OFcS*|FpFU?~kT1p?>SexT&=fkG7;m@7I z`&2Vmodv^Q$Ib|UTpMRF2t7-gZ_xUt&@=$#FoLZ~8lkE1gTv#(V??yy-C0k2Fz0oe z0FObGWjx=c{?sQKGTb*0Nafp7hLeieEW6t#cD#O zWCDyUpF}#+@{KbUYF`32(qFqFnIg!zPb22#SNDD!H9RlqO=J^u`S7KzT*FSt&LdjO z0Tj%A-0UcahFKIWJ6F+}cm&-LAG&oHiaE=Y{yTxHXaNZnL5A3aILbf4Xa4cVl1bnB zUA5z60lBpn7~bNZi!!`{SMGYkDAHSp+GH|v#J9l@pM3M$DY+8;^vjV}<<}{+a-T5C zrk1D`{Alob4~0E>ssFKby#M28$*$t_(hWELzdC=hQnD=|4}Nw=Fmt4pj`*Dw$yvl9 zxLb{So%?s-it+3xh<3Z>W$i3PVd?DE6Ph=;2#fo3M6is31+78~+?#~8r%dOV|7*w|dtwW#Q-y z;0&hVQrmtyCOoY%yPXzpsL9?=OCl)O_{`;_CC>-ceVbOXlIiK4xWr%9pO&YG|H?2! zl@j`QOlbE^3*JessO)FZx&cv^Kp^h94OAT0h{@Q!+|9CX_t(rzYMzc^dz@6zh}Q}v z3bRtF6HAo`-)Idy!hgbf*1G`i|0DI+upNUlhy6~a6oz-*zFdVRL;SD#9pg4}DEc^X z^i^PF2Ao>|!P@l1(Dvk@%b?5^ZOQ-Kn-4zw6ZuADLkVkokHfT%8L2FB5#L}pi4IiV zFE82t@04Oo+%j&ensYF^p}h~bq~N5Qe_#QKM=wxGVV+b`5_QGDHTxXjo-(~mN|!jO zMP40*ZNnSU3-3WrZuL?m^ql?Q^`fE<;Ap>C)peGpX0_5&z}c(Zs}NI@+9=OM{!Oib zV?jr^4p=t-fqHq?^jwLd1V2pM#)dVJu=+`Ra6RLhX$qivNlg>XSMD>?DsJ(()ziQ7`1P~TEZxr zbcl1x0!0PgC#8`dt z+ui)C7Ib{2ov}H9E45ku_TG!5e*6Fgl#tZpw$iWzyzA930#1@E2G4T@bfSSt?0-^< z9-j5Xmp0p=IG0AvC5Pk17F76*r7L=^8C zqc46Offy$7{V-O>LfiJ1Z>q9c3d; zGUPIw&k|InT!NmsJgOo>2j!_n<=YrCXQu}TA&oV;9fE9R5FQ_^0IwTD(}6hISbvIL zzSFDbE2JRR{nX{7sAP^r74aFo%-Qi;_lixiGDNx%r6u|ZiC*svh(sLl<=|oeMlnlv z)gxFk4w)~)_UmYLb(>BJpu+lmBBzSCpr+rqexYN)!X4Up zGgS+B6Sl%9`<|agXzgB|NM8nvosEX|2)xutTp0grJsADHfN1MW?{~mYb?K>n)*KcK z<(s>iAE-`p$QG0TuZRLvHM?wWiN7VWRCd6y(iws1(Tn0jhm;r_O!XZ1-s4<%p(d2!Oi@`nF${I>^=C07$EqHG1}R`e7beaCeIQ^{Uxg$L{Z|G7;sIc* z|35+qD5f||!n)4MG^6as#~IyDD%kuMBOw}jr_xoxwg<>h+>6p$sn370ea%wTF-(yR zCsqtc&r5O`zP|b-f$Mhq!`B6>*KS>63d-Zl25Yu*Y4+R6C?&~E$Y}33u1a#fO6)Qf zvKq#4$FHZo9?UrT5|zJ7A~`vEo;9*Lr;teW`PCxErFr+Q`Ih=*7aiIpj;dK*IuwkU zww|K@eJC6+ypek6rQufmQ+kFVS>I2803jLdZm>IH_3=v8^_(J1jVY&j zqW~JxurSsXa_K96_SMc{b>4Ysz~oblm|gwpP)TBiXan86aDY{2!1E)FXa?H+6b$hU zMf$W#ijg6!q|VpJ`bnZ2Doh#Bu;)=D!*wQ6qV;iCTtUWW+l8U0ggHP83TO~7%8!e6 z;2q2wX-p?6^kGe-QBoSPu?7EoLr&kVvZ?atrI%|bPo{!jrUpZu1dEd94Q;8NxbBa! z@49UxH@6EdhtyYx_&y3gbL~wW{9uoY6XwhOXrRfO_+NRzBjuKC9Qz*lY;(7P>9v8NJKS(m<1*L7eHYn#@O1wM%c7Ss-20lRpR zpOq8fmbljNIulSRG*R?Y0N`o`g;Wq^H|~gfUpF}O)EljxAj`eR@}&EKI4fRUSOJj0 z5#R$K49Spsp6$LRH{aOc^2RP{%})N%6G zlRIlNT>M+*U#DyG#tB0{OG776hts<`{o++Wki3#Lrf7R}y%-L)?X6TdX1Iz<$0JL}cUa9FGEd2+ zUmQhl-;3yZe*I=Y<)&_7rNY7#@73@6&4OQItG?H;V4l3a z!O59pFWF%A5^rL=U;z@t{q7q&IGYsR_>{3b0Wz+bLvNO@XQnOLon6=rA<~30)Fk1i z!#ve(IuPKqMuh37T<_iF=2qA2{t@XLRM`{ULNzFvg zEQZ27iW{B3qsetgG+5FOw}Ba;!P)v8#&AD^j2b+~STU>1*mQd{qWm;K5+n;VM1R|* zcBQF@M$ks;sFl|wg!-ObD`X3g`30u`g~isyEP^RM0O;2R&W8f#(Se62y^gI*miFP} zBvO5SvdGhP=Ct#q5rf)^D~b*z3yT+=?FP_SBy8y7Opsf0drHG+y+3UrNjwr%%P7T# znDc^4w`eI7QT*5DZ!2uK?LE8ae)B#n<~*pIJG|XhZJ;?b`^X^Km|n!FC9=@wGK@HN zW2Gl=ujld4yg9-qv{xKyX?6DsX&VlI-Q_zrPbWKp2vK4UmFSrd`mGyBS3q;0z z7I{A#sVUxk{B$s}y}q*Nln>IZF2#}d9~sUZr{)vu(OQhS_Um7mv`W_ zpDd<}9Dd3EXBZ+3n=)V+Ha{v!RMc!?a>W!4;piG{Dc7`q{dTwHXqL1# zYmpEcn4!a6S#z{TwOL!E-3a(MGawiF)-{GiZw?aB%AY=rOCy8x!-$4HP$VR8<|~Su;_B=iejyCv3HWVD z_}PP7q%^ULu_;_(BbUo>5`K=(M$Y$Ot>6pXzV`v!a*p1bHdxAsV|i6sVaW*MpS|;v zVz=u}THB`0j6OW`r_nXZI=h)$gU*e!^&c!pcrBMV-?Gk?WdZOFK>Rk1{7H(T713oA zMq=TcbI%1?MQ5CozdP60+VJi7GMqY&iDw~CQ3={Ni;{M5SerrRNRvmvl_YV;@_TpN zB3!odV2*f;LRxLrA|DRTOqux&48iqO;}dQX(<~-@k(Y)t)IC8Tzv;$hApGi@bK7F; z`!eM%OFY()gZ>osTrS#)e>U<+p9}Y(kwyzTsf+ON9-qDUjr+5ZXuB&Qrzw%95*qqD zX>0{a*X}GicZC!0T7Eb2PWIsoof=1~GV+IT#rl~cjz}+28$Z-)qa>qm|4_*jeq$Bu z-l`k+gZ>i7u0`-t9n~!rg9I|A{WMr!H*9sEv`sVDGSrIl8B*wr<5=B@61N&~}p-mhzQ&IuUx=kaK?>#2i<|E8npU*SMGZu>1r2(W$a2&-K zm|3LuD|<>us()=gKG+C$N;0(#L^&f^w8)1GifM0bi>sTjC85WVwF4^D2BTbBgtk!m z``y;YOV0hzrjNf8DWhKTg#t+uJ6L77Amoe&SBJoi+t7V=+H@cZ0?nu-Ve?J1z2vxw zUU>oefYt7WnD@FqlXF0ZW{kmqLS+m{`ycb0X5arh1B>$Vb&efY!eLu%bfLiV2}L?K zssnz*cQ;R}BuY_8(1W(~xgUNE^U1Z-W~W){VnP~>=L-DeRNTH{H>xtB?2{F+xxq1UpTft721zG#rkafA}+Pv3|q8!#jS zd8Jf9s@p9Zc`GjqXuy=LrY4W(OyeP|%G8(=>0YtHIqC1!?weX=+i2Rpm^I4o5Ks8D z`P5fX!gI4G3#th|t}_|Lx2yl2LX-=-Fh^<;Q||9Tn+OkGjD`(CE#z5Mz1)=E9YI3K ztfyO9@G4jPjk5ZRjRe%mw-ay_m|;E*T7rr;m;(?AQL8J5J+O^D0QvR`qggnL8AsDN z3BCFU%i6$nH9dvG2nyk_GL{&g?3hUctg_G`5<5@D9UTy`aD(uiB9eVU1$mBlf2phq zT}Wr?qzS|I1VsgcwH#S>+SA|LtV=|8DS4KIg&;*yN7kJkCqgHY_ibN>cAN-MWNB&o zw)jR23uf$J9Sj0yy$4Q5zY|pq66wHiD{A04fc$g;a|ddAp!dWUYYhm5;oE*M1{8M2 z9&Pg}GZF?rT&8>jXl6Is{4{4Jf!4hq$|1@24ho9Uwi(XWkq;23VjcR6+|R^Cho@=4wM2Ki{N}&DBKjsOM{6aA za6&0%EqyJOpQYrL-Fkf*d0Dp1!9l2#XsKnGh6LoLqxs8~s&_VdOY{Q&un`SAzL%7Q zd{zC5;{R*2og(pxbIFufqJ@QdCP1y)+EHH^w2Ojf=O;}2+8$I1@W;?xJ`W_H)368UrBa>r`8=B+cda@L$Aw5gDz_|{h| z5T)!ZqfbW8WJY2N9f@=o<+XD%(HQ?npo`!7Gl}FJXa59R0Hdp$XMCP<8&h_;lGgUb zSJ#TstxYmuEeLtwv+Q%c1dq)$8{GMX^?4%7JebRFmj2^lvK1-dLL*V5f?ai9)`1>{ zpjdiFq|ZV+tI3p0<1zb(PnQT4q}W;`t?YL z=VLo0S38t+K!A%L!9~Yn6y_OWKO<}uEq(F(0lxrQVcW}6f_h_)y8FU=GKO;!pRgJr z3dx>Z4(i%hyCgfH(lfcraW-=WMM0;|#ZRYqpG z#FMn_VPCF6l%Wy7yFlJC&Zy7phUZi|bz$aXlfaN7h?>yWrWGs{cZ;GN$VcZeMBYcKXJXwG+@ObCHW>qVp$46i#h3J$eo!G5m zZX=!GXME8atFx>rCKs%``xxkIg#qX@^X)|AZx05ZwvO0(k3&FJPr8xmVhKRb>F>rSD3jSgJAz}nP zV!{>hd*yaZ9@o=T%j-MB@%%>ok}hl)EJ8`v3t{y@=9a|HU7i?^3hdc{0(ByTrkG-U zCS6@3U3PHo^E)f>sB{U6CFObPQB0mw zwF6x-H}<%Q|D7ADUHlcpXIFm*f5P8b~bz+5Mp0a4v>v6zNI4(tMaFlCJOI zGz|3A@%qnuoJCtkPMJ}%55M4pG8K9QQ#v~`3O zg~q`5Srf#SWI0uNy|z0p=Ym&2oAfl<;!oD-X*7&4=8*~=3B1BxGpb$jOdS(UZ&?># zvGVZl8fPl@(O%NnYZ9Zow?FMtlJ<>4IC)|5l@!;~AeY#6m6wh^m&AH5`DxT;%1cr$ z<-F4(c7bD%s)0$3j>~am*{WC52Gj04gz6qHv=vuP>IsaF{wWLb?smS z7w1PV`l19Y-9Ii>5EQU>HT#J+)leBZ4qM`lSjf>%Tl;$nsZO&Q0Bbz|8aqSf8;Nsq zCEjbh6%RI@KDTrMJ>7YX;3gTGp4Wp`vY)HWuFvVw44rwcoacp+6+|aPoMxMIy^af{=^h705)>f^`>Qj);e(|tPqBp^w-}YqY}p_X z+z14s{<@#iSft~p&^aP3-La3=M_}<=FAyVU;}7(n6MJ}m7EU8av52g;SZjUS`gn>v zHIrH|WG_E9t_wCc4ynt2`uoO5H>T+qkQ|0Zrlx*s~CbAPu%aZ;a625g_fPV-u|l|8>)BzF>koxjvnC#SqYIHA=wO5b$4Rl`p;6oHC3yOWrPy4=L~i5G{*e0FeillHUazI4lP zNP=dmrs>VTWZimG)FCr@c0TG^n7j60O*um)Sjgzn&yQJs`24Y9HckH&k=^GTIVv!=aN7+j&s3^6xLfDDZt*h#(e6irb0R9!d}7t2GI zz4_f2WTLnF3a}xoH#e_M0orH6UoFwMKF&`Z zu|KTjOA>9YHM#Utl@@c2MWqTGPPWQiD1BCQN!tw4Y#4%fr%(~h;K3tBAzPVraeirs zt%YdfmTdIHl*X4@1aDOI{Lk#xT)cjqA63w29@=tP4e)G;EyHC27q`plMsh*c1kY2? z^I@%c#;})n_U|+~m?U5IH0(#`@DXQzBy@gxR-WYdlIR5sc{Jr0K5(jM2TbrT8tE@$ zp)w&{(EpaCyxt-w`{2c-tj<>E2Uub3%>e;dkw;*0p6>b>H%mo!Ju#JD_1*yOVy)GZ zQS$w3dddVGT1UI68siLSYw@vP?2ilFJf0O{pd4OK&SD)=C zF_TbH54K#9(q!XII&+}31!i-WnHt7OrZic(C>k7Mm&TTzK6&BZ8bcoP-dD##&Z5;h zvWMDCDw3IsJuV1}hC?ael@v_6lY-;1_PAlXoOQ2H@2TQrw_O@OEgI&Jv(7xscnAG& zXrQ+alm7Tyr@x|S#Znwu&@A36g`;m(!+m>niC3|ag9`U_J#(pG{ih?W z(W89~K##HZY2 zHrkYVdNfS-Wfn{&Bb}$%}x{6a_yR zf`|w@H$Kc^m$0<>`+5I(!3-j;{3}Ny4R?qI5}1fjFs#@yS&Zw!{#cG%b13zD&EL87 zEeWT}JaeS3q6+xsNhR4VB%4t}tNYPkV(Kmh5>h0be$B%B5G(%x4)&NidLuT_8FS{7 z#%0A3R=S50z-F4hWrN{uPQE1L5# zWvy-@6^3%55m(=Jwm?_ybgLAfJUgu%w^o*V)}8(3!JQ{~pLf;XdG<>8uC$%E#68nO`e=`9hJFJzOQ4{Cvm5ON>P)gPInr?;**fyw`wgzrgp<>jl>XD;*$loQ6i{k{foop#d=6?5~i2+K*$$zr1PO2ZVRP>ltH6=B5BOSD!y} zO#D$!UM#w?(sy(G>d*;6D5xgKQ`Kib1JM4=Z(>w(7=JrEuw~8;a@Hh7WCIxRoJeLn ztpJ*n5~%lynU31v=0fImvVxpL%xSY$|1i)m;`yO}SLYUc(|%Q7w0J?Ol@UFOhirb*OwDiX7yGcoRA3S@LSdFu}RP9PX=7u5zbyf9)=Df%oKqc}K=AEW|EQTruDVGv=o#*{Ch1m_PO}TUAmh~oMD`1B4zqpOE=f@w zqd#brT~UY5u1x&#Tj{)ghNK47^u8B66x4pr`dEdEg7WTJZ@~?4B&3LDm)SAWZ3ml6 z8z%k~#K2i(WC8a=C@V2E5o;P43 zh~l@I!=+^WNTBLXW2V;uJrfhy+3pMq&78xx?m7%R5>P0Jvh~9DMIUp<;UDltxuWiSvX>6o z15_Q_8rZxzI4TD0aeH|B{eF0}==le>c6IX|lHF|-;=J1;p8Q%k(lg>{X3hX0mnthAs%i7S#ad%Q+t}S|koL~X%WVfGbJRi^#JgZC1XnQ$7u`~fmU4VZy)pA) zqW}#6l7tD(HKRM$Fp%&M70A19XZ^GOj^2>SXD2cPeT6_oAcQ;O7(occZQ4^XIy23h zRJ544QoLrpy@Cyrai9R*qxQ%@ZMzvAT=B=w%)XZE{EmgSy#k{odIJt!*JT63jpvZ< zMcx)uv_=Nurp@kZuWwWNB^L6E>IUnCmJqks(b*bW$1MDA4>7nUA@u8CgAb^qsM24{ zI{x74Dyn1#FR&K~0GC4N7Nxmp-Al1_z^ZCfgUZ-sA&HblAJtUOCBE#)Qhw0q7Bdad zx!Yb3=>?cUgp@mc50cpLm-ghHi!o;b)dgaX zly?)ACEP$W#?iq)+CPiBY0s#`n6ISb9NX83xqic(IIuwTXU_BRzRLc5nB%YXFeH@4SB`WSt~e7w_@{ay1ohe;&5$?~I=4l~m?k5tm{+{i?;#aRhlGAi!J zV5rjPq5G4YBc1K3dO32Gg;K}y8{0SF4sA|=rP-PV+P^=Mhn^kFlQDhq_A|j}JD&H2 z=`bc&M^I2#A;IV90r#8;(8$Q<+5{X*oUzhegI%!Za> z=Zw75r!TAaLvT0l(9B;_^gumdABP#n)(S_2mf^kn*@^Y$*GwWM4NbEK=EA!*!@c^* znZ=uBcII&KkY%)HP^8N%6{#-+t1Co-4jFTf_PaO3Py`?X(&xGl$`G;S$G%U#_qx@H zHOj%DrLwuy(%guwAP+Y}@NNrNB1DEnD%SXJ(eXFk8EqL4;g!LT2au?CAILm}ww=+E z`-fnZ9UzPS)(>`wD~oHy+O9vZq6QpJCZ$hpCIVTbNV~`bblc zBH*F2E7jl*Z`vE7d#59IWa&XAp|G#fkYK5E(*e6-Ql!ooHPKHTl>uxkJ!SUOt%bJW zUgL8=307?3^5Q0|`FWV69+|stw4Tzjrc!0scuVK))J4_fb1NZgAx{_TO9o&nzc}(6 zUml<;13ypo8VhB0(X~5R!di%1E@F@BvpToLz@n9+n@1H0`6kn<28t@ktgq~M0jCeD zI}UOAWWFAH`8RtSV1(NGXtJf|o4-_S=A^r{Rs~G4g0})EX0K=zv?`nr_xPfdj@kS; z5dezJ5yK#syBa<#Qdi?&jheG{zH;0x3nG-{Z)>XK8>rLcb4(W4VAUF$QPZn}i zZv7YPabj*nW3f~6g7C%6Gu#^;f!ixKD&5cY2l0RO*=|wwl8Er62Dg?zJ z{5n$U2i;x|L1+~5AJuqM&oi!uIh0QNl4yr`qcccHZ_=E?MCuFIVt3CJ-}fI25ovVT z0L@~cj&>9`5^JkX|3z2^fHFYajmVdku1Er56hB4FcW`;k3l>Z=14_fP&NIKgtVFEx zhK($lgX4KGLIy~6ThAW7b*~_mU+p{7&Q1lMuG?{zt|=ruCPnf)H5iTJgnnTMX-7Mc zH&or_O#kLPB?F3!+#ySP%Lb~Brpn?=e8g79;@U=N+F^{P04p2uOc5wCnY+&!I*c-} z$3TAW{fgW(){0Q~u6Bs;G1cJF+P_Dzq)<*>p!z}K3ht$;b*{DD7_(Cbmz-PP=fWkY z+dI%iS~Am=LX`7vR#Fwv`gUmI+D_$N>x6jtN6two&Uu$$VSgXWVF3{U-&Vb4Q{!}l zortntXQ}ukNh?R@mkwLAC0D(kO{VSt}B^X(|5$phquUq=1cqupT!`QbS@rUf*T{E zGk^!B?AMCE#{Bm!u-(s0$a+|K|8Dp%i$@Xbz~it9Pp+jz(5wM2+#IX4{l`H*C1H7! zv8EscbJAx#ksbdvq>C-Hg?Q6y!Io;fNW=bU=NQ^to=@azCl`5t!oeGSij}# zviQx#Phg&-w@L57T5H>u2I58{%H9!^a`nij_E2^ZO^MiXK+gYGvTD)5P#(dt-{?pSMh)wa_=*7w;{#) z2qe*sw=eqY6ds3iz18%)4a|)|QRLfDMJfMzG&yC&-+&02^eCxqrE!E$p&Y9PXGlf1 zt(ux^a>7h%?q;b{!fzc8bD`03{NgP0UjrHLawjD)yrOts;JKjV7^y);38q7`n(n_= zkzufcJKeUSn-wQ4Fg}-Zl%BO)Olfx=u@gEmVN6Vfh=eYsR3Q9v>sq5#fuQXF zOy(2XwidPI)$b)%+kU|If4e=+wt`a5E;UWiy`&Rj6#Gn8nGm2CuVN2yg883d4gQ!5 zpWukB>7e$ruPEfU%{qF=E~Ox&hVr}pZaXVb8R9X~RBaf zsZS`pO2;`v>ub98=q5K?w9?$eR#m3(Scv{<=*-qVw_6JrxW@~$)NHpZ?q}D3FMyO< zK%T}nLB=@$QDDdBB70UXDT#M@NWV}>REFuHjU22F*CfdZWG*!O-TE+0)NHuRg!J%5 zN08H`^~W&QqV|;udl_#BI+WSFubp(>`reV8+x}cTu!A$!%wCYWl9Hmx=)OM|x};nB zkBSFuF-FIpfwaB%g1ZA4}CjPXkkR~J>gVRPRg>1=nG!PUp@ALBbS z3!c5mbXN0>9Z9{(4lXz>9DEDlnM{dD0<*Q#>051Ep5I5+XgL2D&;occXJ?u$fo7$g z&}SNKSd-WwXFNp3a**dXQ+cpRtq}nEM<7ZcKX5t^W%b6jA-U2(F(m8IQaRncv_{tUZL;$oWYjFJjL1My}M9ebhygd1h-!`Xt+=+3@3Evip#((krF!6 zexALPjEp=!evBh#vbEE_4=-E&oPxwysRi7P5~EYAB$FnKZx0S!$av9tqC2fcDr^*j z@~)3!(d$g__UNTztx zq35^Y!U_o8GBWXrD_P;u!1_W0V%sUZ1hA;h0f}+9hL7;%oV4XMoV1DBy{G2D_TK)U z5peb6EVcacqg*O*Wxzz{LvaE#i55 z-eArq*$3aGr>3hnh9?MzM|aS#5%UUhSCc`p;Xo<{HrWM4lVf^&=*W(YzXkE}Gg|Gu z#*x`y!$vu-UpeGNm1z;zDeoe+NME`>_DI#>WwP@Ofo_TX{kd?mh>?lE9UhsnJ0)!E zdhc3K00Bh4cP$jr?7hTUTUa`<5TMJ^T1XN-!2Sc!bifS)Ab=e$iw(xI$*^Boy#BM# z0OKiOS0$>t>rh3-Ml)`)zN-EAP|W`*bw>?xFK>UBF;(^5h`V;Qu@pxx!JadwNMXbN z8YxU>2s9G0oEv z>X|(WpUhrwJySvP;8aQXIgSb!i8(@Z-jAH+I|1+=+r}C5`fe50s}iw(uO$xEZ{$YI zVV8HSA@9S~JX;&W3u9|_mPS4u6LW4JFL;sLRE!ZEEUKEGf^Qi|D8aM{yyn9JQO;{Q z+%IhHGLAkCb_P>T1~8P&qEZ7UXFk+|)8czN1Y|>jv~|T`0pRTFWt`t<>7m5294Xxok#>foA7TO|>2dk-LX;}{AZ%GOo@P`NYhu%J;g}o?kw64$jGqPa@A{S*YVUe8=2R(P9 zh)ae;=&z+eeafSY5C6c*(||x!`QVnw7b0_IMU%w@=eAoFi_D-}a~qlnQZhcqXXi8= zljQuM#m>jl$XwtgzMBC$PimDJY>Es`0Wau0q0)z*1<}njRfOt=VwZ^@>UA`{<`_&O zS{@S?y`m86u3yW=&$_&vV$Tcl*zifz>Mrf8aBF~(d@|6oQr(L5QjCH9n zCT)F|58y<|LN}e&e*7yKxBpNvWFnPZD(>9>t!KJC5!d-U^3B@ZxhTBqEPV9jF_`7q z<)vJ=GgvR|T;c~vXLMYFKq?RJ^Cm4=-Inelg#RB+-yY8N`~SbqhryRyZq&1<9glC z`+nZf#|i8G>p*|NP@vO_UujtT252ep?YV=ryDHLMMSkn~G7 z>lCrox7@#7=M(iCE-9e$ec{ZzUB>sU-kOH6%@z)|Kc8$R(Fngjo3x$*9~L-B8@(s^ zx(4rJgzLAa*CnXrZ{GAtJeLIWMa+MBZl3g?%)Os4-P;|@$@mtuXUq~<2)-HZ+unyT z%48@DOCx&yRL~K)`Ws5zuO~>PN_b1iw;>}MIvb#qHC0XiQ@{bR+sZeHpZXP>=kC1w z-2Pgu|C6u*jMHYZyRL{6zx`a&&z_Y54|N!meMQY?07Kp|?C;=VQJwp;;fj~j%O{df zY)amkPdI`JfzhbaonV`XL$p0XQJt!Sp2JPA5dd)VZ?KVlWnf1V&@2H)O#gts|Ecs2 z-)aBJYf&b9l|0}18Tgqxk$Ox>Rjpy?V`8E8m)9Bcn!u&?#Kdc<#v2Cx+h1+>iECG1 zL4+^6=aIGtVKU`0^ z?@_^ZuoXZanBgm#zFy}(1n^COd-R;2l%dG-?3J+(2R;+$nMNfA1*$|2j359py51qk zYlMuZ14-9Mc#5I-x!->y1PzP#wYrF}%wB+myEW1spIJwtXV1mnMJNr=#J+cc#TqTK zOWmyTz)+m>5WFV{7;yemlKq)VNE@R8&TByN&enBpjeQ*U*ZV2@keezf9eiV%`1`km zX0df(eQLoo$Wx=kP(ei{*1?Fc|EbJpU%?6m*7?>;Lmbo7^=dlahNRRX)XvBa9I-9? z_GUuni!bAG@*uF?{0hMWFceKiqq7`P4*XLa0gqQx)Li$Z#^jamv%t*2##1sNr$?qn zSL=rBjQl@+rOv@V;5+|Qj$P@ud1s|hAO8v_oQ~Kgn+`U>-&{>#b5>IcP@xOcQm1t%T3{OSFY$j85I&VFe^dS8=&Z2U=iIRSbkcVxc`@7Xu@du)T_IK#x>FgFZ2fPC`2U?N z3gAG8H%s%T8})jqb)fdhn%Qw2v!K%kNRBDcRPWN($ii`GGDkD(_6h@gJm*Mw=)v2T zy3+s;?cdw{6P^I&s_#}PBv;r7Uw5{sSNw}ONRH?il1VsXW|B)=!zl_HvAB2^Mt+eF zI8ru|&H!=2sT8(r%Q)we(@gfiw*Y7z|2i1Kj{@>x?TtY9T>7h6q$MelU-2*B6@{a= z1s_o(X-dCfUW8YhdT2j9NL zjRDd^D*U2?$osqy&Y9|jUr}4deOJX#eBQG{?fTt1;~Vy>Uh-V9g!AX_&dlADMWcDX zz{%iGtJ(fd%X`PoYvxi6#NZFkzip;tJX~X((_DPC!w+1BZXK7v^CoX+lD*n?NFSa) ze?IJ0(MoJ^`Ox<4;~V1Bl7%U;U6<(j8GNgc(Qm-CTO@hSP4EJHj6>ah@JsrXz{CFn zPwLi44Fgkw?&>nGHrhO9kwtd36Z#hZ?3lbWrQWBaov?pg%K;jfx%lDrmVwl*YE5}}pN=b&cByRq`N#g4P`xb9ed<@KJL!Ray%XlW1ae<+zZg9E6NGG zlQK(BqfdgY=m-RtlKu?A^+5M0Dhx!|{z^YA+tZ}K>>%v@oi~EpTkqPyu>Z~-BfOZH znM}yd3Ow_$GgR+cRYC(dRX0>q8}KK?Q@z?w_X9!K?6g626Xj;}tmK8?tXSbQ$u;QS zg&-6+?Wbe|ui{~P=-pUpVW#t>4+VAG|=M1d>n5<+5 zoo4u?O8Ks?XJ&7H06C!j``=%Tl6nd6C6n1c!4n|)4X&>vT!Bi{Osy4bso3^?SH@y- zu6GVftX&>J4^bRNOC_8Op1<@mxv|Cl$?>0bzb0} zSW*uZo(-Dc>SKWSWeW&byl(0axh{68q+j8WXvjsl^TKXa@#qbdZxjQvrgs%>{;h{H zN~Z3OC6x%8G$W%qcV@uny^SI_0j|$!%XuvGZ@9rJ}+n%DN}X1Kod9>P4;m0~98rR?YJC|EJSWdnxl>gQFvCGs}*glTYbmsvTC`d!S z5|(hb{MlXD&Kf_zV7r;XVKwp@FIUaWuGC{Yo++w9Aj=*uU`~5DNXPeB2ptu4H|5aq zvHi&_eo)!7ZNZkfEbPSqNzw`yMPr$@veq0LJA9)B9)UUM*p1>1|QMv zBbnS0oI3KOm}YX5Z8Um|#K*a&3wEu7S+8M>buM;y5mUC{Jf!CNC`yAqltc5)c zKzy$^JMcoS7Vp?NOWZZVU%J}aw9lkebq6g^eD{n~O&Lh@vl@MQjiO`TU>IvO{am_6 zVe<^&=lZw*{CO*DHC`0`lDi@6*O|>rqP8r0Rm7qz^YhgH5c{OUKq28N;d?s^AGpXB z$4co5enW-Oj;9_XU|aj1(7id(BhcA3Zv?7*>}xj&wKfQr=Gt<$7CDY;p2S8qey6Q_XUBK72OeZe|0N(RO(jJF=>WKh3T_gZ+ILBS9hM4~%L~iZT zW&^?dFP3{_Kp2i4?TG`#h(SB>`Mv7U{OM>*^3BMfi zZ%zl~<@)wLa0e*HFE_4H7j(0&+1Kv5&EWtq@IC8ESB|gXd&tdLCwNFB=^mR1|IPyk z#VbCft{w$~h6j-a8mA~8T!*IG_fnP)w7jdvD7Cn64Eaty4?cb&zxQFvLU7G$87+uRRCgRXUm85I@IDl+exnK17eNk^DS<)Hno*>QJPIVFu0NhS0c`uv~ zw)Kq&B6*)iW{$LHq`}H5bU;9OaM1kSq&zeP{4}K|`mwzydZuEaHA!HkA+D0u+Ck z%kMwpJJ4C=!1zXJuy4!zFG#9gt#4|PsvZ0}7aq^HTS*IpvWF*+?wVgkxGV-Z9kHE| zcExHEjpzK`;E~gpnGz`@L`4TEJ$as~;Q2-q^)h;#fDwEz7V19TY~Ds$`U)XV3gWWXYl-jbqsS=}VI-X|K$o->%yCgb;5PT2ak3yHfVHt4gGdIAJ zKe^5h5D89*5AY{kLwS^O6KeaXBn+r+BYRQYyPAqZ3wIQ_Wh-7iYC35O0ZiA18tFv& zJr2Uuz0Jh-JAAG!@F)0xiq8yB%kY!Z2xSXc$v@_ zKYH}PguSbqn2>sIwY}(KeE*5vTi%a-X=a&wD`^*;AgJx19KybMHmd$ByBAKo_^MXa zaDn?SK$-OTG$;rUv?4vh6TrAJyJB{e6QuuTyn-`?CI#h+PjA&Qu`8a_*3dg?sTEl6 zZkxMR347%tk(R(Ks+y{7N-U;CZ_L4Lqq;y!e(%${g~#Zxn=2hj4;7+FBtn$0bdpXs zixsby=x94?#;qt%IOJqwSI((Ij@6)pg0lEoFK>^DELA z^ZW+xyOyzl=&Tu~-HT`2Bwf^g7W;Di^F?3zcGFz!h-UR`CE#2ti`i`2Y(^Tpt76ry zbd;7=m=V#2UM*W-`S#Vh(41c9RbP$U0-cRl6xhof!}g17oP2KXOnma7;kG?`0}wic zE+tqNC&jIaCq~L55KULEk@IjAV8#h}ssNA~Fa|9A-2PjZ-@>6&8knsy=}BI)(01G0 zW^QiHhf=Sm1E4d)!1MzvZmjgVlUNw7In8hTkJ+agE;8i;al0f7OYjH@iuJvWfmPq7 z=gix!w0%t2_Tz1LHJ2io;PBghz&I7aCe!6euG3(@lha3r!3Wd8*pR9YCyh^-3; z)K?e2A(}sMJr+4##y86CvB!u(#puu%4IP&S(||C++LvJi>TT@NCA)qgPyG_lEUf4HvMX3Jyp+^?SGW$5cS9FsTo!q3FY*pL#+!JKm zSC&nxNF%0iI7!^q5gJB#$)jzCGDC44a{jvd)l7RzQq+!j3Kv-y@15PIl_K4hbh&M8 zxaguoq5f~>4OYzg6JSB1PQQ0>!?^)65VSpYLl(-o;<;Xh7*0I7cKfgp1Ru2Z3PEBr zz-J!y;hL^owY4JQGO3!a2HDQTX!^S{>=O5Q-aWw7l?c9I(0*0%(pwMvThw7*rFLEL zd#P>c&mE&im!X+0gdO;La-U7k+dNNu8tPaI=(l8gNbn^q1w=)BU}$YMShrk+yPEUv z6E(My`0j~j@&~Xmn;n5bulxmdC|i1=63p7l3JDg6N{>Bkbg0`_Gsn28sTUgIqUaU| z_os5DxYq+!Q4cy>&rkQ32wGgs7TqRIThiYwp=AO0yD|W>CiR4JEYBm5_=U(j`RAN^ zPJA5mqFvJ6-4{wCQGsj|sa_vk)rPzIim;}B-l{9KT@7Mkb%IKhqFnJg){CqCs-#qi zFf)8XYBw{&(mookNW0ZI=XJj=fIP zmBO*p0>P@Ah|mwjn(~Cs$6%E3I(MY_TB~6a-|tI4+@;3Q4O$N=Ym%8K^lVY}6WaKO zx@6{1E<8453D*OsNeGISk-omoA?;9sQU~QAIej6k+|^YG;Py$TB;yD;>ITK)qcOmE zAW^(5j{0TcDBdStwXq(((+*{l@TBeayMxqCu@j?TKhJHwJa)ZMy#fO*x!booby=|w zC^W#v3?WrGIX0h1k#L}gR5wQyc4SEOUIOG5;>HXdOF(f#!tQXn;@qA+8jR$1Es#;z zCr`LwJ4xEkIAC!jxp`x<#x=p-Y&iaxm{*^g@|aQnkJ{&NHcoA7$uH!|8@$=B;FN_h+Db+Ay~k8x5QDcz!7j_Y+Js2y6ex*V~>2-&^OP9?v)-*O~mm` zX;4ehpXcDH?>t8!{IcgRGGP3A1_-tH*Q4fe?nYx^B}jY5d$Q?Gswb}Yi-pwU=(C#O zrLb>FJYk35vpyBI(9F5PNe{uf$!Eg(Cc91~!T`*@EQGsuB`xg1j`=*demnlUMtx#T zZvq=``84s*lE)VD2T@IR+}+NR@zq$1$HL)CApnL%5Zjc#)D)cfHwXqcv+9j|O`z;4 z+2$lJum52j?(&12C20k~`{)=vu}Q!ZSA3orr8GWYGk}xgINl!Ge|Emrl-V5dEA;fy zW7s-dv(tkBp`kByXX3ykS6imX2w%8f^hUis9 zpORaQXx4C~hJ*Q9Tc>2sb8rRD-RQW2L6T{! zQw-4wHS%uwkTMmBEx=P^hSG5gt+G|)-iu*FIR1gnAgFMtH+-dT!x*ESST=P)|Cd-QatdT8a#G9#5^ua z_sc%Ji0sTh@=%+PX1KOcKs|Y7?53FINvU`;Op56PPnA-|y4D_g4G!Q(T-_!02{ws* zSII8a?#wlhq#fKY_$ihYsMn|}3JH@)KG;~HbvzdFR0Jd%Cin6Jo)k0_UYLI5>_>02 zz$XgZ3F51o&RK$=EzTw07a&zsuf0xR8>GOf-nm`I%5V5O376TlyvH@{R!FGIfjl34 zqPUlipiuBNXKa_TfX5fXDi#Lt#(|1Ji4V{~j^I$Mr{*i)nQCCYuAf#;t^*ry<(0IA z{K}OxGQU{~N@+ir&3lbH(%|CZ-3nbwJOWYzu7L{wy%fL4CM>a2!z>rEo^c2!fZW>b z(ywBpxuK5cPv7eDwFMJ{k$-_A}drup1JErugMhk7J!~>l8Hj^zu!Zc z`t-pAW`-E!AtbM0W>zTe`qwSJcB|p#+ciS9b{-GtYmzB4Fa&CnO{Pc8wedE6zY2!( zDLxfVt~0>|kJd$glVI@a$?)>4uTlh00u&PjZra6Qd-nxAnC&>=%W&^}blQ{)K78rQ@wfFQoZ*3^1%qwQu(i|RQu`tH(DRc5wv#Z- zP(vR;iwpWm>GOEkYWrJS>TG`7sj#nqCa@3$y_t2f)rxb~l%xd}wefqYf52pv5L;c zgN|4&g1N4wf_}((hSNh=W-aWp@ubOJIKabtt6OaOTpUwM_)!+WZRVJ2^{zemU z3TJ2uW&=av8LSVUCOBm?8EL?+Um-fqiQmDKC~56~`BQM@`gk=S?&Gn4+3zqDrSdJ+rYs*oLiz6|gtOf{||0||Dk zWX4k0Q9b3TBbod=Wk+=nW<-n6h*{}PO=Vo^7El51Nu}VW}#+ERPiGrX<6?f zl?ML@LvvGgX0TX5NYFG0SOqg~98R9P9LdSU1>+Xt3lVSA@y7mMu|_KQd)M)`(M~k* zM=hwh!eB6Ji1g$WsKUZKRfSt9zu4b!m2eJZ0V(plSkT}TU(#avoueR<3^(s998(Yh zw{~u_U0=jFi9#^uEjSO~;`Ro}bIEYu+=}T~3)P{#w8eUEdGHWXU^<`J(LmuY1`2PL zb%{8Rf#$k+pvHT+@Qc4fCL5`=zBL2OEiZvfzuR$%7c7reG4=1|70h9)X*xhmcwO^a zu>cEvR>`AksA3G_C(N*U!$8tquBvoZY5VbifyD7#7C8FxQ@TDK-L9VDvMuc%A=R#= z@Gf%}pg6(jQgq?}9#Eh_eZxYvBi4}{2PrUj!O^4J1XE1NbUaZ&-~SMMoZh*Sv&h}9 z0f!|gZ9kR)Oqx0_^Cz;zg%O006c|L3|9cpfYjJBMDSgfD5 z3#g0+KJzmHl2KJtbMEs;34fK9$ka2ha9W`>neJrpZlPSadOZW+H`2mA@w6ogT=$8L zh*Mz#a2EeS)+1w;`}Ki4Tpa@}5q% zFgtGXTlk*0jhgy+b{xp;L?E8ISy^o0rmcMc8xXF3JFUX3wNev3P4mZF+@Xbo(5etiEm zHKU0HtoetxE}GQJJ?%^LoTK;G-n4SNO_xVZE-sFpD2A4w>B}0kipAf8_sUk@v+=$R_8d7r#i=(>+?li z6zL1y&I409)oGGoS9as-z(QD#H)g#&KOxRVcTATB42kY@y^mkN1MB@wNYaN??v9(@ zCWFJFeic#pZd2Oo^je~3_9QHF5(U&TA}vhN^r#~O>u7Jb+UA>>w9D&5!;J~OWfpiP zw5dzU0_K|J#3t*kLtjzxr=}aua`7Bp+SDsaIbh=hBFahFwOJDRl$gq6_3LPE1>?XS zEmg=Pi!wZ|nl9A?*G=Sb69k|*96kBB8l3OGBXkbfU+{$qf)}V%I+eC}3dLnOUBWd{ zfL$7aKy9lhL4GMlvJ%^`(!f2a_rrL zCFMWdbR@z<2zAvWYafcGxGu?1yzORl_SeUs-w)mmcm}>UInM=OgJ_BE#+hu10c_bN zJc%4o@yIas>$g+dFPi0?icbn0NgG}J%646o_Ioelw^QxuBN)x6pMO3+d*YeI5=ohd`7pM8xp+l&?ZEdlg5G4RSKR>t z6oG=AhAI8dGvnN52W==jbbDSw+x^a-`!BxSkN#pxT(tCj^Wmm65!%qygos5Nm44kB z5`75;)NZ04eD}u95(2k-{+L9AnMkbg7yf41*E+PL>+A{jNmus2=ts>w zI>=>04b&mn5+?Yb$Aofj@xR+7G)Jn_T+Wt-sYvTAZ;G<~K zVt+5h4qH<^<{1Ymr5TF|pnI$oEOoj+lzEPMSi>)w@!4MvBl_}id`38)g+-t*RAon4 zM6<-a$-miR*yJ0pcunx64US;`v=Fd*aFk)_3rg#sLi7w1ha)@(V)z1PJN$Ql#qTFT z+K)U+3;1CZSeM~6lPjHC(syK9;KSsy_K{X+n-hNCj|HDj1_$&s8EDSFQM()MkRNYR z9$HM$`?biueF#N+LqmRi>zd|62SjBT#SX2fN$&BD3v>EG5yh?7gw*f*%) zqxb!84HDh0n;aF%W{vd~E7Cr8+bWBPeqbsp1e>7a1Kz#NXqT-a8qZxQzYf3W47Nqr z43X^2J5k)Ai!XQLZ2{x>z!2K)0y&;rZsOzKE@quE;Jo8Cl zy3X_aTOL=-T;a?^r%O&8eD;aiGLgMVdd=#(`m1ldVD&J`3@ifqiAp8Q2xYg?Gj0LZ ziA5!zu-NzbD5jhiniLAe3L(c6|Lo&gKOp$GaR&R7EYYbW;>O)If&YqEhVqM^^B$;S;L}qyrF6cu8pcGh#1eE`E}@}GhB~Z+;{Og(>K^N$ERaBpBd)n3 z!gXm#N^jC1=yd8>tAOIKNI*(evgU1j(I_z~sqy`{b-`S=7{TXM0c0P4b$M0x)vpGv z%Rw55YCEfc4IbwT3^;}Ji|BO0e}}sf0+S%Kal(WgAtd8gdCb4?6Ue)T)i1iI@S;M_ReMu09AK*2`Q-ct5r+}rIKHR#+QQN^!Td{jE@sG*vG zP`L*oczgyIzCJllJ^+f;j7s($nmLK!{vjZ{Q<-Y;W z>(5|33aoC-=~H!*k~zEUqfb@wQYdc?ur2}Nc~V7&%S1<9H|C7|0~_U z&4VV=h2npCc}?O9mY=LivA=#@5s!n9$Lz0l1{)=Rn-AjikHy}+5rQgT%zIJPSFRb4mWSRc%kmmg8@g}&wrCA{)bc=)vCnawu|^m1n1?fBypqfoe! zr5uEN;ig)X7L^VxvG@!J_(|~$Jc*hZBx-#!6B9z1%0jMlz~;wa4ee9MCKy0V>PM~% z@XJ^I4T2v3eWbOnDT?tSbzb~~2iKSM;L-74Sx+izvmgB+9vn=V@bPo`fQGNc2CPv6 zwXUn9R-YEC)aded@D-Bi1D5dr#QM(BdCiX#Zl2XFZiM^aJZ>-@i+OJsQ++S}VQ?fI45C-8B*U&yu)LxI-IuU&_mmB!T$_fR-w9^vC>WrSAqLYV*>d*8_g zHiSh~t;bkiU(?Na0M@4^?fmM@p%sm|k&rz+6<%Z^gyJ6J)@c&Vw{hxAnv<)`e;<6O#Oa@+p2 zH%AtINg)X{+RqRDI=cS;?fhl0cErVBlN(v#f1lgDU-mzd)j4PjvUB?E%8jG{TMCP@$tAz1yNy<`{dXb_FIZ`s&XEmR8-E|YdJwdX_ zM>zz-)T8S-$_eD&=`*j-F-v?(p1A(nz6qR_0@{YC^ZC>ATsTWu$>EEGU>G{Op4>|2 z@IIP2_VpDda21{!uIbUMxw3oaam!@Mn!K`NtCTlv#~yjRKXt2x!-Gi;X9S!0r*lyXUV z_LU7yu!(6R7Rly;xAsHtTE8uDWPHlyT&OT5M54qe2kPah?NaUAD3 zPeUdMq=y!lF}EaS-pO9Iq81C*sO<1WV z8I3?t5P-5z8I-;^Wxlz;WS~xc4Cg=~)s`p9v~3r@XAj7l5rY9pUV+?8%A=t#{>|}C z&Q_lg&K!5n(_|SWiWSyqtgL+6S(@9`6nHw=7z0g_$Xa5n{iL^wrr%$4M<8?NCO7o- z@Sk4Rnp@>{xe@N^Hgn?*5@osb=y>>23w!rjPG{sj;<1Vyi(cvnoa*C`@dnxbKk(%$+huvh6GKb$5bKBN<*fQ(d{_HTqBys1_!k#mHRLFKOS>Kwvvwe-qVHJ zX2sNH^R1B)`}HP=kUV)+Nj*U01zgfLU1W|+20?u6mdlipT7JYwHjgUC+0Ct_)5?nqv{db@Gpu5bF( zZhAzJ+AJ-`=iT+CKtkx2@kz)%jT_fSamsik28QFNhN+Yfm^Suf=$x$7u%lA1?@O`r zLyLxxrp<4<9^j#h*O)mYKOe)~yZAbr4&dXe8z(VvsgR;po>wZ8zfPQGCaeIw{Dk`E zxMpp<$>)ZvBV)W_LHjJkEb=_tTJLJ0M&d6Oj=kMZvjeMXB<;yX4BvL7d?@@F=FJPE zk%EnnFGt4+{s6rm0qSQc=jR1g60*>wR^SnT-t8t=CzT%$F({Ke*EqFT`S>q8YL( zgOAP!q=Pv8$-LC@(N7OMxq`BQaRM*`psYCqqX04;9d`L99+>>68vSq9L;BMzcSvOO zKie-*$Iu!Iv6G>S@kSF@N3I*~u`@#w%K5rHC+_-R zYDzC*;;;mrAta|r4|YofkdDsRxrYGWq6o6;OS|yC0N{hD6R+=8e7`yaaO{CJ7yucs z`teXYh`*VSy7%+kJkI~=8b7ii7yH4yj7nTh^%CVgKF!YC^jYe!c2}o0e|z&l1Frd^a%?MiF91ZU2f(sJy~=?vPik`%Dhv#B-Xk5b9a%? zUWYF4^QKVK0{@@oA7bnQAY1M12AVQJ+UMo388q@sawlj~U}fT2(Z`P3C%4DdqmA;c zTUic$;r=ktb-6p~pI7IW8Y^FgubUD^s`ZU02i@^@nNG-xrI}aVr`^((|D-%m3sWI@ z{%N4M=)Uu9N^=GgrCpDX4u=nhT+{Zgu2737Pyy^wpPIkAeUeJWXsnK46aUlG`fWz7 z&^2?}D&;Sg^tW#gw<9)HHd2ufJyCa{Nsmr~a(PY5ZHMwq>hhKpr4!RP;ewi#8qOuJ zieShwb|Lp5Ux!gJkO&&d$oBH`ja*$*O0p1zym*6&YNJ)k`CGaSJf7to*gqP`DCV10 zwsWC19k!k$k|GbDQm-wIaoAk;W?S+PP!<6ZFb<#q=j0x^Uzvx@mBmeR0H!@)*Yj@M zdiJ?b(7@htPU*Y79O{!OVe|EMI;eflL&JE%nVg;F)OLN&wx$va-(FN%FtHvV<8#gj&V$D$Mx zGBTa60cB$Tsm2yn`tk(;a{xF8Nv<%9Koi~QBaj-Di@jL_LYm#FexK$^iulAIUWTwEYSQgLGc=OoGT zw%VWki4Iaa5qBuSc4aKLoM}dOsQ4^ zvK0`8C4;X)uMOvQBy$+m-;8x3IlmYMddT^g^&=L2)Fo105TVSx<96NZd*faCN-;?G8G=Gfm$9#{LK zexv66?~>iy{`P+!GF>{aWh7UWIj!zft`@#QJuCQf1-D<5Be?TC7^YU(61rRMIIk0} z^QFmck6z`cVySqJ~EiC}b+}j~1qK_$~&B@VJ5rj$REw-oq5W3??o(Jk4{MZwWb1_KM_8 z_Nd2j7MyZ1z(mw)ls#~y(`@|H*5W*E>UoEqKm1vjL;YPOPNYvi6_IQQk* zTT)($J|aig6M2zPRea18-zjsEIo@-h)wA}Z-%cEp)I^ZK|CJN++4ugalKE``Nagum0IXc0ZbZW%7`v@2`~L?&!}d##uD z=lr*mkEk~*gB5MYL;@z>o$6OO`Qp|IN6~52Dx*^U1E)J&O4s~uefrfQs6}BW$@;3@ z`LGCBf#dzg&ye@!Z#1Qz)8R;|%s=fe>rW(Gv9eukK*qT4&fGH=Y0?x6)kFdt8He*{ z_Su8q4k^PtSMP@0AYw*84Uaaz53L08i-Gz=UmHs6)c;Ax^f$Xd>k3GedLh5f9O;=` ze}2vwRPdH$!)4zViM8QiLfZPpVhRkWE|}*#3D0$ zP^5JcOTh_Hr5;$??_)kD4gkGrcS@$xaNSr^p@K(m#ghb=o_D0jGMpRereN*DN!tLI!r4YfhR#)Paa zO4@;M@zql8yl3`?Z^B!GISv@}sf$NEDyO}mzSWg@!U_ifDl`$=xVF`r?`dOes!Hm= zmj%y<{ta%Vw^>}utSlLQ4uo(Bs6x~q?~Ws|4Q*SWB(ieQ08>9 z&|x~4yt*uq63T4xZ#@aE-JiezjB+Jp9z=XDDGSj4T4CpfKKQc0lUibc!&$8?zmQhS zv(5^gKxRm<_yx3dS^T}kM_t>q+U94Yg~QKX0F0p~xdmcr z(HHc={YBgY-gF@&mx}{4GYbFx;`5~jg^6^{^&g$+xctuL9@gODJEI_x;dgiUojeQE z?KRMWY4JwIxuiblSD2Ke$-?Nnp?Wpkr7mNBZvEC)Jh`041_rMBRHNAZo%8I*hV?TQUidtN)r&YS}3lOoL+qns1DUtIL-${5! z2Z)yrAr&>cx z0ff|!gpev5lrsNxnqSvLMZ{Uc` zRciLcnBgbNEvwVx5s+jP(nLw_ACcs`{;IE&p6dC@6a9x8te<*!1$(2>^qte4nr|oQ z2|`@-ch4L_z*k-MAke8Z2cRjFuH*mN4cxTlDuR6RYbh}v-ZE%Lp z-DGO&m+xzN{BTx4=5G9L<3sca|5K8niZ8=2_SkoxB^@F15$nLRp3YbA{eZi{Kz_qM z#s%9;g|FJ4_Z;d7_#uOZRj+M_)DCMC9lYDyp#J-U)1ZoP#~zatSlZ$5l;->5e`pwd zd2n!N>p2tsFuskd1{s!WS9LL(A#6nM(alYbVNe~rZ}1UX6yqic^TA+!Znfw&>Ejbl zR;AwbAs{n`FcLrVKx$g>S=m|h$iJ)p)}dc(uP7UeU)??_zcVnCP}AhncQeH*$)Q2@ z$cF6!|4NDn{JSDgh7I-elj`4yTj@eaPL!&W!q5)x!%G}G5w&?Cc~$C^p)>ySU`#~t z;OVCb*^2*e|Ajb!`DOX70iada8&n8x@|7-}IXC=M2}O%*Ym)#qpOAdV2(#5Wk-sNWF#BgvN=g@)h78~aI+(u3@ zGqfVcO)a_8dkBclkx0nSWuq9%^Sx7qsdPkS?>0nP^SYNh(`_;ql^rbiSjZ3ZPhE&zS3{ezIyex zH^Z@kdm{78r7@`o=0du6K$wS*PTh>U_J--mg0#Xx?<_@Ya}7rH5xV|6am7ppx0D)>Qm|C5auB^cCL zQRrm^lRl2oXe#U3(ejOEOJzE7XuZ~o}Ia?QDnGtar7 z`+423#kA*AtEj^%Dk}H5w0;p6<$S%tj}X~2pPr`}zi#Zx{bxtQ!xR9eho^ZYM0d~b zTlvR(3U*(JibWksTr_8i$=X&EG2Y>9?5=R<^hMui_x48+M2k7~D-Z0PcyHQAgYM|R zS`l&U$9KVwVV{?kTMkwdSr(j!%T{nj4b4Vs%s|AvC8}U*M$W5I8yAI6N&XeXom5m+ zaa|WEu}Q^^;}9&Ozc?nG%Bl%M-yg<7NYhURzqmxH?{8vX2h#Z3bh@^9<|OdQ5tS!p z=8HVw-!oE$>{TCs#2BL{qoNwj0)}=Q2#NKFlXUZZQP8!~3p> zx)>E-s{AF!!~>VQg4-{=EcD)-{#~+kl}-xBCf_2#yMGwJ92qjMGDSmb~aq%E7yVgebRMIQ)0K&bZ;dxIxRyL z=>SfdbLWs`uOvwv{!=&@ulewgR&I`{ET|*|$<+^{&l9E;ZlUF-4+%UEV^zf^|MAu< z+~_WR!+dJ1KT;5-wcaFgd6C-}IWl%r)R30H_l=;DxoK&vKvOO`sN*(PZlg_`5GfJ< zR{%A;;;39i(~5ja{P_LW=v`li@5FZ7OHQOnpo0r$JEhW@6&n&Zrx|X4ujcNwx)>hP zUG(nL8xL%W-gIyMJN04b7YiE8ZXTt>eE+U+qTJKhSGM^r*RbHZ&5H4}n?qSxd&@~o zjD?w|b>E+iHv$$$pT^O+nY!_8l}ra^t{pI&%UX7PLf_toXZ`)a;U~8Rb<02=*@9m-Mcp5yBuC16XrVeGp`hF>ABPKK&5+ttkD@o;{qQ}+&Xw>{G6 zLW#YJ8wuul(gfq%z3|`PT)ky_p|#3)Q`nhh!*XQ}kGgBd?L<9<)Nj2G$%;balw6%J z%HP#4;IDE1@l*zW*n3r|yPm6jP`O&3L4&--lWP>SUqqSRhn#7?_<8U_#XUzbs^tZC zoM;_(&gBH2^4g6qZbgN#9VgBfrEcu_(FCQ3FKIC49B}Pg&s!#^qUwnkkUz?0p>T{F z-o5PPs0QPGE~=JVTV2MlRyhzxJ@ymx_a0Kv=0a!IzQOp7A>Jj7FhW=zBzU8*6=2cQ zesXL>B|3u&QvTWoyY-cAtWul}!uQC-DYNm8h@F^j{V>C(W#v0^;)X-~P2Kyg1YP|M zyYc-2g5Ojcd}7GJq~Ho7eCm$;Z7N|cjEB6bPCuHde(acB@(Pz^f72-3=)J*+1@7pV zYX@3t_hqWmY8jeBhfBluPq%);|D@di@j4-JOV~Za z6OHfecnem}dp8H~g<^3Sj++3PPXV)g0t}TUGUY^jXqwW#!rU!#bO=#>Z&ZafSs^y{ET2(_NYnCXEw5nUX3%A)aG$bq0c_)3= ztzy@&}os2P7ne73#5b8n^NmFn*y?MwC($9!)+Hd0jA zx46V9!q}2$&L52TPdxi=43RY}HYX<>cY7M(Rll7e)wFa8$!0NGfYQvmriDpWb!%Lu ztE}*7Q-D}?5vvPMuy-^t@^1pl5ip>*FwqNwY~~kHIyoDi zA1is)yb6RaCM|OFwj&Jc7?p3|g#EB76_dOD{=AI+A7kaI_)2Ip4L_h3BHKzHR}9C2 zqXSn$oci64RZOS8hjG;AUx~D6*Y$zW@V(g6rVCe(-&10E*2Ab_TL4FXsqygl3S#85 zb4zDLKYUi@MN=)06`NVl%LE?#j)?`|JbDE~KHW?S=UrFX1)TnoWI0)BRkEDRh3eIL za)w{?wtZAR9g%Imw>jeuCN2Eo)1(LIc8)(W)8BaV0;-A$r5I#tnf` ztG4j!>s@;5hkWn`)P%(q>zg-Xw1r-$*SHoJfp8bX*cn*~K3h ztv|A9DK98pud0J8DdW9(w{B(Q5gh3whzXv zBfVllRh5yrcK>vG_ZCcOJk#DEt!5kK7-@;vAlQJ&Ft@>clevY)5q7X`|Fe*KH> zJLxOsl_jI~evjuvXraxU1p>R{dla#$pj8Y&*nz-tIO^9EdFIU#@#P&0(2IThwP3j8_Lyx;>+D34`evzkK2GyRuFlrG6c!%npqSbZ%`*l!gw2QXnA!9-xog(JRP#WwnZ?u?sDcCHi@sYFhM2oNpQ#Yy%`ibm)*iH0x+O1^=4 zyjn?p4o$87YNk?%QCCV-FSLVVeqN^s45xrm+>vA_vf+ZV5}x)~tO7=6IBIGBcb`if zjUURFV_4TK;ZI)!j&U|0-T7d&N^Zoe%>5d8Q|#77to6>`iWXN|bOBYK%I#RZ%gVB2 zHSh%rUgT1sk**u;93`#fbo??rl-#?oj|OLLKOq%9ZCjKXKT$Ah2TuW)D=?n{?5euWT0trI6-}xZwS-rB z{PSƌ}Ri9KEU$Q8t+nJ<_(dK_$CstDXav}z+-PD9x)UN@jj1MWdKuH{&rL!sL1 z2J@1%)1g<^lNHT@@(5tH|1#jaTZ7g=RF&UpkjFjO|F2&5mrVTVT4dp3y<~Ad$<}(4 zW1-68$ANqC>#N+_P4?Y*J2phPHx6;frmF6=-lWyYQyd39&EC!{Zx@IfYETb>n(;}E ztdHi87i3T&`Nc;puF9_*6_1@U7}{6$t*qePL4x=59b2h`!Sa1DS=!Y<(I1x3RJZlW zxt40D8TxQjjqj6qzNij~-&@9&Gg!y~Z15?B81BCQw zBd3yIeME#~bb?i7`EX5TXT}w2wB?oc1OZ=}ITOONPI#qcq_=+8KF~cf>1Hm5_}=+hHQANZ0JX({yviw2K8)F?^a;paV{ zOfx`zy`Y(2ZpWIZafOeavp>6gPlp_!b=$2w`Es-gHJ%401d9nMMxF{4F;=fmUMiUW!;pWQwM-Piq29o;S++h#c0mi6mV)f<9$e+jO* zzAdq4nx}q!mqWq3g@xygJGI;79h{vZ+xWe0f($k7xq8K90~e=&oH4)l-PFH}=Hy6w zlAbY+0*f`mGIFcqf6;zpI- zqfQOwVu2`)3wdNuE1FQWY9m3DN~NuyR|Lv7@ZwF`yR6i3AG4aR9At!Dq z;Yr(2{OQSPzr$UFUZoE>6&*U2LAV$dycQkXk!7YT_c%@d08f1;bm&_1js-F)+IW%I z{?wUhp8^NktX8$9TDWB!zG>cP@WT73+r<~yHq}qQ;8`pJv%?VgIk=&HKka+#1}bS4 z;d$BqC5OBb>ef*k(_@t<6L%9`bK6l z+6hgwl{m(@@_NF#1>p|CPL8oRL7*I6>jjUJBgFp13uEK|UES+x(D%bE;xuBim;%2Rmc0nC&Tiz-BgDjhuN(^4Fs zp~h&s555qtxXwv6O)m_EB~S($a>a~lfh8-LY4SX|b3GXSgZ-5|b2J^*;?k8+$3SLx z&c|d=1Vj`_g)y@joy=hRhTR#VQ8RxNmi_Ka5*&42Wz}aujtvtCTI6;Y!5F9OjX>!1 z?**cjJgknO1c;~8Veims^i(I3`6GStB}%dUfehk*ihIF$7>a)dY$<+fHb}tJ01RS- z@htfBu>7amZM2o$zgZS{eMYA?T#Y{0oAebsd4CGomadQb^3Bl?LdrXvGZ?PSKx@qG zIGR>k=o`MT7oUdeb>E6`Y5 ztINU~(2w;}^yRJ(rZ`YtX;UfB6y!oe!E}U^wz$35ym9rmvst&4w+;>NQApqtEn=nY zJr9_Pil3bGarHZA4oMiCq~=r`=1=K;18@1*= zp*4-3IA;I2B8321>F&+eudh7UB)?_Yik=d|4ybci&D0$zA5`6mqw2KaK3ax|hc%6< zYdd3%<&Dzb4*wY@H{uG+Ti+NnPgpu1w0xT2oA@ci5ba`CGycWY-NRDVCcNvd&cjT4 z%&Vqs{hcx2#SuvG9xqEeOjzBqV9t@~Dda%7?y@@r*@ebgdZ#CC4r`5m`0J`aKCmwX zSmF2{iuteLYFIwueiOc*)RDyq{@k4Qa!qmJ;%eFa8fI6OgIcoJWf7sQ;q@|k^k&7q zhXkY@5bo)IuV+HF@KxOH73M`cx5NRzy<#&%4!&Slc*wZaO`?A#RuG-HUpu57Alou&4S?Es;wr)Sg;wl%|CWtd(<<+gKP;kjA+Q zy*=pTMxqMRJSFZ-F)e0mC^Rmiv5}k5+%={kx{UoF<#(y|WNx{jFzQxM*yIgDkY;9| zf%P8>qh&~Qix#^%ZFfR8fEyT%;lE)#^{GS+#tD*l;@P99@8irrJ=o?{0uz_uFnl#N zhYBZb3AQ!T3|aUdb9jq?qmf4~Vb?F2%!xG?a;d?ECf&XOl<#THs-monDvgytfu;52hW>uJdlzFap$oc;h5(oNzmmt z7Q)&`30~XSsy1>ZU6fP6nJ9J^9xB zL~%&RRE&zKM4BF~7~&ieCiA_90DmHkBXNf9OAMCn|0};=4()!p0~xfYC-Mo=4vi`k zmM2iot6j9*Ma_fO&VS=jjIfIfTJ8!ekzKQ*OK|!tBTNa9IoaP^^L+laynV96Ywv@# zRaIpQ_&+P80aw?{uMQx5AhS0vf$B9Coxg4l7iA7%wQ7&! z@H0y~1nW}O+g&5!Ysxn5~w&o>l!y@mhWqY0>!RYB}{jhzq8Tr$_LEB?(OSq|TI z2eDlNg9*jDcjgOQZ(YWo9&i;A<=&(SwAh48jz4U?dR*Vg?Fq4w5iLNR%1P@R;eCyc zqp73p2K1N34?Wi;*bm-Y^ewb!b`~MhkHr<4r_}DZ;TAsoeePnyUV9DdPNIgF0sp1b zK<&Hj<%PvPwHjPfal{iJt0K+e_As4F^0T*2C9ovgiXAP6$*Su(Z$$NX z;Zxm?oryNdE26&ashA&iayr#N4uMRLqf(Dh*?r1NnU=qCP?tSfoHRYe*n@HzTM#8b_M6Qm6Up-#(Z<99 zQQXu;;auOduwJcOXzP>1olywyi&|C!gN6Kbja19tepn>EOuNPM7nw50KGw|?N^g}6 zuQ!r=+Ysy-G4v)1e^I6tZT{P;RO&>LgdExg8DF2vhO?H`s`!JTJZ!GJPC8+zZzc?`3r zhrKjtK%&aKlxu(m%Z3*H(J~L5!t34sL(6Z4>I2yzp$vx;lvA@=f>WrK4FhS22tm*) zRPa+AuVIP`h`#Y)UQU$OVu;ewzJ~G!A5Xy4#k0cH*6lIY1uh(1^9~9P%6NUyC5TFs z&}6CUS~P$y;z5|N<}u3pFX*ii!_S?N`P)xTR?_`@K8FO9kk$r3^z%VA7{{`oZv2Y7 zgy&+A7p6%Jxh35J2~I6<_TG*lJs_E9!xN{~(mB>t$l%w_$_)@hNbr)Thxv$9=i-WZ?<%Onx;p)pR z+2>kd)#3A^kR!`;P1KetV&bFc*2rchcYp(z*=CpGhb2RHcxVP@K|zeB;F^Ow$gk7c zROTGj`2j?qo^-%R(O44oZw#Cl-DEnHJJm^J*9%FVct)dES8TX zL`HGutvKlGbq_V5npAvvXiWtfC3j?&u-A?ic+zz%{O3w@YJMRCY6hhP&1C*QQ0Lcn zaTLj&Fr>m{>{OypeQn%4L*VHc`(V6T=3N^@E+{4{Vlhe66Nib7n)FGeh>x&}yNT*# zC8qdS%rb4iiVk{#VHQ_h%`0Ra(ZwanE&la^rm2ByGaIRLKky(6cYpshv}%1HEeO!LJF}B zm)#f6MeIo1jv7EEHc<|qGcWbu!$;Yz+0;10bYPd|2~HD}?tNG`Rcp9W#5B@$nl zAVUw;jupt3+Ewd*pV)!eKmxyKP+brwQi0Ein*9Gc(;<0S>ij5dM)VT4l0kCcIvSTJrN zCuNBw9S1xF_wPW=*~0n=pCR+s=^c3HH2-ftj(p`rU?1pQ)aX6s=hcD*;v~y|8Zgdv zkIJssWE|~>h=6d?uC0{8nn6r9{jVmeWHp;ay(u=zVf?fOw}2-76h!TNqKpTh4<|kW zdTVbc`!9_>6bXfNFfV7&Zentuh*{ij{B-XqttZY2vxXZM7c*btJI#0&%B`m|#+)$X zETVAxx|iFJ=4TIlOM-2qI?$J6*!2uS4i}cFg)RtP?K<*FzllB7Ge$X7%2+`pvKpKO zX>3l}cQ~$cL9!Cyo8@Qo$2&0P_*69&+?f)2`Eu4{TPahjQbmWjGnu2ML)tSQcyzn{pWH{q%KhKr;C zNg{#b_yoLR06u0%JUuP43ZM7vJ*>l4O|Ru1EoRsNaeSJXN~bM{K4EdOJhLXJ))hbp zA+BcGT7$BRa?{KK(kbu~T?av@o9ueGw8jl)b$h(Hib-k-MT}_dF*>5#k@xqGw#oyT z$b<#9REX3nIY6h5b~OdjEL3P&Ja0lj?H_9{ziz29PN;E3K&hIMS>T7auw5DW4lPmtz?$^8=N3g88 zOvVYxBu7muO?YvXIl+XphU~z$5q71`B>Xo2ZPYmGB(tCAPVN6;L7PfCXwKpf_lKq0 zu-N5M1%YI9KJwTUaox8hc3b(^xunax(VsVrC*`us!gVUDMDNXS?H+pK_y=v|RtaWw zGz3NY)^>s-(Tcoz*xP|Nzo1k(5yBNFsVf?yNO-!RFy6-b#9eFO{O4ApS!(Ba6iaMU=#p_4*bttqcA-JYogbr4 zH3czBmXF$wH`D#Se3%TB9f4tYNmMPg70sk?&QXdMz?dCfK~i0Eqd9xny6Dr@wwUZT>nDl?&=w`hmT~I`Kq1GOm7h;|BkC3?%Oo))haG5QqM}!seKAg)_pa zbF-22gEnj&Ih@*;MT2peRP`Mb>Pe2u4pc5X)t7riqlGCQcu>Jl)rg!9O@F`Df8o}_ z&+0wfKUs?`40C!Ip-%G+YY4l@efiR$`SZt$R_~tqfFUrse~xYVgE-ghX5aw{j9QgG z+UC~rtwp!G(QWX+dBVXh{0SeOAFfI9N(SNg%s zHcAAJ^JWgJ7c;VS$a9B3Vnc&#tYxp*H<#k-A{Qj8z zq^oviXv!q`di1`8vLB%rpX##g+#6@Jr#3)TiUhOf>r^}A9^UJtaY=^gTSY#z#Ae33 zZ6|@JoFXHMwEeDXqqI5@E0L<}CO$q-*K3rPM3z|ErO367+N!*e-bcu)*skhmQ zko09R6*l|`vqQ6U^JM>QSs0@_Vo9_+Gzul~t}&sf7i7}rD7>#M9fz+Lcv4wh=#Wu) zEDH<@kkk$mv}-y_U_BJfC7Inv)M)$#8CocvYC3c|DVWH)iU2o{L$W^3&FKh%X+a2= z90*q2+4-o(^hNV4^&*)02XWJvZl@ z<>Z{3PeWW;G&XMVzf-d!KhV8A(#CbDj8GYzI^ys-HeZMgRVFR)MSm&OFfA&7y#1A< z30yLYpxt%=oG=M#w8$^V-kc|vMfDh3lqbDF8pGMtuKTpFT~UA4SHn@Sy>>l~`Z3vO zPBy8;qDYsCCL-U@jCbvaZh0D5XdAM zk-fgy+@ug9354RLse4xdToTI3K}$E&8@FlU2f>zRq3) zvqbWDUsHL5$b_s9;^&XkZNY{AxEoMuKIiop*>vO!ZdmK`CjUKHJh1N6D-#Dn)ykn< zZUGfQ^|Z^oF~m_8Vuu4agUN(Co+0M(7R>2=jGI9dl7dl0t;ep!2}NQefqlb*3Ne+@ zyt|elJtVSL93f*PYi zxX+sh3nVEqks@4GWp|2K295wW9~WEfCG-)$4|_RAg^LDT-S1vqeeJrMDCtaa94a*N z6(yx)y~V%A$Rk+{9O%Y@)oKNh<)i|0mq;*xph27Trv3o&;5>>1jzJ^>JH7%y#2o)_ z4VlI&pYbmxMd~yb7eRB0iBcAV4x&;aV#k1hs>S36%WNUi{P4yI_PrWmj96l^JML*~ zsKw<4@GRtov3ZAmSWwijkS-+67OMDG9g2p|1c8S^+p!w~+jdl)`@E-2$yS_H!A&>4 zFEPvf%)n3n{OM-K(AS^kN-?XXd%vv9*yPx%`lPq@lrZ;VRo-WMdQ4Z1#eY3# z%Ri>OnWWo9(a@fa0JNRNu$Y(G*oKR^R=dCa5Sn+6vdxl zaLD=OymA^OL((vm(N-r0x79Xj_iqU?jwvMaGE~RPd?8{!t>nMGm`FB^LlmjB@jl}9 zL^0bo5>caeJss&!tRCa?xyn}prWx?)seSRN4-4i=ppl&BW{WthYG6Gm@T z&0sUIpRX_7xn;%95`tjsciRO)2S@3T>!fnpnQUfD6#lD!f!m@P{S)>xAydrB69IoM zw}FXK5YqzaP_G!@cP-d4gu^8PRcdMS7}7eog^in8aqh~*f#BqGb8W$bHAPj;XOmBk z+qQpcM!%%HD{2E&u{1C8LFUEY?eloxe{?g^?|bJgJi>$OwIUZ8tg7_J&I|VA;wyhe zbew9%$DX4dZ#;UNnRgm%@Y#GgN>CH>;T@$CQSyVey3(bgq3~=7G4%_C<3i#gYTs<63`y0! z&_nKHr>>%dhBDo>aonm&$J#$+Pc5j|CsgdTd>?CO!f<0*eNMjcg45C+U9Z~vCM&vmcq=gtKpurv*I1^Ng&r4E@Hvi%`5b`d4eFi(5{7hh)rz-Tgb|DGVnNI!(wFt@UE0No zu3PLl!9TGowwAnI_1xao3574W@RwA>7=fZm+S86o;mL;?#ce(Od4A1pGo^M~okNBG zOleIetaC8EIIv}i_;8O5!?RW}a@Dx|eXSEIyuoUa^RVM0p4uND^k-6;-r)XxIY&Wh zqRbbO%rI5nBHg!;VUyPW&%^4wF5XmKdF!~5`Qq43t1L}%)RE)G8w{mo5X8_}u=`k} z_uoy@W}@V3!u-r=$c&X@YhI!{Y86xJ;taqWaFF^X1!J*(Hs_fb*l{QDih%DIKeS}f z0iyD~aYP)2(G*0o!&No~G2sa`T}*{9BBN9!kc+hP+ zTd7h=_N`Q)RjU=sG*z~TAZ4UW6!2`@z1tlAEYd=`PKAD=zuF>8-}|^GUdwpH>NYH<1C{*s zOuUKF?-FXw@&jF#F%tBJyJW6(WDhquCpxNaCVpd&t?>t=4mWkR&6m{&btk$bSAFv< zPQKxwS#rI$iolfO}wcOMk`Gsp(`(*QV<;$x3Ty7kV(@^G~<6SXynw^R@$r+B% zGRe4ztB{PKwuIuXXJaxf75}R)-nGI%^Su4)fmaRv-uHCv&6HV_+L1n=cFOG=bbhkc zKt1}cx#IcQq?wBnUXq!i!BvKV^KECO`xll4b97Xf+FK|Aq)c_Tk%JU1`f8~JsV3v( zGEhGOCuX`Od?e*jeejyq^1}~t7Df+KHvI_7=&{!8pl=HQ*(d)!=ha8A%?|{<`U`+i34SLUrJC=6khu1lXhZwCP z05U_c63tL}7Z8Uez7}*$*S@Q#)KqjKxkFVU(0D6Blnc&*G_Exq3kfPlg|jo*N+>A= z9Gn`#VOS~>gXSp+{IAzj2EvA3GB)+`cCIO6bWb#tvR=(csH5(%&T`l-+K%M%D+#JIFh@sV#r9@N~&j?RfIHb ztye3=K3a-7qAX{UHd7yC{v+U(?T3e?hf6ukR~kBJEzT*NiS}}#L` zs^FL;e?z;M{XvpxZZqV?T0#+qKhIg|jOHydQ?Qq7^-@Mff0&uw&r7*Bh8R4qi6wRB zRrmp$s7~1?zatb%pDr|5WMc(LM~lWvZ7^J$ zvSBszIkow;b-OYJ5IAUmII`S4r}QP%+Ijd1*P7bRzOEfc=pYL$nH4OgTqZ;&=Z7ds z5Pudiqp=5d`3v8UH=dU=R>}ge2Hd$65y`nZkX?1FY<1Pz*l?O^~*oc0?I)$Jk9gogbHRry3 zb<|iR*zB;p<}z)TSwmXt{Cy=%_hv?_4^uE)Ek{l{u@-d_FO)2m(|YHWb#vuzdQ^d3 z^%JySnM4blkg}hD*VYGR1m8$XiWf5|+h{)az9&@rWp^(gP&l<5>!u7he4e$F zMg6yT{o|b;i~+PCGi+$6QLm3+l@;ADwUm2*U1uvW;h_QE+`kBPidIYVs*K=AM|=&k ze<|C=fOJxDN5A@;yq`ey8uy4{{5a2Jvp!?<~65LaJ=r1n#}{h*{m)EVg{ zoXtuUn9%;tD~)!t1s$~SPE=Y8z~U%peW2zOVRs>k1)w3e{r(4s?3MjFp7f%rK6AQW zgi6POda5g3=5gDFv9 zJ;iOTMUY*rXSDG_U&zxC)RnZ?>hX_7o=&W=b+`9?1!`|DU)*vsSH9`57pnJeh7&K{ zF)LfjOFQ`Txm5TDeaz59*)>49*FM8s?AYl2k8YHd=d|9!nm)%wB|ljIFP5Il8lq^` z*$@4ExFO?-FhSvj%aS6c4^8r3?iMs=%c<58+z*W)qEv| zG}2vcZ>k~jHi0<*u|6rDxP)#wUm~cqsR*0+Wn`&v&w0ifs2rk4xj~eX=sTFuW1yGK zwA?=$xbZ!Ex}Qmtv8Uza7SFo5l43lDYRbR_j60_(H(GfRz3s9>F)J#;7o0^;E7UGg zv>l@C*$dizTud;w)LAjG+j*zLzLi}uGeOxbQ>Fgz^&b?_aHKILSYG&{x&A0AJ1rMA>#P>Ogb2^DM?;yiDixurP+R* zA#P^GAK8?obS^1$T1du)MsjJ#!o!?PsMHz8PCb&+>2pcw&Y<^UOSE69<>PQeU5!S2 zp{Sm!$PCIP`_YRJuapuzJnbcBE2B$`*|MxwdkRtZqKW>ZUGzkq z1y>+cxRP=*6v>GR^y6$!L7TFm{EKBsUrWvO^7-U;0jB-8d+tLvM{u13uL3 z1Ai_!ENDi?0mX%JvX%Dj7f!5&&}BinJ?FV$N;Qk8nT%eBV4XE!Y=R5BTWxE$dZi5l zt{b}r7jt++n4&iLqL@0BoC2qk(psYCg{#hUYKj)%haLI2lb(i+JAT&^Xozwo1U#X& zGLJI#DovC}r=7SU-qEi1)t=YE9wh`e?@<`O?WF_Q2dBO(M~cDs1)4MS>XLUe4t;6Q zTVWyz$5>5z4lo!KcH^#Fha1|iEOL)xs6`M4=oKQxt`ET}{KXp3J{{|pcNo7!^o9M>pQsPBi{ zXBN#Z2qlHu5~G$^MssOBL?Xt*^7+!30~DEaiuKKFxiS6hKwr3)VZN|xDhNr zVE)yk)sRf_Z zkuk=d`n;R{<$sXe-?HB$g?o|5&f$c;NSPC8HqrRHO#qGubrnnmzhTPv32ufUR*ZHf zJF3KMEp`0EI1c3Lx~V|9`-X?rT*yBrH@MjYf@sG1rC%l{zE4x=I{qYIWa9aEcGDsk zPp~FT^b{UFMVWk`cOZ^%*&D8EI;;XgLZVBm4^PcJN?*NP1@YMo3wu)=rKfvhogb2L zxQ=}M&(aH^27$OFhZ2Zd%1F)QLfzQ{8&XMFBG_stf#2h5$y#a&USB@jYec6FO>!uuz{7K`O>n^dPxWa(0TN(h_9v*f+u+L~|*>UGQ zcexD-vn9;mh`LhwE~xvV^`I|=r7~hd^pr%}xN01i~GX#+y=$axx?X#rJ_ZMhg6 zZsC6|F)$TpaSO7$*-a!GOfq1{;&?vz0fFce?-@je!M*0Ytl>hVMpo;v0ug^y=Kq|e zRk9%nN!;JB@+ts4tgu?`Y+4e93C2G+B`|A7W z&mtWJ!LGy5j2pNfK1WO~rvVtAZebw3kerTI=%=w@p^Gh8vVXWd^{>gXn%WjLR-S#3 zLetRr!awR4vh1on=vk*l4kX@Ao)42Xhuiw7hrRYRU(`F=b~-TAG2!ChlRBZk?%YdH ze#O5MhYm2W+|DFOXBD?{q1yi_kx+M|L|css*sO+)K7eq}tjv=w#Q*{jXc~Mifq5hw zN^lTZujLQnNZ@zNmfC_-EpX$n_f(F(|F3AN3rcwVwWOgC*uU<>5=|{O4b&E3<%h#b z)Yv$oym#nCFp_nV*r+uCf(iEm)Q1;=vxD9W;NC%)+RvzV9(&Gd}RG@9JOeQbf^|r zFDK`*%B8pw-xU30Ew1YZ7zJ8jaWRMX54)1ohx?@iyZ^!fU8nP}p9r+Z5A0^L7N8Mb zZ*~x6_?l% zQ||+&0feJurJK~ofZ-76JbypbS6!#jxUw*EI^L>SRUE9aL>Eu8ra7amYYz97LiANR}O`UnR?1fsQ=0Jg7xfw*2+w=op5d3J z3|d$~G$HPFeE<$xKOFP{?+M3*8i}PYE31i%{jol9-DrpK;oH@{W7xPemDbP@T64>r zcUf^FCL^1R7`Xw)QA^}lPHogo@jq4tD-@0*rkPYdNUT}l!h%l~1K0~qzO>oU~$htk+>+H zDYXNi3aHPhjHZH7<^Tc62B!Pt)m>hz$>07g?9XN&0I4YVl;2Hxl9zW47r!Jzg0&?! z!&4CpK%Ukj_!JItjfXc=VuJd!S&-cSk#r^SO#lBMO}WaEi6U2ra&}V6RZ2;y95uIe zIp)eo%}k5Za&%gyQYn?Q(=gi*I#ep(Qq2y8N;Y$C?E1g<`+pvfPG&T+*VJB#R zT*lFS4`aK1Bl8nPryk6VR-1Ye?^ECaMMu`-Pi>^nZK9rT3e=uMs+aZ^ zHtu*51Unj(4*iYKy;d<>V+5+LzO8)~ZNbIbUx{J2}fosX^=Ci>gExZ-X( ze2g*`B?+-r*Z#Tg9Xz3Je~pqMaue;YYI!!7W{c_iYVtf_efJxE;ODRbE`V(z0|BbU zmeo_sPZ5w$BuyzibX5BP2lKy-a8wfPFGkU7A!J`2g=x@Rowx<}P8yJ(uCxlmu~=2B z_Kya|kL6fUbi^R)dX~y!1{*fF>z4>!4k&6q(n>A2e;535!ZgwPrR+)WlZ~tK)8hz@ zCC{->=zA`z4x!T7v%mWlfdmJ_`F`BpZs>7W*^M2YBSJzv9XQ$@_H6zaCHg($i5`;MiRl>(T6Y?2d3BC^smbfZqGEh;sSTe~1G^7lvcdGxF zJc%n4&q9179k4L7(NpX}h%Y=~tH6M=;JrtO5wO5RkKVx0R5ERBI`b(R2j>rdWqLIy z3!nWE7qMW)2i~;`vNs6v)>I)*au8_BtP$w1NMc}Xv-pHOnqslj`ko6Jd6eb#IJyv# z>C&J9$djD`;wG4TcG&146c)y*agwh7vU*br#76I&DGZ|6?6Nfp{HVnO(C#jnlKB_I z3?+C$ioBpL5>cg40La>kXF0Pdk`=Jc;o@=fMuzHPsaP_Hr(u&RVlj*DgFVe3EhLRM z{~Ii9T*;j?*&QjKU>JK6cs1M7In!_S=^VSwLi7yhojM#aAq=4V+2}k}>Ux^E&@gyxO;(h^4aAW;MMq*AGE>n>%J7;^`$*%-{ew&@$uwejGpY$8q^?szB!fJB$|$Hb5#cdx*HW zfN;4fS!O~~g?#kWOphQdxVwGafcMK2v!SuA9+q$o9BEYbqiw|Z`$2}Pq;#6 z>o;$&kUuOd;SZ=MOcj%f93F{}lj124IkUqNCt2%&a0LgNUKYABx zB=v%hj5;26O?zl-eG<^mTIpYK3g#I!^#Nww?}*N?$i*|k1%&4@sUA=vZ3xLRNo=U; zqtxSvxqc`Ll{J}@sKO*r=7n8y#isri$xn{!uK;p8r-a&5CpWXAis^FVm+^iYNGB~v z1~q-9^0E1(PmOhd|HZ(z6;oN#9DAg$lpV&;l;|sV9nU(grOqO7P9@>?v(!!kbZPr2 zcHw}oke|@d2jxBlo>4N#Y*?`x9DCx;NKJss=O%H)tx(>9X>nk)g}vUF!~VgU=7T!O z8y#+ljz)qIOg9G>Xy7a#!M}XdbYC5Mn+PE~YRg3P;b?<)^Q7w*w~l+zs@!g%a`??A zJgLY+kDs}|KH_EoizSb}G*2BN-0`GMKCnZbMF!;Knk<tQpZ$52v4d^(3ZF6J&jg3B=-LKR5p~o3bS*Qqq8o*CD zr|)cMeJ*F5()I7zbMwDLyG8F^uMB00E$E_yTKD(KbLQI7c+OWL4x}=ITc{;=>?!NH zn*s1Yqm^d}qL+l-%}yCp5s{;4AAy?op)KXqQsejCfDPc z(~-M<5V)H@3UJF$X$#)8&Yg&pC z#ezKV9m;qYeukiWsky30j$hV&348+$2BaSDK(Oth3JnQ3H)u(!_id1I^Mw=*sz5MW zs-;fN^p~^C2!%5=4QMjeEN@`#V=38gP3p&katT-m{bq-=Ea8-${l#q=j{I`hbaQTQ z43B;1j8Lq*c?hmOC~Y&bZ!DQY8qeT3iGWd4`9EtL6I-(d`JXw{o5RVc#yS@S@cM_cZm|OZ1U}Syn5l(3C^cTs6Y}ca{)BrarX< zK%_$4{V=yOpNt@g4v>@ztvVgfyl?Y|8-$n33D5ll2m6^Vmk;B-MSDp-G=;gVU6{Cd znzd%+?F^n7xAKlI_ER{&M*i5<9_A;?LhvkJ1{PWxNGw8D#kXOx&1z=}Pm|((&LkGz zroWVTu(bN+f$i_YkRlLslP#9MH7|6FlLWe06dFg~^;WZTL*EuBL&?ygxa z!|C%uS>Ey_HgU!b6@OL?T6Z}d_V9jEmhLLGTv64iMwn;e5ut=6GHhSe3)do{ZJg za(N2iVL2%l4^EkxsH~>)&m2M#9LlaXWHd1U2>YwyP;mq~VD4RIck}5+Ais_a$bVReNQ$g|hSbpNzQs@1ItiZ2Ivln87K~&lKY7B?%?%b8#T3 z1Q%aW+#WdPeAbpqBimnEO^BgE!^>4iOi8RL@W^9_AQX*)Kn#NWh6-EbIP?zeEx$|E zeIxVh^Pgrv*Y$=-2xXsP_D2aLWJ2TclweyIjatI7{F9WpTXi(NRmGcHV!WqUaJu|f z=M3$$cf^8E^SPz>#knT~w7BN$o^0N@*Y~gR;X}VKSw=MLtC@dm#O(HPL5P0u#UUYG z-xz5ftZ9#jJ*U{^9T}yrRvjE%ZhGbP;SP$x>_!Lyk~1g+;Bu3o%AO!=At(P&(*yt> zp6ZX{Z_dbcQw9e+jH_9A zfiojbt&aS7WowN3r?9`l{I7aP8Xh0&>V56Bv?U+FFS;wQ93#h$<_=REun1#G#8w0p zAl6}e6JUCJBZFJJ*2#s(!(>Q@IC(st`S7S53)woO-8(@)F}N)%e`=^BXQJ&DMsXB4HO?&TzU=nIZ{6@UsUl#w3PJEzphg54YPe1rwtxV6<>_HseLEB z`5+LGtXaYbfp_mLHM3LMJTirDy6m?g;=b&!yqBz=;o@>*eYcpeVy|kHvGPCZzvz&K z!?u2_ni_L|R+_m5fCsJE!7x&2NC*)&-AtEH$keEza;UZ8O@h|1jVsmM&9P|`z4=Id zr-+zmNZB7ZA*roGH`b*Y)Bs8ZXo3{%naF)@d|)3~s;W%>7Tb>`<-`IvEg z%$48|OTIVG$RB@7xN->F_Wi1z=i}=;i=udsW^F-PIA(m0N;IF6@a032c--Q{2#v?j zKR2h*W>MWykL{)Mspi9X$F(*zS?5?!&MYg<6rH=hW=^299!W23qwW<|WM%4A8QanH z-P6vDomL)=k9r(VKB;hcB@CZQs;+C)Po4Z8a6xVQDs@H@_T=UrY}av)ynQu!Z{H8C z^4HuuX0R!c>20Xcmhz&WM)~HBrx+Yla_G{W9PFze8jA+iA%y%Y@@V4yLrf^6WQGgK zIzro`w#Y*sOxg>~2?@h~iIJ+E5Xw~c%LDniZ)43~HfkY(LY;w%y6ULGADeQ193w1y z^ZW4;;-c-AnysDvc0y1{@nQ8ydD9LLMtY8Wr|~=OlK?85V+Vm04a$q#Q`TOqQMRBL z1*evUqy@Hw6|s@Xn`&v%YpKPu8-xrt!E(80Y;3>N^0mam7YA5p_UZREKdkB6H^H+H zCpyA2QM?5g z{;T2DG7M}#h9c-0k}gPqduA83?&mEs!I`4^B;>1tEbjPzv!JY|{6#R8$_byYA=L70c}@XYrMUSk+}@M-N2 zQbAne7VIsC;pU!3sHo9;7!)$2d6%x{QlSXaZYGOq4;lqfRm36Aj^GCR?Lxq{!v!ZC zKH&rhLGw;G?R%2n6I7u{+8mLiiigkW_5SHWLAP#Z@o}a(c8YQxi8VexAT@iyhI5`Q z<_&c8I;yO{W7$7^Bj>*32YIg#4U(gJF^%-we9VK~>VQym#yKZ%WEKn_4U(SK{ngD` zW6rs(-5db*n@)LV)tM(}1B<0EN2VkTLWPPW%z7YI;MayUA8E-t>RkK*s zDh#X9JREPGsfMFwLVHa}?Ieaz5PnCWI6^RXI?U*nacYd`tReRcRu=*5C`eKGERrE)>nN`#+ptqb}%u}o^R1=zYmR?!_2$HVN z9#%V@HkM!0gV-Y#acEH~%|gX3**wD%oB2i8@{cLLdm^IxPW3H_r-VDpW)+Vc2bn#6 z%%@3qqqa@5^xhdH=~9dw90#v%307~QS51y^9OGY(sA`#apjl8AOA+jk7Z%<10yIPv z#r`P<{57@r+SB=+gpz5(^z4s~SzfB<%j0=4AJ&c`YRZM?wIcK8+c$H#&v@^HsHE3l znO^PTqu*WHJ!2?1>T4F;1nr_&OXj%~34D7(9;t(~d5UirGBh-Q zQJ8nlI)sv8ouxf_9MfM`%l=Mo|9JGaPD_?UNfjkvJATV7(*3L0(C_ch1letSpzFP9 zO(Y@c+R)Z{Vf_)B#@Z3pmf7rap-ziDWAz=>wwrQi*#^dB8T)ZnVY87vAyXZk+(?Eo zk;NXd=P2ACORyg&9VnFObr7ittFqQsnu8PT@x2qrKTS6sLa_;IwwWeJv zv8*OxUw8lLjg6<1Om7CCwMFV14faF@?N)m4=5~>I$`=qX4bJ(I4%>GZBu?q8JHxWU zA!8TJn`{Pq1{b@a@B5R6%SDEXi%K?|3MaZ!G`L$PtqFe~KFm9e5;F>i&w3fELhX~) zgLTZz4~R&5WKFH=nWnz@vMvDFAiSZ|-(lBaIiEd>;b695r-a8?6_UH&PwR2@@ob@e z|3p)P1LS3Zs~3JKKNlg~q7!Gq2(oj}4@@@;vdf9EO04@}HTDJDP#a4Ni@UcwaOZYp z=l&S0^0v3n-pI%Dma?cgcHi@UR!q}<3&36xmK+*u=3i#G*5k*v>C*(5lEt08*3CV_ z@k7TG*DDVNy}okeeR80s#=3z0$#I01Vi5it%C^QOGwZ5vZLw3UdMtb`=w#hq{jEAE z^dMikbAZ`1IbD=z6rj~k3dW)o1WV+lZy_0(zsOh0K zPdt(Eg+WX76z7{bO*n8cZ0)pF|I@qv9qz6#x6iZHkH;;{UDmlE@KF%%7<0mJ3&Ow? zn}v##SrTijI@>t`VmLgsbep`02@qz3&DAX%clQsIq@38F%qi~4HCQMFld@@iu8W=& z1)@weg8xCyW7EoB?o2#yg!=hT&abQqB8}G$qFL+OUK1%cF@vtef*9xZ8DUk+T{z$0 zt5f|S6#bs}%)N8g3++!k@V~j*pZo%Qw(y4d3D!8|&MEeTEopzh{b4@cFB|#7;PJ!V z=YbEZPky=NFoFRLOb2`FN(>(`e{AWR95uMpTRdR{LD^}cp-Y=lGV4HnGzDEk62Drh zObIt#GeX;p8VWx+H_J}!MRz46aTwTXs-exUQ<${X`91l(s{db!(IwAUzDt%OMtmE@*>GPBgdG6?Z5fXreu--F?`1UrQ}St)|KUgPHx^z zjgg3S4^V4|z9^M_aLi4PBK*Cx@pFPTpHTJXQQneUd!h}gT1Wr;l6`&IUVcY(Rrub| zJM)?_VO}+Bs!MOZ{uFinG2TY=I>)F)LYtuSGm_0>^>*G{s&bm(zY{gDTlS3A61-)$ z&JH4;6K^qKsPw4T%H|H${%(%`ZuPZ1dCt5L3KSC(tRY%kC?&$G!^O$G>kS-Xdn%nz z{%#>L(C`t`Hm1sT^i8SNyuR8V>L$aE-mK2|niiDS%^gvc^eV~4Td*$XcjZ)uP0R?Z z<09132s!I{143+4Xy*)oqIiEAX`Sa2L1E$^pwkl8TxDLjrPz(`)JqIN@Ki{rWga;z zoT^6HpzR2icDWIh!=Y`L|39VJw;BnM86IUS@Nqw51~1oZ5+fQMOYsdq;#l5;kvH{z zi}Smf;V=mr*(`(J8V*pI8s2SC>{qO?tA!jD#NZH}>EJT3shM>*S5N`3jllG> zr#%K?V*?@~vR#yDp;j)Ayy_yjXq+x*-=twXXS=d>Z?kqT@h8y2} zK1zA+pWd1Pt`6SbSXyH&C7x#>f(s(sZ`JaJNy)4NbMMRsi1-@b3Z$DbPh zI&T~DRz>ALr+g&=gcmtSXBGx??y20J$0L9fDD@1rQgJ25D*VC14bR@Zk`U)r%>J;N z-!^-H+SA5-527=LYFJr2H9}&dKR2ZqY~CxmYr+-_4$hTrgSZiR$7lwL^DhvCzBqS| zeZs5*boG0n#fqz)I5Jo$mYpGuU0Yvw$= z@j^5kZ1VtTt+lL$XaYbN=-aQ!lt;S5qyJtFt#<09=aw6qygguvr0>b%uRXC{?Ck zA6J>cTYBo_<_4b}&glK=oBbt49aw7pi3F(fdPY4HL-#0A+=;EtG6>$Nw1s;C{qxVn z`L1+|P(y(z1!Q$qYk_l7=PditGM?aSa=RFAhb_-pP9GoW;Plj5yBP2kD7G2|FOqU! zRivuVx^qJa(wq&KQ4~nAQZvKfp?p!!I7*(L#@cGJ_!nT2gqg1C5tc-wBsOfYKALd5 zD<~_VVyK^A{kj`+^jmFVbHs5`@_5wMS?2bb!{1w@hw>U2ACJCUVi0d(WwF?!2sfvNf9ZtMbj=RhORO?P`z%JRs_Z8lC6=0J&ZEPEhRC9Z}fWt z!_o~@8z~7qu}q&y0zfNfZ{H#5L0B9sZP9BZ1rXL!Ql2Qw3 zcH{*m?H91>HDE6wX!^gnj?N@#e2exto)JG|=4@}HK$UUq^v2sziEdPh;Sf z;|R1$8I`UP{6@_0CVX_+%s7+uaY=&}3N_xzf{+Gx=-OXz=NM|TXgW-dn+7JhM2cWiK- ztEcQLYY5^`%?JUMl?r;8HD3V%E8j=>{UCqi0MKR)-n;r95)ph1!zc+FAAb;{N4KsD zEPeW_cyYCbcP8k%xU7uQYG4K2TopTB$$y)A$`hW2AcjF`LWL ztKQi+XGAWyWZzIv^xLhxDWHu*-c;PzIpvm-ze|`{f4$g`LEe_ zy~zpWyqpO0Z5bt=yNIHA$2vqUO5GjodUkYbSGWEKAC5YCUx1ULhVWvZ2^9=KZ^+|-0 z+#S7I*L%g>`xGwo(!o!JqOjsg%kE&&9wq}#gARf`$8zG?_sUuYqGTL=n)4^s+~B3z z=eKX)If2-ZwMOn3jR~p65OCBSv`)*vx|zf|1=>&U(<-aVE(53_rjKUQb?VBdIq7>3 zVx}kPJ@ipYR49n_#qjBudHhnD+d~8y2pf1GIMQeKLeGK6(E-e`>m*js z6Z>_M6m5uJa5w1p%|wZ2(}j2CjYsyyE==HE7%h`AqnVpSpPBNIROk1neYha@p zeIa@wfxb4|a0mB!-cmis{3T1H^P0%Z5;l- z1l=-|e6YKwRkL}qxO9G#O%7@cHWl;F%^K~9XMWvsde4hka?l%n-LGRKP31Xno65Pl z;s)i_($G1t^j{OkH4>H1ZkqkxT-}s-u``VgF5VzjU+Gfq&t`cI z_cq+!#F75st;^B7nTt3YPD@^mNM&-RyW1BIBgitWwgRh(Kw(iqeXDDQ7+S zfNv`Ab(Ia(%xYe-(*y^uCWSTx{4#RQbWSl1Vzc?N+zN2Q5C@F{q@gdwmdOMk)qB%I zwr8aFt^DLkkkzw{Kk4evj6+`f-@nZ1x^z4zMA=R}+#{#3_I`#gBJ`T5q)x%tm(~Q0 zIGAlkazs;0Xhl%^7|R2#H}ls|#@nbMpI#HZ2lKcmC<<^jlyga6t0om09PCG?0K;Zz z#CaFOOW@z>z&^Wc3cxPbXHZ&V|LlvnvjEMSgovdDCObp7VK;CaiR=&@jiI{O+8*H* zjsZ3pq88`I?XoIh=JiW1c;XtI5~*f$a343z1mV(R`B=IG|BNIv09CJS%KiCQqNi73 zQlQMfZ-oo|8d(0)I6BL8;Bxzg;LW|i{Hq=R#u)aVY>zgY+w)rI%x|{SMM8Sk6Z5LH zb&pPQpZL|BpWHJfJ@LC9o85l%x?|>3bJP-@i%%+6e{3?kv*c^F`fg$JaUrvmu4-j& zW#q|O9eXM|$mKMGH0x)VfqAN%!39xlA2RrTu{hw{32{>&G7sKn2*gtMKnm0+c& zuUGkIL*quv+buO;(3k&1A2t2ref-paiF>A2&0EHVzh;zhfQfp%nRL7{ry!HQmQuw|fukTA9MHBL|1X=eEI-Kc(6HX2-UIW8*Et>z@D zWo{~6T?`XBIYg(*=~6lBGX`c8i7XUg!+nIm`F%Y)^!3Q!V2NGtp|Zg67(K@L^+qih z6P2-rTT&gxUrI<%Bxc!L_t}+|P~N+Ik-I$^ORZA&<#O0hP`0Ws?1-n@^$cT3d8Ip4 z&wAMeoHt*;>YzoDX8#)-%fW)IQfaL1r(EJ~54t+>YSo$(v*p$Ug&)1H9o)Y*+VP{s z3qzAfp_zrUU@P>3D(B(!I18I4dp6R;3zY6JP%76#)$?i1`lC;p0$rDNUHv6&3`33O z*f|zAY?XYOwH;eGxhah39`uY`TqjM#*RHhozQb>HJfmzLdtmN~9keAOe=VE+age1`11&vnNB}>7k9B^Vza@LO`olWw3_uk2J=>}(N1cXs zKY#5!)v)!?nKcj9UN3e%)B0Z7qW^qqY&Ii}u;A2O`sEvgr zf@1%q!aKtTdS_HX-TRgOugwSeCTpE9ojeY{7oZ!wkOTvkFo7@nFao1%F4xtZF;)uu zYxkdA@>qEM*W}9|1c?DBBPQN-1~^XTuD?)DRew%%FOZSA)g<*b>qli$WKgmo93d3` z)>OKq%jUm!tudCV@1U|InG4KS3K^WSwD-!Rz5niE#KNw+9^L1!qtq8qU`|!<``Ato z?yj|ceI$0V7v6nQc&6<|647bOCjTPqWVIlxz(ln<=lJnTmEE;UV+-lbr%y1hWFD>P zhnAW-wvU0jo;K4FJNn|_TIGHEnj12@|BC82&pzb@==co!&ZZnQn@10IesQoTbUv#G zw)VL;tDpTb0f?2Ec|ba%84OevZrX9sZ_m!JF(8T%&?K3)Nv|+;II!beKvuvGqVCsy z)H|Oq3B$R?=r+X&0bOS_t#5N`Xn$3+o`JN=5x?bCjdGVMY7#||SWHd+)YF)^(X7$L#{Y-+*3I%eF zMq_b$V%ki2*2!Zm*qQwQR_F}?&JlJR;9EK2D5Y{K?U%FKAZ_kQ z7C}BRmYd*a$hEG)KS{S*oArQFiXk7%RC^)ZLx26lkP)Q zOxwToBHqa{xon-Lme$o#OUq>oOWkwVpvY-Y)E@?A?Q2fATF(p7>5Z#Bzq3+#gYM33 zBhRPN*Sq?k+lDjo(WsILAi|H4b#YusGRb6-oI?T-#OJ~@)x*O>WFj6 z;y?Quh?2QM+=BAr(vXngZFBQP^;-Y!&5KdCJbX4&cBgVLmByR6U*N)hPGteMF?8cE zGYepk{HK=-N1c}GfFreT0%H#e42><>8q-6EN`7Gl98SCBZQlPVNt0+MsRNM2O@61J zEHt;=vxC#SW0Ye5tRHG>fC-h9ZvG$CfHgSnb%Ur{;hpxcw)hKm#BGn#jZ-fS5@bHL zJbC1fe*2FR!v8fRR;8>ax^AV9%Stk4N?I>R)CgOGK^Y6 zrGl^_l8|*=Yr9v?tRPfST2=OsSk+QSN{(8&>-M*TmCq!Jws!XfC-Zf5l~g}PxUH<~Y;->`8E{~&@^1$R{cF$t zlYAFEYIxjau&l}0&s~$C!nrfsZDE^E;nCAd2Xve)ic~@f1)6uGn}eXEpHTlvP5J(r z*V)R)ZU5dpc6Ot82nMke>#UYML7-of3i z3VrKN9`xF3maKrCCQPqf?ecv28+|fMQ40guo)D;s;Ajx!D4=8rfXPu@N!m&K;{vBM zEzdQ>xA5W{X=C_kv*oR$)+l>u+jX5d*XRFOk6#%$a(un9v^1077rAgZKY5T(n3c7c zNx=6&kzFsTxz*N46Nl<(i_ss~4rFF6qlj#x9L4-wEX5@p>F0>Z}t1>w9gTY-DQ z23%({i3x-~|6RUQd z?P|;{!Lvr0hDxih*W-Mral@Fg{~DjZF-vXZzrqahYYOGbie%{^FN3(aF75 zz4GTl(X^S@n+n8n);T7ZBK3#NA+we%*PAg80lzi(-6Q70hh}? zVnb$Th;E5CuGBrmZ`7C8g{Be(r^)TDk;V(uaQxKhobMM@zMWh9WwyLUUuI00vORxe z*|%bMx6`n;uthGdO)lpxAI)9nEQ2#!O5dYu7n00^*dU6+mfiKhu=o%?kZH-NtsVb^ zN)29JNOs&H^xfb7Iwt_XF15?$eh*nbyL2|<3PlY$l`9v%(>2s*Xr=)ViL_P`BTk!p z{}^LID3(9XLA6=98n9uYTy@%~)oeb#``>6Ak8~<54 z#MC0*r%*?ke6s01{1Rnf2d}1@GV@8Y&GaHp(&z)BJ%I+B8;W{7KB)^HVqN8yIe;Vw z@gYQruC>+aHc@6|xQgem|#d_r6=B*9GVMYx1vLcOQv?!n44{Omd0P{#VQA z6qrbf$sOXZ1?}kH`Xlq95SW@dmgo^ggD?fjpmWyr$WtH zS^XD|mcZ-^-Dd&uz2cmaSD15$#b~X`k0yIREE1Re%Th;0ddPli8Y9_~rFl%4Iqt35k-W#ix_Tzf`}Z%gCeRZds# zxdii%*)vqN7GK=BN#ZJX@!j{d>>Z5!bgg?=s0y5t&{onAu{u_0_`chydb8 zYwDt>q`rp522WQ%dFT~H>VN>#zptQRPMFfih%y)&UkCCL`91!1)w%iJzpJjP?^4gY zkwHa#CXQ4uS)$LR{Y>sBFP_T5ZcqNEziEA{jajw|vEUgsxxeQi$|v0m<}|T*VZqSz z?trl>1P$G3D3?RTnipu*mWp&~_!b@wg$NW<*tP^OTd_tM)L)?0d@Gz3>D|%E>cmr+ zG-^G$@@z{EEFTppoVVjUC>=qMu8%GS>Po_t7{~dCo{!XLLJ<%+DNa!6fj1B$CnYf~ z9tPwe12crzu4pc|pLAg@c>G|+q6JIw{IFTZYO@~i-^|wWN#W29Yzz`$D3+YWX;oLS z)*xmY6G~PPgo@fe{hvR;5EwChvN1G>?EC5ZB;LRWm;*pBa@076)vvprUw)l|1q1OpZ!!(Pyb2jC;IYtkrI0po=02N!%al*3X56}1NAW#`c>LAZQ%rvQ-^HKM;3ExD zwVyHcaFtDh_fa5q*_MQX(8d$ZKYvhz6j|JPPD2wcJG#UksUHIwjs0#-Ix2$>8c#wW zo^a+1?)tPPWHkB@fTaBi96h$y)7)$|>hb#x>rNydUL^Xsb#T_h3b}nF%5bsr5etog zgm3)+gm}(7_lua_s~-rX7Ekd;_>vVVLNQxRw%Nk@h==rgI>mGklR4H;trrjLSQ_cc z)BWEB%7&&e8wQ@W%Z;9m{YZeQ3_}tU^6J4Oj=}AK@<|!5Z?W~}7S_*(kZ$bi3F66c zN>IY+y%%@fX9>(TcNS9_ea{E}SXro8aRYq5`Pk-0)}5t2#3=bFxpp(T9jc2OgFege zIdVa6YDBb>4wyJ=x_+X{@Z`^-$7fd1h*qfhL~yN<;kX& zwdzWJ5kXYKbOvYw^0^Ut)bodV8PEb|hYO046G9MV4`*D=FM&1?5C<%)oOYg<^N$c$kXaM)*8KLmi{FDgnnOB`$pC z=ts30llZaY>3sabkv_}X5h%5yne5qFF3LAIYEbLPCkfF9)qB2{5S^(EMj(fKMp%1g zb~ox4>qU`T);8~zTwxdrIyF! zVXitgFi6jHAK{X^J>qQ2_?+yNdGX>$^YDfhQ%}~-8QfD=x4b!kPh*W$Ji6dpUS3mD z@!LpWhb?QjSTMB;B+30iFNp*QUfS}KrqA`L0K&a&OHc;K)3H)jx$gSr9B;zNDSb# zaf}dsr9ZRGmNqhu)!f)R!p}9B^ll$ROQtLSpscsn`Wgk{28_+`l7vv2wSiC>rWW-GYtsZ2%G;8FTJ)$$#4qwwhVogO% zfsx5$Pl-il8lnGW!!<|)Rdi^za2fRgiC1m@Iy3bQ*rPN)HaBU2QnbstrNp77Ijn0; zfH{{eh>h52trC$c5fj z@7y0Jg0^OnrdGZr^|dlQ-` zhU!BbaWmUfa;N4#M1*_nKRxl+8-xYoRtv%*t=Fse^796xO=9!L#|C~7T6*JF=x{F% zP7m9f?nVB!oZ{MB0yhIaN7tmfLpK zAZ$J^Q@tjWSJ=+Y8S6~>g*qXY4F`@^VMhIF!)Y9DA&&Ac zH?QWH0>Du^*>nC)z1d}IsWnJdQaVJIeN^lpJmaPTAJ3vM(G{j;Jg}toqnC5$YZ6xj z-DT_Trz92A_a6_BaszgqO+sad5(pX`Qr9rtf40D17{1?z77PHcy(wM@HQA zvx2h!M$sNVL~L}R1KEQqZi}K)lI#E;gr=Q{hVqc@kED)8b??5Hg6r*H?(m!g``ut!Na^i$UR;KLxw%`;RK^E&t`@fZ_3M9f%D5v zvTWq7j3ZfnM?ai%izAKapwC{Atnn&55ykWj7&#ze8#ZaaO<_;;rKgO`DI?4;kEs`x zf^VMLw@<$h;MtCWa1 z?fQq&b=7@TQp;ZIZOwXoB!r*A}P*7#)~|5A*$3iG!)upv5N0#??a=jH#&D2(=ZD$6dO`S@z3Qn#a}DM46; zy>|Q8PbcqE_LrAcNZX^`8-o6&8=BP)y$@tkeh`!wYbZU`Y$3aB3VI0zgNnfvyZMQd z>4_hD31a@45FJunhU>3M+^`lmC*4Q|N08H3xoK$ubdU9;QFDPdC9Rvf!?iXX2DwFx z1Lz~NVRqEWT_^7cgY6Fn+75}TCmFf`$89^@toXF-=}dD;CL6Rk7_U9k&zg+1rPpXZ zLM@WEoLIewDX$@9v~JzH<=Qhhtji*!mqNSn%vgrCX-ipFRoKaa9lVNav!ufF>&{=P zFZj@wu<7BqLz9l=4ldSE_51Ew3*);^WGqx(H0PefgKjhoBWO#Ij^))Uyo!+8SR+4U z*a1-stCLLIkU`%ayq0*2HJupfQX?IYxfr9}81&$H*Mafq3#i1*`J6r2wbvHEmy}pi zzo1L-R6_6Wz{Q>9ggy!mK-_t!c}9hFfp)zk8iu2>zaW_}Ilul?e0vQPeiD|0XICFm&lv5J74v%an;=BFb5;Uff{)o^_|Q)Q%z_YlxTji}pfds7Q16bzE^U!PxBrQRj-wZ950JkaJ=yDxZ^L&pRGrzp z%y1l~*Q;to5KM+2URU)pH;bzRBVL$PDy21hX$})izCh_Ygy7b=qi!~C=}I@XQ8}!# zQN4)m8bS7*Ux&^EAy?y2;o-~7`0${BqMv+utH&+A%hI}P9zR}BSxtGZ*WQi-;g0D9 zAr7Zb{9R_}Ku+lifS|H8uk~7PWXPmYZV8}T)t5{X__z#4}yX`M>*w$pnM$fFV z=_l37%jnvYqMNrQLX(Wc!!zsC4=Y8S+#{7!#MKA-WyM$(FXQE^vys?k0qc!g5WUV& z%tPNi|0}D`-pxq;GbNG7UsAf~7Ob>FX@S|z6t=+rwt1u1Vh?37;zC*m%HykzrG1MR z`Z^Cz9y8Nx%mUYjfcD1z)u+}jR#hcADpDDElB+Oe;~>t7=$t%72%~u9#8DX|a;qh$?Xl>_KF;bw7o zR?ny{vjlXDeZc!5X!vEEH5`-aqY!(nh-=0kj=W z-*?ps7rj7#kMg@r>Z-9r!q06#bEKyC%;SLMev}hD30fP>o#$NASLloa9Ck!eH59jc zT-4r_IP@bf5NbBip~eA+hqb+XW7_c&n~9&bH~-w&ZGZgsW*760fPhiq{abb4GqM4z z1^zx65S^5?j$mDfV9m%T56wuQ`eWpjK@7=}#0&UQP$t4G%B~jT|b6OcXhk9Oh6u zP|k-+NvNEfbE$V>4wYdTqMVXa)XB(^!k#%BB7`+R@{j#sP~9hNS`9z`(^qQOe~KtwrecT2YskN3=` z=~DC#Kdw!_oQYr$yfnfa5mle1zilbHb(O|U2(_4@dq<=XtamJvQdM>it@AvS&elGT~`1PBH`Vrfce?S52@Mo%uT9AH{?a~N(!T2J~1&4 zb$4K-Ix|4`?~1KikcDDL5u(X_*N$lj#Q@!X=&=SxW+J&XS;a-tDBV^np~m(_*^=+{ z2Kli00V*}9>6eD@uyMXll^58REme)NW@=1aY~YaeZr%KX>Ytdu70U@~^EUprdWK_l z{j%c^71+TaYKczS8V!_Ouyk&9BfeJCrq4}k3<3=lSP54qM#4?#Tw+CK^HW8e%*|f- zD2$)OE`UkF>ixf{?ZM|w9uS;wx#GCQ0wgJrw}6Tn^_8VFTOR?_i+7@YLQ9p6ZFG7R zom{*eoM_c&j73aY!Ax;n(zyO>dVoE!>F8idvE8$ZCC+;$W+FIKXK=e)itGoUJ6T zd@M~O@BYwnmaK7y zrxwsXpyL!b`$+U|Km>w`X|~jraBNhZl*9ug!v6+GtcTR6E{WcJ!!ITNSIn%B|7gvM zksKi|PBCgf_fJhvzoYb@D|xvpnFg6V{eV!0+VfZOX_THsrCjH;+DkqS$!qWpqbdi6 zYrRU*h>}HE+b&%t;7F<#fCrlD?u+E+V4+tg7#z=o4u8yBO3h#k)}#2w%ZTkzPlJlp zT7%cPi=vJiS%w{RuaNYeE+eVq2+BHvGw;Ig+7~{2a2jC;65tZ%!xfCmB&01xIQL~e z>c+V&?OMV8sOA1sfrw5QofK)>dkP!(-9DKr>WFiX{ww@NQDMS{@%x_bTGN=iDk?vf z@-Afqa#h`xo-#c6_HM<0x-ICETG}4%h)u?Z<=NkCsBX;0u9OIwOV6IWArV2#OB2Hh zcWd=Dz}^wR{;#wJun!r%(+HU|F-#0j5*Q^$qPJj8!G(*PSU9C7<8wf2!}g4C8G>(6 zBJ|7^%6#$6UfPG2hb;t~3&YD1qvd9mQMEP!cl>L7@!45f`+fh!DV}|v{#grp|OE1^BU!h*<-B!GUk~}8qIF}!4_62DAOFae7b_1tJYgm8~=90F@P64Lj%Qe}~rZM)N;Kr|nS3O$0RBkWt zR8hjO2fNQ?`f{8J7{H`=P=oVZL-YZ}34^vqQSta@zm9^tygkzEY@WLU)A#JyE}*?7 zhoijeXZ#z&Xfo(IL)%Do6_tFr?Y&%HM)DOwM&!Awx!fDK=I0GG%sh3slp;BlmB)!LU#cOX%nR(a;R4zt5QKlb7Y1{g_!2 zz3!BUR5m8_(ckU4{h5lbhdYAcZq|F$T$v!df+D2agLyEH@imDRpc!Sj zgKS!#`;$)62prlua7%am-1WHm-nQ6zmbe7f2v&di^go&!GNEL%eev zzsp=Rn;CLD7wVaOT(QJ(R2X}luca5&+FD)T zz@XUyt)F}ja0dW5cP&t3|71~}3%Dr!5vd!AIFbuauqld>B2>oV9*y8~8UH}k=XT(_ zuzW}q50G6>m5kvh|DgNyh_VplNWE0s(Ei-Hv{Y1mu*Cb_&x6Pa7&g|#q#l?{RE0aigT- zb%{Tph-Kn$m+DhEiJ;<3F6gr3y1Nmg@OuBzn6~=jF<*%%_T(84t<;f8QPKAqsT&Tj znwNuMD5Y;KCJ~n0<)Jqb|Llj*rlI()2O)~65GePh%|Hhsedy|4`!_F162$wBg&H9( zauP*m$2*a-vbjQ5f?`o-W!BlCxu+UMw^D3X-izAhT!^qfpET2$!^p}+U1!_wumUkg z8ZQt}+3ozQY4dPOfUE4Bk7^_Mx>K&+jT&{48&jbzzYSO!&dD-k)`Jb@C9b2OxNI~@ z-D!LTRm`H#wr~=Y<(n2&Zk$(>NK7_Xe_eT`tfke@N z4w>lTU{lN^)<9v1O}A2d!e;RdXopU6)P%B`cLD4wpGne=0LX`DWOCBoSdy08EwBUV$}m#2_E?_sF}8q z>yzkppW_w^1R;4?;Kd1?S=c%#z*5NVzIdI0FQrSN+o)DAg2RC%g$b-YsE{$4!(mtx zE#WL0hEM|s;g1VhaV5;$K%XB#BnVi7reMRlB3zz|1PC^0-PkX0q-SppLA{={p z=I+TH>gnENW&A}mlhUYBb@i=&3VSo~ZtTCsV1#;VicF)1Bf6}kXXj@KyU18zr?B(I-4gTj3RAX8lG_4?KHp8#SU;BYmhsy?j3hmZQeS%t$ zNNxXZaY~{@pu}vQKlJ1tV_e!A+{pk%K}>NIlqHrjUNBpNKkH`Q0%R)vaFD0_SvOqQG7RPlvuQ9RRku zfSUo-)zL}@^1yl~1<160XZIRH6jF$0J4x;+id}iLnx})ceK7e*RQcN8WuC9Zp37HL zS$*a4)4~%`KjwBzRT}~==)6sI`))G80AKeO28&2)jbChC|3!02%8=_?jNTdL43I(s z7d~y-p~>Z^N?*1<7e=$&yWzmS8q2t{#1nkMAvXeh_6hCExF}LNkH#OK%LZi#k&%c` zSihK;*iaLYcTC4eE_OS#t(ZPTT08CW__J==^9teW^xWe3zUlkWZ0?8UV z3%e|(A3})h)%7eY7zqb%q>d?{1jcWmd!C$6F45BmU*-53f(={9FD6r);n1wXdcP_+ zmXMr8mEI7}1lJ|a<;oedC?A-@XAPQyac47oA%!JAf6*2WG%f{-k^$ zUyRLwMG87y05BlU0!bDi0DGHaVDuT()qmdw`_r78NBdD8!jdO;BN6L6-|l?Ys1`7` zI79Bf$oj-%Wa;G}SUT<-=Ua73$g&;|3wFoeb=8BS`s!mX!{%tV=&#Pe0tiPch^_N+P>Wn{1)UFj*C(2i)G=nD%41$EN?eT1;y8X6UXJE$MQsq030gnr8b>Kfil+JI4 z@yOtZVf7}P62Ksuf}&#nDms=MLO?(GU=0E2??b_6uSEITiIL3!3&7f#o8oc>AZ}10 zymg_|Tn$i8t|92nP7>xy+jjq9S!0zN2~av!=FGY(&oa*G>*HhTi|uQl0pc8@7PCWo z|8~0%xYy%}VH`%ZBroHE*Be7VEuI#7w3LiY|Edu3NYGMKRE}#~Lmp8s9hl=8GPlAm ze=wYI>G)_jEEMA74E5}4FrW77mTDFCPt7imhz#7DMqzDKRnXygF&i!pC%;p@y{o`q zU*5R224<1>G*J zdX?6>GpJ2rOUu^`rq&(3lOuK>h7sU++m(!G`U_ z2sRs(C5t=Wm1uu>@aEDord6; z?v<8~4$WoyYyz=URX%KVOl6u=V2kAyfBZ#SDQ$ZN+MFkM`lBlDXO&xK!TqjTz^5H) zj++Wi{JZAs-F&Naf)iM-T{XZkAUbZBZI?KWG`})HjwYL!O=Gk#tqaLl-$3_kEPK$u zd5ELY5`3I7n6NeN26Ua(shZ|u^x8nmkWe8lO$qnt(6wu1-U)}(W*cjgD(9*{`+}lGmlT z;kvB&32KlHwC-)K%LyMzzo&s^G}Q-n2M&%La#m}q0&%N+ax0KZCq9qw^pnh5T7}bb z<G3vkEe5;(o%VNNyMw$`e<6lHs@lkL_ZAJ9Frru1U| z@}Y-$TZBEAR|d^o#-uM(fFjYeprbNuqaJr5s+{eXGw}LV&yW zC?aD%*vrK%OXKYP6QjD3nEB_iEuQC_VJeFyNHS}2;Wso!dgotz#6P6g#Hnu4JSOgE zRedR7a)91Ax1$Err$NrjDh3i{L||^m7msBszFz5-FZg~N__%XObO$EZucnJI>!ZCL z1zIu2{Nq@EJ|942`U|LL>1PQ?<)qh38`*@z>FrT>PbCdb@4xU^f|d@EHN2Q~xwK+} zQ@GAdK??J}Y9$d*oDD76xj!T&`F+xIBgc1ljYQ}+?=RRl)ALV)d-e>2B#ucwZ3TNY z)-2^l%;unL*qqd)<&n<*pxN-5oVN6K6CW-T9eT9w0H<@G?k@uGJb1)EmFQPKbGMZ1 z^U$6reN#CX@bXF^mEhx|9AP}>Nx!-P$V;w(FSB9(xaAqM@jrHqrK(@w(j2;ixORai zJCscs*`2xey#)z0OR%lU|Fkv)X39{27!JEU-WtWr*Z>G2%~Q+Fdc~$|@q%xU0bZJL z@RK5_kmz9srteQ=ahhkyS$DOd<$jHP<_@!Ei<&EJGBRvC6U%?7S-sCN!~X2y9AV}) z`eVBd?@Q|!qz#|^vh7C#1a-YBBOuK`A6##%KGc$!%P*$ymGfV!UA28=4aPfttF+zSX8_s(BoGB5*bIPVimNwJ#jWv-2!IoWZ~=Aig-5G%d+ zf7brUs~=h9{s?4@eJwP?NXL)N5suSn$7|O=8{HDf6Pd-Xq@RL#7+Czdh{P|{&MNiQ zQp|rIQqBsw@#A4+*BEBvHl%->SS4A+=Dtp4$CWNJKrnI|}@$zXLD z2S!hi+t;@Q;YYqHZv4+zle2TP7jLZd;8BvOl>2M%Ii+{s`pb?C#-uq``mD3n133b~ zIEXQKn84W9bm?$KD{l>;?F|h><{Mh{*N96i^>EZQ1d1(9{!SY6c?@Bpyxs>zR~2A;rTBbk7xAFdKR}h-7G% zW}ena6dl?oj}!jniJsXBNfi(8>kgzb0?@6sVdZxZE1TIJ+V~ zFE1~{pn&*8VH8T9x+xR&eaC4WBfmQSE2L5J!iI#CR&DUts^v z_1c1wTW^YfIBKz@Y_j3!)x_E6N!&X@+Q!V?7Qv<$esKF5uqP1@RZIS1n|wyxjACwm ze&iSK0OGK@;iPhN?q<#d*Z#x|HD_hav>KF7TVjT~1Ff`u>{2R=LFsw``RcMc8jQx* zYBxF+Ek0caC(`TM?ODXNNe$N%#{+XJ|{l$#^c~b_Y)hPyAetAlOs>S>Lj{ zV7a;$5w8(*pU7Do6#kq%0NwbeJD}dM`lQpg?y<`vb<%^T^CLgVOU|t}_s2`G2HDR! zxzp-_CDogQxA(QLr;CQZ2>(09MF9&9yn3;+)J6R(52c=ia)Mj7ZB9e!#Od+r@5s=E zxjc+WL=bU418l&Bs2Ds$f*iE-B1xDuJ^7lnz0ePhZqcU2HH8%ym z+JGGfRQo1J5z9WNROez*AVzya!`xI%NL?EN7wKFX0N9w?n{#5>R36XHqiAaG1_v2w zP09vDCX50L^fXU$LDXqCVy-gA7X_jh;ipYkq;y&|eUHRb(Ye8& z|FX5+{MGRCS`$U#MaKE$O<_Vb$_^dH4=KKzOWVuowbOZi{3NY$n#OG4@;M5rlh>x+cndz{TM?_Nj+U;dg)B4K%Y z>g?d;OJ_Zukmy?ywY8duR=f(mRto=J`FCS~+>T~`yVuqfQ06nXsjW+%m?z*>a#38n z$zsu*%XUbwJBxdDeC~*TtMCnwY9;f2-tA@a^fRs_fY9z)V^JrPIW&<4iAE8?|4{4o z`2VD8AV4PMRUiX3Nfs(d!Nm^fvoN`|;3&x<#qUS=znwz%Bkl(x(_iV{F0~au1x@`V zV8T)*?3tNQ7W~WCr>_t5q4YzQ_EqoGpF#TM^C`iJ(MGmW9 z=PscWb8%kZQ8EX<7;M3>G``cW|GL7DbfHACSA5HI%gik#{$(8;8?V& z86Al_v+bbTaO$4QYj=k<_az%)kqsk@z>_Wrh7}k!wDZljl(et0$nn8&U|qP(=Gg!0 zraE=cN=m0I>7L2CZ!hv8f+;W&1~Mts%&RuFwRmpr*is5@`B=%Rg6NoMwg*49b~7{Z z`VJF3$doyNyx3uE+P34;$m+*ACnX4+pdnD9gTa5Z2%taaz=eHW zf>P26O;S#RdhTo@5m{l5OqMHIYT0t}}hvG~D^*9`}`d zVK)~(*<}Q%LT6Rw>{n|wCH_6TT~W{@x#}`r66xnM@tl$2sEFkLWf)sW{84_yC7Rya zcInCwy*(UMDO;EsjU?{cmlCbMw?^kCVMW)m0GK`E=uKG(hGJ+acy*JbJ7^X_J1flH zT@!F}7BI)ZpA1?`lHUrp4iS*P5Ny%yy@1S*T0EjCM#5=b1aMixkpx+pXLBGGi4;bk zmukx@SQ2-D!tXDK#(<(9p&Ql;IdZH-%>tYU!j9aLe&AAwSl~VQl;)A)SLM`JQ&xf1 z0wG+$I|3o56N*92U+2C^q9oE+H{h@3qzX!hbm*34X4YkaEi45(^V5p0n39kx|_TV9;$+um?2a`YtvSbv)Z zpi=#fn_)=#gO=Kve~ZP|Ho(4ufw{k)=>qX*iAtmwh?=5Wx71*V4 zp+|K@_aDEVi<}5agmYz`UC31x?rPw{+NqCpm5RwxvPbDy67) zd29QYS}q*nL1frJo_pPd#Es`y3l9pE6BqMgqlKr23XnuO>-Pr*Dgbc+Mzgu>a_WQ#gR`b@6=D#0k`Efd0y5y3bf>qN-e*J$Lk&9Q4$lkOS zY==*z-GOxYdV722Ye@uW?7fWt&-cq283~~c>5Qqm*5o&cHIA6R4^-$lBEPf8Mp+R_ zNwm_FwZ^SE?{Gc5J4k8ilbkgn$)U~#h%P_{(QJSK0S(Vj0wyDYW}0};8b|AY0b4#w1}m@s@s|MN7&QWVrbd41v7#nZIch8`b14~p}6_L#2tEA+YZXp zQ9#H5O*1?eE->1uX@0uc6wft1K7tzIm=@C(1UfSGF^{m;c>=WsuGHoOH%|$F)5kFd z-upI&HUNIQ57>qvY6TFTkAN#3wBHx7pN{;H@bbZss_yD@I|Gj7eA1X%$kNBD91L&h zVd7LqtENnWKL|=Z&d(4u*=#5D==CVKf4W*J+kAlpz11EyDdCxsT;wXl2SJ& z*>Yb6q}tEIvMWNaR@<-Gu|Ao{;YnnT0mB<>m!tL`=xAAYAhjl*!TSE%4w0#M8JftO$Cx5=7y+tq3K*dVH0d~4< z$)r~^a0+^PFg-}}fD~wENDqDFGIVxyL#|BzoxhJJ20DM;8uAO;{${gNsU?l;j*M;( zyykWCE}bTmD_64;8m#?SzB;1lvPduM%uc^e&BH9ws4K*w5;gSJ<3%Z6>3W^-dj!9w zHjmMHcopsZsqWYX)VQ@h3 z?wD!wx}SriFH-umb4I^~HwHTZx#p|y z+)*43rPsU?Yso+ocHdG&r~Fmn?VA+MIUP+g_RA$krJoi>9wt>TaR)L$r37A#pch{_ zDfGHsU(&-tJ`E!{OAa)rVrd)ZO{X=uG{NcjSa@RN`bY%(VQf%%?XGB6konxJW@f&? zjWu_WeI-M)p*Kt$`H8)LSL>@$Bky^R@y|G;OCqY%>xtbq0VO2)plW5Sw4?0neH8Hj$%=F0z&c#t;oH5{ru0O&_ zS3Pc}N-_o`6w8BhBefpn| zgf?Eg7LE0gVT5LKyA~O5V<33xLU;FLE2n<{j9ACp^`5nQ89m!+CbRSF8u7_hzTXWQ z3B!!X^opVy7G753)4qNBiEFg^md$HxF+Zmu)tZw(1KT$G4tADKFC@9Q+R?_JXr;(b@-;kC`DKf5_DX5SCc_e;+s%1_=Y3p{YP_ers=dhElkgu;+yXfjLaDMekc zbi~^7yP~bsg3*I4=*%4h9ujz~U_Sh`yx-y^~gtmJ%fahDrmy8c`yj9m8N6CPivBP2g}chZa-`Jy)K zV+h=`kjd>SMVEK$?)NV^9q>)P7MX)|W8`Bh+oM_$0$?j$Ob(U5B+t2P!3=`ED-Fj2 zQ7Db-+cu~HEw%(>Xi(|Fl-g4i!fzJ>lzuxE8+s(`-B16hS!X&}7j6icwc2ET;L~~d z0+|gQ+`MpE0oI(O;hbKDO@|wL&(4`#Z#%6(2(J&Mw0<(bj1AZr@*0+y&|?7GM4!X~ z_HFo@-}pI!)15~i&pEebepsFr{@W>3t-dcNTL6$*$kf&%jUN!B)}Ma?pb+HldlpZW`>b_y!N7h|)nJ0j~;iNSCHWgN%;0Z0WE5$l*gYyrDA0E+9&9Nd9kSg~t`qul5+I zstN*@>_?T{`ouhf0UR_rfR4%j^To6?cl&CPRcgCZdLpt{@L|%qwB7#9*;?tF$u0W( z9%hT$cJI#HdZJL@oU!#p{9!dmnJ?Xi#L&kRaLY^U(q)4hlKTEGWfm4FWnb?be0uUE z^4oDK)y-KQ62)+p$>k-B0-u;bBvUhvB4<-(Jo-b$LH?`~B%^y~?8HY8<2ii?%&TMT zeQYxwTlch!C|pcPVSGS}@v3KB2no1ma^XKb{CEw_)?GDuxFp*Bs-3q3} zFNhj3X^c|Q0y?5yRT=Sb=3$2JmubciB|5iD*Ysb^vvdGKGaG9Gv56+#n(7pzb3IfF z@t6(c8OUx!OyjoUEI)rMp5;8dU9>TKyAM$_u`#l??098cv4-?13P1rJIw)P&fYGZj z(3a%_0uew1(p_K1ZnDg^wCxx`4gyMPpWwX)=4HbSv zdw2BYEkHal#oK?4y6#X)m30!Js!o3uzE${Z_GvC-FwlB3-VmRObRxU zpOY9lWf=?qSxxoPZ#UCCXfTk_7ZNI-+Yc5cGKOBjQ~4*fu76eduv_|P!Lq$Mii45& zCI!wB(7#W>&Ug1E^?gfFv3u|!ps7}~>aQTEDN(o88WVP#Q5m7>7}h+SrdoJX z2b?XwN()g>B#)4!J>9`A`DbTJ`%xtAj}G#i&SL~{OJAqUd@vu#cXaGW(LiMVHySXU zz>WKzQK)%QUlSa(tx_oaxu4gatQ_sT1S!Ek5s354`lYwgxXB1x__vHN(FP&d=vSiY|7v7B>-5lOA0UVj&tqC{HdVYY2764uWsnP)y=8_$7`&(Ed^+a6$AEhRC z^o=rXXtqL>U7l5-h}1x3hL0G7~^&MMz@2_2f1ar>NoYQ9~(@9 zVlpSjO#uhR6?bndsBDeoi9o_0m{@=#i-rf+IkKZdQoHuRY$-ekMTuWhG>kiPSaYi7 z*x(c;gpJ)++&^3eOFL;!y|swDw*TBJ8q?voq0nbtP)2Gnjv=YE@kVy;QYB|>6mw>X zXmg$Wc3EG+yDF$IQ#mb7n%4NYqBo=M!%i9loLNVtyAMBIpRHTPHt5)nk(N-ZM^&>0 zO1-iuE{Zgu@|XqMgwf`uv%>{w$Y8a8L=RNmd8Pi9AdI^4>dkXh)AHLx9-7CHCOf+a zq?1X94ga%|YnRnmIK=T7*Y`hus>9DLqv+;~=O-T0ac@cd!-jfqjIt*tL88&2xQtOx zxubM8h8l66Yc8qv&q#(QVbFFp@8~~6&5YxN31hR8lTs4S8&K0p60RSV)uNZ*NF@Zo z5J5R-0yTmV!ZhU=t1}gEi(F1t5TIIns>W`3Sg!6BHX=2woG;&B92EJIg|fVzH5}57 zbPY4MNJb%L#c-$4)pM7A7A}`e@4`=&g3$u}0)FEQsk;=+^YvNC@TDG50~Eg00|cJ` zE4gtkHLK6>D55}ResLM58Wu#SzN5n*VD*vLcIN#WdS00PHrZ5fg8ukc=mI)8ZCA@F z&^&?(6$nW6>%MfB_(M`HBoUy~Wp-`d)O+oS$M^0eqk;|GcS@9X-KZbYMVvDC^vL(`7Cd~b z)^mzim&B(QhDJjy@ymVYMA}&`P84c;a*{;>HFKx}rp!)Dil4bF% z)b0NiPXAC)@=l=#pS*DOT;46&AJMS}wP|`^k&W`bS3rguCnIanfhm{EjyI#xw8H$p zj@tHk8|R_+L{T~$8#r<1YAx@SAB+Aa0f6ad@_YcbgKSCm|Ieorgac>;%u5mxfYrGy zl8*xYqb`6IfZ;mO?gdg?U})2l`J)J(ST_CLj&RfMu~s=(DDcWxq!k&&g<=}@9}YJn z@=kNmb*IfF<#H|WebjeQ9kx~c^G8{!mJpI=x?6V#4HXb$wI1?VVPHLkdZ>%-2GF?{`nTEqZkqa)$X9HYf73_Mpk<^Grr;Q7q)y{-d9*b$krdv!D*Df z{P`l*Wl!8)i3id;bs4avmBPFTjhlEB#OcCPWWn1r79lwg=2J3MFf6N|(lbpvrS66t zOQ`aZY)^xIh5Yf$2l(wte68Nve(m)R?RK5KYOS7no#V@q{n-z!`I)fQxiYcc1+JM3QU@V? zDv>smc-c@N1=wyEnYF;+_RV= z19jD=E!0PXUAC)5;GoYHqXtNHJsg;qzT$yO1!#8I>;P=vzsC*_OrR#J8Mso6Ip)bi zAEkC8%j`aohKiBwzUdH!BTA}43YMjT;`mno)Zp~GYvl;Im@e~8?K}^EcnXBQ83!@)9K=v{q;0Gx4f1L68hTHikdOTjWXuO>Bc)dD^hx}&`LN-{$ zU6`hfLU&2MQ-Lv0A&>K`Q_uVS~ofQMj5M#CrOM$1#${1Jxil zH}3hNSBveA`dl%DazAEg$@Lk(Pc}R9)JCy0EMZ#^f*% zz|W_v|L^$zzf+t93djE=t)`0IU>N=u8^DZ;LTB|s0q_U_9r-{Sjb{gTGm9-UJjDa6 z$Wo;p941(zMLIFIB9A8Nr8#*Xgd zT3(a?49Fi`6Ho<%0%8`A1^){A$z`VSBA-RMJd(;U4db2CWj6e*?vHT;!x!D<J0~i^)HzK{zw1S88njY6)4P(! zjn2qu(ah8}%~ajctLHti^Y^W`U)8Zbvu(Go ztkeL3%_MEueKOP0wu`uuxGJ}<3FD-wLULZ-6L)~uoT7f{N2jCV6bNe28ZyeyIGqzK zHdW8v6Q~Z$bEx5>Kn>X)qqU%__7LFH$q{O@jPWs~ln19@#5G_{K$u!IHg`$!{7*II{r_uV5MnvljFG31HQNS=G>%3y{HL`?Y|tSb-s+7}xt#;z8|D z+EUe=aPiZ8(w@FmXHITA~e_ft!}^ zzPK9;GT@ysV4&tq2K@vpOSRc)wr<(U)cU`<(@wc7QjY<`4F|b0xy%40 zUFTV`#Y0Z12L{M$jK3qZc0)Q{Use>AZhSG48XWiZp0H-UgA79&Zhr9NV}k$E)v&U; zKXTD&+47gU&_-Btr9M~e!Gob9f`q`HI}tJKoONqe0zQh6j_*p!qOfUAU`Rq+7NQZV zXI8*DcrP3sn3GWDHanFa*PoJ|c+M0LOFx9;4NVUNKrM(PH>$Ef4!t58B0W0^$IVFj z8Ha{|oPcPvK<=n%Tl3$dT%Kax&fm%VQ&Y2|y~~h6X`zx{DLJt%R<9j4AM)P^SKS#( zuUP%sybt<>1q5-#KtZHhXrWUIaEJ#cjVK(B!N}NQjr&$$w-5Gl7n*2N%=z)$G0z)? z^oF;h`-bGda6yZR)XGqFl&t*FXs5b^KovhO5+!nDh*_IMX5rJwSiJykh*j6Av-?ja z={W?vIi2C`{_ml7aUR4WQ}WSu^}6+SI?(a&JwoOCL!t7WY6H?=?-^aYMhjXc?O2t+ z(QfOpgnT@Fo4#%;BUI6peC6MS%Y4j(n;YPJJXhxnO=8QIVUG> z@nK2MEL5tiH!t^BvsvYFo0>~*A-+PAcm)C-QXnChTKWE$2fjq*5w{lgJM=#*Vz#n{ zE+@zqJH7x9H=txeIj$FI^se2|+7_VxI|7HUI=bcdt#=nRbHaf60H{6rA9kL&0Ip3j z1Ryr7yXgMUSkmq4ljK0Zuvh>r?C{W2#cK-vKVdm-{~!yQ$2FONAVOpa_pT zN3)+rLmt(Xw5HAmo5I~8z~F(9}wc~aPX~(XPF#xVcI8oAQl1b zN5uX1i)LJoE!YUpxD-eBGvo z-`(--KZ8i1EM-qNf}0YKd+9yr6D4lJQ`U9|0+1d34A^6UQW$)2{{KtpN~Qp% zHGoh_CWc-|KyOBEX6thi+>PO|7Tm4>C){9s1R0(S zz+?#A0WH;Y&nCo8dd&Npk4zkxD+!F^y%e!k_^1>%cNntHMgHxak8qjuY{Q%V@{vSZ zZTmW|BH^Gvl2aym)|j zQ#LpFjFKu&H@-U_{28RC)5vr&DU^v_>^V({58{BNVo;2NuK;|(w<}XdqG&V0`MDOp zQkpm<3Ywz{icI=OM#M?k{3=S!-P%^@>mWMo7`3F@&8>82+H z7dw8+ZT}#*d~i)juuadDk~-&oc!Jw|G_AaCNXB9~RZ3@TcJAtodB4mL4IHNfW?KM$aVVp6?`+s>PdP;1cwczUGhb|%&2wY{(@FDB>W=7|aSH?k62+Agdw z5J-R6+AfPlrpi|yYb)FcD5c0q3J%v>FBQZWsf~s2=3x~YiKhJwwW)LU?9v$_UUI5E zn>QEY9^hPN zp_dMZZ@g?4eC<+jLcZUh zo2F|mk3M`PwA9|auFonKn2d3TRYC^l0rB9Ek&N+eH>gS5~-5`7goE>080CDODoAi@xu*N`RlM4iCNb!dS zvXn%$K(~}V^(82PxG`OxXep6Z@wL`2S<3efrFs-vq8zxKurWk+T{)l4UK*1~n<0MZ zLh|v2~;O#+=TuuEAcW78fT*+!NXx9fq+19UG9ojSfT9tdMW@y(>osD0XVvSUSE#Ao;g3V|OS z4{OYMkYdj>*|O1=fo2_SyDz^P`hO=Xo89|q1|@*w!QT=6k!1STM<>IrIxd17GJrKB z#19eq^7_Lvr7xn;cTbnbe2Gi7%%3liVbo7JIg)6`FR;YIx>rOCs>9uWZNC;#d*Xi< ztN*{U8vdx7jS}O>9OVAU=0Af@2pLpr1?jBNwlL9xkh(brVTFGGo<2uOj~1o=&bm8% zein!3Pz~Ww@wpFvb!^}oP}Zjg7E=jpKokOUkC0e&14X>Z{+D|d2Yw1p_55{d2c;z1 zjp?qmQxPAL?0`pJt@6q?sN6}HtKm`n1J}*V;udS~8L5KlFsP;)MuDqa{W>QaAypMa zBAHQr(7D`}o5U#d>u#+K*GDvHY4WsS?Q;f_c_fOwzRhRyR!2oi8&_KaS~6yV&o=~q zrq~28IFQPc07(z2GKK)}HyN7CS_3p!eWu^RNCG(;g+v>sW1#%pe(+gXy{0Qt#q|Dy zWpsQI0Y`F}g@l;2MxW&%e_elS_yTK>O{fh~xcA&C5QK^2!7+sHLLzOy&@I;m@IS!} z)yi{7437QJ^&zgtm{NK&=UTL+669;?C7&q)Bt{3al2R6U=!ZD= zu61#D^fkNVmFG!?yJhiuIy)t|L40YSW6+9HTWP_5_R`NKl54Z(){|QKXfA?Yy0_|T zjEf45&p>Yt&BP+>1Hlpjo8z|l+r|-f)%R^^G<=4+R$HBlZx=~y{Neg|J5%kNM!<~U zeOTq%tMz&+s#GBzW)EzP2y0F2G&7~W;NkDMVCIDqe3syaO4QJf8u5N%4n$2HeJ@ha z3hOegiQdGt)N2>%^}dstY=QRsM;Fdw=&sqlFt|V&<>Iym999$gfH6e%1+`K~(E_*z$tMwj;|ca{q7a^#>?4vgJnDUnqOv?mU1_V5Rw@%0TI^+5tRIE~TnRDTS>hrLT=(F( z6Q8&!P&6_pC0v(|*vlZ&j3iXmjw0ujrKK%{ktvJ~A0>s?A6us4!&Abe_7%@BP#JTM!4ae zz(hmZ67`Bnd<7^L>$gt}EQ8PTk)J;243{kZx^#AC^;NLPTV17Xj`zY$1`mh2fpjnW zT6c7Tr~G1{(!>9x4nVb$mAPy5J+bt=!pU<>fqP^ZwGb zu=M9wk4qI!n(rpNVu!beWy}zlUpc@3Hs_v%BWTT~J07O}BK+axs>z!J1NrwIPLZ4A z#_99ir&@ASZ|9Na?)(*9XB-Onn}ArKTypp=;I2HGrKe2+#-xGbMyzkm@#}$`ogucP z@6p8QDw9!#q}MW)o89qCiJ#A0>KB~Q1w(!|OsM)AsKpGl;TQ_HdS!<`u-OqmTxPv_ zYqD%79^}_L1CC;?>M#wL`cBF0-&l_ z0KRgOEG`BCrm7ntfp!ZRAuO}^cP)!ZFOQ7#{z-aCddj~e6-#q+bA#=IfyW`TeiOX7 zJG=o<-Pxl2-0o;`;x1UQP(ccvPoP=H$nBPT`KJu%Bc3GvGhr!MaZND$7pZl_ey3=*(o@{(>~%BgbxhCS@ms8 zO&eP#tLjzlT2b0F>Csm&@_7lGR%}12FOrmP2*kKpEWk&>@?zOFIK1Cy1pW+;5fPOA zWKrb?L{FWQfa5n$d!HZZ9CKr8BFO~;8jA+n(5_a{O|{enLx<`$oE|4s)27yN*|CiK zc)XFkF^WwgYu&DH?XM4Pd0EX&AQ#U95i&puptz1)S)$CI@8c!4kx#X!H-J>qdB3U^ z@Jr|64AXp~xZl%n-!VI7QcrrI!sY=psmyh{b@m%_X>?QC`R77-ps=$+;xosECw^ zrP46lnj9+0DOB3#e9T_PZ0zvO%;4M;4K2g{vRCb72B#Q8DDmG;!*5=7xU6W9A4t9r5})$g#Cq%_+N zf2Bx<{!u;!XryGBtU~+MoEG zIqZo}bQ~ayLIt4H&&(5L#~#>UKMer4L-d;XnXQ=dBGL2F5kX zZ)E%bpyvc1^7{vJ$N(?_TK`OR+_Kb@mLC(Tf2vd4G(hn1TJ4s7OMoB`1dt>Q5QhWs zalQYtde|G`#WQHW>MI^4WE2F zkjgqo>{ApBuPal42~k`a=vCUGr)KL!^>~!>b$QXf4*?Sb{D`OBdXqgv76_XS zek=F|*W?xsU*C<=9Ae_%NT3E9KV-+O?qfq&u9Db~94r-4x-YXxSUlBAW}D>EXJ@3& zm2Sawc=ZTadv?{z25z4E!@rgproVy-1yu$ExC3xc#gf`bz29@J${$Y$Y}%KZ%R!!! zo~~hKZ@x>ex9P#=U4!AjEoCx?Z~?fx7o@`Q4awIfUv||8&_sdk<<##1&-lI%^NG}b z>XI9%cP^ZMTeqot&9uS2?Quu)wvbN7jhO~6AB*6U67teWnipxN4M#rVzI7OXZBFCf zm~43ehx3V|6J!jQNa~JMOR6H(vEb2mql!wi}W9`*S zLWqWr&Zec8`v-^4<{+=_NmP9*s#);2z|(kMfNRM1J-qFa`pS<2{eV*qhL#$oZGRH= z&L?Zj0CT@)4Z!pUd~Ynd5E!K~84&*zCa~HWHkAV;$}DPw%r0-h+yFno|2LqC3fv3+ zUEBDHr^5X^3c%%ESa$IY^&giUfFSVDlFwb7t9W>p(7d`41dQY*JDJ$rX@C0RRrH39dYpHt6$i_EZ3S_#F?6zb!WbDiuJw5wyZA z{lb0a^ak-nE6A}h-^#Aq;c@~5s_Sk&H#Q9z>kB@(N$Hts*M3Nudpu)@uf^*VYeX&R)HDZJPJkKmcHJ+3k_7lZ6jlZoxwQVK)I8w%Cjw z5!4^wz2T66*5Wo5X0o^P6h?SK(A02oVgJJC)>00SIQSO-G{`dYi+Mqv^=7 zHMTy8=h*4`mivfcc3aJ~%(wDt6w_XYkl_^&Y_xk(=4)M?4c@2(_F1q4`Hmbj&J%{; z@aEm{qx=G4;f(-jQYo6j#W!O6rh=R3Q9Q=L7v;JHKRsw6qI$XV4n=HLw;y7{%F$H< z;f~`apmH{)pbnQ{dM}7G(P_UNi(Pt%5eGaI!kM(q%{&};_#$Uvbos@^3Md5nvQ$W+ zZ(l4x6HLZ##TGpjM2ye!4H*?k(qKJlqJwsZWh7wubFcoDZ-1gW+-dk+Kx-9K1t%-o z(70lYX;wu-CD1rd+mK1HldCcP8@es1VBFVUpNVjdZjVGZwIkmu4@`2d^Q2+@VJy{CFvJEs%`j0zJ9DPN>|V31=TLOA&-97HqT9Sb7qA$< zh$*C^@nJXImgB|Lb1P9hZOnw;mDrc_Jx34Lzih@gV$g>YZbrwmkfIL3oaaPSjUP!S z#K`CV^9h(9y)b4#n*Kq(8&LZD1sa`DiArHkKK{+l%Mu`P$jtCmV!D4U;*~dtpaHe? z-$kE8xE!PAHOZp(lMl|<{89XYHZyA}!)Fh^F#Yh*uYA~KBTu^b%#XqMS~#5k7<=ZI z=>i57*p`Rd8NfWw8n(bfyo3VCf7ptg${nZ9e0gZYv|7mqM_W$I3apQ&-rTH-PpC|g zfynWx%$eD1_scJE!+IOI$Jv!D@;_@Ij*KXa(!EaeRcA_j^;TW%GLL8)K-w#B2u^H>~r+iVr8@fd7api*T^7+4Qov zzkJD2cU3Y|JkE(4rPw?JiugF{ldBECaJ2gZkw5AMW#-of4ot;Q3voXAx^B5)w8@coU zZOeO-;lW1H?7@L()iQC|X0zc8CV1S@MJ&SuZYRb8p1&!6$iQ;c)iCe=dg-zeiRVW;{l z5~xIkPhMC*(B)O|UJ}W<40n?L`f2(62X%fwAc_&P_%TPV@lmt4=i04#Vv#EL+2eDf zn29aP$%pv2^@hD)(co!HoCuflNiyeslI|UJvF_0nbnh1Lgw%9#YL>{K>(Z~7%Bnyb z)f(&z3)mF9G7qn#`7wLGjDpNKS$r5`#s}jc^t0+nH{hf!Od;(|N;{XYP0kbf6Sff{ zFLZ+Jm%=MH&`t%Q!z9mM;vp<>Gz7Ae=6ucH(5;~m?Rp7#&8#h-|8&>BG1Jp1OfBoP z@aV3q&+U_D9qmVy>c@9`e7<0w?ooO2zdl=vf2?Uz_@}Cq-s(2Nt%u_bG^hVHa!d1_ zjbPQ5@2~sc(*3c~_5G_sCrOnVzczFIgodZ+x%Bp(niCLfi~?`g2f@OT(VE6S3_?b<4Nw6;dzAA8m;& zQRNG#RjjQ}+*K_0A-7iM}KUGP3P zBrF=&U0&2n87>&; zu&A(oIN*KCn`w!!lp7Jz6?~`%TE1%TsJg}ig|FVktd7^Gx3{zyG85WiaZ*lsUrmc) zYmedBx;x*3%-=h=8g>g?zHZ@2jL@Rxi}KVr{x(xTlv5o3-P}b87niK;m467i9QgUB z{B~2Xx@ChbE3t~!;NX0+XwCB7HN_t+;;XSQ(N5Q#x18EX*fudc)^BaX+4OLqXxW?# ztCBmO@U^LIIE}K56~3FmH_(>ObQdqji#Q1~)wv+t5cz-AX;4_+N9<=_ESu=ETMtTF zQ3tpVmO_6S(coSQJKgKd0m3q`Hr}61O^1e69yQt#*KxE%`eF_g);0T zn7rLX@Z5vY$6g!mAp3S4Y#?tOOl^#Mr+cSa zMD-b95z;yIla=UEl#QkRMTwhhRW5k8r#qSm)Sfq~wz?T1ykd2(g=3cHlkP4iXv@!L zoc8E`Q7YX((mC{l>#dKp)fU7vHzy+E_iw*`6;3o*TEH1n<2D2bT&9a?KMi*SFX*!7 z4HDr^TXYwoIcIZkBq3`syT*IuCNSrIy4Fys6D>rH{Wz@8IGGf?$7gCK{z5SeDo{%I!3*m zg&DerHI~aOH`vNWpwLjHZpO^*5Y?=HeQKkEWKr9ml`8_oKEL_fQPSIilce`JkRo@k z4$-}8SqLgsZT@>J|9TFs<{JNHcG%#YZDM_Sd2euli`pTC{ok1F(?WdunQvYN%L=j% zNm>#P>ti1o{=y4)AAY*=D2-TDid0qg&e_`U?fFwn!bl-a`U4V&r^}RjqonO$e0(N# zz)pn+*4{>7^wFzkzkjcCU8r$?x%z-enYzWnuP%(%d575-NQN)s`kkyoxx*oc$_m}h z^7tom*>L+8TGE9(&0$M?i@(HRWeCp60R=P(}B6T3Fz~tG62U@fI(^atgOIMpsgzHc){bEg~ zd!s4PvI`ij;~6k@vLDMDWzXDLdszHzErg}ePV(@C z5caY_toS=rAX?^7T0eiQUA}RIH9B8+SfTFv3rxeoF>kR=spibc%mj1Tnhf>NIXskQ zB*~< zmMxQP)Sn@)q)FdZ{dw{FCgW)!8Uwd!@~@q&j8Rn`!3j6@dIzKSuDbo%^FbjO4`+aS z)h_}S`<(gjVdAf==Mujk`oOhGJ>jKyFX^7<8rH4;{7fyuO0Vmos*B+@xNSYv0k?;_ znG!7$yD{Sdr$!FlxLSC$a>F`{#o`?@+Ik~mG#xfeI=B01hLG97yr52-(!2SxxSl;1 zNMY^t5wlcWP5QFsW2B`CM~Opwgt6j?Zai+%!h?-aWrQvChz@GPL}%ON0)}Lwb+5WO zqs5DM_ESqq$-G*J=~*WVbA_m+!{*f;Dn{c8+t}RUg-^JdIH7jSJaqAqJYJu-92U%B za%)g#g?Y4uVbY^jQ~x3{VIFHRCr`BU0*&yitT6Ehm5qX~UTRnEN!xc{s!K}2nXqD)q{^~HX z>({8zi{q_vy=R-Qk0$sYnK2)MN7t*v(b1P=H6$nuP$1Y(ev);=Mjb|ys77A>_gF*!pjQs$$s_K|8Q^QhNTqiYl9W@;<#abs>R@jhLg%*t_bEF;zR*vG=z>ZI_zD42i>WHx_!j}ZNC*?QoST= zRNlapUo+WoeZ#JYl#J`Av&9ORR70@L5Cz3Mr7^qYEV$P(tBb3q*`@})%%E3;Ty*0$ zF0c&T;OMEZx7U3#IX9C^ijN+yx)^k8KhILhN`5(HszGn_S>(+vS7mt+tD_?Zgq5^E z2>J5}`D=;~3Y*8ooHs|EW5fLB{@f*Liq~Ct!DApUanfE=(@@8#tbQJ@P>diL_5FOg z8C^_jbMIAv&@4swNOtv$n zQ#|BXuNp-k$L+E8^KHtwCi?c}wehjR@V7Oa^x&j|eNlIB>KI_t&6smHc5cdxWRESL zB@)tD0t&*iwr8LCrdlh-h_7u-&4d#3>DCaI482y0m_S~dylUk~IESP#AOnK76&cq0 z)i`s5byo+=7BwDPu3KQDzoy}g<{t7oHa0ELdH5902#;^XKI0x%hwb68FznreKQI}J zf;3M}0OAQ{8ROT65E)=A5_q(BWZ!=kv?#u7-V1g{Rp;|SVy`E&IuHY#TU<7C3o*lA zG<{m`Gv?B7Uf7U^$F>+=GEhFJqvUf1*)v{cmbJL|zw^CTS8g5VjmPIMd%<(}(@g!e z`sbH!F47VQrO&3V);66?VFzhBd~VLDRKO*(-FdOR~x=9IJxqY6z(F47IX9 zM!O4DZ&5ZGev399+4~_#7F+FDs&<4|-K5q1POa*%*6p;+&(y+u>W1>5P?LX!EyNS7 zB?7dPj#lON9+e$T@iKdPoU_XeU5?3Ke$I=HUJPeQ zxC8aHUG@1#P0Xa?rSA5BmDT&6+AsN9N_K4+U9Ap@%d1Jj~gj9*o>4Mq~3@Ss|Z zRi?xE8@%_JJYXB~xZA)z*u9=-3dLTS_*-Y%LwkF8jJs?)l^|=8?>?4F;m~Jos{1=K z1(ccVNuu_8cMjBOT{4Ab?#bdpjs9fDOdD2&wM~X-FIQ-T$$)>16e=hZ<5a$?Y$i^kdxaM z84cg9F@_3c%4GBT2a3w<$r1~A%p(Kv*05wUJT=uSPoGa(YSq1UI``W-t&5{uGiP@p zE=aiDT^BvzLN~x5;EaWRgEv+c0j=JO znQlj1d{H_oq=ZJ$Aww&a3(wp~&lZBJ@+G2Qmg3K^v^-w@{4$moUneY}jXj6>Gmu^( zWrYw7ysJg6e)y+IoVaG0MAQ3lx?t`}H^xTPcp9ItNrB8JfNaA{{=cxgV8kzZ)OY%x5_w7-8 zueW~Ui`Nyo{ge+Md33Fp^86CJ4UhC?5cUAf0y09-soOQ7(2267i!wmaC1#p@!yBmL z+AEz)0}{+ZpbFUB_ccH~S5Uc{3<4NOhEp``$%`w_EoUM_RxSqD?L?F4F`M)mMTQr_`+SZK}>%gj*zcK!6f zvCk{qfRn!U*+|AYK$x)Ky1sdc=KM>icrg10uC>&>>s3)dX|P*PisRKzjdj z(p`_|z~?tG-EGF2TU!Fk4Y#UQc4Y=8+X&XGlILr+TaC8t^0L4E_}OEr)Do)LI905D zoApt{F#E(M3a`Z0d$?L=vNQg4mm!-IseO17k!UU{Atfz+Iy3us;;qdll25y3JrHr1 z-2}EdnAZNuj@PnV5tkUu!dDq&p~5yOZqC&u7I!31aF|`gMDvY+s0|HEK|VYqPGSoN z_@Gvfu}2`x!w3~G3HnnRf;!GxB&SzHsKDm&AGin=3ow{^t69P@8H(G7y15;Ye~*J| z9WJ6D!(eWE?DUUMm z+?hPi=JV18fQrR)(HNFbTATLNA1r+@YV9dNP*l2)#w75ZD}Y5U51R+Z7I(HBK&fDq zksfXsEH%R$Ltr1rQWIw{45soh{X4X>N%1!H1ExHxpzq&1pc_5XR*Xepe+c~W1R}8( z$y~%UctE0gJmE!QP)cMT&Y+My!*Pe{+j)48Oq6&guaGEvV}v2L^~W%I!YE!Cjw!s) zhV$x$d2JghEVG*vq8Ni|9=WgRJ`ZEvh(SSoJ@ypd5uPN{x)d1V+ctw>R}c(6+$39R z29J)16*=$0;BUS{TbV00hFV^S10;_zz^D{tJLME%6@*IY59l0?!XNje6 z)(p+uV3MM7?65UNoDXgNNm57tcD^rjrDw_HK^t}1{snMeHKKK)RX(HsvVEFsSJp7u zME_#iAZQS!cS^k0R18ecI@16`$YGhC-Z!+V&pmXSs7zt=L z{yA9T6Bg)SC}NBOEfa|h9tUB8WyRsKWFfiXDG>-ALwsE}v_e&GoH>cb!=Rd2PEL?2 z5X%IrV=&8h@^>gOQY3pXlVYC?s?;Yyp`dzrD35hN32k*Rt74^M+0f7OA5jwQ=>VNn z55Hz7I!D_25~e^i3w0`KH}<^t&+1xu`;6&ww&EX`pXCxod1lBqN!fTx(<*sKc0uN2 zyts;nBqESl78>tLG$8l`8*la#ByliVSo`4fCBZxpijY>XEM-VY%>jm z{I6K6=qVACkgiEL-^PX_F&|wOJP9Sr1HB5oZ=JeU%uHaRPGPjGo+J(0d6fXU`)w|)%v)>!P_a+~v2=IwIaF7Gl)S;Be30F_t#G*RPYr;Cl)JjHHu z++6vm08u&y)$he4NZ{0Jo!f^y&JdIO{d9+wF67Z&BHs?Xn~s_=Rw_0Hv$dAz8Sve) zl`y_3KuF`hC}YX2d6g+^D-lnBMFTf${W~XE|N0XKyZ<+~ff*3S#nYo9a9q(+2v*e0 zF_`YX3BTQwrQm0k=_qsJH~d`*c!RSAU9D)4!6TPsqUT~p>_DJbZelo@L7fMf_ z0Uk!JC>wL>u$mL%>aTAD_^JM};SF+C5D-gs+4gZm_EykxYIRNLdS6}kV)*0+52s%A z$EbA2S?n|P;*Mq45>Q-X#q9_b89enMG zuqX>tLOYv-IgI|h1CacHEReu!=4-XM7v9dAEGiZ-AR-AXNb0~~Swk8OhJef(OuL#R zb{lK{ti(=;a@O~aL9TNehzmZ@5?TIpge?z*ha zm_jOQhb;mHIq$WKo6p^Z z2i7EQQ0XXuR)pD4IAZktKp_vLg^HdCI6QEakZGS~svtb*KgKeaHdqes89>9KeJxm#Fwe0!}xk&N6};OxQILkEDOL5(J3O~ z1RhTZCzc*&=f*qV7fF3@rOgTvb)a&=nAWLRCxG}n7=2^nc{I}PG=72Z&BHM{Jg&mp z3LdLgpTUYQL>G|z2MaM|Kw?$k@Scys%NB8HEj{YrLy1|~Fn$|*S;Q8J-Dv%D?l}He z+B6RsV6vKgxWLOA1ft+|2Se)ygH6EF%vdeyj?O^nLtYRkz=Qy{=Y#Xr%@Wh~eah{R1ufPwvUH5LeM^(Z`kq64`?SOlWoySr(F z1(;H-SO!xes1WD?>RuGJ;ms)qb76uu_>`T)E)dI^;lQvtq%vV}MQO@*c3T#3@i~)u z__tDDF=R61uko4VEK1)a46P^^7?6k|H2pBQo(#?T$BtmI6%TyazZURxO*4>_o{CRm z@g*d5K9lfw^DUPc$jBj~oygrQuNdrnXg{esp3!ZvzM%wVi-p`!~w zq5=P~Y@*FM%)`}`T?R)1Ox1_$71rwsS76VkwWV|b$=xk)8*e4XwOIa8hh&7Hgl6An_d`T%YnXY*Gzl8Fm; z5vOD=<$}(dX8MuFP0w)Xro7QUnnK5meR11|-xn5&jpEwLAvh_chJ5&b4w~Gga%|4+ z2E-WPx>Ah`_ZB9c4hcDw?LyI~(p8Au5F*9XdWH10nnJfmdJh0VP5~~r>BX@d@V546 z?~`4HpL4z&dOW^$H+ZJ_@XZUk1r+obY{;!Q^4mY{I=OC@RxOdLP4|^rpHDH4D9S+W z@~#)H&+?DxE|qV)hZOzv0G^AW@NHu1;bO?ihuftzpjPXH0xszMzOt|7lL~460|AD5 z)A=?GPxxweqm&ry7T zN>xLxPKt-yL~A>#A+^6;(EDdurkvkLXysws5G#!bJB)1si9%d~NLd(IQPu?fB`#ieteWmj4 zcOaZ&ovkW$^1uR#-)ZznMG^6KfB}uzlL%9>VMn3X4HUXUbiT_*KZTau1_f2jh&|c% z4!I<_zC?I@GtH~*g{)~Gi?F-UDnSNY|Brc4A9B*<>OHd^u?yu^$fEI zNYLGkzIH$7BrA^77*HDP&yiw0SjM{Z(-bF+Gl&0~`jKsY%}seyg)KiZY2+&taNhi_7aLC`6}@ zp|&g#R!f#DN%m1ej<;Io+8@JPOgoWgE&Ib(;?^c)BKNv*pHI)3oYt z&7~c&X9Inj3@_xWl{_*)qYg}YQ#xO;>aB3aq-jXK(?3I5MHpK4-EJM#TWGf*#h_@|ZAxAmK!nJn!_SBs=OunB952_E+W zNh)UIuVOIjKuc?qddInFc@Wf_8Cfb-DIbZs;nHjUSV=0hys{?gSX=*U`I-Ox7#)7&cxD zdhqm-^TkPv@RVoSukS_~YTC9FhT6Q_pK9IQS)6&dB~e)|1#Zzz22uS>^zE>`=5%YUK%>SP=u_&mfHZL!rj*4i4BX6KH_9u@y$#B z&_Vvh)@?_0V}9U*WE?J4ClLb`B*;G;D?C%L9V^Za^7^dm4? zLPIrDX1Ze7_F;faP~w{GX2+4lsIT@?TdD||y#CDa@RFZLhc`>yp54p>i{NXh{~_vh zR?CHsr+&J)^4-N_`k|`D7Nmc><{;^CnAv;c`B7BB6}N64@pny(JGp*z(ftCv=!ma3 z*ou}`F7HKVwX}RltBy$fU~+u9`h1RMcZuxQww8VJVfw8`MfGW(eGOktT>Pk(1tUj( ze$y=0K{~4gg_Tu`S?x_zXNo=a%7vGukE!tQB$^M$&7db1u6$_wt0?1*R$L44Jd@S&@VGsA<;fp_EichXw$5$u@+wJ zGCb!#HqaszpRVSL=pl>mg8c!iyZ+#1ssb=W5iBc@jnQ(pZ|a?aaseV#uh33RO!4`& z(--G%GlRb7|1c!&)QgO=x1RI-Mi=t05Ad=|eP2cYe7Z*~d+WmkcB)37bJXn>9D-cE z;{)I4sk`Rw_|dZ@XY0oz+fsL&Pz=6nel1)dIs!yy*fnHo1+f!&ix|)-})BrzkOH_ zPjp$7R?+&zzG+ZS*v|StX>$%35e^3+t`$>mAH@J#Jn#+=zfD5+2DiQG(tR+)6;*$a z4gYT9W_s~$ ziuV%3USE-W^?)Ue`lOFpZQSO^DsBkhkoTrVqYW?q72OiXQ+6k~iKTtNIXINHglIVL z+1gfZsr;vZYx2~(z%lh#mRuhZV6E76i!1LAWV8n^&5B`EN)@riF&-0O6gaw5js zV~ybKoDjT;Rn$|N=mE@9ISGe*$Yb&gsCc~DXhZJ2fuUaA!c2_4GEwJl)STj1tLmyU z;c5Nv7gUZ{H{CA(IqcL`YVW8sk69Lm>%@L5C4N0Nu^~ytY2gQ|`sXfZk>k*f?NrUQ zE!X8^J@JCHMGLdre=r5J8~HbUjTmOagc@p=+}5#Rzw*8YN8kI>_Ioyey}9d%w|DT! zooolE5&PFq=nCG**29x2zmt6(HyJSt!bCO$FFNfE{ETcC_tDUpiiEV8rt+6Q++C+x zY?;P*3JqaB5C*7QDP*Yr??WO76mmWkaEczX;f^aUyz{842XQ9Afw9 zjUs6cxl`5wsH!l!PqOgm#MJ`%kqm|JN5i8n3xZPIt4D7~4o=}4&KdD4(EPK#l$MvV?mOI*?9-u8)UyJQ2@~J;Klc=Mk zYPQG>dmeKZv0gL4D*n3IkDHtLWYwUKvNvj%apJL$AMcb%M|$`i2=?~wjrl0oW@PyH zy2SX(sR{b#K=*E1$#s{lTUHCkm$IAi4>RxKWI=?na?qyI4|tgOD#}?=0RRFYyR%SL zfs2GN0@(X;t*j@a0EMPZjHhOtvK$8j)c zkYDrTt8?#fN)QU04XVnyKQ=gC zKOp}$%4&2P^G#fB5tbeDYxkiS&99n;h7!APt(WxOij&wLe?eiUZJ_FE)#c;|j?a}H zB?!+Xzv0_Hr>VZ{&|DH6Sh%!&=cg@qph}M9c_;DCDl}!{kTv(ZR$~5|psUZ&$=^!L z3wpji;jqz0=62E2lV_qTW(*R%yTq|ibHF{%qDGte!|KF3Tya;fI3=r;x;RDd%oF!3}Nil-us&I;XRceuA_eH zPSUwK21>Z?B~)4W!T6enVQVDwLv6{cN3|ceaD836_ue3AQMu3PLrwC1xcXnCz4Yt2I$ zr}A|OPP*`XvYet2v(GzB4tY&@=44iy_H3`?!2(s zT(WqI(f7<`8d_?{^b<7NW^>sP?aYFY%;y7ciKrS5yHIkI}OF>mQ>d1XYOy4Xesku-RHJSHsm&Hbr|p4 zP|!B2)+b-rjYAT0YWju2pML@oNwm;b-7Yo|&){Fo>M>Z9ITuLYee2h*>+q<$aukcf zOeXX2oul4tSt6DRUls7SXTs0Qnj`Cf+Ck|Vrm^E>Y96125hi2sjyq7|(`51-FixWF zeFJZI>EE%^|8QkRe=%1fDYOvNWz)|-Of76h3A)<~wTyvk=#fxyr!IgD48X|to*$m6 z#P<>Vdk8z>xF-5~6EqLQ>{fyaip(i5noW7BH$z)y`wC(rngK-~vPNkQrf0?5?a;7v z^lWnRY)ai*uGri|q^Y}Jy)`1cDxToI{px_UuYzQvm-2TF*)7M~A{Mjp-mUi#50tiK zEk2TnUiER0c3IHr+U`Pk=!Q8&O+)wpCisQu8&}4x%?z|1=<-CLB8H*bD`YfjHY_UZe_-u!k*7wURsRR6Bee z%0w>7+q+bM^w`MyefFbP#h=<6oOMwvXSt)oY&maRv%w(mQLi~agooK3^9K0Ne8Agm zW%*}mCHfRbSE|q@f6rbccuvbyhCTV4hkhxC0BJZ-Rm$eY$x3$Hju0|HhTbv1Lb6m+ zWIlfJ;sRYJgQ4-wu}iG+AVehi)h8!y4aMOM55JA{)lp$Dc1*+N-rS?_Pa{x(c7lU` zaS}@HpSmM-|B$DT748gpiDk_P3>@|*&8lLK!X~;TlnIoGs za#a$3Nt*kZ9gXjFE8V2Hb2}*n$v4skP{v}QLinIo^jt~~8gXtt(-t}TI>@(EUbiR#dQS+EnokBKY=^9c@@DOv6H?k+ z>+L$FQl1@99wOMT#xvS268NVfaqzdB9+@EBzlfoq)w4epEqSnHKWqY}+dI^b=M~BJ zNGBZ;c?ZWk7+${cVnLa|D4VV;V$A`S1!p6^{NBil8Rv5GhFpLupoy=Pr)lXqv_Asw z0Wulw{W+Mu=#3NfSF8}Q9V?;2#6wp4^1Wt*cUrvF@gPB}@h<@<^8AC6Tr*SuZn-E8 zQ_}FChr|EGJPl;alWAUsQJta6C*q64+5{li4$yT+JVa|3`3! zH7d*z!$*#quSGaloftEBPI~gMN*-9NXvLH$a(+QY5*u4o4@k&)NhK<5^!6^wE0Xit zls?gXXw;);v$ySzQu}R|5lV8BA?)e=Fx!#Gtg($JwKCZv7AxD0BxAc{&QTHbO=6Sj zYuHp~DzJV>fSvZtQL&_pmPcP9mdxgrWSVY5%z8YwBJ9dx+1XQNLj^Qvqd6rH)yJNz zQzG`}9MNe0ZtS-5xe~W|>@Q~X%Z{}eAu^AKPpW#l&{V1k?R%mKffVW z%w0;yGM@BUev?<5*<|_n!sEcK-PbOzj@;{?uB|+ua`{S{(`oDHiJIEU4@w^>-Y!m_ zk_&%@JNV*OqMg*_F8iMHQ?_w*`Le?2Om0?`keCm8?gk z;joUQ{ciPfSHyB5W4EY1P+Y8!eAUtvWp72JD$ZgIe#>zy%RWvX=vX6E`t5#Y_P`ZZ zf>(;j5vVf*YjK%Wbet7m2e23_J((UGBoN2Qzx&o!LD+iBp?KND-*T3grUXNeWi!Mv zFzn6_`}7g@Zz4Aj_I52)OXMCg{`QcMKX(prAE{{)@2-2efMoC^*?mrgSP#Sf5V_RL zS3XkCl+9)@%3Ib}=XMmfl;o(ljM(5*B%RW1i>|-+Sr{1)l=fE5my-oUCtqX1_Yx7H z2x6Z2{@{E0B%kthuV^TJlBiZh5)0m}bCi_V)3&)>mXWgr-$fLBnD3ByijW@|k@0vp z9kqE^^5dZNmPbCj^<=3dUtq*J0}QJJ5y0R*+)~17+~pd@&}ioMtvy>T6Uv5yQ;1%Q z_+xqs6M*Bi7X_Ox)?+!jY+t-dE>x7u~y*G3?58ZDMbB0FyH%I7r}!VBWJOKq`19a`c1O$ zIQFjb*~M?Ow@8lKzH!H3qM7%W=%)c52Vp;k_dirJ0YXUO{$$$8LH^)jADMPcNtR)X zG1&96x|z^JRTmjZQ$Q4`)n@mc7;lrDaaG9#nvzJ$WL_@bdCvpGGZe_wdKgH9sjrD~ z-mEBlMUTCGHqLTmvb5AE%gTSMUa^TvtA0va8U`RJ5;h;Bo*ZLHSjs6D7?j#RLs{y} zw4$`gtE1O_14snZ`LFpyZDqBXO))pY1OZ$Gxw`w)tbIY zx;&M$@5f*njINTI*Ju}7nb96#T7)fas@A$4kx-ye@vf$9V%jHN7liBPQOX!fE z$H_QkXw_JobD|OIrSsY~XW}<8dDP4eV@`=_(mEuNcxPvXSBFqd)NLNNzis?z(1v)n zAq-oh*?0%k|3es{7j%#QZDz}pzO~)POQikS$X4h9Q1b&S5s(cj!ez~_82sOtJv3U; z9ksOAfk|mz)2bdmOL(mCO3!RP&ysTT4e9+ZYNk8^F&hvir;KgBAp+f90VAR;NM{+XK)7 zCo-+JfDQE(5Vv6|OP2FUfC6K0a$$Voc7(F7(63UA8* za1an7wirKL3+6f?g1M~04i;jWlTeG)t~8eJt=AiFy3To+GsujtR*O$?atGd5>JbWF zU+u6L#u7+ERHeyH`Up5YRhLIkTBSKtI-mh`uf|oT)*bGdAxBltJdWo;}6UoCWzei zUl>yuM`awDP!G9-Mr9w}sr^A%fE5QA;DUfhMmI&26gss}|K%Ur7{~57@QiB%s5Y70 zLC&AwNq&%r^c zp)M5x!zmOhsfp|t5h8%J2;5w4H~j>-C+`Ggu1Pj#>#=X0-8OU1rWSelHz(b|_DW&4 zZ!xmI$HI%t*e1}E@2w_bX_r=Om=oz27|ju%-jp!R>M66u*3!T1U%_x?6nibHZl3*V z2w1tKQrg|c;OyXH3CC!}FF5hRDKcP-{q-DRVK_K+9!5wa3;Rn2By{5;a1^uVj@$gX z$6Vsf-R^k8!UPXlv0lK4JZh$REf6;ZQ-qj-xaU8~o+C;lZ-@qX;q$C6Grj?t2hNl? zZVd2-5iI~`w{lDB0m7Z4C=&+OPCsm@%?K>(ME)O3-yX=+|NoCDmoCU9MedheyQ`E- zHzbroxviTn_+1KnnIX!cL!hX?v??Sz}XbCf%&HChblocQS(l^kTdQP218)55ZavH z(yht>^rD>3RpJ|2fJL4SwhE1Q0AHs`MIk7C|GJC3MAHf|FeiQV9hZG-dX|T}4Z`$4 z5dI$bLUB82k}&}`>ccsPumd8i6Bnw$hQQ9_(`iY`U3Zwwc(11j4ymG5=Lo9jIRML3 zIx+y#5m06t!HX072#5y+x9H=}vAvHKtQWVJe+f5U*$$l&8_0)N*%5`Jiv!?iM+Sye z-?RoD->XjoctrrcO6tu;U{KfFJ`KEylA=EG>^r=3m&E^rZ=uh*FF_{R>je~ z+r6CV^e?`AA~vvALQrnm?5dh%<&nCO(VVPUXx;Ap@frm^MNu>^QGX@KkT(r(41ZcI;Nt zVa)?LHpp-D!L|hFwk3eq*e7Q@5JD@bnIi){83qFXa_sY65)+KSS1mz4E4yW&)HMzz z+PaztoudxP;E!_H9XeB4s{=($9k7`Gf|JP=9%&6q31%l%{tq_+fh@(Wkh4W1xi?>{ zt3J{z28oLuKvw}B(|y=hb|=5ivwyxr;?FJ?h2D)y8>&whVCj|C_VnIQaQ}LI%;20v zF0TVU{<>-0S9svu_aNd6$bl33ap)_(IoUwO62HL0bRJ8RO2O4b{lJtGpPMf};tHzc z)o6?e;RLdI5T4)$f2RZFt8-F$Qk*pT=Yk=fV1+nqTRvMZe!)U^-IE9{QZ!>kc%)0H z3QEDnButL7D6;!>-^k%Fy@%;1!J)ErS?r`p^m|e=?_i_>1gj}P$EsFaC2(PfNG24( z6-EZgwXq-;72f`3LZ!06XH^8kK`6bBZX95|c{uK|!e2cd#BX;NT~;sL!o(TZAl?L`6WEMU_c)#z}qBBFnako zEW7o>PYPr=+s;|gXFV1BbKKAUenQ7Jf^{F;UhC;+cPsL95aX?8Ai$tHLxY4#kQRdF zp2yT_=(U@Su&G_S*h&CF`+DS39YI&@D-K)Cf3)}G7n_v1hkl|E35KA$2y)S2u~7DG z;cf7Su7Y!(362tPEC`EWv0&-y^;cAPc-JfqW04~SjgtlKWCqgb7)VL<;GEk5@E?iW zgh1?ziq@6NoR1-wQ;$g&kstlKc=>eMKoI7}LApZg1s4#RQ$%t7>jeOIl7JPG#0F#m zQ@CF<5TNb>!~-;fJT3*Y{j4QWGNzm>_#4ZTV5|}-zGME>g>x;p9|b>&4L)Bj>EePM zBJX6fl$0LZ>9HfAodAk6M}VIx=NtCSQxXNExH%e_fF6~QljUbIG$Pxa@?OTu_p3<8 z&byFXp~wAOOG|MuE%60jCyy7$PKDkiA^wfI70^X(BJm}bDtBk5@qB%fcsgsJPTnd> z-Dg1IY&hQIAPz70=KMP_<-T7hYsp(fS(Bh0P-^QJ8}0dv=^}xdk!FE6Raxb4QN)9z z;$KGp7|9lu+ak!8z9tMq34v~3vJk=^A6Xq!&n%(ktZVj}^+A15z+H>zpn6w9Sjqf3 zc9(=Kk@P2e71&G>lPZi1X{>KF1e4%8L~!#1W9|?zl>;~w_Wfq0>$XtS*RN=iVk=ah zJsxv?qsd?U<|`%b5;RxQBG5a&H}dD|`9S$7SG zds>`4Kgg8$l1Q0k?VZW|ud?U-_$^hMNbR;^0lDLiLG^q@Y)B8WxQK7~*pAXvGKaiA z8PEBXurv5CYZlN!gM$8c$=T6~I?XG}$#X+Ds8c6jIQ-eO`rm9Qd+LFmM^I9iO)+!q zL4>d43v$O~kmA;S0XmXDa{wJjyh8wjhBd}2{wg3C0JuksY=@_xk6=IAZAB{a9T5KV z^zKOB`Q=Tx+KDH|=UEz`BRQAGB$U^71gk>}MmbaUD^zuJ-C`xvbD>tc3=BO;v@V0{ zZ6dC{Ss3)>`kNo!>V~7IZGocLinAx{C)izZYse*en00`&EIMKrnwX4%n*R-kRJR z2PLZ;fA zh<79e)c+0$bZ;J4=5@U}2JW}Db=8{03gBd z1JVj)N!n%ivf~608~HR&`%{NwPI|xS?2&ZJQ}8~;obrfT6EtFve-jbRZD?$O&{0P5 zxZ*^@KA-Uv+hnWs2Fc;+sY1hY9w=gzv z<{ctLT6CYcX{Pz1@AkTNP`3unrRcCD%F(Jq2{3ry=j{f@tj@Zbdy^Y3KDrh8Z6>dV zs<<57zTbhz1HGLAx?+R3ANZ0)0^$vAt88=#6`z!M<$JDJy7z&%=Hg@VV(Mf|#i*#@ zg_`3XNxKx{x8eA0t5%l1I;-CBd`*3*rE{u$q;VH~NPX8^NwqCf#7LF~OW~HdaN}7Atxb zdzX@`WAel|_yl;o^JCb-;C+W)L>l!h-*=;}c`;UqaMKnz!Wq&yMwcwN?ecv3mrG#! z8PFyOQUSRLA_xWq)RI|3CTpf-o?HZ2b#QFg(9n$+s}tuf(&=*B=n&j)6U-DC5<99- z0%+iD;-@n<6PVLf@uYxdvoe8A$jJbQ0EkbK;I@1F05IA*jb&uZ6P5mlU-THN6*3N0|x|=m~m!oL&lkhudJ;L53H2Z3AR?t z%={lb#(O{SV8$A$m{r`@zbwboui4a}D6U?YTdVRQdFz7H-v7JeBmfV(@lc~9gjD=> zM>kh@({&X>Odeh0n-soQ?R@I&gAWo7fzHx!uO63HY#QQqBe+N>dxy!zdL z4#p0>%-6Fa@10h#Bbo>CUrz2s3+PkMaXxv?3ksf3QFJWO@L%QLINs+tVlhfOf*&m0 zTIm`H#9S7xZ&}f54c98DdwW@*Fzy6cJYneiZMPq~1+J6C*B||(hW+J=VQsyKtUqnM zbN$k25%-H8dtNP?|2O-nJ)y$!eyj8NiT8fAQ}ZtjF1>4|boN*5{YI_qxA9X=lQVw- zNb)3-^^5WBO;@GAtBUon?hE$j5cMw8SGPwzG;{YMSr?OjN8OSs;@V94`gQnv2kpQ; zU46@ZXR2@B@^7*yw^NEG6K8;KW9(TMFSoMqDc)GE4LDv>LEl=#_%cPH&y>+!A}lyX zb^zWWI<(q7t;0wdU3NPX@uO0LKK2xPx}x~UuxNUL*E26>MF9z`C=DEZm0O6#4 z4Og^-IqkvESAZI4jP`oLlrp94c!MNZr#jjb(|$JC5O*u8e89spmzsHQ)pZZY)SE#{ zlIke=SgTZ*7(XMonfA0_SLF|O8U9B{9e#`+_uFyq;0+MBe8M$OF%gZ%#Y6 zYETFB*x-r9=TzG^PtJ|$ACouZHk|}DLRttYfj)K}w5vInpW^KuQcNPt?Kp_6q;k zeD9JUWvX2FUGu%!1+jmPr}v0I>9W7+BWKmaF&b_~M_;zfvXvRdTlID%T(16;H_+@* zGqZ8`4R_bk#-UHO8?{ngmqhmJrye>oaoIzzedk%-5YOhx+g2?*5^By!ZC$p!@m1l# zSMsf$_uEONn{7EA3-qY&vl|l6+f1(}dMUT!X!ho#54OnK)tFI_W^bx1b-y0z{NHzp zP(*3TJ9~0J_DnRTt%3VOW!-E zoaJB=iqtKf-YIOOab6H|A={H~A2vo#`!s9s*4^%*eVF&yRCw*>PKzx=v3Ay`fqItD zzN|T6$NgQMNVu)B7T6PEru=z>!7l&H|@&{E4**ZY>5uS3oU!@*;+^ysJygz z@Lf{7f)<%gLwKD=KW}VXadt1RWV==K?OXRnbf0>gaZcvmb(KeV2i?)v!2hixeh3tW z1|1ywA^HI=+=K;`seR!Tp)uBLV0>sYh^jKY_GeWYNB7H9@ibij9+zoUd1qhh)0a1p zgu6>`ILaH8DiUP29yrbl4@dI8UkG%%X!t9J?Jd7|YW=chYaTXBS^L{IN9M{K-DEPP+BwL>KuzSpy$K^Z;eFVeNkOdKi7xl=-*6g0Ad3{ z%B$~nGOD3Y;iI8>X`?oJRjt{RylQGy&)tqrG&xiD35^-tA_{*pKOX_j&d&IG;t6KK zoO>PdW>mndcpm8sC58vgpOO%Yk%Hkb6!BrY>5MZkWC+tmSVu$SQltMXy!m#bzmN**UI;t&x?3aolhS#M}}_P^*e|U?6dmIT=+5!?8QDL zMAOjUFA}gV$jqVAT6GT6PS^}cC>zQvDF9Y6b&T5ddDzMN9L5WM$e>#R@hk|sJDa#T z5uHt*iJ0q6Cu1j7?s&WDL~B%Md=sh%b3tSIvgFQw)kn-(a;3Uv)|*kMd*|+HAF?dl zUmYcz$s4`flyd6JO}5uU9EZF1@wq8C4+Q?=81l+<6&RK!M)RI8#n^cEq(rYeHb3xd z6Wy2QvL@TXU>VtcYx|!QmdaINcm?gZ3Ukt0hb?0e_HiZzvIe$L$?v+NY5gm2^69>W zbxdY=f%86F7<9Ygaiw%DYgS!?BAx+t^IYFKkKy`0dom<|XtW;@u{jIpswc+%w+iNg zZ}#GZnYj2b$ZLuhxWA#u)!WAj2ioO`ZCZ zmZmc@`p5jeBMn=RU9~=?+M7!8IKJHIRr4W-hh10(9^&A(ilz5DzN>xxK5P#grkNWV zt@#_G@GSQczu%pEb}n+vl}ls%6WL2^$VizGBb0mV#0E=z)8*{ozgV`jZls?Z3n}uF z{E*S?eGTSO93;RfwXos`%egh#-6n!3kDWVVunwX)XLC3rgpA){Yl$~AWOexJ?TcgX zY49zcP&-Q!qa|oIEd#X<6y1d!&<4OZGG`67G_&ctI1ZAKI90?#lM#rTXt;=*00_E8 z#?ayff+hB&-&HCV2LSpIwy@%@OjZ1Vr*#_Ew7F)#{I?a~(i$a-T8KIksGN@sG{4{q z|0AniEc!3SRU2rqA50c=o(9WEsix>NnZNdB{5)uV^g$~{E!`s9+2u{sl-!DoX1l-# z!e(0(Co^7|$T~V3@P@Q}o;jtTdICNvr!zz8Z?J6tQ)c^>H!D()9EOZ`<42o?*Bnz# z6rAk_r7~g`@OYi4jsCi$NRBWl_m_@1D zbhMjX)|A{`r}KK#%WGN~jlxH~ilOB)wwouj^xo!M_C5)wL}<^$R+^`GhAUWjTPspN=*iu(%eH3aQDgL|J zF7uZBtma&b{8tD<<%o+jSYRu5_Ui*~WgmusW3pz>X5CS;-5@bR{Nt$7@2*+rjkvEW zE%7%cTbCz?BfM}ifOWloB{`ReOAcfI_Kc%M{NqThQ2stF>tAL)-wb$Ah|>WLPf6(# zlZE&L@?+TlA_Vx>8)T8ym$c=^ny;8~41o2ZNtVxUA0*=i6mqNT(3I~Ix}2k7tub?? z{^;pvBPn`-Wn<8!nH?S+Q>zy6Sc6KA?k5L>y6c-0XZHNZQ5mejYW5 zdDXO^U=;!g{n_H1_?Nyyl^hmAbsUtfKfrJNi%VK-0p9hUZ{*?7f@(m*OKe0otQYCE z$=khkbZ4S8GV(hP*`jL{S_j_g?0gt9v;c4@rPSIm=0w5bXb1^^`j0NxMP-v~fd`@u zZvj?Gy8eV4?sMu0vm7@!WEtob?UT(;68 zi!l*mw!g|^&ESiq^Z!N3njI^U!k&}UUj{)sIg^|bOYO%+_j~O+9KRW@a(swcZcUDO zBzI)I#{6o6>_O(mfd{+p+&?UH*QPe=+1R&iQ}@_Ycb$JP=; zVJ0JS8(U`j`K4r$=7dTX6<}_fDHgxFo?oUk3}JW0W>K=KwJ$F9<2P&J!7QQqY6h6rNvBVx3+<5gw!= zC9P~rO*ar3Xj(N!+x)OaI6B5T@~6Ufj~|Vah@ky-6>{s{gUO`P*ZgBr2AB&T0ttz& z-TUDEUpf!8@kz4WOYbZ;t3Mclyi;5;l?rr$J(d@v`H=@?3e_LF+ z87?$^-4XTFeAJa`^mOD`f|TNZr4*1k@sd50SQej%sWA^{slXFcJ7Rw z_=kOTya!6d^T3d79Lx}ehSuft158%+d4$Rm5N(=Fz;*p7pQQIX0w$&7%rOeD^$jOlN$R2Sdpk`HSkM6zI^Y%LPb1V$ zKRa{*ymT#T;s4AlQEcmr!V@3c`Wrpp5mZf?qTS)zQEh3b)Yr%MVkTR^PRifxdglto6=-}- zasS0{w4Le5x=<0MC>w;p<0+mt5V-^G@6GaONmiXvP%PUu?%9?2Qn`Tn_pa|ti4lEv zI}&7JgIFiQ^r51}3DY77-+zSzK>X~urwF}vzohaMbDV1nQGv7^)goULM5|}yyY@iR zL8!S7g4m6|U8lSVi&rU=4S_4Rn_$5C7$sCY0AvqX%Xb-Liu>NGIOKKV(VyQQBpImL zf!Q_!RYu7zsXqD6bMbti>H90^3!bphLb(3PglY~LS8nFb?U!4guTTo&?OJn<`^F#G z96I;nbGH4I@@6OH*SNJ7j|g?ldXU}3rM2G9R}6-Ms}7VDEc5kSimNr4+q32518=UZ zYMCV?1;J~x&zEH$LGP9Rvep88<{<*Ga^V5`Z#s4!8&RFLX|L{#`oWc(LpQ~=X3P;h z`G%JB)YLz9>i1AF-cx8#Zar-nj?yw$ux4TMOiGj|nKv+(xcxMpYr31}nN;A3B{o9^ z_NLLpkWf7wh&|2zkDP2U3M0+HJ4t-R4TnPfJNvLz-k{t7@Hi~OH)f(R;QqrO0Yujz z9N`<#kn0y@1GBz+00sIMJ&j+f!%8x%fel*ZF))G$@C~F%(dGrSO{}mAruSwGzCcMe zE(Dd8oOzvw`deQLE11~$RqGtn4{#)>uA{A_xl};0{zwFv8R(Fd<5+9qt(#ge?6MA6 zn;y-GK>unld{w&>V2rd~fhN>I3Ib+_$s@=5`;G|_`yLAD`L*@rINcRf85U&4k)_u3NC-78tML-%jf zt9WQELbNyxUMqd}-Ljk-9(4tt(CKiI?O#FyQvnl@7g%9@u@>! z=P2|cnE026diRe;gq$#6Ek^+>W_~-f^MrLJRw$AHf(6jvT`)xIHabJ&Q*Hox%iZWo z1#EeBkQ3cSTYKn2`BS+lP}87~<7*WT#QYOqG&Ehg%8&-KFgoaZ^cpF1-;RF+S`z;b zV^tMxNMqw8+rKV*Isk^Zq%koyC|7Z_A#h}W`j-QD6?eI~z(PlTDnhrC$9GJ_%BA&B zK^_74-gd=CMD{Omad`roB+-JmnS&vlph2Jp9f&kZzWYC}Js1JgM{X~IYk-yo8N^nS zq<9#3e78ZP-e)=$B5=SChVXnPI9pK>9*9D+hH14&UMC1RNeKO#<6*FaaTES)d~`u* z-s{gCoK5n-c}nu41qf3NiPj*!22LwLcHp)jTei^^%bp$MqBPDh-6kB3b)K1^(Ce1f zhZWf04b%uicuYwK4Z+s~o4trSh>Fff&>I~jt8pAjI<+SlCsr^;>Hpdalrak3v!B>_ zfmmTVN=>Ax-&dV$Y90?~NP0^FBnraU`T%_z03-ATXhIaH!%iibXFHey6e~Qge5O_> z;ww?|P(6pm5p%?C^p1G25=YO92w~ug;i5KMh|DoEvGR!l?lQGDcx#}LU%L94b66ax z+re+vK=DNh8iD4qiwN1@Y8a^b_M|Ep7DEv2$N?%U3@rIef9*%0fo5@rMM>k~~Og?2ba1)KbKiRn1t8O^`aRxK?X@GD!QFX!Fz!pdG_R z#*_erMq%l@e;J5}TRd%!ArZ2slj%Kdq zgXPLMhG`h~jI#(cqQVh?q_Oug(oeyw_yg%00U2Ts$?oxylz^OvrlO;yQur1$pDRGMGL^O2Y~YJg)2N~44Nici64r&faXo^J=k_~HLDuef4 z>jPfM#_tJj@$WQI0y<$hvE#8&2x@t}&6{u8BY`H{*;7aV2Z}LdqC&NBAhgt*ivmp$ z%x^W&OrQf17tlk2VF0JVtH?g~CD-a8g|tJh>_%!bx%!bh`j9Ji0|Mp3Ja+z6CPcN# z38`kV|ItLQIHX*b0y4Z`1w%bP>}G1`UV=dh@(0srxM%q1=zEP(+7}{MGZ~!=Ev+_A zO!WQrFcYLNdF(r6Y}dD;>k@TnhzIl{Sd#aiw`-)NoYpx#KAqfm*axq28n~heB!zWE zKz{&_Nj|8Gz(YX&pH=MQQwu0m^8ZzdK(Pd#3Kso`0nE0C*yiPWHFH_b&f2MwQjpW= z`V7ba-^)Qox}Mpj23K+?oBu?Fqhm*j%%1t^p-J|$ZPEdZk5fOD+cQQfuZ0r2tSz|H z;6}6G1|eAfL%^Lu$r9Q80KAJT2wGH$>__6qkC|ggt-kSB21zcsV8i*G(;p}Krqv>g zT+N_2XX9N=Zg8KDDYebbuGyqa;;Ikam^$h`9<*_RU=Tz!5vM2<>9_f*ifF#x>k2a5=L77Pw8@YUPu4M0<|S-=EYw{OBJ1h5J44S^t0;RJvT>KK+Cjp8JV zAfW&k6LW@woBFx>)9(O8ci>n%#k2c@^g$AnZMz58Q7(vEn!i0}Cz_d}rnXX90IciS z12*8Vfd?>P0?;DxF@zgnCJO~`&P&dE@s?U^Ofp%bn|jR|0EHSo1hZ3R(?C+WShQSI28*^8p`@w(d_#>- zw7C@f@}b&pNjY#+fY3e<4ic9Sh9q)0FrNzh)hbI9AI+mK-bZ-kq9a)QECIN`UKj{; z^_a|@Q|vTr- ze4@FfbPgCF@7AR^1Wwm{8scne!LkRe=NvLWp(rJGXCRfEyBPsqQ5PDTSsdp4X*Y1e zz!hVfcimNkD>!>;&1n1&Pw4XwwH{uA`O`S^t(YqiE?%)yF?3N-$1U$CR45w%SZAaq)xev2u(a@B~!0t^MXtFXwW4(*Zti4PapQ-3^nKK zFgZUD>*K(FgYc=gFyK7^yDIex@A_`ANkJg5%gb2Y-UyWZBzV!`3`!6qUefF|<7R#- z_&z##9~?9Pd$4jge!;QfA+&0lewKP5cohhsL>WCRIZ$8D<%-S%n;Ec*SzmyoI|TZ> z2>)WPKGI3e@=^(Zi3zDVeJG3Ed~k?WwOjmrFNkd5QRUs#jOXZmYhJag)mAKESxI?m-*8h&L-?(vI4M+PlJLOl{h*x zgRMm2*9D^1{vg($4iE`_I7!wTnu{0ee-G6G5#yf$v~Mdh7umgf+xCyQV&^i`qX$>7 z)!Tq8Xl_9%g0_#Mly}-P3CReN=D9)s>VdqCE@oeX=HnixMcGAmk{H`O8+pgr+m3Qy zDh9r#agYcsTcOuOurT#d03e)cIu0F@e`%(o{s641zzVp;vWK+5y@>h2>HoN-4!(YT zNyLDit{_>$gTnc~%WLJr3mBL4x1S?9oH@80eB#Q;PswN;61_ri?bRoW!$7B*n~1-^ zLA^4XwRqSTvngZ1*;9!sb-B0+l)REK04Ga*Y(f=INxBhP< z`}Dt$1?Hl-Uq;fhAjwSry;>fe3u5HJfca+shZJk$BbkpriADQHAga0w_i|zE_?CT= zi9!rh)Y?7;q)@f)mKyd%<&XO1Ja>ZVZx zv{~7gRG7vpTnSuHB{m$+MCI9?QA}Wb333$693lXw!pNYO4Gba=oNwzY-VEwja2VBh z?|1w`?Gd3sjq?j#tVaPYwt{;`Kk|nQns#rnTFhWLLaU4(x8`+y0ZYQBbb2o`jOl0F4`nC+ z#@3~lohV@;;Zs$VaM5YkXmDr&z5+;q!+~ugP}oGXU`_xQ#0{7R8b?fayg4{Y5m=y} z`gWtB)-@j}+42~A5rxkh&oo+M*Y8brt2pqLN&C2^s+F2`TjTCirRO~{v;bumC!23A zrE~v zfj-rzk7l{7{e9PA#n~|YOnbY#!L84u=1C?lCo9K-D3V=o&-(;k$ACBkFr5uQADq#? z5M@s3dn}jBnO26@~AxkZz!;ovi02 zfMSMnb>JJz`exB);pKyBJv0s~wg8QxV-S^N>nwPL3N^yD*7v*v-P*oK&aS|a{=p&o z=x>YE9`|uc7bqfB1r$gv+@d~$#Le+9=zfc8e+sbr%?UzHX7N*cX;#fv6=WNA20Z_^ z<-23Q5`Gmd%N|YQ1|@AMzrF3q%6hr_QGhEh$@+E z9A(5T@6}&g!4QVMDQ;{YW=LL6UD4Kdj_IK!BaK-g#Q+c7c6sSP)8c+CoG3w0(ze8Eck($u4*AeVu;feDLTx!e_pv0l>sAf&;UT1)o*9o+L?=Er~59 zk!V{L(|UGnqzke1%lnHC*vtND9l4;O;n6~sbhFfZ3jt&(J4%%x7=87^aP#-n$R9lx(mRz)7dCabC9VYq++Y^*zTH=o$mL4oTWl9?^z zM6D}iEZCsFV_P7PB#n{WX^HKY3W+n zmR!KAf?omeVk{NpAAgfT#RSf6hd7}yrwj*!Hb50v!*SQogzg(992VzuZ1uHr6Ixz+U50fYcE*fdI~;hmLT=B8Jl2 z`=0urhO2Ui9s2Q3ie2=Idif35u#7U_l?GDsi4mg?63J_!PBP&he>iFJ1xI56obYIO z@3JXhAaYg``gKX>ZI!bVTl7MSk_9?9{>SCBk&Jf}0nd;@k}-GX)T{W%t0aYQ9E|726@gC91oga)rvDV0B;ll;QPn8>A`2e40&?ppC9Bri@3;-87>4W`*=pC#s z(CP_xo_Wupar!GkAv1(9IUtkad!bRQfU70ZD%qN@RRQWK)`>kZeaSU;9kKgwMPuz0 zOtGO*aOb|M{UOc6SkPS(gJd`YrUd~0bP=1{(((i3-qsFx)e6>ssgAIqI2?1svt~JJ z)Rtu+5@@|swTht7-*|D5tP;3_Xf=sk50D+_+@`NZ7NFId(KN4Y@*soq(e$P}G2H9& z=4Z;8v4)eTlOnraAp(aY;J&)wKfd6n>nA)#(sw~>Juw-fHZng5NSl7o^L?dGcbpiQ zY;4El{6B~7xG+Disq|*4_}qm?$!mLBg|6O=dP`Tbeo7Q4d(7!nxB9iK$8<5pCwRO! zoHNl5HY^kf0(*4h;+t3pH?G!Vn;_!Dt1+={Nj|dhIh=B@Y0s8p;dfpma&-Y>4(c44 z<#H-{UcgQC3f3g<$%-*_zhxx3HE>fWWa5&%!ZLz$f8&{h$D^!Fj(*AH-bqz>rYm6G zuXzKs{{Xt$+S+cdl&q%F2JaJfJb(Sjk0UqFlHHuLbSquu$tDgiB;K zvzozk{xRU0jwLcd=A`+nRob03uyaN4r=eyBD%^0)joIdqY{zy8VRQ2s%&$JomL&oT zM~!}LF#-z~(v0UobZ0Vu#)0LHn^-H~M#)FiY|(aK8#VHuo(0bz4N2WHDq}D0~5K40zeW8OX zKz<35!x?7+Q`Pn!kXw5M9w6ZDr54KgxQ?6>j%k7khEMt}^0x*G{)*P!u0Iq2;O6?SmqzC z9X41$N(7gvUSN$-qN+2OQ3kUZAX0$raO&kpE5?xzbujo67H@$PqS)EM#ayh$0Kef1 z2t2ice<3C(fW5r;>B;v8isN~fBL#=>NYIH5RMs#f`YDzc@s57)-tWqIT0-L=i%~nL zM4G3skwMDFWYDCjC}Fbd!!01^$Wj@+bzPyj1r@XF-d{b)j7Y`e99})ynl2Z$*Iq)N z*g&vu`hiOBHS+FXJ+$nU-?H8*)!q!8|2M16a!Uzx5ayAuk*}m&(f{HXN(*MZQg-M% z#p}009LI-SbVG7c^@8{bjrT9}Ev0kW?=gFRjV4l063xfmzr(W;a*)i0`G6 zv79(%IUQdUB}cssO6rM&ncuW+eZSlp?C!sGJTyyH`)8BNz!CWNvBWOPpPul0Rgw9d zaS|S1;=G4`aA1mNFBi0pE}?BV;`7TL4#5wNvAl*=5X_oJv2-I`KP3SW+!fOm19{;H z6lQzw2kl6aZFCz8}P`%F}V+mOIe1Dlg;0`!%d@ zF&o$7-!$?V6YmxyfVJ?>OG)_76AacDssKYAY3A7iV>7lQv2U0_vpKR;X8E1TwgSmXF+*RiJL>1Tf z8cFo0C3b6eo3^Z2h0%1(5CK7 zl&^a92MfLCVol-cWvSi={d?Y3G-$co-J#|ZJW@Ga2=I2g3l7CmK${4r3< zf_(|nK6o*er82lgkVw^s597KM-trr9jLN9V`p}Lk2=pWwaDUly-DUQ>9xy2MGUA^; zNcr6yNB;~%X>2oc=H2zq$)2gWS{fVaJ8U^Es=x4cCpi3pD^U^SW@KeBrJ|%QN@T-J z+u4on4fw=kR&8^cFpVYGUn#(uF+pGXQ9hBV^d?K$n%djR zU?2)N)EU}8&59HTUk*q~?A&5xr;VOzG%3rDo_VhAMvFf9Q#r*jteWnVl>hkZk%VA^ ze)dYT^;MU>HZN!YDFC^phJxbm=HcPe5B<_k44~PjSSQ>KNQ<@K78yn__eb6 z-&z&ENqqo*+t`v@Pp-Lmol|?}nv`_fp&fN&|3ids@;8<-Yc~R>ig%E-G+hy_3E=4B zG4W!mw@6p@OSxT|#?j?h>Ho#W0=Gf=OeVa3ga2=pn>fCtR(@2>ayeqa0W5a=lcEAD ze8ohKK`r~Z(jQx(#Q8oqk&_2iMNpY79GcTz9s{m8+0@KfDlm=;Ea>{S1p)yb$3(b! z6zo0-B3ci%WMxeF+T+(wlW_UjZyT|4|il={5 zqxOg=K~pcfOlPWewH;FGda-!EEWD>b+{1s*SB2i?;m~v8ER*iOtFeDhT2aQulv)sb z2~>B$FGT{V+Zc_L)mL&f@Yv1+f?TgNJ2| zRN_mfNGYn-USCTbd>JOMFsTl#u`)LJnMgf$$y zJ4RvfspM7u<4d}uukTz|XNp*dvB}Pu=tGLKOj~U01No+S6MXX4oLrBy>1Vca&k>a! z=cFASuV#RF)?MKCEtqZNaf!i7)jL?aQReyHadVnpyrZkj@n;pm!wp40ZY!kT;aRk% z=&N<#+w3S9B&3HO%P0@XxwvGhe9kZ1!AVP5QH}DH;qE&D7Pk`rGvh6jTI$lURk3(8 zF@Bws>l$Niz`e-UjojzWuX$53l@gR~pe1$Vwf#l!I?Y#-c2CcBDO9?GIJd#1oT z>XCfO>Zep*KA#zBfz6+d$MTzjQ4`{#H|lVq_GH9>O+jT;2s?a;v+I+D`xL+;BdV)? zK>`UmPz3L$2LzM?(ERP;A0|go!2ALkQapA$GcG88`-(Is3!Fw-pz)*SOIhrPv-{k8 z%HR*^<@FQ8RIu8pEuSz6QFEUmavkU-%*9hd@^Py;n!5J^pMz8^F!NvjQSMZ)S(Y5c zk<1T^J@B4=#IDY;T9?w)lU~Jem|KR>H=%4=L)FxMe|SNVm~s4ZYLAMA^{b~dY;qT6tA?(jb)USmb4G6XZ(CKtdKa zYH#j6UlSz>Ykxhbam1v3iOZiov!mnRy*@5mU)tkK3X2E{oL+q_^8B8gew;STVBci% zkdfIY6oT1U)Os`M|Eh!Yo!PUm8Ly}grXh<%xmLpaZwhB9_Q(4_JxkD|RmJ^jvU|<>WACM| z4|RMo?|rf!lxV=r?oD6ks~1tvdHd2J{^uDlFb;A3-%PTL!Bz(?i77B(2G-MpfAYZo zvqzGEzjxmZbZKYyR>t8$c$*x&m0Ajhtyz_kW}|UfFxKRN79<6(xUPXJqgZ?vIQn3Y zLuO3(U-on22hk)`G}%*O+|0`&T7!nji9Mjz!Og8h9pMepJ&_XG=bFh58y;F}$0K;-3<3X?19XSJq z+u%AvXUqQXOgGw>^Cja?s;SWd(CmL`rj6oSpHKhUp`iy5%j-kG7{I?edK=FwtO!5o z3Cw0bmI|mzCJI=X)xbaIV|$7qt+PzAA>>JeLR6pZ70SBI#+SOnn09&wlhd%XZbRGK zgJ${etFvPl3ZM9sgM}}uS4ZFisZQHocha`Z&TYX`1wh;Nivo<&8{z=%7uVFZD7v#4M@_n5bVB5O!cbEA$(ae$J8pn4D(+16X|*!Lh4f#E@^ ze5r@B+t}H=uR^lV?nU_*Ui+md1AvQuVWU+W3a>r(p&LXqcdXj7BX30>*-!7=rt+fFyF(crI1L96w8NmcJ>&%LGJbONO= zKsErapl@}Luycz&x*+5r;eGf2K?2Z3ms*TsARD_l5G_0q>fYi*8YlgHca7|TV2UB zG4T+Si6CSxTk{FIA2VX|wCY{>6zK+Z(7$2%j zm^Qklgg%PPMzwEKBeAM5n_GMjlK;{+vv{$CXTAgZm866X3SvkB+EKv!^yS$v;*8ii|AUK<2<-?>8Oe zh52<(NWx!Gk0|4BU!;`Y9X3tA%(9tNbNAEmQ#y^XjIP97zZX0%;y(lNCk4kPbWD(g_UyIigskD0UBa zNraFpb67`&zme!R9d_`4gq4iDK*gM%EbJa=f|4kB*YF7z5q@;R2c7291LhNWvxJW_ z?wv#E&CLq$=B;hK2m0d@Gplg|k6RJ1F}~pk6~rX7e(0W>ayd%gETN9dscNlr^H$v7 znbqvo?@?^=CyPCk)xJz{Ej$P*|C#^T;>Yp@Lsm*@iTo`77?Lwb=@emvBpf@nU`cC0 zue7eWUsmF+MC&~f)+GM-I6C9Nnt|i1CDM#=-HPcqMG~q-;7hk>N(q-HhkdQmt-}L3 z#*!Pa*Dd+4L*oZyLPrD4gHOS`4NzF(r%az|8owy!dnj`ZXNFfFyFPukMw8CORm-M4 z-iWF7Js11tWFZ(7VNa{*ik9kG(b5HHJ~`Ow9%GKjg=a=lre!5&>`+9yJzOfd|7ApE zNL}O0u4Ufet!fu^N$M(`P)9Rz%5mj`O)Sz^eN(IbZWQd6qqJ?UXFE%f*Xh@JY+3a} zr>fb(F6-T^PrB3W?wiVVw8F>gdM@&9qv<1>Xtbu$F1G?cMxP;I zu^@H<6E8r*cswr5z2b@iv<;J#%)=05{rq8CG)z@X_x$P2;>}PoOy<>Jz>d~%Q|L>X z)@x8ONybs#G zF~bM)0g7dZkPm3Z@0hY(Jux#cx2!(B2mQ||Qa#`PsEoa7M0_XLBVYik&rszP5AC<$ z;wL+8hYwg@si~^8Z>xUvxpi5!M*PQ5SXttVf6D2+px(g5T^BWb>nr^0 zCXv3xtq!|f!g+>Cs@keip4fFmYL9tM$33mgMZ=X}TtMfCkJe1++>@_ZcREImRIRn( zgcbu-wkHZ2JV%n$F&m!o?c&LR7IyLQRJ&Nnpg9EKaH=7Oezb)ZvY!{`cj;?Lm?T3# z_8?FC-x@#IyDc&S#qE=#;@p)mB9y%A(x=@oFOh)r&Xe0xE_zuZ7&Btl(q{olx}s04 z@{$RE7UD<}eS+PtNM?3p#Nq6^w25xnYlWXdVwHG$V{S!Apg;I0kTX2a;!BJ#awSjt(u(5mv|I)>dc_ao+lPAYKMTDs(J%hl!uuGmA2M+C;PdG@ zMj9DBe&UwODM~IgNhl@R2XA;4V$JrOxiUX&kcr=?@3Ts;UipyrAbC^R_xMdSmpE#; zT*t^)P?G9mknnlND|D1bu_LXG#`{7!wdl+*_mX|N(Lv5*TQKYdIY?i zB#sqAtPbR(R{wx|2#Jqz`;vlu5)aoW!B#t6$!ozo*2Ixs{4A&!)}@24Ens@ytwZQw zHX!S*h~et_&9rdbvNqy5i^?K_i4Z8PzO^5VU5a<=vtne5*Q8l-%|c$I+u3A`P516>G8K30|exu(^_ikd4*|hC$$?kY{mz9XM+jUy=*yO zyl%b2u+f-ypwKV2p{7Q8C_tA3X#1tD`f(q1wefG{^3(A)euV+Qh*MO~E@@5Cd{^4g zVi7<03?}S%C=}pp4W_6WB9)@0uK?+^z3ced4J)WqRA>B1Szwf8c83%ueWGIk2UAbO z?q_bcCyP@m-Gfwb8+inux?g+a^Udn~sV)i!#aj<7G+dKyA|EUuS$HO`k@1WsUe~mK%TM6`bHFZ+>ywZ;Z(xasSD~0Rqkx|8uq(hh5V>WC{0}E89HO_ zl&q$8&(Ohd&1Xc*r_YG{dP#3!&!Et1>~7|>oooFF|Mhoj3-IotXF2W@j-3u4BJaB$uaV$pa*gD?iL(0KR(lR8c7>LErQeI_{$9WFz-8aggI=x9 zJsZ6X4D|Qi3&@Yz5W?!Wc;>bdIM*tthJ#;@jBMfovRwg21K0;RNCFny!qA&KoDJD& z2pqxJVX-kla@q=eq0WwQBeE64#vcwcI}BbuWOBe{{)9coYmtf3IJI+dZt}q|q6meQ z2}*M_;8`Ix3(;nhK5{9d^0N&I0oKt6YlNMB;5%Q3==}U)wF`;)BUG7VVvecu9#2ms zZlxtT_$XdD83l2~rfE*!&Dq~5x~J4?#_8mRlaC9V&$QiE;`En3+Ci1=4d;uFs#fc_ zK(_*Z7u1R8T0QFDdi+q}$p&KmX!8r?;APeWvt; zp4H2`(F!AH-e7y)SFb}tki;s`}6UlLqzcO^}OHIA~_&Cs5$K>P5ToAr^? znC@RUajg1;r?5^@#zi6H1~&~_GWt*>;z3@sP$0?$NPFl#mdHAi^Gjd|scy`(6-nIjGM~~)$aL4LrOHk<@N3>!mVzCsqIxz4O&2#y#aO^ZE=5D=vjGs$;DEyH0FLMT|Hq9Aj9h0b!jWHiOxxdjt|FlimP@e< z`91*(vj*FZEogHW%3!X&6y+mH>F{?+WCaR`5R1(QC=iXOh$x_r4H51krVW`N7b%0i z);%$y$g)|TZLzSPHK)2Y>B~(0p}cd|2EE^)&-m<$sg{l^R=%x?Yx;Y|37*&! z*5q@(+bAoA`cMlFdhJ@d{KzFVkS~zFV{z3I>TOa)H38n8pi zSjV}<#I72oe?Sku4~)0a?c>(F7=LlP+Cntge`d^<4Hatv-a9tgKI9F>W1&!P>1Mhi z@J^tA{PQ%0vG2vbYpOG@as!jP(TN!smV`F;5BXR?N=_p9uGcj@8*vo0XwM|`C;FYe zf`{Hr)J#U)`j#)%B;4UO`%kF8V?PVJY!1Eqnwi*%pji^R5d(@MA9lCzoW>#e{Bir9Tj8)Dj}JTWt9}Xi z&QNzPP@MPxaOWuI@N~B>M94*c?86bMOjXJE0k@X|Z*Lf{p zm;Eg4h9(1!0*A#l-uQosIhZPDn|qyNt{_Rw<*_KX6X1%#VaHM&Y+ynm;fZ?2pHoyrVwpULodJV{-MS+6@;(#3?6c0F{+z>sy}Q;F(eJD>_Z&i)S4 z$9xRXTe}DtoG34{`ijrR@A4V>#At@sj-)|OfiLXJ=CRm^MU4sH452lbWzhZ8dL>uU zOoZ@7viN@=`8L_$X|V7yZpGHXtDhA73s({)Y;^cAC?Nl8(S#;Zo9$Z2S*|VsOeE0l zq~Kgfnq#s+>w_=F^`uw474N1V%Fxtdg@lEIbL;30yHGIv-H*7*ghq zgb#KmvLUO$<`|Lr-9IsXzeiT0+KB-#*l-*xYx%BR_&)GaSTVuY;Gz)0Wme2>AShO1 zOlbSC`dbm;|9u?_?C8I!S8gvpjY;74SS|mTiiijdf-aQX|1%W=z-7Ya0XAU3D*jPN zwq60w=-Aj=hVl3!@2PP$jgAx2mGQLxjlU-PoBCJRbqjs77Rn~izpY9`Z@HQY>I!lT z$7!_T_8&8+tUYi)pN)2@%;D;CUpg1;j{ojkv0f|_?U+G&y)&CgI1sOeqVhJU|KL;KNmz5GG9uO4 zPU{KA<%^gEq8s_n74KQ?hPbaZKWL;fF+j1kzy*vsg74e;+>i96R5%A4bxD_3zT?P- z!_AwjUfBI)29I1<-t%**mLiJQWeI|Z&%tfN#N!b(c)I|J6VBUf%3Ilw-@zIVD#&ZErwY}5Ml*P2AoLEL*6!sqG)dm zvl}Uc1p`K%2_r+t)nU7kVC^s!7#s|8?EuCJ;>?Eb9mriv52FZ;#*w6UD2ld!$5JuN zG!{5nW=9?>&=ujZ)6Rt}JOH_R_}_D|x$U{@UfIyc9Az8wp1agdnEn9bHyzL(w zKf71SE?1t^Lgfe~@d+v`2ip6t2_D4vzsVtVQKvf{@dk&-aeD)`Y(hqPdevfu9zN0N zXy%0>;&%iIi-pdbc9^gkLAkrqFj#Ws6>srZn%a9txfyg{>9GMW32Z=LtpLt4FqA8S zC_Tiw6CY?GF52o{D4ua zO81B_pJLnMz%c@c*otg;nGg=rQ~?{FaS_TM%1#dupw!Q8zeB9iamEh@_f!&yGJqcm zBLvkT97I}3ih_JI2fJGt?kSO>6FCugAq7B2znin*=mWG03aq`?Fb0=*>iOiDrvqhT*lS4GHqWbu*AOXf)!sK7wu8f)lI#z1o=u z6_Jqi0xP}y&;cJM$#X$Fmt!56pPbkd}f zk2+rbhP^&fD$4ZZwY-))H^Ec$q$+oa&y>$4YNRuB-&*3 zI>rr_n$SvX*pI~qk8cr-8VCKya?WqO_wl1_5>+GlpI^Tf#usxW2}jFkZPx|E`$~wm zhMfa{k;P&koBI$xff0Sn+K<<+Ikj@_xh*{^L!;o4j7XZ=JcN_b5Y+$QfM)os{)Fd* zDK-?R>HEa`6?#t6$G2h_-LsX3TY+gtStEahvg!a-7v9Rp z6-S%m-no#h0p}bYJZn281UOqv4v$n))@yslme)R`XsXO1u?K$WCbZ@-eLWaHo^O_Y z|6~;^E6<=0T}+^X&F2UJlb@aIbVQj8EIDX)g=o)jc1>eU(GFS5WOdMx^?wg=?J^b@ z0Jjl->_#H_;4>wG%;$oX+B+(_^-j-hs~uTTC)$J34YOex_4~MJsUNLDcc4_QT`oww zxMHgTV756@f{q4H#5om!U}w3S2yar7s zALUaTAqSS4EPtx2Zp> zr`fRXP%SN480pRdmLG3$!p4t>&o#G)@CDW&uo91?BSFi+wqRb7F zWOV#BN5jrKF6X*clZPa(4VXz(U_lFG(kIl*y<>T@iEy-gPFIbf0UZ5;!6kwZ0q@q4 zbvy(-DR(h2z!?Zm^G5L+o_H7`jcdcWjbEg15WKJS`;CleAtS~>I@EAPXvIifwhT+J zr|a@3aaCYY=ZVePyc5t@G+11$au}Z3kk|YhdR`&>g&@?V#Xi7|K`NEkw7!5pY=xcD zm1HfB7-PVR_9zgxY4IWsk81!H6lkpipf+lSz}gRh^#fDKY*QP7mPWw+c36vO_AqPX zbtwwBS8)m6+XCB(;s+S`&zB}p8zfe|*UhuIA2u035fFrm;wGtOCMxoe{bzxaIe)P030rK3=2)dn&l6-vg0 zRnXw9`EiDI7VFaKa<4mHs>&r+$x<&XGOt7WpB)$nfKWk6utmHHLY{VRk_FQnj4Q@w zSGyA7Oyr(*fi4X%Q2`p^CsjZ|Xa-{9*vbfhk;0*%R=bA7wuvF36R-tka zVxyFt^sNJ-yDq*-K{&mF$Z>G4sMv%iG{cOcggP26yAwYGP2Q|^ia{}1GE+`va+R%$q;3u z;TW?1%0n;6szF~UXam8flbXWoyP*~WzhI|jf({sPSdVg8l+Y_mgDeDmts+)c^hQEj zAO-SwAcXY4^BX1_fd@hn8)&D8GZcfbfhUD@6G8?ED!dDAk$2ICv=45qRe{%*?GVZ? zRmR$!(BI|q`#MD?7r+^%Xe3eKmyPRmAqGbf#(ze8p;;6~%AbFpak-0ZpHKc#N`dnp z?vzDODffc$<9B1Nw$A_Ys!r8e5})BD+0fm882BXn?8V9e-T)p8P+N`GM>4pNBGKL~ zm<3dq&1;@I8sXDj7(T0E?<5Y?(?rffTk58S|x(mQekUlq7OUFKLctETw-;9I46uJtTcxA z*Dp&R6euYzyzG1{o_>|v-zn7!LPk77srP}&5Cw6OZV8YTfQ{jHJRn!ajIyLUzzM-^ zWkm!6eUY^Wr1YCxqMob z{av@a8k~50QsQE~RAgOTF5(N$F_EpmNA??1vk)AwaL58S5nR)Oq+r4JldEB5L7>|< z2@BVb1yx4|-kQTG7X#5o2XKVIueBrR7~mneM>EOz>V`Qsx6l8Y;dUKlB{( zU<<-<6&fE6MPxyp`;ehr41u=$tS|*b1jZQy*cngb3Uzw;c+7zwy+9cclh+Z9X9E9; zM{oG#iq6V2cfav4qaBc<;2wtJ3Ejqq{~8U7E}R_PZO^K+8ZTfk}sj4MdGVSX32`CvxJz67c12dqCU_{6fGM z{u2u;L`xX+XMC zdD|O-e$c@UH~}(U)XJ<57VIo?Ph+I>PT1$X2L7&#Iq{DbHb88tHwO-EzG(M0XAcKD zo&_3kVh5Mp)^)#jnT*EpzE*1{$4bJK%kqpT6;$vmd_7Lj>9i(=UOtfg^lDmnDu~|c z-v5z5L+0+@DJo^bqi9f@(6*H0t; z7ORm$E6vIL4zYt>;EWa5mfCX)1UP)@0#Xa zpXPmuy6Jda4XLTj!(OT(VN<&6$H7*S_}|1v7jD_hRpS`civ8g}CpHf*$`Ztsu^RW} zwrvPR#Z!QjfwgTx3??!$D@6n#AiSZ@0~mJ5z=1ool?*gpfnb?ADV}jT7g(Ui1hiNn zAUD*kx1)HnX%HJG7bR~cU07)Y_cKK-t6#_8GGazPas)bKF5y z1KaEcIr~4P*`@3<34eAoY9sxP%k%`J$)?nQY>fig%kLE{Iwcu~DZ!QfCN*o{C-}|% zv1^CS`=j+nO*$JFtbZ72l(95;t3W^1YA$0gvwCcAvUlhG)glWAKVE9{Fw*jTeF5J0 z0lQ1wGrXsQZcQclPmWJ=Gu1*L^|FD2twkim{p5_)Pm3JqkOfprGf3YOfKkL->^$2c zXvh<)u?6TPCrC9#w4UJY-~f7Y2+0o=@-kH!vGyVpKFBdar9}oBuMda1tGvUrguUGze2W$jf(GBkL?-@l)&t9l;^U_{=%WnPLdpyR)Xep8UCP zO{_PY5@fq;bhgQ~aJSErpkU2u>wizGtG)W4OoIJ_bq^%BL^U(0$fujZP7HyD%R-I2 z%FR#f@i1;`wQzfI5hr@Z;N|K#y8hjhhipRz?th=$eZ#%}z$3WbdDcHsgp9&C645`l+8!=eGuGND+ ze1$+h6+090*2gH~hU>b}u$${^z1k*(M-KhYG#Nbbrk0F5y4Ji*Thy?%f8@(9IB(&i zL3Q;*kT&FDd!A=}T4NVh6n=91gViSwTJQ&^>`s`g1+M0J`PAqap#lFXg*-8@$|UZu zU5F++`;{%%=qtxVYoH!*Ex^0c)AOvETCKY0K7Y7Cufnx)OR&?D;7z?Z_EscC{kRa( zZdiWu_`1Cs8y7}2ANM~(zP>7HRnVLy&)_*pfv$ydv8J2WrC&l597qA--=1xN`r4Cv1~HY01W^6_gPs%BktY!YVT;0y69=s}+> z%ZmKt{~-O6j@n5&-Db;zWk!TBo`HNPQFcL^rg?TZX*Iks%ub*H2V){PhQ0+qJ zfoN*xC<{+A>9Yo*3b^h| z^^|mHWlk~TZOz4f()m82^2h(h9iR3%cklabB%mZzgnY1 z!BH_%_mAAoxHO3SWYM1{GTN&g_}c?aGkK71xS=1UM1cm$#X1lNnlQh-zhc}Xa}Jhg zFS2fyl&ual(gEjhLEM#%Ti6C-&jqJA8b>iRXm$}WujJfz0iUa#@H!eJ+w%&BB%KROoU+;6RE}#1RJ!_UWE(;hoYx9ZMwlfVK;Lh1YZ9Mzq zOu=ZP*0MNiPr)J@+s0xvfU?yN;a04FB>^4k-Rxzcy^0eexD8r zLFAHuO#pI$x{7V8qRYmpngkkq0cDpf8SPB87i=-0=&<>ax&tw}>8m3z5VbbQG4#i- zmB%9OQx9s|ytYyE?=HIWdtx=Es{Bq`WN@(madKLu^UrQGi$m{S*u_TEIP2f!I72K6+!dw@qg@)(mkVx z5|k{rYbNJ0lry%!o>U^AZ|lq7fBMMS7Ky-KE%n)cYjLfXDAR4TF@JS4?Z%Uq(<9Jp z{QxI)l7(aRB8J1>TAajfx9yN}yZC~t(eC5@b);Vifo4O-t%D|tfeaDt%BLqTTlto; zb7)I<-DH6rT$tU4L!0*Y!Dd@GO059Y1rP>45$f&!axO{`epk?X8BlZL1KAGH7r9{A z$@w||{m;f|<66rU8=smLc8B~&Qq8s)T&izxDH%9!oKSZ%*fi|EyVBhXjc#E1wP=X+ zT!i6?7fqM^xEx6WA3?{=!JW&!rvy5lrX~H{;)}|6)skatw8sOAQhfAN3R9}q@^*i0Z3-DU&Fau6bouPMlJP9a z4i9?1@h~He;@J_}BRx!>d9-Vxq+lb7Er?54nnCHtYe|o5fr+d_cS7);CiwYuq$$1Q z4@XLKr{=5V2?jI+3UQ~j$(<97Kt?BMNNj*$z)G0bzY$Ck=D2zG#exMCBfS9BhU&&i z)tyl_^WIp{QcHI6n@lHD<^NcvGG0T)=#;sjT>#g-CB|E`g7I%EjeCx8AAeW|Mjkkn zR_Ur0fgEHh(mh#1mz!^LFt5wlF&t^&8+BDVBw@F%r|02M&GYUh?1?!nXua{_MgG&) zig$O+E>3aJT%(j*Vy1`XT+#K`nzBBz!aY#9sD|q_|9Oe=ynOH4eZ}vTy#CR2ik!Ph zO=aQBtu3BPBh!{8+OBuGoDw*7z5anKR=%UNV&~3PpCx7QxqB=x_lSjj``JzZy~+Kz zV*5TW^Skc3VrUl(AVxM>ty4B#Tx6}fU1-u`clD!C?H0e}qOonB=uP3R2X|10Vb}fP z&!fxE*5}zhjig=;_0G);yl3CL3a{KF#~Au6!^Oh_gwI_z;h4^sAZ!$er0b`0Zi$pj z6Wld$jvB?t(yTx{UjSMQf-aRP(hn>=t>3|EDwnFZC zG30hg4U8vNFp3!RK;`uRl~c=>d#}=i(N<_g){+iOfHHZ?#~-5E18dCF&pQvYxtnXo zt4ehqRj)mmaiiNxZJ*H}B9*g$%KnEC<{y9f&%D?EleRAV2Tk5rZS6I`@#NtJjJ~3g za&#=8AM`~zf3~u7_@r|D*e)LgSwpTig8}E#??_k$?x)cni)Iq1IOja%OLp>qKsE)5_9it4 zYA8|@)Eqx+dmdl@po_}xWrsNccUMpsfktFhM5DiL>TK#Kn{KIM`Esj=z^A7j#1ht5 zSVU2^2~8)^GEhe4FhnMKJ!oqt8oOegka!1l6c`l&C`oVz`M$Ur%7L!|J^d!)qKT$& zl&)MyO&xM&a4eG8^Bgr^9ccemna5ht3(XhKRnQ9d>;2}_tA=rd=`$JrD)+wbav!B; zAEAoVD_kY=o7FpJIa;J-MJVZ;rl^W6j6)D~1hbp|7!CE@jyjLDrCm z?~m6KLR5juwLycqRD!o7iP$htsx^bv{Johgc--H2oH}L&b)RQ!p8OFDk0tIm5Xa^X z&jB3%IMpNQT_e^OpA6y21`O#zD>iDR$r3L^Y}(Tx)!hk?%ZBE0+%VQ!CQzT+qWgC&(ot0sE7QcbZc43g=SW%kjr321 zhh}>DK?_7Gg!m^WdDpy-TR&fDPaBmw?Ggl_w>GV)bTslYjR=r$XD1r{M?WZti>%BF zx#4if%iFVG$NBza^~~uADqA%+`b5hzwRA!2qA`i^(%IKWE)BeX_ZoZg?%i)W`>!pS z+$MNnm#KPzCu8LJk+o4;rkWi$^f!UFZ7@))PQdD~)2yTY7WK1BN7-^OXDI4dEOF(LvQ9=WbwPHxB!hieLMZo$`Ic~-sbAylV?63-ez^> zo8bZzy>EMCPn6((b$6=vniD782y=6^ohtk+@`73~rU`q@eciOf9FaFop*`-7ggaio zl1&}*ReHK!@G_di*FD^%Gf;7BJ$0=yYSCh@-DKvX) z>@nC+Ip+#}HfgFMH8s;)w5kr3d-r_N5bbi|9|-{Sq{}9#r=4@!A??<^eSXFh)AG~0 znEF-AIgGy?tH$ zigJbkIDzDP;StPUX}q?w^71U7^23`q8acbC{n4imsT*r_PW?d$&0BN-=NIGHEc3mw zH0FEN(2mHC_M21m3}~AOQH+$?J-5TQufU}`YC#3Fn`;}cILkD-@R%0w)u(l1->_Gz z07F=WvdVeNPxv$_7dN#ZJ~%o-lB;6J1)XYj>Fnl_L<;0wyV&DdiF-oAFzQxc!KVAg z$e+Y{LfqzVXj5a*_yf+9^e*KWE4zbT(&O|rArS`KP-MN5#S=KYv^m|^b5K`WurEQH z@w+sovT*xnH+st6r==GwvgHYuH3(-6v1p_wzJyZ(IJ!+HIO=LF_M~s8OT+J=HIw^7 z4kIq}=Q%yu(zCtfiJ964o_)(W*hd7fZfW1k>#TlbHqyQ-5c z_cFnNHiq!=shQ?j9|Krk|JEhN1-b%Z-%xY~TxfF7H=T*O{_zMgM*dU8X6E!hXN-tiS#;H;jC5R?4N-16}Ik zt(!bjt|u;tMAOVR+f^UjLhlY-OZHqKei_kOrG_NU~$CF)|=JB z4{u_o%+w;7%jP}1a!6g-befRG^=mz{T~i&2jS3L?Cuq&bCA^iB>PX-3s?{#d@2IYB z95rQHnc4_SZ*SWbIlLt8h{ki#FZZucDm|@jH$p$HW_{nUZemaBopXl264yx>F{7aw zg1hE@*LT3d_KP!K_lH5YqNs{V%tr;?kg*ip$578_S6mRmkiQ3SFH4>xH_}sfVPWq& zBF<`0QRlW^ES$8zQ$x1elb?-v9KEV@3)$!H z6R8CC;#}jZ(0KX0iScm)!YU5QkE3Tl>LpqTvix(Bse;%)93Kn>nMa(}qJk)jc9Yig zlgzKo*5v$Ao5XEAA1>imp=awY28j$rBr_ZDz8! zmsH;Ss^)!Fj~JE9ZpdTIb)`0dOSPAw?tM>9lVIARBl=@H6z@7Gbwg{8F-tgje?&L< zc9B7kFX$Eze-h+5)^2huy~{0Z*pd0{p)bb%E#45cb<&u@jm2|`QN&ju7+t*IE{ga| z*E8;eV96`SxM1j>fwiJ8gt+F0!YL{^izjLY0+n@-<}9S4#=&4*lIWZ!RS@KpkNDb8 zUR=c`p_~6HR;M99{)1hSbj;LX`OS?l=Q&yzNyqzlN*S6 zN!fy(z4t#A7864VyMu_4;bQ9JTw=`nUk8i(N9Iw*b6PXUgDC3i`HvY~LTmvnTrFWA z`Sb6gi^nuI1t+io{vy9#O1akjHNX5@b1+tysQ$}^&ovi5J{fTA3Yeh^N015~j1cTZq?uSzhmqPljGoKQZoh zxAhf9<_i?H9_CYKY>zn$i+ZmbC*wk?y<9ZN%@E4n%s64x2!zTSw~J;0j2ILffEhU) z&nM-_Tr3!aj-g3r>xJwV%*NWW(wC3+F*i8xwnKJg^VWoxYt6$N<+#3-BuwQ$h_ z3E|LPOpy0Dh(P0we^(81y26N~deZvp%VIyK&S}jeweYtkTLJsD#y-5vB$-^_etZ#_uh_8EPlBaPvFVoidTzFHVFAS_fgIu7la^qoQ>i5b%_*YDxx`| z-2Ll_+)re=7NC0jD*-wo3q<=s3AAhckG!BgkN+;wstG16$xEuy_d4qxK%OP{Qkp=P zp}&zZK9Q*VY{!)m=Cijy0RZu5Y){H|X-V3?&9soZuI1?=j*dOW-21hiy#888e05z` z_eNM=e}+T|J}knxt^_;E3dXymD35WSEk6B%O!-&B!>BdAK{P{paV-szno@#D zbYA(6D2{3rXtyKz#P*8WQN;CnKZ*;0W!#h5N~=$kaT@dM5J{pR8$%2l7K%f@HzCR6 zeSKwxjf@uo=-AGuw9d~5x4*J!2`&=>Lmfne~ER5NT3 z0|b38j|AW>v?Y4nVKqiQ_xfqLG=0e9xP-@EO*AFYqKg^hwV{nqiCj3Qf?n|EdE)={CI2;t#gO+QVAgCmqMo-|h|a|S#nO}wpuCy{!N z6^?iDWki7^gK(G?Jt@@!AYG+Bi%sNKSkRb#88(53LAQhdN&wh7;e?DVS~Sw}mk}6s zZ_x?7iZh?w=F(*vt^!AS!YmZ|V$S-Vy=Kz7AHdRqWnB9FabgYsTj{8G*&h`E-w|_d zISJM>>K{&*3}JM5Fa?=B{?grYvN!V`+lyhnvMZO(9r55fX*sW}v) z&YkXt@?1NR)N=vj*P9F_hTeQKr9iw=&e?-eJWi+zC9&5r!FVynPbi+nlNU_dShPa5 zOC~ZlVafJqGJ6U{W_7)_w2J6nV)Xbv%AD%+v&XqIk*O(Pa3G7s{95eT$hF1-vC@}@ zLvDW1=E>vdZK{SEzh5@2Wnv4;P#(aKA+qEoOBqD$-YPP{eCdkLpxb@G;V92g2t$%z(Bfqs|0%Vg}}TTfWb(KtW8l8$6}_->pG);R$VqA zN?j>in`+GRNv@55Pk?NXi0 zf^*3W`|(FrmPNAir{}n?B6B5^1aA^kAdF-3sHGP5^6^I8%kiykCeGVU&R3;wqG}e{ zm0Cnia^I`jkz~pWtShb(00qxiP+;dn*)&14hS+xZL zRthhIN!t4I6r+Ee?+J>WD=L(w;t_q-26(BNb zVF8xa6|g83rJSKHJVl|-pMpk1y2b3(H9;q&1Icmm7dH#V7erL&2c@Ib&B+tZ0L#=r zRwdEMziMKn7Y>Czp4eZ(x55@$=H5_!^Ij8)UUw7<&eeWFkuz*9N>^ixE(y2m7}8ky z@s}^WS(C4fM`FLqlRLT!%&z-h(+~1l%FkrvRRcC6uh{3Nb%LSi65BD3EQYp9LnuG| z&3iHB9J3%s9pe(Rxi-%|*h1_Q&KE+xgsmtwT0sS1uouANiS{5_GwxmW z)Q#&X=>C;4cHCOBoXPiHTnr8fMs1Yu+QL&5E6fFuCurtc2h|d?c_R$bZ8NnM<4{jk zdlx7a_C^fE(zqPQsq?qfp-aQf8Rie#dLlr1*z%e|^_HaR8JE+HRZTwcxd@p=_$Nr} zJoxX~{HYqN1JRUA8|KgJ%ygXB*Sy#)LAL8e=hU^XL7B#?9g>IPl*gMkFIjOsvr<{Z zm9zNlm$pOB7D55qOzhZa-YUNO*S6Atb@;wf9clhue#68!dM zv{+d>eYKHu$r7DjkJR#Vf94LG3Jk{unnZ?xdSLTVo?<2H=a)El7Oo z6eWT>xY1b|@?anOq4g&;G~`)}hgQo|PRYGrW-=|6rzC9V25)^GhnpUAZhSPh%Y(#X zeH+_oy8A(6qK9X|{=T1;v(!7*TBW!y{9trpp4*=Piq56ycSNTox7P+%wHw~3rp|rg z(QJoHHN81HX1T=cj%ATG^Di8y$^Ie!#CVRm#1IZ05#0vh<@`F-y;D4kjqce>J65($ zPVB?am99qJThIGba7*KQ$z;CxK$f)!O%^l)#Tfvs187L9DL{rbHoKR(p?zQ&Ygz0u z4Y>|r*7zuYEJBdbr&^58Zt9|xTw(Jk?HWm zRGUK+-wx&;#<;j`ty78%UkLb#T*qYI^tdXUjtO-X^nE;Dm-bxDkT4v-n#@4sZ~gP- zJEHgMMx{4Gn+nk}_E0`<}i=#C?l4N-=JNa6=eGU>zhqE-A$*GtfO&@Zl*^OP3yHd@A6tbqm z$rV8WEMz_Gs)2ME1JI?(V-b9j6?FRn5Wtu+&25o3iQOYyb1Eor=cTs`Q{QhXN}j9v zdUZu=dT{Z8U#51^$LlqYFEfiGAN~9jOtHIvY6fp6<&CF?W@R7tr`b#SOMEgmtQK|R zBL75=`$$vj)D)vD#d{B3n(bJlb<(|6f3C@rg58M^0#l#7Am6^e`$cx{-o@W+hK%B> zgcoin2AbcrynQY<@t}9P_nD);v#@Oid5wM}2!)A8a}s7Fj4O@J#P7}b3rqv#dE>3= zBzB0?w!miuIHimIqqa zkY2V=7Yw^+D8yaKka*YhbD@qw>ybli%rdNcix#rv(QeW5bWSSD+AX$^4 z^fYnTuEo4VT%uBXg=%roy0#TcSCVtzP8>WN7VLJa$alH!0(U^te7I$RH_a&Rz{T7w ztsOccgq=qzza@+rFX*9MJTByM`fzww}qHnD~Qc29Fx2?R&~;xA2q)`WYrn|gelt* zwKe*()u&9*veeVPwzdC#wcznms#Tk%lT+!@M3HrB}tS z9P=N>wbuXYXh6n3-&ax8UwhAB0>hiQkCT4AWg~JMiDBXje>Qvh#x-%xgMQRx4wD*5 zjA|Uw!^E5F9HZqywXDhh@7D!mC!@k;_uZRi$~y`b0#w~!xvei*J0zjppT@uaL;qQ|5DIMSJY*@JWJ-XUC#h3scYSe|z3i zK%LixrZ#>IriH4m^Cn`chm=lc$9KL+_H9A zQs43a$I-dRGxh&*9IbEY=1!>G@ArrjB6qpwlH1n35Oayi%vdVqQb~%+wP=e`Nz69N zHC?D!VVhiXSvG{R+4lSN+xa7p9zA-@IXh>c&+GkqzFrUPU@g}A=o{cU%y4J;^G9w7|RhK91^$x(hc{ZWdd#RjE|VJw_yP z`o9{urdnQ#>s9M8`1FSVQbh8FVb?yK?G_s{-|E`2<8CTFR`>Gu_Lvo1C~`SOXoO_N zo4)_?CJHGo84wVN3$T2+^nT$wFnCeGe9lTa%F3%Jj9&3+s$yYT#Dhu4xeF-9tI#Gc z^{!?uUV=-X07ih-lrc$fcFM_oAj*Puu^MoA+RMQ}BSuLee%%mY6eR9+$9d*sDmKVj z9Ph6y*m@oeNnq&L?$%kb1OA9lTZ`#e>N)2ATJKcUzX!0(7_iP$5Ab*L&H5VO`XnUC zP3m?oSP9#_6M5jH0-S%LNN$K zXH~W%6ekqc;A+(5jC+Z>W{n7JwFs)IRI#F57s-nBZ4+tG^6`;)LaDogm9&>s`R#cA zS;>`e6FbC2?$_1q3Kad^^u_@5g|38YHh82S_KI(C+dHslSa?giqpE{pAV@#Q1>kqy z+TkwRQ?nGkXWfdo>_vmLOZ*06o_GDLoH+l5eRFs zv@AH9yCMcEPzQU;w>QfE7jJ$WQW7P&VNd3Vqa9H5b!ou5&Cfg#!#g)4xVz>L;|KN6zohato|K)eW7(Wu-z1kbQ@)jP z7hMux|1+il{o*kdHY+jBB3Fw=STngBk(^DLyKK;d!d)&0{&2A80=KjMUMh8ke5$;j zJD<-87Pg>{5mtUpLw?Rsq;+Q0N2SVpPR6=)_xj1k zf3gFnI$-G6)UKT!JaP9xW2Wf!4P>&Eid4G~rmt1VtIA$E9MPlt>z9%#d}Ux4kD~1gK8aFNRsw-675So> zoUIvuMdjIJZehq*?WE13V2e<8VWL9`aAB9L#O(xTvWdE_QPiPSY}ei*9NHm443LqZlDTfzs=lth8-bA~3ZknQUB1H#SJ4AE&#E1DoBQ-} zPEs88J-ysS^g}82N7fyosk1o@g$ngxH~%EX;n}YE^d{$VowQr+Tf!p?`u`LdwIvY4 zlV=vaE)rSr4)B1cw_>7fWS$N@{T^pxr0XIoq&yxlEI~&|hASw~1n454` zXg60%5&*^IoHM(1etHmdI@CXZ2~DlNZgbdUBBkxppYEEIQ@Yf>;%~;?qP=`K^ef-d($nJ?dpPrIRu)Ul1D) z-TGka$WC}=;{JgXoTazfGH0qD3eP}D4kBmtICzDC$Ykw#EVeBn*Wp+&C|mbEY^fMT z$wxcba73Gu)rR>DND!GCNkyHnNm-s6L2E%b%saybUr{T`+Qaw0-`rN(*+(=K#VFEf zp>^jLkB#vdiRg}fsi@Vgd4Zn9MmqXZnrJ?3R9fc?4|5=f_2Rluh!+}BC9xzLVx4p6 z?ZUI}mwD$thNzS!!8b`AZUKRUjWL}U#0b9>QFZcvLJ!oj!>`j8wO1rAz!t^*iePd_ zvmAqaSP*-CD#y$zjhIpyA&V@}f!~vaSSF=J_57C5iCy>6+=ol)mf8;N3SqlVKnC)R zl1gQnQhF$dC4*J;dFMjrH>Jimd*pS9EQUFMHhRE=l&(m^hgyfshV&CxaZt~58}-tcwsL2&;^sQS;`JKCnGC#sl#^TL%_^j0waZC#%+7GQkAga~n*^;Sc= zn#t<)k_kfl=jJ9goW@abD0R!(wzNZg54-HGj#92Ls$TEevurh~tl>LI&pjtxcQVl8 zUTbcUjQ_dViD}62`?8fol}98puX2O#kMjDdoLeN2k?Z&c_IC!ii3^xtEu5~@_UPD2 zW#wn@tUH+MQ1$ksTyoi&xHqOmvshm6B#HI6=k&P)2`L$UyD~Fo<%WuI^C|lwleZD%f%#PIDT*fQ@GfFQk z7G!6QQBd&TI95B+$$r-XDf7=L5hYTQQzc#&*CC-kq^NiB9L_e`*(=TeqNMtwn6dFl zqN_>~(%!s365~DXQLtb1nq5TDT+JKk^pre>&muJD#B?YASCjSnlqOGO{iSm^ZZftw zIJf(d7|HUWogVfOFGRgJw3-pWEHFqbB3%t<0DX0qf|4h?yGTjM5~gywu*?|-1O1=~ zHkiU&4HwU3;h5|o-IR)gbyZPt$!)}YI1-?G`2Ih<0=0BG!j*14G2Cse^bP?v6aNq7 z-!Qgp3j*U7pb7~KWzr?weKVi9l8G>noGR00b-Ve;u|8^@&iv={1KoI+%j>F%*gTir ziS#ZVXZ%HpJB=xo2L*x6(-n2=YG3Y^R_u@rU&BKJ@sUvXH)JE$vQi4{Ad9uYJ5rkF zszF`mg%Cs9icyi#f!>lxDy_x$Nwfbv;j!e+CX(!#b_F65$suRQp(n;#`Llt$nV$4T zFK8H%zH)J~K}y28OfCz$PR-*D?Zpne+`}K3P8CD{7hx`t>A>XI*QE)oL?e z8OCgp8=?R$ds@iji{`VFA7Aygn9V9J!xfF^vwMggx*!ySR@n>q)}_bOxf}YpWx0i@ zU|~6SiJ|1LmHjP+z4kw zXOIj~!CFe=AkY)dsboetE>(bYe+mB#tknqWdIez1hi9Xjh&eLsvLi@2IhppC!z53i zJ_o2DD1?`TH8Yy$={Jqho)m#QI>6Cj2GJj1ozJQT|I8^r3iJU{bXg zW5BTZFC2{%fUWmUBpHC@+)YV#(54);5J=LjdWjA|hAxHE(@r|-5&-RmqAK7PYh5M5 zV{0*7MO$-%*pwQx7h-NdH80a%xI`wM{J`}=MKUa~Zn$npKe>s$(Tv(Gutg6q<54&iMnC8rT{T{Cn+#w+$}wAQ zfdGf*=%d$li2eC|;6Mmp9r!>FA#NOb+a^&2_9a@RFp%&QjA$z*i~wq_sqkV?8dyE< zy9CsRJlX=3EE>*)aS1$0fhK*!nB1|GO&-6`qO!8vGu9Gv+AIItAr-N8ui@&wANtFa zeF^T&$AKa{AeT;U71gj{Za>XXbd@Bt>zfS}K8Wqm1f|wuVf}4h^4FF!bkv9X?|k+h za6S?wbG}8XZ(6(8@}_0$fB4^{SGAqbjsdR(r4q-hA_wB9ajUDvh&j9xw1{8lF&ID& z#3{$RQKgY9dNAYA6DiG~3h8{GaN-novk~$23ke6*@(fuUIvC!8Z2?fsQx=aQ>C@mY z*0s|j5n;jcIKEVwB@*nng1-V8lC|yuuFm+a;=O{cmcYt{_!LL8E)J>z&;mzXX z*1u&i!2*Hz@=aIqNyeCfnacNedaHl!_C*aTaRT?AdSB{OWU*-atLxTpUo`%My*oTq zdN*SBh~4lqcRqRIIA_xivCbS8ly%u(bu4!VbIbvcC=?YeX?$EmztHDkjUO>ER3uMF z;|rU?fXNdNf;3hF*Pp_BBhY{`LQNdjr(mqX*n@UwnUw&r z^PfkgBw6T&$`<;=?riQVbX z7Hq&MCKYV2c{FFl5AJe=ZRdJ<`_ z8k%6Tw}C?&NXgA`c?CpPF$mf-f5IY5L#&CsK|LZAxOKNaBeD>SYQkCANp_kTn6NRHtck3&?Fln(RKYPR{!LP%k@FRrh?SB^+-LA8s2Sc;`rilsHVM>( zPQh=i&$_A&byU&5VpuBa;L)VHyK+^~v+?QPNuu?vv-dMhZc<;OFCUYGcznyv5Y{+?`u>Hhy)T8UJJ7jLpZ zR7onjEFb0)m2p@8J0-2-y?;Qm{kR$mJR{kCStr~N9JD>?kK2ozY>deDPtW{l32d{P zxTo+-g@XCj8U}mWtuT~_OF31^pl*-@UtHG&fgC|ZHYyZtJYJaMjW2?&T;y%9fgTMz z%to>t&h+X0T&?u!Wy#!@Mlq0wFwkD${}GLF9Bv9BubHX`<4 zdW2TV(!f$m+a!bhVFR4A^w z7{+Ik(1&#egE~z0Wa|F2VF&XpkBa}--1W!lIJETiZ#Ye`z+vmo{&IjLP*f_b>gd%( z{)gkTCays!_1XtD!Q}m+Wt&B%fb9Mo6$%6|XAF@H7%y`x@ddSp3>3WX-K*4INd)C?2 zs<&fzlH!j|t6As%IB?l6-k}7}hDvSE)6Xt>>ydF}HJ0~TE zR{Jxh;#3}XKtinK37)TVMd=WlCA6TD7Uo1>O`8&sjLA(x zfd}jl?b9c6G}mv)w3dKC5F~*xs5;SWo;iB&1mu|3z2dP9bxg24kiH!~$|1j{x29mR zj1Y@Ou^)}qQn4@1-jSHP8LMdBqjZU0xG|r}j zwb9_1^BxvX7(S>Jl76ph&#qtbVvub`cL4G&pYAgvF|sKMrqL1?6}vMo`ooGku0C40 zid-+);8JyNmv5KwuSEiJ57fCC)y^cQdv%IbIZbR#hhTSo_9hGYo!%j0KlDLmyplr= zG0QC@Va6Gi=kw2gk@;XqJ$&oD>>HK4>pk0S5A2ZI-;6deW)o~2GVOjxHf8<-WYmSM~d5bvUv5o4Xpa)cfFP`B@y6KLYjw{j`cC zrl7HxK;Pc*0FpO6@mDY*@Tz7m?z;_{S=93RlN%(}A&JFxJ6K&idTY*Qy2Ioqk>wI> z=h*RR%d=gJE&&0@WlwpfFK!(#1QlivZM21;x3|}9YxsFD+-We5IYoo(p&l5hzVQB= z48^GgWWcgGwFP$yXN9|js5{;_&_z4O<&H`!D0Fz2>Sq7qJt$nuN*7HMJ|qDmqeED- z)Pl3^j$G2<8GY_VpJ;zwi)p7iSqJVdh1zgJ{>9sX^n5Fn}Yi{ zs^G869h?H7hdz~@?n(DuHj|C`LN{v4IJT~-mKcIv;?I6cG4!eP`RL-T6{FMS=}42V~WC>|(BNJpF>k7>2Vr7QB8G-2E1^IBJioz1)Ir$cT>%f*x8WQUV9N zJ+w>e`Ryb_DsG6cDwRLY#~<5o;_)$E7!B>#ycL7s)hb6o*Ied3p?1Om%!K(P591S@!4*jC7#8@8!LrCa&gx zCL}gjXz9iok7UUR6_rS6H4sEIgkDVFf(dFwUpjc7Eb5k0ee+4MVSb3Q<=2Zh_tIYR zoz1gf=?4UZUSZhIc9@|9lTZ=rxAj$ke8B8oE+a2Z>|jwc4~5@PjP(kQ=;x+XMQGLF zB@79)<#q3*&>-k>>jk4LqF8S7*F?-=yzDfkIMNeLq<|9^Jd#2AI$A@5%CQbr&AW>B zq^(wq#$4GefM}Glf>&ol`M1yqAiuSc|A>p`{!N2^3|Ne?QG0u=)cA#*l!=U&{&YDp z3%sK8heIw)vMPpJ!$-Y`f}FOCTmwYK@#i|@+Ibg{&Fxa(rNXHj_u~G;9>~|wT0fK< zyL&Z^dEr&o>GAF7N6DTnqfY|<`v;aM);5A@t7E^?a4~ILb}zx+mE8KLR|76d*|xkM z;Bw&s3J4~NC#jiqy$Rp|RQBOv>`f=QL3pVYUDFSxpZpd0@BP(6>)RygU|s6PfMFKE zYKjMMbJ>a-i2>p>KN}qpQ-7ZijI8t(b-#V)X-|wh?L~V?Z7#tiSTF)&>#7yhVJnI` zjD?#^iX*?-Va;(Te~A=l=-@6r6PIdC8gd#6tXzt3Z;-4|+bL33ekNo0d`aJ&m9$3f zSIof6xN!Qd8gXS0E{OGEGS@4&Z{O`AwSSMq@%(pwu~pfrB89xva@SgA=TOf99#KO-ouxJuy{_>aXh}gK&9K3!lnMzaDhp^-wrc#SJBQ8(0_dxRvTi zJS6N%?rwYa3gw8t`Qq&BLJ^n}e8_y;J~3wrSMv?6l13ne|(g?so!>3YR^6* z=n)c#n8!h~ls$7hcLVt!k{5jLOjUzRxL&|+Q(CvN)~NA;0_7e? zA=C=(j~>4jQWZ5j=DsOa@P=wLf|Ezpqx%Cnkm4_+V7SWUg#T_zD#qB(mM(|0{vvEP zVG;ei!?4sG9K5?@F9PVk3w>YOe}sri&Hb!b+Kh|~T0-UNI_>2NgjVeH0VPan z`+?U-B4Dg!v*$VEu}Pa`1$)(BY+Lh^u+yqHJP(g6-9954NpABMxgjq-!Rbs54><2c zNf-K$-4Pz3iN#WScAYLL=y5sBL-}F3TQvd#{JKXdJ$9$c=dpnE?-8=A=q+O21VWHn z)755T3gbhD94q2UF;u+y=|MPwr9}do1U%Lyh>(Z_$B<|pe9DLwR(=Z^w01jZg?ucM z2j6H4$kF7BHsO*bB-Ci!GjUcKe%1xoq^|QeH8PwW7FGE$=P~xglJb{!-YFWLkYK z@6B0d^@AUaj%la_#|U^sMploP?%s%hSLQ`KPHA8Nct*YEGu7lZnORRrPM1J&F zZLGHX(jxax!ZDI+JpZHH?;`coZNIuu?Mhj+h~i;0iEfeo)=$=hS^S^(oawx6nQjSL zD!2PJHC%TnO8jon5(+um=dxxhThk*I2Jg^DTt2OuvG?i?6~GtW2*7Qz3h&Sg8+qLc$SW4oBMm>jDal+2%0fK$z>$cI zYTcPB#xdCH<%Cvfk#Eme(AnME!VzE64djSv_z~w7`OPsz$ht*hdEu2>(p-n69LcN+ zqaN6H+Bdcq$-nk|QD$83)N!eRkm1}z*2colqNa9Ezx*rJX$E(ip89@Z-O_lw{^>+* zv*@V2Uan7J#oq3gpGk(@b0chfBkwDc@PNqjYk~B8>G|xY_uCxs9Oa718O1XioDy&40n0Hv~|g zz?wB_0vqjtR%`C9t9R~uAz>F-xW1q)C7Z?{$A%h8Zz_Y526o~4vzr$F zx>>hC3ut)$=+AEzo|NI-A3v5N8sFM}K7J4EVjpt>?&dd2^*a8MN9JPm+^p$y z5+U$G*tF9Nuc8#!V^5s7gIzv|^a@U>E9ltEI$hqi^F^?}d`OS=4&PF@3sSk%2?Bxj zQuZX%{~TONJm>tGuLhaXOF7|iABRIxlVwftCKrA!%`orqy?U7r^>6)xuBat@8TI^s zfLGm07Hzgy5bI#eT;MdfHs8ykJ<~r3eDq9AgJ=@C^?PcHH@M-Oy`8i9n(&tEe;j%R&C>?tqZ~S;;p65QPd|`6t z>qv#?;HQNu$}MPZ!r9YiEV;9ii0%vBS4_)`HDhvUwPR^}vV|l|nXLk@;3i%0BGQKE zQ*Zap1)ey%62n7rx~}ob z5XUHyfvt&+13E745wKxHR_Lxt>AFHL!QNEJ~QT3~U*@2-%4iD29EXok$)2M@N@45jH1BKYgD2V0dM%!0nT{H51Nt~g%!vJW;mnGU z3u+o=Tn4NLB<%e+6cUBjzkqMdatIE(w6K6n0kuk;11MEnUMMwmC2$oMIFU6bW!{HQp!HL;huL6pSb2?a6|Y6Xy1%f_Ule+P~- z+E=Yj#|2^ZS#uWk89ToSqV8wxV@I~gwsPX+NlcC(kQ~!SLW>XAsutdpWUdu|@T9-& z?*+3m!a`Wi*JKUmf~7kJP@notI%Wj0U-o*<3>Fw^0Dy>!dkurR)38JH)azJ^!`-HM z%wFcuZz{_`>a-J*ArQb)AB*GMK%|EmtHCW~q?H#ES%N(uSpfm>Z3veLe>x9N>%Z^I zXe6Kl=-|?ia0Ba$X(i3C>!5Wc&ybf>Q!g4GgDHe(%nMa0qg69>dN}}lpNrL?5EK59 z3w6^t7&vL+j%#%bJd7L2t|13GNAx)f;5BtJ6(JEGT0&z)?r;t zHvKmN46l)NC~*u|G1`e zrpa5i`*n!)bkS`NLb+dx_wV>MaLkcJ_J(TvzCGHpT-s@GG6Qr0x&o$rsJs_2`FwZ) z47MrxSWG27(Qe-cVr=aJEf#2uONGU)V|j z6OA8km{8VzdDhlYDs7HP_xh!vPWW>L4b}kC3De}?G=Z!wlD;H8%}Tp2-*sCu!~@;w z3q5`geYcS3Rw#*{4eIW^N>71l()qAX6m0YIntq4a6ZYmPVYAp4b~jSH6{P$b5a|u=7kmmHzImFrwHJL2nPn+=X(9 zLucV2+v~LiRRHhY4!I%Q7I>-5I88R|dW8o8=#$1@5g!lkh9tI|zAj;|pBH?}SMqr; z7_C?K(Tq&qu;iID)>>}L?&odIG)`m`*$0C&G8Q;HzMO@52W6!(H=iD@^=KjtS`)~+ zDmaj)f&5dp^$L{*io^se8sOcjf=E71NHch}FN^GB@*=^JbH)-$u8?Im1~n5_`O;q= zvjE{Zb8WNi=b=;X_m>@93=W+i=}e4VbaqMm_tD?H%SybjO`uMtK91Mt{2b>Sdu6C474hJbjf0Rz z$mULA>!$wBL-C^-%<00n285iMPc+cYE_}o%z4~7*=;VFYnm&;o-K{V=eSQ0hwNye1 z0?F`pM0YsHd*2?W*b^1!Pif@8R5cO$yBtvHL}7n>XQW^8|Y1d?5@V zZ^Ir$64{W+xT01pzi+`?V6iJ@uW0L)21x6FnNp`w!j+<4muMeD6eUS#jNgkx?B3AX zlty^F>K1z|V0elCd_On!BN*X;*C;^ibI&-OVHQRlmDaH`mjP-Ub>)=49;TnfnmG^1 z54nWK?YA7o#ux_Bxv+CpxIY{Ij&QPMY4^hDo;r??3zA;7+u?BSh1Poa<)V>c5_`&! z_BWihj5(xjzL(MANm~KwmOScmpy+YdP_mjj{gu3uSJjj;jJQ#r8^Bz}7+#&NUjGaL z51(O!+b?3ycr7XnRXI6d_@ggu0`W23GVXH`MRyjT0R44@e=i~}-|G4ngrVh^{j(;X z>~98dRi!}6NKDR2(IiQ+y>!IH)PHq^u?%sN?1>(lU>$QT*Duw|Y;=fAnTf~0`e63D z40~So!L!#fo2r2RArADa8cr3ag_4{oJrQ&F|8JI*zspoY z5v>(`3HTaWRWA24_8<_`+6r9*FnJa4@HTE7@QKyGS?K|iU75!>kRxgDT`Ym4G=(t&1~v@BqEp>S+vxD}x#Hd^>V>K! z_(f-cybdkx$F!0(?!J=Fz*o-=U%fdQ}hm6tNblA7|=v zUDl*k(uH@UC{&i9lp`9T9Jf7NHFThr{pT$8)083$Z>i6BajO@IUHqiMo}$tn%Ek*0 zGEp#G3O|&!FhU>CU%2dvyK7vrXDJeI|CB@K17K-=&@t~tl9C_lR>*mIJwZp$3XaMdD4x|cwnRly=7;)zoFej?mZkgnAz!&`eVzi$JaU+c zB9QB#ZBk)^XkPJ^>P5Hd?qxyXriurNMfjA%&m?l_63+rwNfyVW8whG_3jbl?4$#<{ z{eJ?qyEFI0#2;gccLKh+)o8g`ZG#(PmTBgDKUKI#XVPWsQcg;dQoCBLt0#3yqfkAd zwNq+uXQj;P;`H-zuL@qKwc8JYXM}u`cDEEh@3!W-8Pgd5yrIhPPE!Q()C~GVux7RA zyU@U=f_Jv5=MEIa&DV&<{CHFc<2V1-V#0yj(HoDb_`3SUzKdhMS~;v5XS4I19c#;% z3CXff69-jRYN(v}xjvdIMs+ul?TukFA72U0QyKVij{EW5wI}*1aO5-(f~h;I72Bzk zFv)4L3z@ZgvZ&I2ZL3DeZ_aSR)NZleza3vN^zGi%-bxE6NvJf?A||8$uCat3_4;_} zE|yUG?>Sny2?>OT$8%lH{6DxCx+p5TAt&8ZOnpnA##QqM9I{xi&mmTmiwhrw5;rla z-$t*Z>%vHaGtDR}hrd}$ouY90(Qhd8efv|I=ZB`}te0VN(C1%QQPCk1{94bw%L6xQ zSW#Ct$uMu*Wq3IVNd;8z@N20LUT7z9uDtGSR`4MA0 zI+e!P5P7URwOcaC1JIKVk}7K*bjr~zqwVhDJqhFw1KpO}Y%8L*+UcapF73szwxaCeSyo=;>Q%t<=!@ zk&HhQw8um+9>?{yH5{WdV7Qr_cq60X7om!(zCS)@UZo7~du6Y3izdLBf^Uy%Q}#%u zFZGu{WI(bu()epHq+K%o_OYDl*{*<$yTUb6qW#$NpAiZYcUbw+7Q4LMWqTG{l3Lrt zk3NT#wh$OXMM5X+O}6fk%#vL)f zj(O0~LZWkh^%Tc6oEbU29A&x54`(dX(HK1@zdVPXvWz}QWH@?%m!PuoNcuBCj}(#A z^7-ib_4>AJ#%Z-7SDGN0diLKAlV-4b{EbnSnX5rWZBfuNVkOof(mB^A)DLvT3GdmL zhW|Ctpbdi2Njg$jV~g!|G&P5r%sa8C9BmHY(m0fR*1#SpSVzOdR$$~thZO5Q#zWjad3xE?U}dgdTL<89}QK<8r9T`e!${b z#;b|TjzN?(X+v7hPj8A}PQS4M8Ummb9;$6j+i#khIG)qm?2J*fUa%dk=gqa?L6eT_ z3NTz>A7pJ<;6+otWdxU8q8r+!G8FDv>^(T{IeU?OvZ%+jyi8wI#}1<^Pk3n4EN+Zgim}Jq# z+nRunPB2L;`(qUu5Ke*<2x~s1rn+R$;&-2SZeU{PZ+=&}63!xL!~T#u_7o-cFZZ?< zlN}VjD%+}UX?W$btDF59xyySAT-k-6dP z9hv*v=!l(bLhDvF*?^z`?4oPj<&#eHk=jAwn7Ca`)|I#IdI7x7bf2BuMX5vn1CxT> zi|>4YB|60ksJ1HQF8~BI(YzyP*TVaZj&AYa2;;}3U#4K!qx@)`*YVEP+}BRN{!!eH zFej^*E_JC1dY71oj%`_$;q5fbIWsXaF>eI)V{DsfMzTvPa`S`gIA!y)(qgC)cN7KT z^G$9VN@3?ZPU|0#t;f>JGh6W_H&h5bu$WRs!K&kw90n8ZhT5V}7~_x_Mf^wbQZt8Zd!275pT57;d|cb!$lNMCPw3OfBaI5Es1@7;ksD%;HcL?2QE?qV zeM8W87KqB;-M5)zj_J7He{kuw@X@Wu2+xf5L+~Q@<{dYb-~MOp30mFw*S75|%U5+N zQjYuC_FsjvyH@wzT_fuh(NOPAD1`-8PC$fJ#(X8()sb$@%)pIB)yPU<)01w2bax^5$LXz z&!`=j@!3bP4pv&)pg-_V@;zzxXDQKmF74$N<3fdFtzq$HPrL4hwd8Bk_uwYOA`b$0 zdTOw^(~qu04>{r*q}!fkC_WGmL0qX*Uuks>XA>9DOMyd6o9=0wIjwl;t(BziUN>ur zU-TG}t)UW(*SdRfgQF`Fk%~u4TbLN=-*i{|xLzPikglkbGMr(gg>03@jL0U4hBp7* ziELNOx24Wv<2()Xd7Tcx_r{-BcKd}+?||BM>Jh#^;`|7q+l zeA4D4(&n3j*CkVoJ|wOD33mA}linZF6y15NY?`AU!P^mSyP1)r531kuq~DT$(EsiM zzti9(X76*!0xC&CRq?eGy*O7&-i&j?4HW>iy{@` zt=M^7cTw2xb)BKeCP%-#0*FCk&wGuG0t-7fg%p2mx0BSq=TPzVQzE9@16-yT9yLI1Ck-k$3=XEi?>#ETPLggkkMegTM#DeN4a))Xn^c-{XKIHlXsG5{Q4{7xFq3UkfD&)vM&m8ji-J6-+uM`m`smf_jo5R78L?wKv_m2ucefYtT?vXCtyy3>tl zem&devPUx|TwQhFa+VWZB{!_X8S_y)>_YhEPz6QA{bk>E{xM4a)tyi)L?lE(RW_u$ z`<*r~+rQFZFrF2UUH?V%wLe(UEgaM@suq_X)Zr`FI@~l^v%OJ80yb=3#~dVOjdG5SuC6>0#S>0Ybu9wf;Mn|OBUtsIaGE`g zO9_Qoq*|jA*)1_##E4~FJY7GiNC^C+>woJ*0gFP@=x3QhQidQrdtKQ?Sgt;H={hv6 zEtb&_Nu=bbnM)T1#C^Gvu&z6g#nnD&wL;9dorZybuMm_tDb9IfMyg}BrSXgQK#OG3 z3VJ}~42KvxE4gv%>q_06h$oYJLytOM_KfRAckEz&dgQF1aDFX&;vc%iv z9oem`+s_<({hp=nkG?DF-gMvm*FhDBPau}^bFW&Z;k(z}>&^a%{dcm1gV8#d#&hwB zlQ)Z@Rtaxw_D!8m*$h=7*bFA|P_s2ln^gI=A>!acp4dONkmPikEV=1Dl-OsClXXsX zkXw6o`2tSqSBv#bYG^ST5Kom;JF}c7^>2I> zO~jhumV*jD_m&O4C%__X>`|sj0#2Amt57cAfCTv1iT~;J2E&WMT;sN`YjrTy$!|(Wh@zb-Lf~DT- z*~}^6p(Y%S_s^Iu2_)Lky=~~%b$>w`bJJ`upA%T82~WIKrW#KF1D2?(1a4m;i2Dj* zoJfS>q*4HL%25YmcC&xopx)3tZJu5U#!4a0vw2s+A8ZCI5k43?2L^XVA+0c{tClIt z(LoND#mf%+a_Fvk!IpP{8T#MBX}*o6gHtkvZ!3Zi?Vs2M{^kyz99R!)f_sLv$l@rJ z4|SFosEkj)$Z4A?D;R&arNp+li5mvctw|9%P~hOS0UK}i#v zqXEDY?!0VYD)i)Sy7}$%{|}T0&GpGaLdQ-dSYAWNP*2pz#?}kDq;L)Sttkilf&_Dm z8O~79yaXZ+a%i&c>f7*ke7DgJbHUVlkO=dvr7_!^x%gQuDXpH9^hH4THZMMF zg-875L|4HFc4ep`Z2cau-l8!Vw$I9BB+En+u=Muw9XFgn_YeT%F7jH@HL3a;yH=;F z8KdTFKl#yiTDzZ{@o3Dn1*>c3-177W-y|ls>fv^WZIGjS z8D7s51MeFJqZ_iyp?$3^5oH|_?}PgV_a?{zWHSYkw}-H%VFtHztT}_2w+=Exe{LMa zQ^$%w4EDSi)ol#VM&|U#==6hj-kg4B{;!Ajs#W}}b3NM8-ZK^vWo4~Z1n~)rUg3f& z-83)!>JsbQ7lv?5`Ul9JyWQ-_ht)-7@z;y>!dimVkj=;5rI!y3AHR8ZlO!y;dy7f0 zMDmG=ydc{E5Hcx}Dz!tT-DtSCg!dQ9HWW8=Z!*xlskLGA)PnR>=vTX`$K7tlgtCnH7awv=O$GfqSL1K(l~a;{#2y!mt;&1n z#VU+%h5Ql{Ka#BU3$q@qX0e)HEi!9)-6GoM^`WQbDHbotEr}ZrLXLY} z-WN0*1w6yg7S!K+;^)zowPj2y_wSh>Um2tD>HMevwT~Y^uY^$UFmf9`!990?h!pxJ zlp!87JrVYDlz4O5MU9%%b*8m%_``p&&a;{>(kP-jS2o9VhgrY&eHV>Gka5^q%i7 zn=W76IWA?QCBmEw&HeV{qOyZPo3i$2r^Q))ikSUz<#k;q-Pn#q+m=3lLiX*8K(I#B z>EAX@|Ler`R<#@I;2-1}JV!&#nyxp99H8i@nE9zCZx;RZi~Ux*(7z#?1Q#Jybv+i+5U2?>S4-dil4)P-}b}LPfmL{5nFuRy;%NMJi0H6Bpa*BM{N-x6X4_JrMY!1X02T0%YNEI z8*%*hK~d)5@3H8~QxEjS;MnJ8$oS975Ky|{O`4TP?vT+%B!MXwk}z0_6A^MaBDb45 z44SdZE8g!&cOPs^iP%gSi+FQ>f4!Z3)Y4Nf!(1o!DU}{SIbuj09qj4F+&~aO8zA=? z>){CNU?D9qb8oDz|;q0y`f#|9GM9TxR=Mu{gr2cSF61&!~w} z>eSj_ZVc&RQc#$c(qemUtZY2$JJ~O4iZiUE_usFo3|PV(nqgdd*W0nyLCjuAYSG&$ z?dpwfcWCWnKGiyNS7W)t#rNjgL_GRP@Tc6uD~RLe>JH;?WbpMV^{KhWrLrpyxA&=N zU|Q$Dm&OGjq@NU9bP(y?FEB43ZzwTxA!bx*mY~0r0!d4c3ycGQz9zczsVcW#cOwz)@7}BN1dgge-*JX5gGjQ^{*f)M4RiM9l~Pj26xUH z-!NJ}X!Coua7s;SPu?~A$CZvnt;YraDs9V_-1C=2Qg+;M8(K`ELqjrv$zAS#I87+Ho*RIJu0)ySEVn}$jr<-{Eg4=Upg*P3s?^|=`oHOU_z1Fjywf5d?fqem~>vvJwp0qGL zI^5mP&5sLw5H#*wm)jEYT(rH_m!POI`djY`#s!B zQ9~d1a zGEO;8C&!iX(b#VspLLQoA}rsK&OmI=B$7F2ZK-RTuzAwueA}pN@1=wnLG&f;ou9MC z7tNC8zKA{ksNiJN4q3OW{TYlAzcs{cjiw-1;=6OVlN@tcj!(qR-exH57$A_SJ@nH~ zHYxrrM5Jzb_s;3=2hdhCi?!{w(5&e!k_e_Ehv}9riRqW`aX3=@e3D16+>PnxPs?U-}=d8*iIF_n!VGr^Uflvcdst%xR2A{@ig2p z`)|GZ^n!MT$KKk6>rYK{qz+|R{tc3~q)iq@S=-^4*@t$E-Jac79(qOkT~F(H@|UG3 z@AZ4bT#00;KWV+?AWwq8`=>!=n*tq)_IlRag}W*7?|JkFcUc!*bmNpBjOG!1`a$DA zx}USkhg*{eVp4W&*z@&B;L*T+B1wbOi(3wUo!!*G%T-mvgiFGcO%L&=5cjFuRDV} z-4d>@Ag(Me_kJ-rvmn zMWSvBK`2b%!*=!b?d-)_tL!k-uw(BW?yHGzn-3QC z>P2lK$V`yCj`Sg7TMEb=GDIOnz`m)jl0M~VH3ZQ#`ns*KMmz~Y=U>sh zu?S+Z-QAuy)5gXTF? zV_$C$$HG;UHZ5}=T{}-xG{xU7da7-jK)}sQn3?7iYe(86=0$cM7AoysU_MJ#$Mn}< zL@q;87wZr8Zu+98qEXmBON2Po*&$qKD!58O#0x9@Zo1_zMaa|6dBsh34ii+6DCqjcr(_!mb$$of3x$E-4WtJ(PcYgiYEI1ju46`i8qs5 z*@xARPY``PsXDLdDyhY*qD*;riEQIvlk&}$<#{c+^c_z*?**lGP-(%c|y=&a-l78ueEuq#_Sru?cNX&3%ETd6hdE&nSqOF&{j!@KROd2_B zk4~5&$#0(n-mW4t2Vi9T+B5bsf0)PSL?0?H46s8w{&M^vS3fEkATT|*&$T8u8f*J{ zY>vXm2^gZspv_K-@!Tz80WEYq@~r#mo3=seqpCx<3zk$3R=$VHOU}Cebv9PDEc8a? zdjeob&|0qy)};R$-hX11$WLm$JRohwZi7C?Z>ixDyw-iaYSP7>P_NeniY=^sa~o78-B9?i`q60 zGjoT>vP~EvJUITzUXQz~6}4(XG8biw*>Z`WvvNfHDp;!PW0SIUCv{>isun_p?Km=``Rz~|9pnnzIN;QW*TBU zHV+Z@EDuF233Rmm}@;UNcYoU6tr-KVQ$YLwWrN@ zOWx`iECmrnA_Y!@H62Ew1j-4MqmE*tjTvJ|e~zsg!zDg&m19Y1W#!L^6U^B-J3&Y9 zzXu0RxXW?|6>a2ECRtSfDr0u{BR&?}#SU_((8fWkBrHes<7Qf^*YD^O6@B32PEC3sdYzR{OcGVew{%0tj&3E59B%%7lP)*Y8M(|gC zM57gpUAYT_dzLWx3jh$Fv#Jf6O?9nzpW|onXR}OqKUi3nTiA-wg9CSAOr(fJV`v4Vl)Q`lXpF<+>V!o4VU=*0)J7wP`#oz`*=3Fp^CqA;6kfA z=lHAl{sFOag9trC-usP9)iHlV1EYfI;B`DdSE&flob3zeqxzn%g&7^>);a%%eJ0LrHKvKfY7R6zgR38P-m*i0`gw<4HOfBppniRcgnA(Ki|HUemkOg zIedzfQ3GjTBR+*qJw1&2zc4W8DV8cBO(CL~TxB7Zxom5 zaAdYjjPvz961GlEJcTQEvzI>G`M_b3QGVh1=jMxEiK)a4{$ICG?xWKJcgJC0WK{dN zwRuurjaMnpE#FQ;{p*2pSM;d{s!rSRc~Tv??9WH~ldn8n0x4Nj)>?ENp-UB`xW- z>UD4qrV@vo?u9ZQd|J%qTr>eQdJIML4HMut+h}t>^iV z+_r~-(dB!-HnpuD?WVR$-&o5^?VGa^nit%eJiK&1-Qi*3SIY;2@zpY6FXKe6n~It$ z#_TFZfxb(?v9i|}jJDz@ZZHTrNp zcfL%3&y}B=mimhQ_~ROW-oc$4xjFem-Mjj#3ugra ze(u_0U!(C0hXfB8jmgbwrcZ?~>#SDT7e&LCI0rd{l`ObuuP?!SbUQY{k=k^5Dg5r3 zx!{3Z-5XoJC{x9Lg)i+Jud~i9Nx7--w|AKs5zl#*1t}YDpaeH5KuN_Dwb(^nah;)Sq_grTyz449VVM0_yRM| z@a(CBB~DOyet@uY3_+xbxz`iSc-=K1rKH@q*@oduM@>2g;sn;h`Fe1PzbYD>L4!}b zL~HJ1j!J>}Fw`OW#df9Z#|D*z#;SGVg41U49h2{B$lST+BmUzK;-2}b6*no_A|x5D zvaH7GlN_zdnz{qz8*S@)%fS*HXUs7sSfKL%X%2*01$uh$Q;ekMe)SWK?|zz-PD*TV z^Qxd$#jl5W5hMex@pMpb=<%&|4*W9J=LA#d8VM1MW^@0`_tk@(iYos-CtX!QL>~KQ z`}eOkM(il71ReEYN>87z{#RvSFm(4OI{Xq;> zp_kEj9GiUZAQ`AUTtP_)ZaY^oO-<}|JZ1DDYFmG*gy>6~!<*yJGv8J(SFx2JYV31z z{bc%w;q=;m)1%&XHHU{NrF$eOo^!VM#Ra02R1b@-b|I{ebi>(YcuxxTiN@S<&YGsM zyJ09q8=5v%MPFx3UX_!oUjB-mQ=RB{;k{E@IWk+_$9^Iwd3cJ3D+(eM;W)5;~1`2`qiAI z7=mbjfU!F7w%u9$d-@K%el*NAS?u7t=RAE3sU)DyNr-v9UkW1`a+bCnbTgsfw0R$D z@%f%NP4kRx@TUYX9%st@GfD4UyFItdgXQOf4}G!l!g=*#tdyzs269aSdS94~tAHbjPY}qZ$6%DcUn=hn4c%2xq7`r6Ha8VC61PXkG^VkzyGF`C^oq!j zL7ThQw^EDB6KsdJCGlu8-;FTX?1dUt`iqWHiD`_G@n)IUY)%=IS-zwA)69*T7^BD~ zDQwNWI zvDH=1I7u&}*sJpC zDO1=ez$Gn6R%pF&HhS$zmv}#3*Su_kwc%^2ZQZ!O$qUXczZv*z?QqiavRN!4@O4mA zsJhhs32NK@1tSUGO-oxz>D6cbN7~XywN0Z0h(ak%A?>62@Jr(kb1RO)ku1!#M1$d$ z=6n+zu|^|Gw}30bI;-;M_k!J0db}6UW7g-v0A=5aWfBs%hcOJ41Gve#${%$<6#iSV zx)S7E%?%Jf!*Bi02bs@c2Zv1K(?1M}+>Du*%~6eB>k>i3_zLq7ok`|nx_8919arP% zxUM5Enko*~m4~(C&sLRtLS@#7>p5x|89j>K`dFiN(#8x{A#w7FprIjIg_F(Qq3XTD zPe`z^eRbakUIijmu5mzKVo-N?Irg-9KN(l&8TH(;{aN4oM;ab7*O{4edym~}`Ay~7 zB2_SHCn{A16ogBgE@z__K12)zJIP##cB4hDx99tY;)JyQ67x5e*y2apYmU=wEG-TV zN~brM)m&HST++XKc=>g|eWax1zx}7o`Fhly$leT);?Jy6D~GW5jr+Y0OfRM%O$Q`k zfG|vfrROprLo1B4*YQrXD{B5Ts{MLvfg6=coJjqcyS2nOj9BtBauDbd$T_5PX*9sg z08ea>pg>iWnano2&tNl!W6b|`>5@Erq0Nt5bK{u3qmr%)#xoF5I}yhZ>sF; z9xk7KH$GeC(@D4M_f`4+YxEOk#<%ybta*f59Y^y7^d(QW}mP~&X8TFE%hfq~iH9~8Ni*ktd zDXg76UG_nqSMZE$d&(PD;(OI9gYKsyTe?Z-W+=u};x!9ihBu5P24rc9EgsK$q~1nF z^IpP;3Y0;x56mMoSE_8?lDIr_StN}|dX8hq#B;i3Hbr1O5Mo)^j2dXv z+3ogQpJdA(3JOM2-_FjHpv6C6acI#z(zq)ZQ|U3=JBUCe@L{F`0fs zt?aUNPt!q%9KwyoRQee$(>h~TaLd)ET^<~rVTLmS9fhi5jt)Z+)lC4vUdN(nUa<_| zkMM)=xv_TUuq`k%kg`Phi?|>tncd^NOlwc^XV8Yj577k@EZjE`NYc)zIBF3$nUjy)@7FIL83m+H zUKe%TOd<6+BYB|(Q@@r%fGw`bmPM;7{-wje6J+#@LUm5n(TduVhuZnTLecFD#RRDAiA!>Pi`UJDBBisc9kR zI5RHS%vc?3LN7hmMzNN!@j|;7Wm=%u@Zn#hJq#2)DrGfZ>10T$O-GW@fd+^rND*tE zSRCZlmJ;LLp!Qbxs?oQYCQOPTmHKD7Nxs>2gk@0^Q3{N6#TRimBZmB>-nb+Lkp%*v%Q7jF`6{9|@5<~U{#-!`Fhujg_J$TJO#)V@E>yK{Ns zFdw#WZ9+ti-Hx80Ie6)O2Dd+$nT_59Y~aD8b(%89K7qL37_l~;l9!gZ%)AYG{zQH^{_)LPLKYf$)hIN~v zuc744$hEFZVq3{L>g2oiJ{%@~c;4m;1wkO!L*!1Msv-^zw4X{3Yl9bnmPiHn2_0|* zxy-`Bih~SRbqTC->Del9`q~1&$ci|?C=L*&lYoAMngbeu>u^=S4IOE8EIPzRd-HUk4R$@62OC8 z=)pnsZm|{1%Wn?iOrw=I*%wsn*>N#eq;Q#Nk$C5MvFIu#4HaX97f;We=8sA-A+kFk zdpy>ds83MD)EV;ccH?XoN&&^F;Halh9O&DENewo|31pyDi1=dkN3BrbgA7EAME%kr zpvgp7h)FPIHr5gnEYY1RM2G9NVHGnV{GI~8pmgWm2l)|da|a?6YF zO^D$}yC7^BY=i08F`~4sX1%PXBGr1!1xH!KtrULP*GFzF`5{5ijOIdJ_U++A8s{!Nzg@MZ~ z0(dC>E`32hW{Lh|FS511d&tXxa)Y3Np9D}5=Td?SA3FCw-&O_TMD-nVP5yHO zBoP?QN=76qT|m0=GOq`zD6wdsCQ40P00=O`fom=Rxg;xru%N<<|KRDF*Lc6ay09R7q9@l^OBE=uG8s3jxW;L4h|Wj`pb90;*2|&cG4?==^pD zO8yvJ;1&N&0D~%s+5>ciK`$H9r>QGqMWob#g9sOmG*F0SxR3@tL8UHi)r4bcET`Qded9mPG9z%zKX9+iN4dS9#s7+*aCNe)MUYsUoX(d0vmjiA?D^lX`glQxAsv^iQEuOHSzM z{#~*kTdg~47S1#o3Go8Bzd%K@#H z)c{|~i@4-@fRE;^0fs`|czv5ciW~n7&46r#fY-=Q5;iHZw+aGS)qagNl~N&u!R`lU zYYyF1GMBCn9=9Ixs#xSoT?+SVI(uSFidgN}cFv9Aj8E!mTNmo4j^bIOw)+lG2p8gn z^~;?TX^rdTPiBkso%?lgWP*ZUe#akebBx-AtGv5bU`@T8CDC&+b(MU~Z=wD!+5npw z%oiBHBkRClZf08aHU={;kH?Km(xelLadoCbBGF1N?A`_E0p6L=h+DLUpnhMaJ}j4G z?IQRb^YXPTm0oj5@IFHwdSzJzGD*P}Ru=wDB}h%^5^BKOIl3=a^nn%I6D3monaG%P za7-D%*V{YZOp(Hgi&D?=h8^~x<9@4RzjRXORiv3X>ORVq{)mEWJWaz zn@tnMZ@G9VTrJISI=F6B_VAA`kHGGezPt9sURHOyVbHrIy-Ye-DrWU((Be#1$<(@F zN)>S#ktXONz%H5QBEe!R!VQ4OpzQ z;`9PBS?O|yGQ)Wu_$zsHoBsfz>O`Y@)+YkTdcH{U*INN4*hI}MUK|%_QANom1f=KB z_Qh{2c9>0nwnJcO2d1=sLyip}4=w!d(B@OMsh>Ar4Ofq4HU{JulkiL15_r`^I+<=< zuBe%9g(Z}PqJ1>Ihja& zl{(klKUa&`#N_&X6V!e6g=X^fjkf&EtJN`Iv)2oz6y(^J4n&*Nsr2qLGw+C{SpLcv zqeK$V1M?J3F$}rb6Ynb*)f3yWD%x%nkLiJW(_Ci*re~GTk4IU%la!R7Z?wO59yZ8_ z^V;!mF^eY>jz0UKbS2Ecyz$2Vzk`;0zV?P1aOWQ=>(W@y2>2~I+QWr7(^vv>w6x=3 zK;Xeccvo@Bjc5n{1qOr;B075n9f%-b5^NbGj5&3j7C<=x4IPL!jQ`^iH`sZJf}HPD=~XDVMdL2;G;K(~;a3k$5ENWu<4z*+_nizaDVP@M zCDF$n02Zp*_gm#%<7BY_R+A;?c8R_VnBW%icM3Lj)>KV?j0wHRnd_oVuD;BqL|)PL{6CUPn*yAC_(~qR zFJ)7(eQQk$BX+sHJ^pqUo!J5VK;I)jxput}*^TzV#sgR&0SDOusy-C65E5W!FVyHO zXCX)^P<4x#bMSP`fOwm`k-!mHJRQ16NxQM4R zjn3lAG?O2KeHD3~+p@1q`kQ>_J;3iMYBcUcl2n(J&b_2AiQh8iXI#Z!Y3eFP${ide z>Mb4^mr98=#Mrn^5L-lJLd^9ETb>+M`YgKbUWCu@sMv#2R!4jtAFOZL`(wZMG}C_Y zhKvfVzsidcxb$pMZN5hEsYLx1uS4vos*96((D4j)SO9=X5u9w2!9f6Zou%O7ltB>w zIfyXm|BM3_Dz<_spq8D=k%VFUXW{=H3}z9Li2i>N0r#XQQHhk5Q@~^R*XS?XP(pyx z4b*o)RT@UV^yMd%GXPIv7I~p2sMjG>P8|<3t0MzMW1tYMuCobkkc=Dh5~!MCKLY+< z<@8qBx@+OGd;Q&<3B=%pI3W~bsI)2PfVu<$F+;d*$z?o?%l1hYMZ>YN99)q7K&|SPXSt21Dy!m*xTg|p+ zUTQCQEK*a#?!n!Ig|Yp-=d^INUYjCigc%W$Pa){HN}{{3E|fRM><{+R)W3HqsQSc~ zX^nfgx4TIyL!ZH*MS_|_AEAEUGUWI`#|i8-!HB~o>!z0^EwG8fz5 z8A6`rVs`H2x8E@K^iIQL*yfIF-)!Kwa9)zVp>eJ9}s`=X#_h1Z1czgb2d}LL31fNM;$(t7r>dQbPw1 z24zLt04ia!n^f~$W}haU2MnAxC3}8l4B%w2e9#iXr*m^AgQCFX3aD+si46E|MXP3k zc}|1u=tC9FRcCG+d0+*Cr6Ivq7dZ>4R=u1ML8L6<&G-L{#s zi4;=<&1(_z`2-IXNTC4$ss}W?0F?xtX~BuUhYPAQs1RE1wW3h~8WBX4jRd*gjF@i7 zi0E~ItnLuCo9INn=9L!dWUI{bhX`%e4N`Y}a<8v$oZ@|Jvj67rZ0qG=Q5}#A$b(+v zFgIdZXKJ>uiY3k(n~tobVY+E98O?!x#`SC3Ilm=&4|YX9!bEBFUKESyiii&6=YeD_ zOh47NQ9CdN#D_j}4i z$w-#j^rxG!@o4!6?Dp<1yYBAnO()W{!V^3q6xSqqv@9{d97tIX8<8|kq?KSX!uF6R zl<#3Qne*jqY&)}-_^mYNgmw4%V z@0MZ%*hSC#rZ>ha)%`Rz+9ji}?_GEL&TgW;95-2^W58>@S)Bcjk2~&vQ?0PAzNNG& z?6U0e_5StBr#NNR{5%IsgBZh0J!HCJG;(4A_gg7_GUpgd^H@m66vxSy0W&c)7Bf{6 zrba`dPOTh-;Y!Df zidF|hOlG*{$~+nLjzT0govUEIn~!>4$y(9T>R^;i)x!J^C`+WX-;fhJr-%1KhnU>( zKl2FT_X8jhm)Q~Z_wxvZE8!C#986QD-5km8GfO#-gaZN)Bp|wO8|-1Gf40h=Nfntt zYV`KK)VSJ>en{j#+f`c^iAkIG8IInON#0*)yNyYtt*;Zg;=GJEp^ui7r8dJmF(wa4 zNL z%wulf;=%KBKy0J>`-4%djPT#-TrRh7Z1gUq!*{tN^*A)HT! z7#@m<(2dY=Bzi6SFHk(r1avwJJqRmkR~#A&j3i*LBOC~Frc%DE;WFgV2~R-II4%D< zkPJ9}wrE4x5z4Qa_aj4j2CV*Lpup%Cc=QgWl_&?T+nXn2(L3YuF`{qBaZ&~mRGo>2 z3+Z$KXeMy%%Y&p8jWF5uwSo=yRK}9&TG7XJ980+HiUqBjXMgRuGE!UU7)4HF^$25@ zRJt|igb!`9KQ)lv8>dcx(JTdqBLXm|TQiYPrKl7RH~-p7FN+iU^g*_`{8}}+ULl|K z_D9TC;zZsMzaBE={X@{#Sup0-QD5(cfcQpxc6&%o8j&{k?V0m!>5|jB_uxl{npQM_zQUKG`yMwprIYp_ zj)Q!S1qD-E7R?7|(=R2NJli@}eYNZy+7Y@$?4@kS z=pDj53i|_2wEfIch)F27cfEMcOxrczWWaF1wD`ODtpPSog`Y0N$i|o#3F^NQ<;6%y z0WD?lim`4vZPQ=|CifBogx09}Gqo7UAsYoWSRyoWtq_-+8%8kHAf1X<7(ob6gs^M^ zcZxAykOYYo_k4jHHA zF7Fq5GIRM>;Gv_2NgQk}x}=xI>MKPcGEJ>1%R|Wx4F*FKlmr?s5*q;af=Y20MTZRq z+zFHet!NjFDqM{o`$tva14=AW4TPwq7%-WYEJiDXzj8MupxL#30_G1GtoWUZ-pfJG z-ZR`|8(3&)z-`2+m&4%b{6Fhe53{e=OrUdTP4eoTFkdVjx*Qrm2yVu_J^1qUbib%o z?Bww6E@v%1N72Mf{kZ5fBaWQykXk*e2gOu8p$6BFU z@J4hepkmb9K){C;)VHF^X&CK?B_V4X``T88?I=dPGxv;7%&CNUEcFi-3xZC34yCT4 zvJqK+aSz?<12M*kI^-2%eurzCg3IL!~u}2zI?-5 zH_gKOKIKX75GP4!z(BmSR)}YDr{d_XsVK*T{BNx+BlRPc4;e#(?OZNQQ}!7^=4O)o z{1#;(H}ygO@HX@qcqtYVX@XK0)N%Wt>cJq=IBnd%7x0P*8O1oXF%iFcQPU_%b%>Qo zU7#cWbJJ#ELWwscs+S!789$$SN<$hl)cjHDwjyYsW@y2Vn^b_&Y^d7x&%ZnqU$uV&j!0awJwYqargafmE zd(R%TSNA6*(&i@16to7uY7$NTR}qz2{h-1c%>u&wm~uL&nN(0yE`GC%38G zLyxc|e!6(0)cQfojHdDpEd^txgS~B2RQ%%>3GIaqPujTkG7GxNy!2~Rbpt&~FXjnt z>sei6P~=m$pm?L>h4l<}@g{e)?@2RT=`m-aKsK}fI0JfwJ!yp_(N_8wl!}q^I~EIR z5Yc`iquvBGaN7PgaGxPEOC4XpA=gS}Koc|MU@zeESt}r-V5R;I95}j+;Woc88Qz`S z;SiBA@ljY&)?paN&VTa191*`C)KTY-SH}3cR>-J&eT%dq3D#O%&hU4`5!w}$hO))< zEq#C6+N9OBaZA|sJH<@nSEX8qa#WVPb3%4zLNYPNl+(5=;a^XMpHmg0lizzkP#W0Q zpv{W-1pJBidqV<$>M;VqaKMRX$o|M+_9`MoaPwxk<<6b(^lnXI zZHtWEnx*-9a}Jljd`(Bkpk-*;ed-Ullf0RcJhrrFF{T&CdBHL@)!cr*FPLL_ zxUgm2{g?d>3wOE83~s3`yHu7V=s7#pUXaUm)14D!0K}ECa3F*oTCmv$2fd00!~pux zp7dfigMGR>4IC0EqCY%6pdkU6{lB)t;(%ol%^6Hq5g0NwU;zQO@>ICNimQzH=Yc6i zo4OQ`CMu~Vws5?h)7A*8xBWIa0St))mY}2~9S#B#Kt}54% zNLvIh_3xd^Kl1gYvBH|9Onh7vSKhbQtdWT$dlv?G0~l6*ydC4i#8qmGZh5y!G%qjQ zRM`-MIGcWf!$0-*L%jxoV(9Almm|*N`)}pWjwjyUu86ldWU_s)!Sadse_r-4pQz*1 z1nS2%Z*^}qKV)nt$lz|2njJJF73_N1B9}$8U`;KF!d|}!fsQI`Kg{?_^J%)*6^?m=T!$$LKg-nQtysuC5t1YjW-AWyGZKpga zPE+}&HK~+9?5!rxiEflAW|HoU)MZP|Z{5aRZ{0-}AmEW(F0TtO?zdeqp}x3>=xYLZ z_X{uhIRr&UCrSe2PH@a(jOY{Lg%goA_{x_fvx8hWgXQBl){V93H<-#7yxbC@_NA_H z%x!1EP*)N*UQ)G!YyBcKlI3Qwz^lv}yfJa2{ZGoG+fMbLQ}^2J@J4pgp}a`CrFHCYU~T1=E493wmdQ ziatO-6|B874ZRqNIBNz`Kq)Y+1C?XoTOnG+Va6PIyK{Y zp@aZhqwxf#2u;2K!vh*~vrZ<0uvY%tMai05lh$}m6KtQz_EJNJJ7;lRAWDYUpTWZW zAD-XPWIpO1A;`M}7D{Ov-bXz;+Qz`!c)39f3c{U8{~@~0Y18#EcWnR7$fonv+tb%wBZO6@UqOw z13qpBf6Nc9>sBHyox$@X^pvFy{!p7OyMA{uGX&q4j(~K3f)E#(mWT!K1BzlULb-Z) z4;C_r&h5gJ&^SwCV(>pT@O*G9XoN~Ij!1B_WikM)CXibxdIkl{n(1fODR&dLWvEKw(rqqD>S<4oV1Gro?srQxe^rw7%Ue1_`axAW$Z3~9bSD^(ruLWX~9TSVCNwyd`a1uldXGV5Zfnl z+b)CiB%9e#AfszNv0(fpE%v&?Fg-j+M@C)S88cOwsElcOWHC<^!9!{w9{_H=fG9XKJD=9lFwyOTyTpFQyzcmz; zP!Ii9rHy%Tl2}O03m&4WP~P)onNMhVeyUP`2WSi-mR3-WY{vj3tMOd3A8( z5~-A@sela7VWh7MMy0AY*g9&x6yrDN!%j6=kqL%C{)24H81c`{<@p^ToZx!a%drlb zKyvDoSpmbEWi~na%1{g#xJZ-lVla%qv+!X33k+uME-%7(YBF0mf(TxIG{%TVkGaKQ zvY|c!S$;-Xla+@6dP%uQ&{*KOpcaw@;ax@I1k`K;yUDJUdLQJtY#2#LV}c}jR?rW4 z17hvOyiLiJ1w1igLUDSc0~HQ{%ewQeo;(HMO=3_^JjWc~h4mk(7->RiZZ!TJ70l6P z=1<$YlhIjHt}yTmxGPC;$CcRQWYTs?0X+l>W+OO1#Te}jkQ^9nnDj;F$Iz3Q66t4; z>V4z9&Yc+)5TVEQ42_QOkJnWN)~U8`W!Z*}hzV;g6!}sVhryO8gNz#=Y4i z%bacKQX+{Mw$iqb!i#OQu#<0Pt`%N^wgspSY+q!>1wF|AL+$Ff3sflOi+IN5PG5VA z4HHv%o`M!`=}E}unl>Q_%dsKM&xakJ-O+`87R~o2@*Hb5p1X91=M4q${))IH>WRVq zkd>YTu=zA#+2E?n(!Ao%pq1HqL)@5BBt~U)Tg$E9uxvuW$D~o2i6{jwWP(*m#tXn} z<6ygBcDi;Val=jTSf4~Go)K$%sc?5WAX{>`jI3mbt;BM7K!8Y=)|UR;!RP96Xe zIxK;vGhK{qQkRd|1HH?XbAoruLmHjRlhq0jc z#h@B_?yqE>aNPL){PP{In!V~#x&%Y_cT|Htb8JXiL!YU`mr}U%0)0Z;YI<(h8;1w* zB#2ga^<5RgYS5S6FJSzX3poQzxQjtQqsDx9Kf^xYE0&lKmM<6FAZ<-v6}Z5 z9~=KO7s9aS7OC$PtF=C#e7oAj8P7wH{vy>xTLMXm2v?86daUXCV~uxO9jm#H=P;(B zP2$4DT&K=U!h8MW_Ggee@_(Lh5Y7y{aE9mInF`qp++gGnfiBW_H}BEy?{hzQtD7wU z^|Y?q>MEj1KDc${^HN%6;pKXT{;m+7yB1Mqby<=FVG>?F@!kQo5qHn;`AXHdQ{{aS zr)HRbv;Ix9T{dm$cEN6kR6YK<{l~1S`G;Mq4v${ee5Y+^C$RH@rzoba`nK$!=azE| zO}5$&IeVfyd38kMlunHzAu_wR^_!1RhlaL@kInGncts>%?3VxOja#fS><&0kO&?Bl z{u3n@Rd4EuJrhvf*Hf1i`Vk^jUS2+Co5i`;oB#c zG;)8$SXj;XQ-<*8$a-nlp0(WZS$UeyYMaZs?q%_MI*BfwFy0)WuFBMN9@NI@9iuRTt}wA*z=mzX+6)? z4SvA7`0na-)i3|vD%}?yuOHl_F4%L#t8U1bc5QZ#3w-!i>qkrb@kgz;m#ry}O60{u zLwd=6WIyGMt;QG6+m!I?uq}4~VAitcUXD&_-$D0b!3vtDb4d>Bu)o9!Ce*}O3_j=9 zJ&n6xQ0i&ZX_DPehHEQ$Rtd=cd}hrSYyXZlV2+Jeh&%(_A> znJ?BJcK20}CdKJ;VFP+xpg?8YtrnGlMP_`UpwYw}l^f|-ovG%?!im|vxy3hR+dqxd zecO1T?{4rV_4T(_vF)Bva}_<@bKM<JPxpthv%9h8Y`<8kgVLKi>KqLRZ6G2OM@Rt_aT7{zX!ML z!{awdrQcG@Z|hH!1yKgvx?9h=?K4A39H~I@JYCHEr)pR0Uxc1%Nc^D^`AxHexTHNB z(y{yMKJ_SB!-$O04i!9M(d#L<;mnd+;TyuJb;|p7C#Zc_*I{fzBuRehQt!G;zV3bQ z7JSj`5E2^|5G}gONu5O06P=-#{*Y^P6BlZzKa7>YkUHPYH+(dF5GCd`j3Z@caMphL zx@F&hu)gDQAD1(0yMCl~tX*dk$`$VVH4EFQ&vEUS-*JW(_Ik1xCT4F6*MG3J?)hu; z=>l8sjftDjLr${3JC8=~_g{;D{K<(ko5$b$=Dvt*U}0?fo*()d`Jlw#@o|&x{lMkP z5^#8d|SNDjx7aaz37Kd#wuGf3-w;@v9Htc7# ztGuV`76FAGf$frQGsyDB#Ri66N$1-Q2RAQ;*M=#do3|Z0?R68^`5rs*Kx5s3Z}x62 zXRU^&zpZKD6%<@(_I;Bvyecc?kgb(+{JrMo^TA@35AIp~zEQGyuin~ATGjoOPJV^o zf~j?8k4OVWUtLN9zch42oSVK>wMQ0nLFcL9I#>x*F>2zPEV0t}p$68GHL3^(@dVQU!!@MK^W3{n4Zh=qTex6*J zlYPWJer@-eKBr8aqymK%f(4_cDgxYic`)-kh+}yfn~uD=_miE$F}O#I@HJ`FC%?$r zo^zZvTROyeGBNs}&E2X)VP=NPCri_P7OxiGxOWRz9CRgU-9G1XvYpkBhtFq%FKe3nfdrLN`m>{7yACFUBYT7M_yqHwc zwE3ofGOV~gjpeJ=aNe;~dX;MbQ7aC^xR@a)95BaKWysV?ZVyNu6dXSlew|#hv#tE~ z^CQex%jXx?JbdO=vk<7-UzGXQ>0{BS@<%5P_7il1)tM)odcRTUepvr1FkPL7@m1R( zHXYG&G&8^|D=qi>g9Eb8p|!bL-S@6hm?0KOLZGP0ZH6Rc;n{TlO366`2ODgqX)v^!27%Ok@{G9hN zJ_}l2CQ-KsE3PkZ-wva=sz7%f!rFMKzih4~VHEA4p8bwZF@%%k{S&2r3!R?P9XKW$ zf4gqLz(#2^NLLYgE>5{Tsr8CVU@hKZ*h*^;>q5Hg%543qxOY-Z@_B!Ea|GF%`(G;S zd7DQW^+x<$H?N(&27;tcWTWd8@_L>f)x|up3cOWc_9?LNVW)gaaL@51A>d=as|HWs zY%B$oqXh%=IUvrL>-QDF9F3eJM8OzcT1WE1B$pXOP9iWplkzwPA!er5H~ ze~njgj1VZ!LGf_k*2t(~g81p|UaZLZgN6}{v&(AnZ0;QUX}fQ`pcSr5=GSnyi%>^I z*AY*wNY+3NpcGIcs1Wjlmp%5x`?P#m2;XqsUt@o5Nnl`{k=!}rVP(~`?vZC(P>yTk z7f>WD4j^Q9?mm*&vHl1-0*Bo*;#{olZ5J%$4hskTufWA@2B_DoGwp~64+UO?v_3eR zSz@vqjyE1)`5Id-mqx1$16nS?bq$Vce%yYbkb%n1LjaeVP8bvEha}nTK#sQHf|+ZY zmmSHuovom|9{-{M0r?Itv)k&T!<6mvy0FvJa)(r26VS|K6M+6c3YB<*&56Z^=w! z^DN}hz~*r)K~mP*k_CO10UOeJhXy$mW~>)dYxhG&-YmV zI>_^!{RkrC*PL{#-yH7)xI!8rj6x=~#b2K{qHo*74Q(;-dp2%BM2h4Oq>({B415(r z!T%0`V3I85XYrjxz6W4|xBZeZbPi7q#|~~ev(zh9B`r#H$`9lb@lNgj7KYqp=VWl_ z9GQ8gNQ`lLI;+SfHC3|IsFy8cqL6_@k+srv^w^FO#Ve-zIdPNs%T4ljZLjxqGSm%_ zQy(6G8~tQg7t2<0b-4`4t_zZ5uR!32=J40-S7u&r4u_Bf8?Hz%#15)hW6XMmv_oFs za(nwt)6k<<+2*=~4R|~GhX%_hAsc?U?%LPgO(*9<$R8S(lAHJb-1>8U?fjxm_L>iz z`j6G8+OKPytvLm=d4wecqBh)<#4*WG`^+=w0xN>7 z1SWNnp&*8)7RtHII7IW3fO^v+3~l7fya}Ou32}k2=oCy;9aB_`B}U95N-H@RtqLiS zG(Zkh#i22UkVhYM71#oZKzlA%SH%V4w#tWg>cc&-%Z~v&108q;0t410Zr9u~puwa3 z*){Rhf_Nt2Bfx76v#UbEp>g%{Ghc-~sRFqh9&9UJ$ zr?Lj%(`034P&M8$G*AXQf#!05yn;tz^j}6;(LL5)yRAnme zJUYm?mC5j@l5f<#K`Pqa=Q`Ruju{mR=p9=O0lNZmC609EYv|=63d9TYS6!2L*J|f7 zbE;C87h`A^K*cr1gF=# z((cg6hq1%#S}hP~I#}O07cec@Qa)3ET{7m;`q0Q(^SG8{n6(Cd1|G3eTKPjBaxDLb zwKT)ka(OfuII}&I#z(Qi1t;=AtX^Rp+H1MJFd|)MW^;8N){t&^<`76iIG|FO7`&3-A?0FtdQ2^ zPiNJHx$2bQbbR@AOAHAY!zbn@(=YY>V)=&=X%sh~FBwO9cRo-)dvHPke)};yZ5Oi_ zwHE3V3vGR;9OO?}lCB8DF0AAl1a6H#_!m^$F-c7)4yC>os;!p1oW3}XDcU#@a82e& z2>(C}hO&`LNHR~w2WzgpSn=y6^PJRCNl0^iXZ*q$&1-tN^%){ePM5ix_}*lCHv6{i z?U@ZYf8}^u<(BLAf%K^QH#bIrb7#!v61Y42+PSJLPA5`hH>4_hV`r@LJ*De zsa57Qh$D{XtjHa^IpdnIpO$;$P4W|xt4urQUY0Z-JX_;h0XF8Orl(HQwCNK=%gb$Y zeODLEDT1o-ylpd%#s|+r=DXn9EVNa_E*|xE%&Iv}_{|psVXZ|W`0ZQZzqHZU#Cci; zWFkhsEoyNZgA;613;rCOoeLo82?e}Fx$VBuy!6Ve?RO?ZF=#fwCApFhlf&3$oG>XL z4{3W&hZpz2>e;mgC+`0pw65l6D5}pnvfqe=15vxnH3z)Vfz)Bbz=GX-Ta1fypb{&t z^rTa;A;|+KqD^tc^H<$L_*M}GQu;?BZS;KAUcxNFd6c)Mpsv(6J>^6mwvw!ohLU@k zR24k-a6cPm5&fvPG;by}1I)wVv414FoNn@}WVmJ>!Luk|iy)jU$N+b?na4PT><>X2reM3}F*MOWVMuUoldkWV@h(s2h znn}$nl%VDPO53j=>Q{-xI^&G*8qW#)Ita3r%zCr**CtViTHI4hAICIJ%Q@+Mz4vN! zey8f?UYBkDVT8Y<$HV*?*Ub4GP@?na7#rzqB`qH-ylZ&yM#NMlco;TMzovJBxMyHE z;nuuveBcvVE&Y$~`oFfp_Wo8ONn^cycYDK*f*k74V3&fBq{JV0FD|6JD%}%xCiVk9 z%Qg(|>2M32HvYhN&js8wvfQbx!G4Q89(?F7*vG@g>?(LYa;ZY6rp3KhTJn~|$^Cy= zaBAoUHPSW=ti*wRd{{MvmSjCuyZ6Eq_10mp#XDfWi{lE?yodrqp}*|;%2%vApKp%J zs*icl_4(kk%Al~{_LdTf*8r)7`<{@77+JO4_Ftigu9Vghbk7xUrh54}_c84#B>4%a zDz`qrF8U$I_R6(R*}UkhYI7swM=OF+4&-n#+kWQBaqHv4UQ!|e9Xm&$91Iz-ZXDxH#@5?ki+&DV~ z?t~^JFQLeOV^*tz$HBEtfzU~=oET!*^q(5290QBl%1d#dgea(r-OU6||v;<4QNxZ({pAld~u#(^?H@3LU1vAE%L z_o1}&IZn+8fOX~xybk_rOy0(R* zpIC|CKayjkJ+FUwnZ)zkx3a(fabVA&P51sCPhc;Q1-;0wV*F?7B)4X0CHwYvP|-&t zNp-#?ZAYP4<*rVLrXgngzn%6%zfQ$(_|@nDe&(T-RWz~Geml>x+MZqJkf&<)&I0KU z$#zW$2Z3!_Dag6jaUI2g*1h5ooQpy#nnRTo8lN*YobvCN^|;FkVErJ2wAOd!ElCAC z7m}uVpN0G8<0K56r!t1-`mR>aJ2dCMzb3ilJbS&BZ+xeLY>VI2n-TucY&It)OYrf~ zr0}OZhy6TFh6d~G8m`kNQUy}H|+c#h|*zS zHd?(xPyVj_mgY(u5~aXmh0!U`_mWE^XJn1I#u~A4gv~c+wIK4Q*Fr__?NB|;VP`fq zLPvVi80wUqt{0@XtrI4VK_;6k>crEaR-ItVb`11&hhdJ^HA9V&lAtXCWYW-td+y=U zE;i-UVfgNW0Gr|3(Z1okxrare$JFvJl=?1Dob@rZ$Z6eQDmcu}LAVs5oQE=0?U!k3 zT~!wDlHY~jKoQ?2ZOtgDR?GB1Yql@*k=SVlZh&BxHY zAxT~$R)F5~5-h*ia4D!MGL(#$+J))~jCEm3_{$z^UZh*M{z0JL6=`YLArElith0rpPlXa$E zRzP0Z)Z|wLb&WOowE~Zm0=YXmJ>O*PbO5a|RFgM{5uf;PeD)~&yK!eD{3v4q;O}gO zbDt~|nqn{PRXKcHXtXp1*#}>#Px1E^BQwTF-x`75beKHhp>laMoN9H`c+kozJK8*_ zs;056oQ)`0`Q!4>CO@^C{~O**8N8 zwIB20j;~~X%hSiDQNMS!7b=&Pd=xN9<&eNcNXYBY{0vW&T#(0_9s1XbGv=&TeVa64A=PDJZ^=r|Zl4w}BXBM+y_AR0TkY z05llCbT=s;@dKAJz&d@o?th0B(bKAahsofMW@bEUQcs{6?NH;;8+={>WYM;6&&(6w zSRwqCYidxRc~D3&UNCqW4cP8-&0Ui zI>+bQ+0Jcmc`Pwz*w(u8MYELz5!*z)_(HyNdo>4~n2aCU*b9PZ7K-S3A&Y+p_ z>7bT!$E`KC@t-DfInW2bq{l4Z?{DNRQ`>_!RIsKQZ~r`eC;Wj}@?dsQ8&P+QXDQ(0 zm$i{4;=MPom0r9@wCW68wZ7esbmE1%uN!H}wKA@JxA`JcMv^Q?st7jZ_>P-?b%^LI zuOg@BATwdGHPLVR^))0t5?_aTGKm$972uQUqRgyheLXvID4ECn*!j=i>2%Me>sd`G z=Uxu|;Gjvx1znPPgE|2-;8_WB?EjEYw4sp(2#)Ly2DPgSyv~!}!MHgxwQNDZ+yDVT zu_v~NSG;weMDxc+az*Oo~LVrDN5V}_~TcP9Xea~}NyKKSd$rhEO zojh+ND(lLFw^dIJWfp!fl1Aob$bY2ZcoN_DUg#L3W5I5;B9eMCxV9|>x+DKgWB_b& z$_(pUe>z_X^JIHT-!e5-X*qTNXjzC4_CjX6M3aa@@apt~K@`xP+dpSaW$YJkhf>j4 zg9&*epWXk->HAqN5h-J^c_^}DOi z(>?fDBF2m1?nC4GwMef%5#OrjqYd+*$*K)(#{_eT^q)z{f+RAW}1 zRMidW{P)4|JLlO;`YtUFEi!+unpR00`9Y{uJj7w2sX_=~A|~p*wXgXh;r6RX32C&} zykMjdRP@|I;^UvAcUiU4>9*~ip4wCr_nHFq{J3jhtSSl|xN)|+VD4YMDB!WJ=I8Tt zu!DC84{e|4;(BWC{k~youe=7!1F#0(F|vKKJ}q!fwYFxE-@H(d`t5$XNRNje{HVMy zso9@=e8ehZ21EZcXk))R3c20NdN=g8gmG!M3zDnZ${cXb;-4dRl5f0oNJ&$%+B&p- zb11QwF-L5rStr(a+y?dTr>*;n$M4kZNjA({XU%bSK_-M3{uD?GZ7RR{qE3MS-MS0) z1IJTX735TGoAKR1szO9>UHLjbep)WTM?$6Vj+?{wJZfwE_exD?_c_eHzVr*fn0aGH z`w(QJ*O1d}wRiR;7_3Z+tQ_2T;6hAPSAurTC*sahhJl#K;159LsU_D7pb3!qmP@Tc zF3>olT4j=4vaJ+<&c*qkP%I(b@IG_0oEEVnc*|8??oQlc{LoZh@{DxMaST<>b+4Z^ z>-ht-2YsJ)eLn74*wzE4*2QM&775x8sR`ixJ8JS&KJ&W{xh&}%RIcnAz zzLV1`LB&t{u%(zAMh!<{y4}~C-FHIhG4c@k4*5OyTYu)(^HS5)cv%G8hUqN@e)G0O zlc`aN8UQ^rZYcnM2>;!CO<_Ls^(OB?p!)Ah6{Z`2p#o1`%Bjv#(S03rdJle|lDM^K zB|(_&PX4gd{W+>$NPA#O%&uliw4N;%M0dD@4#p8%?nv1YTy$Yi=%`4U;qL~*YE*pQ zDRU%f4fpPvH*MlN@bYqdtqFE!fmqt_^$f4lN+&W34kPS3#qv4}#=~2eD-Inb>NSQX zCACE?6N|)Oufvrw&NpI@-!e!nNx`J5qB_elL@7Np<2=M&RQvD3%*s35U;ZkVja~dm zKTY>{1Qnl^9i8_NSX@tC;6xd0rA78x9s#Y3x=mGzgSf--gO%1FP4~8VHq>LwFb$rC ze{Z~&OcdJ}$(izG<8Xwj8|0`slmF{1NRjoS*>tZgO>N!ck+mh`+j?h9kD7|ncG%%@ ze;-tu8F{T+=KUs}fL|ePAn7q9jA?g2ns3>y@7EG_qQ?MMj6oLCANE7bPb%Re%K{^K zin5-ZeVLwhzvwjeEHg{76RG`t)xAOgHsX0t#Fza3N2cJ3Ik#tbECP`rg>5~4WfMjZjL<~8Hm*+Raai{)uLk%%O=-6>GI$4mDe2Qq+ zZYsl3@N(*ke71twzt^B|oTrCzadDrU<2q|YuV7`{Se=QlWZXk{uPt_4o;HT|&%e3> zEn*aw@s2=7GK|0n3Sj-9xu9#3jKmb;u|!z;NojM1;^Fo@cY71~8YBA9;x-8%1K9x# z>7DTib5fWQ?bGc#IDsHfJl>RzMa=#2?w|g1CQS!^JcLee;bKuGmYrof^y)KXhyiU# zBsjP#I`d(>UTPFMrn5ANV09s^>4*^rtE!%CxnCEZVBcC$_z6TCT@Fun5*HWS-)ys% zSwer^i6FJW8Uv6FW6fjzH8ldzi8U8Cb^q^@<>kfE(X#CVR^`)G>b3<+SU${2S<&Ms zSJ`(hZCW_i&05iXQah1_072_z6MOnqZjjh?T!&WS-;EpfJyw3U4KF1�Ns z>}RpxiU#E`F0SYE!C@s^!2PdjCqp4s&nJxZT8nl!ANOI}MxvM=VdV4hXTx-_*E^{C!t(Kn5riBA>YG>^AM0hM+I zwt7%~?Yy2iLsiqxGQMEEujs@^tcTmsahyFo=wl^tfA97)25w@V1?SBdNlPB_p+$n?5NPi+_+AN$tl{dz)QTbwEA+_{JOFe*NLe@Y^qLBjIYO1eb33u#o`_0?uIs zx2{je`lhOr@k+eM=0?cOTW<|>o{nRVE8*?d@vP26=OX`}4MD$~bE|#nTmO7hL#n+f zrXB^%Ig~&}b{!9?VJ%HBp3QgBcp)YXTj;|?Uy^YerR5X=`EhrDN+YyGX0}gvug=SP zRzkPslrIXfn0%e$H+0s5l%Rs$`(b-GE{eOGWK-rl<)G4SvL|~Pi1E~o%u0^HZocTg z*du{1f!MjlYmQ@vchvUlK(?EjL2*E97#K!Gv&Y;#47F!Jo!36RKyw(m86%pe8hScy z;OUc-EjNjFO!7;1_E4*#LHbH4u{fF>{f+j@WVYe$!<=)8Q}%8T z4AUQ64)2WrgZ5vPg~OuoLn<$**eF6QME1 zp8V1A3H2^db+ju}GQfjX*>&^PA^fE#5d-;$)J7NJmJTj#oPP1Fe%Nj^c0;*W*CA<} zS4>gA$6aI>zSpJCw2bnS`*<6(7z|DZ?mK7<hrt@het)he$35@AbMV=@s;P-sYMqsscZVI$1f0N*)s%IgUx^q*ljgbS&b9B zyCFTDJqK603tJT1I(2wB{_cZi*)^x3bzsifHPCc+isgY@kJ?v>^A>nGYB&a@=H!{| zePM3`4LU_IdJVBv*E;h2{C>Ypc=qQBRfq0>Uo_|SH}Rn)nHdkv`aBns1MAr zO3JXw!{>qBH3ONh^NaIUXJ(ckXzR!H$X}4^r#Cr6HR8vswsLlRjV@`C6yCW zu_wip#)d(-_Rryq7t;gB*KEP_)o)wQOoTf-9*&JSceLMV?<5PBr$Dmy=r`f-BjBmN zGA+zu6hAjpEi8*0j;pmFrlXBE2aWFX^2}dZfRON!5I^@ym4MR71sg-Cxd(mdcg`I8SsUn46JgglOag#b8=$4lK~4M+1E%ShKzu-2oeUh2m$y0q zC+EcwFWqfpXldqUDd4kWWZss>r>X(aU4cY4#1JuRs`t1aT}e7P?UA%c)ON>|+Shx7d<9`qed4<)~e{f=EwtGH}p90P{`mtKS5i7r{s#D>#S z0c^@W&kDe6;%W>OP6@%ArY&kd|UBh(|iwd#`o5iQ9+m68n= z%X%`MXzxvN`%mPXUjyZaYnaOZArbQwmQ<5{b}&eBEygdQDyh=lmDRK+E0d9vlc7O! zc{=soR@J6~lBVg6d_@LO&4T<-hzhLys89NFz^mK&TC&l)EQ{^=_qcn?wNmZUc+0Xd zZXcELysH@f#6E-PA=1|bUw68UYNHL{@AQ)>RjFeg5AFr2?>%o|-%6S@bf1kZDUQx! zyCdA|!`>5=pI_qk0vZc*_=;)>Oqr@i~O>56QJ>M8R&BbRXH(Ry-hQAXid=D1}R z$RVQrHpg?eCx$b0QN&0q`Z0&~D8ZX^tTLwL;qT4JI~1m!Q%IFp%M0DHid&sxmQvcp>|QqGIdUBeLsf_tF}DY=BcdL??X~_jAT$2H>_?ie4npBe1^^n zq_pLz78UjY#ER+bBLI?6woY%hi0hi4F)a$%@Y*^ii0sMd6LOVgn9asLt zi!}fog$_yJSrULkpM?tpdk`12fegQu2#D9cM2_D`qw4VylfMtxaUu00WIG1rFlCKI>KDOuUre6dK7Wy|qiNy8-`eSV zQHFG)H!d;Iw(AV3dKqrF|2*-|pgZ|ko|y2^!A2X0$dC|1g&1rT5@pF3xWi{vVJts% zN9eCj6XGeURT!@Il)Ynuehiw!_Wnx` z6P(*z`X}*De<38<+T<3jerK^Yxnl0&Tk~Rmh*GunH3!Ht>-Wx||7K$GUYDChmR565 zHFN0c#J+|t8+yvdk~<{YdpwMBX#bTxaX#f?hY@g<2d+DSji;#%gLZ?V(dZR}xjG+P zC!HeEcI`i3Oj)y&nzDXrJsC-pmqgzij*d#7l8~NpZ@6OeCc=|qOe4+xEcKkn^6s$}1kapwh7JDJi>;L5pJ zo%UY|>&dMIuMgw!wZmp9W70}wvp~Q~`H4gAr`QX}dvjkyz9P#Z6hJVY4a35q%+fu} z>HFeVyho&ih4bVT)bdDuZW`};OdHv|)ER;Gv;*0VR;_6@P_(t3!md5E9O*^+U|Hvk zS3m!Y@!0_xGE<+!X`M5G+CSW92v%T9?ufSESVH3>s=M&5bNf8BHZ4}09=vOB%9v)l&-no@`640~p$$-d3q z!ut@Gn+gCBJ!@O#=@46U&c)>S_}UKiAu^PjHtYr9APVkV5p1ny07Yf6HE>YUaUxT| zt&9Wj8q8d13U%2~y`ybvgqKy);h~R>IM<~wX2TTZKkMmZ7aLzt1Si9X&+Jb_HajR7 zR6UxXq4DiMC~RouURhu}k|~klss(Ky56gLx+LbeJa46h4FCBCv+pYE6>sKrh2oY=L zo~zh^0ALH4m%sS|Y=y=8+$QEH@Jm-CqHS^jefj4=`p?4J%f}K1SQzj&5Be^aHe68q zXk?o|*Z5~#sGxW2?G8t!_Sn{GrzMu(I`E&~0bA}p_>kcSZzVp60EsRqvvE`HzZj@u z!E2#Im}}z^@SOyJ*7`4l1jXKi$FDr3a(_g3Dn`O_02oCtw(F76O1$QeC{Q4TLDz%_ z570Z0^PVCPA^Q*o9p5KaPaq+rBlsTvf1+M>MNzE!R>Gk7iZ*Yuk9o!2L)~=e3OY0Q6kWE!Q0g~ z_4OocuR|-u__x~4#7n*ga7Oq?vB%uL?a*S(aSr?ryi`K`B5WJ*=HU2JSUS7ROFhH|b zzf#6vHz?n7Ioyl1;np+v-BBjGN7Od*vku$0UyKUluQsgQ|1*P(xkk->M`lgdk>Qj@ z%YPQ{JBs9MS3oXM#UBh*Bna{3F$5BZSIeZ#DPtE#Kl`%ykkz3kGQwPg=8IC7MmBlJ zoz$SCn&e=0!D*{cncGTmR!SG@*9i$ONY60cEY^P6<+gw(Ib0W}n}ML^%z4G#q|{*E8F8 zq&^A?sqoz@3Wot~LBOtAXy9cA)Cq4pOg#{;-?7BP6IA~NFI=jz6Y#kq=41^QZc^)X zr{L`Zw!{1HfBVrmVpaE9rD)dfV~$(C6E}rBpO zk`B?EIm}gAloQ(x?s8!{#Q@k}0RBz8@~2lMt;N756QIsQyxbfcJCo|51qM|(FSC~6 zY^P-Dy%=5x6tZ_c)ExE3oTHRN;1<*UZrtKL;un&5XcnZn-fxR(ch+jfNG zF|QMDUt9U%18HsU3%TFf_%?{xEQ8Vh+Ux&qPLX-JgD+aIVp`mg8r9<8(6(IQ$+nOd;eC?x9K2 zJ(=le%V26zhfnk9sKn-ju^Lp(Vv9(qNbQ$T6*I*0{Bd%H_V3L{aL->&NmUJ1)py7h z_3Z!|rn8gfG22EZ>Puazha04j%VFctniEuM$(ly_?FulE4#0WL;1@J^DvM7%jm#&? z@j$pJtJUrWEtukZ-Gh*Y$@e+k&#mTG_lR3e(16XX;|`$G0FFOE4(L7qIniCfsOTOF zHOCdND9@5Fbft_-$h-P&6@cC=qC}wH2F%T2tNE#vaEZ65P~}IGk{)1b%O}qF|7@95 zm+YitB%nGi{pC>VAt>AAhcGes?N1c#kz_B~9x|kh^@ednRrh_HrNUZsojLd_0KousVa!2;gj2k+Z?+%6Z&Z+u? zUE6SVOTdHHqMW7&tA4j`yn5X5%z-WC=DpliKKsrFIhWbnsLrZ~`KfoIqQCLpMIu+b zTko<2Sp_`E1jq~MxrBS7@>I#UA-{n`HCVpqb#J0~Eui8;p}yZ;VEIyqx6Ji1{Q6t6 zj(3LV#M+b`WFbYT!5Rh4wkbyzVpi1+W7< zsY7%v`O5afzA>%O*9%OO#2kIP_vyW^+(S^|MLd+40&W@|>zT@=eT3>V?ux-6jcS}3 zG!^>|W1f{1ey^4_6%n($Q73U{&btil$meF`CPPEC!6E(>O5j^u;x?aJ&GdUDgU@+N zjql|>)%vKG*pHc=nYqbr``Wj&QT#P?%Ej73!8_^f*RQ=Vs6pY>99^C~dt*NI1~;}( zrnOa!)qvlq%Kk@>hF;})8E z&fcA)h%sZ0Whm&WU8qq|Dl=lN&Y#`_hpEI>j^;$b;kZ)BFCak-G@FjC;R3Ak4o)wx zWpuAIkyl4R(-}MMpZGzfNct)72KcsgTpQT8F@TQwCk z12@G408~bBEMVgWY?%O$7;M)_|J(ehTIgPU$=`DWTL1#GutrxbT~T;?vleEstXlYo++)Z3gq zfMwgv(#xQTr=N6N>yo;@6Mril%lKg7YUlR{FK9$RgQ@3^KGav`%OMC^{9HfYyyZUS zEx0>)3v-gK4~kdlzP7H)kC00ktTdfT{s6d<_~?}>%)Wh;{MXm&R==oqbUi@J45)9t zkL7~vREG(B2|0fn?v2hS{v8uu+E^W#-q>V38gYkvQl1@)V^YZv7H}RTsjFl4D*lvL zOUCsmvqY-AznhxcfmIUhjt!s$@Zms8>QD|kd*%-iaB=0Sp%ae&r&em@YuP$Q$(N=x zS`XV9)tn&rSz%8J?|c$BC+5ziF;ySsY5$IpM{4!*Gr1Uj`ZhS7lkvsm@9Imx2bmzn zG9R|_P20k$?`Cg@g}mKP%nROB^N`+J4+=iC{IfJQp3g#NJmfVA`XnjW?wuUVQ*%V#-obuDB1!4rvlTZ55W0O$ ztfBt>qnW}_nnye~CKPfCWd4>@SzA(*YoU*2Ad`Hp*>h*Zte?DB5EU+E)C;tlRuv&L z^Y+wW3huHpl%B}knjPdt%!f&3H{Xm769zhZ&*ksz!IVWFh$aF+5@<`f*jK!O{`$`y z|0<|ttNX5-N{Tq92gtaO>=Kidma>hN%CWmKJ?u&kg%fQTzdOyX@o_TY8v0x4)D~%O z8Xx_<3wax8c0ozH(V~1?r6 z3*z^>@Qp^|1x%`^@TS#ze`66%n6=40e?5q2DsVG2JM)0(3SLm?w%Muau7_i9sM$OT z4%N~KHMje=<;;RARHtJ|s7uzhjP-SkW~}XB*ESdpk7skA^lU6nN>5iZs55X; zi_LJwmqBNupFiJ0bF_G-&$=>eWhfq}@0_~=NOi^Bv(2#LQ+T)6_MdxS`_Mo87|?f` znyu+nKbc-Vm0`3WJh;4c*HLR{!s+4)Rzio&}%O6Hqb-yZl=cmYZOUv|+|Ld^th#+ru22 zwEB*oB3U4Gek+RKQ^jO4cyZ{Oq5dtN@e<$Tl ze9cdbRlTM&M{ifNjCzR~kEh&N2Y5htb@m?7i7#~&pkTO81522a&`MS z$>7a0Se`kVD`w_WiB7k2aq~uD(x8{fhXQ)VR|VuuoP*0bwv=pLrZC!|J^QG1c9vC} zIoq&_W{)8;pIb=zVkd#G+O6iZe6n;aE~TcCoj<|X31pJ#_|bwX?JC&3jt=B%T%Jt8 z>(f8TC++H>3GgGzPjYyK-Mt#flZ5@x$k_zDrFSWvGD99p0J*y4!TIks$}MXCx-bXmVqf(jtxU;CY!l@|~r z97qesugm>SE0`G;)wCT6pG1CW3n1Xy)o#$!A_lV}Lx^E1ZFB#OS-I;)lQUoRPBu79 zt|06}nCZ1cSXQcP&|;_X?b9%N?X%2rMpnq%x(y{G+?w7OimO=o@FAX_*WHn=vO6oEjD> zTug~j7T2d*1Wu=S0~*^MpxKXDY_9I@++YM=FGM0EOwoPyN!2pF?Hx?HX%ecIH>Q>)=zv|tSR1{uk2g5FSC#r?OQqf2!%R8IM{x(&`18` z)g1x!i4Sa^$~^8s=7AjV%#7Ou50Lu&31{yOuWnNP;5;3|FjmM5R4xPE59@*LoCz&W zejYjl*;^~K%2*UX>0aJB(&WkO9iCFwv97)(YhL?Wzqyk5v(e9OUbeiBK+CL`%=is}s)<+$XIWia^lxfoh1CgE<;#==h~V3 zRnJ>u)~?xKs#5<2%|uj#gHf%&#}KCHdAyD~LZ;&+x1h=N;o%SKwa*rUbF!x%KJZDG zqg&cIv5)=xj!|6vBvJo*tGQf*jsMWJ_o+^VCsaZecV|1f657iJtzO#LlZDkM_ z;Ylr^XVv1!rANfgbe1wrHvSl{!VPMx$lc=%2+)_5G=7@HJ;316^C9(LO}m?`NG>D( z)rOTpreQlPqU=k|gPG<-2TR6wdCYU!Y-tyN6u;XawEaJNQAoiMq*j^&@88hPdVR{8 z3$#XJDFA(zF%0T5u95mNQFuicHfM6XvpofW#0#Am{A&!#`+;lz7g7lTK6jvL-G9v( zyQ=k!Tdj_)&c)dRaK@H?qD}5}lSKeCM0l2msK; zNDtgEFM4`2%&y^w<2>u=DAA|Hvu?IM+xd52s!Rc5;34U=(h5VP7Eh9_CmS#6Kk!5u zdid@Xz9-9Vt-Y`~hj>#ms31&e|J zl;k{Om*f2n$E&@caNkr$)2G>L{}G7CWX|}~PiJRPrF8q7fx_4F*$A7M z8JT9M8OOUS#@@DVRYAq|zdvaGbb8b_wDU6({{Z6OnL%kD*m8E46s&JoQ>*j4U24Jl zlW&1mOW)W?qr+O?p`N}f@<$S8<*Y?>w=9%ui#CP#o%B-P_lXEm?ZBW{W73I;8I^V5 zX~F?!>6n0++>0K@85&1;iBCOXu~<5G4C-58+d3GphVVwoTTzi_sE=1YUBLl&ffxb5 zp7n}3h5(OpzCy;hmq}~V1zVe1!>9JUACE?^SyNSgas~#&+bCu4HW?&Oo)S?0rR|Xg=Kv5iwy%9poMyb52Y*o zEJmpja%e2aqI#Lwb}8WprecV5`af-xlc^hzS&pztOq9LSDD=g+@Xae>b*MJFB{X3H z{2Wb$cCrEQNQkrR|6b$Wdc4Sjn*0X?br^h;s|cCoX29>YUr_AemgKMe8)Y!MkODFE z&&6EaYNhA`tULCNLZqLcNhTVrjM~7yRm?J8;Y}U?vez!_BEkYb7`q0aqHkQYy2IEj zWsy#d2|1IMSLT=09&9@nyv6|7fM*8mO(q@L7NnGKF+$}(0{yMn-if)cD=;yPRXp7# zZV8Rci@rjuB*+DF@O@3(_tnB#l}sAnHNpF1ZpvB6H1MXElWuV{G0!8pU}1T+X9W#y z{#Ak90{CpgK)YxZBAXKdQRfu7T74Hvinr->V-XH}< z|0oDe(>(#Cn1n3bzQ_eJjmlaqkSPq}_|uBWW?X6o|O_a9k#owz0ABZb3pV>lVyvp2wxYawLI+Vht{3I0KdH1 zFO|2hBa{DgfuI!YfrXK9lH@=di3Zw>=r&SjpqY#vVxZ?!@cS#j(wUG_b2>@PaR*+u;D?^x_qjQGG?zmn;*pRaY z7O^DomBQs~<63`**G5i2;}{Le>SfNwm9kzC0rD*N1v9gdz@of{8&;2Y`P!4(`!42I zb_c|(lrHGU7q=L8C~Y@O*OWj4^Y29FE~MCBKDCA)xQkYO09acxhFFA08iVBl{W*NY z>e4je!9T)^iZ4T7=8LpFv3cx(yKJQXmytdLv$%AX|L1x!1M*GxEs>*AnZT4BXyUz! zFL}oFP{470hsL37f(7Ugkhjg?_fLsI7NZ3K6h*JW$?KVWvvYm0HX*9Dz6$7B%W-2F zf&sP@@FdhG7_0a;$(+v23|vb zLOP0jMHpmt_xuj{D%3vgnhut?l2kXG+Rmo^ITiQj^|P8oc9W8HPv{m&S_wcSjx4OO zVp#bES_X%Ey{Z)%El8btj5J*0;)ck~xE72VIn&c$eF84t3);knKtsLfaP1gUQ7wiQ zT$_E!9p;XwNTjOC6LJ$yh#D8Bz$Or)Fr^nDvweX3!OFR~Syx@04?2e$gG-)9Gmb@@?HG7b6=*`X@fio;F_`CZRBGK}&B3LL*b5ojg zROu}Tn0{K_EhU8b`GE*|0r8T;54?a!<7l)de5o7aNe09qm)q~%nQbMARYh?2JnyE7z2)(5#447j!o_w~ z6DI{>e}FgtySA&L3N(6)@X65MPSNK(d&e1Q3oG0phaW&joVySOe)#{K)PSz(g#7WP zt$Qkj7muJTLP)B_duNAAr>$ z|IY815;Jqo^W4w9T=#NSJ4g1NJ@8VaX4S6XPbPu!YrZ@>`6<=+*f;&f`34c+$4v*$ z=`T{|?|!jvd+U|l>!UJ;1`MyR(*Exg-WHTz>dl{P#53gL1mAD=N)L^z(`TacEet{* zeM%}m_43xMqAO7m>kM;q{zj?yE_~;2S#se=j_bVPhjFC$Bgl!=k<|K`#}x=HIv=)<|5tpH44A_E0)Q>=s|DD3p-njDJoqnOsp zkJq7+&NqOF!f==_FA8D(l;`cRrdQYt5hE5JZj= zw>j1E)||zu3~c_(6qlb%ScfH&G{q_W>rK9kv#I52)F!Zm@nJ>X-(X$7fHOK9Um_{a zjv(r{^hBEtO_p308Y4)wG*$byZ7k(oTRaTfkYDb*VPmMgN-qafs<^38($1PDfI$V# z&%;Tz{-B;ApI~;)&@GS*!2HZn)831n4H1Xkzzk>tzsvucM5G8@Me&Q5YKmLq?lUF@ z;gvhqcFPvd9C9mcZsxt5RMQXEqQgHmR?4DGoxIo6RL*$XoAqU%fZYhoh#hM!x z|5qt)4V@Sx17+v@=IFP+H=fz>IIPXL?%IDmz%@l#uTB6iq5kf##a|{i(cJecN%_8< zy;3a^H$4?XR_ukwP*`=~+#*UH-|z z8+x8)E@B4-pC#_@!v#~FN-q{?>^}Rd_-fTx|Kl-c{i}_##(oCUM`C1`VriL*#DV@l zOs&&5fRUJAz!K43>{{}#{4_z~)2`jc`op95b{Dk}fxb{$=ta=1K85v(85u`8uugVqaOj3WG{9rVrV`Ijn2Y7w0a{yj2xv%j3Die4c2y7Bx*U;=- z;jR+7!+&d@;Q;taf>q^g+@3G~IVVK{_$~3}E!|rr8`gn$LLB>*p7$~C=(&z-9>DDd zqT366@Q~NMzU!gGl_ljHN?sMlKZ!k5qqoJFUu0y&>Uc5&6;t~yA|&6oW2>v&WS-eN z`FUyUE|x3mrDsli`fq6dbJ@&zZol5#jsN~LerRmc@6#2kq1B*`+e^D20iWJ|P%$rE zB8m2K{*bcFWz#I9fu!7>zkg=)gvg2y|8(B`x&M|8X`)$_q|v`n*0p&oRAum_3zpNa zc}>5c(Yzlt+6$1zw>I2o~+*0!Y|3ImMh7wb6-kVLO5#p8di^GdepYY0R>SGSq{OY`VyxiZvEQPg6LJ}A+vg&h9B$X^N%AQsDQ3&7>_jpJ>w9(>swppDHUBjQ@AKJUs*z*Goz%>1)arLV zlCd2Uh@)Y0>y+hJIwg3`mh{!SLeKlCFWOLS>aic;2+)R%{awK+2~%4)x~?nDT${i2 zp3Qg14&$J;N-$0s4GX=l>OVoL9D#v8OiT@69TvH~;?sI1uSRu)g!l(y4`m({nFnB82@WP2)<#2e%zYh;KzAqZRdtZREUN>EK4r6@) zGV=HR{D>%((qD&)Tjt-~{b)N&W5e>}1}3_z670@11M-LSR`jYSo%Ot)I-)}SD9Xh| z>^J5~d$+YG4w2$)JQ9b+`Te2|o6C~ruiKi`Z!_|qWtqj^K71bH+GLe=Iiki$q~*(> zq#sLUGc8R_51ab7EO+yci+24d?eunJ@x}>P$}P$GeD%k|Jv;XeBzT*yiOTuiqjSVt zW&?{rsya4`(?bkz{TN{PkC7kG?bJ@ao3zVZIr_}wOYtX)>?>roqFFZ4`^(2W9$&&` z2$o7RhP75Owf*1hp9cA=T`OwxBTMfbUQGCK>&uNB?*vQQv3#R-Yw*e_&16lnII4TE z*={%I0TT}&`ROBfv9!~Rtn>bg&ft?tPBL+Gy2HA+oISGaQDS=`T{chVRqcq!4~Q!+ zzT7UcViruoGHkRtAo)==F@f_(lC~%vpG2qix4EJnb#tl;S?EY}h7%>QRt0M?p34gE zc)m)#M4|S8*NE+AEq_h`kE>$5E|XJ?d(-RX+z2r(V)+GY=9e5CXte0QyL%n5-hVSX zTKCDjRfN&3&YhRpNRK`o&UBZ!j_yjzZGU{)LlwwFOxu@v7_JS{3E=*gOl`A2bNh57 znri2YS)aN3Irq&RyOkwQJ@&R1VWwNGwn=hwBq|=xXw|lnRE+=;mi4Sb4-_aC%`xap zO}U5xZ^U6^kM2v(zcsr%*X|Ing+jqzdeKrnO?jA_e~O7Jbr;Z9_LiDjWE=1L9)*x2 zeA|srXnfj5XE@VU`0MLB=U~+{SNdnfRM&!^3y^EK_7*KE?l7Z0_H`Btm`8KA>C)(3 zHf@?9`s)Rmu7AF z6BpWw@m;r0E!YrhRP|7UNP3(5^PYoCZcqGs*DJZ{rT>cks%9cJG?C$Ojio7VEjMX z6d5WR9F!0fv_`%f-`&*_C&a`{F3TKA46J1FNpv^v%_rAn^1J14$VbPseO4_Xgg3@vk?O zpQ;tLsOw1DjE3J>%DRgB-G)UhV)eY~Q*;V(`O4h}?hfw7T_L27p$E&V1qpYvbW;~1 zWHPzHe}3%=6`7=kj!T?fa+^X%AqJ*Z%m31se{^k!hfBnv@cOC`!_Q>T&Z+QN!WA&g z^WSFH2bM+S4MeTtpVKj{@_mDhAufI>`@_Hzt;9oK!yP>i&Lstbn=}0-4blJ7BNpes zH$p_`;%%*19_7ltAC&@XC*1e{nZ7|?I_Kn+q^@8jMuw&DnTR9Cy#kr zSN7CK*DrQvYg4+u1e{#HJ5o_`j(U#+$rn(wIf@)FoObMAY&nnd`$NR?Yv)^EbBxOV z3vv(8BJAdWSYpImvXp0(#Y9O}KKo@An=QmSlSb_9;Hi;fnfLAeMtpbj1ZR7~tMj#G zxjJW0?e*R%qbO(S%zag{$XLWp^oPj2S|JHKvs8Qw#|Apda#TC^?+%I0090P*U3IgvO3(UTlY`Fdu~_N z@0y+07OAfCZ-|<_F{@==v%uXn&bs2-={b}BQ#o-v-l>@${#uEgVE$*4o3`=we{Fya4SmE%m>dfW%o8Zz~&SjdjhQ>aQ3l1~QEnDQc*$ zo&Q?pS<>x6$uZKUzA4GimshsAh;phKK*}@nEGdo8nZYFGGv~h4HZGWTc&+{?hf?&R zA_4x#w%(_IHZG!Zy+^{pw%-}2=_^OHYJ%m{l@FT|drKEb^$7Dml5bhP)VN?`nP{$K z)j?ZaO=BE{8Qk-*2l9Mj9$&aA+436ktB5s(qZ=8`GYM;#$3>R=({u~gt)0HLldYX` z&>k`MucC^MJXeW9XuL9{O7PPxsmm}8^Q#()u~FH<%Ga;lqZ4!RV&Ai@Lgs(iCdWnl zOgBCwVpqe;U1;p~le;o1cE<>^3MF1ujoquPyw|=|+OSqR{hFh5F4t?hi}AlQGPa#|`F2#b zO~6jZsjuJ@C29Ik7!~~}ov|7%od#lnf_h)weFAhQHH#Ht>JJm`{l>3{fRjAXVz!>o zx_27`uO5H{@jti}l;f7BWvP=+rfby_H52d685*TYhrYwK_kk=fZ)O~5vNCFf zs=f4taI&d=g;L<{5VkkT?Z=RE_tksjo?_j&qFkzJ%d5s|3AKbKxwymyrmc$S_3&el zQDV@6R@p_?(jWyL$*4JmXjXY$Jtv$LER}6-5G>q z;r&|cm)wV!JIY@b9b8~>!=Uo@v3LI+ZKYR^8m{7b0}e#X16KK&x&AP&p>)A~;&I?8 zI22>fzx~Vc-d}SY|4a@(dVAD8KEt;C&i(>hfL)?N>-#+*CEs@T# zzlh}X_dO8{&U8j>c!aOhooCgAtn3Q$Sj_H=Q(o0k)^eY{(n^@YD9wPhC{dp{M0SYpv69qy9q1CTUhBc5-v9hK7Risw9Cr0)_(a(mTfJ(^hJk5ilc`w(vwXzP}) zq{7%#{&WkYeup;@PGWs#l@T4qJTV@7`J4?2y4K+%cm(T)(577>2{);KLlJWIi?Z(m zY~J(-r~p2n$hGj+x2GWyn7}}Jzje5^tBl;*@b8Gk_`N-PhE9hjV$qBvat4@kY%Dlp&-}dQ z)!s1zH=LT4H|pOt({hPDrV%p52j)egeu3#=6P+Tqqg6t6_!zH^$G==5hO=$?j>Bq1 ztd$#L2r-b+;A^8yLgo1A;{Mb!K4*BQZ_2Nt7^f-3%e2bd>%4w7+5Tcv%2g#T2A`-| zV=ZtWL#zjfreK1h;nqzqc>s)cbU*e@$RNKQajL=GS ze?qK^>8RZ;K+#*DykpWmwWp0i@t z*)zl3g}u9#csXNznZ4e9soMc^!={Z17{agUgKu!wJuR4gTd{w=nN&^?o29NCKAb8n z)$M=H2NVNUH9m5l%#>(rqWjq(fL-4G+M19vCZjZLT&{V%GJ3dyh{Gngq*MbdEFEbH zT%exfad#b$s#)!ggTz#v)2i|`-Oi$@E;lbCc9jY;TX_<_ebPC+qq)QI3qYXO5F7x@ zkHQV13}K4&M#SowT>d(=rGbywPy(tsYxrvTj&(+{DC z1L7n;7r?<5!x+fvA#NhDWnMWlnqN7}Z3u-W!>=?t&9FdByIh7}tQQ_9>?j_V5?q03 z^YF?evBf+eZ=Zeocq?!OefM!4#sZ{22!1(|_T?-+GIVib<1R~IujCh$@TSeLRt^t0 zX!nd91fuE$f|l=q0}woN@kBhnc}|9rE?H%>RTH^bYSC#T4K#p4;uR9&RANQFS!@@i>tpX&0VyLO26)guDBT|Juc!X7GQ@7Yi!KL@Jl4 z&16wWfLt{z@B*Qq(M;8jo8<9-csmb`+za3{)M;ib27QP4iKNjwe-3VgWX>MhTP>bB z?TzqbK==jk-msZi+O~+{AE2&GExMoarP`g|X947uh^9ml2yFNvtldZaVK;$gvCoLl z=$ak`z9``_uY?2wJ;EQX15WNU<-b64*qqip2{NGf?)7ljZv|3ICbyS9{nm~`V^_%& zqcBsY$nhCU;N{~YaBwO?{ylR9C{2FD`bz^bQ-s86wIi+^_B%ml{kni$4iFGkl4ssq z>@a;Dm_IW&1k{0aB!XBKs9jqbtO0Gnyup!(@1`s00GHx)i}bsw!RfaI+RCE7!bJAy zck*{(IRzJXP~oYpEsF(cf~~zxUgvgR9-(-xhi?WsuS*hc?6+t{&Gy3Km%VmD7qBzH z9p9Z5hN8hEcMGq7Tc!5&y>Xda;n`0$+R1uNNX)zGCFz;e9%OqW&iD2|YGB)txF(?1 z;|T~5`q$D#zCivVD%46Tu@^OUxqv8*l<;!!LekXG9Ec?Ko zeyUK1Fs&PubC^|=T2j1HJil5s?d78Ai#rxBZTY%du#y!rMoak{djUbXeA?9PQd6D) zSfDxB$T>eUnek&rPRpj?;x~jW-c)cu?(_^o#=FkA6qo^dZ6Iw|qlp9lI5mop?gLenhypL~zc6o7qBWoo)%^)q6iCyHk zG=!i-+DL5+Nm0ZE8}Hgc)pq^+qQ|jk3|+VQ64a|*6;uZCI2DP2W)JnwbqU2QBd~10 z_>$Y4Mwi8rf|qx9=v+{kaENu`p4KRcD+81y&BJB7muu8XG^_&_748$$g^0@ zpaa5Zwa_?X-xK>}X}xX12V~3Hr5mp6@h47ugTKMGELMFv9~nxq=&+JY_Vg(Nt@T?e z-mIs3NnOKwJYhkn!Rl6i!#n-IVM3yYu9wNajMKScsuy{N3J1Fscd#k~F7S4AICBT< zi}_MpEMR~cFJKX;9(JH&8`>*Dfdw^dxbi_6pUlKZqymH#puKc(<34W&|5)eEIOS6d z@H;Yc)0uczXw>K-95n-?%C6%L2xFk`=Ri;*sm~NxN#I=OI+X&i`*UCT@uA2CFd;1S z3%HzSeOrIiTEEc4Fpfk!VY`hAzfZ(?R~tS)ld$*JZuN9B+Actanz{Hxy!GOA3(bNf z0N=g`Xy;n*7V5Q#jFF7*W=)lm+p19R@Co=7DhFA0w{lP`xYi&c9WNV&oOZ>AT8q6aK&Sf!t1W!N> zD(5psydAXA#zDu4EpJFM`nFT;MssI-{6gKc5y`nTf`h~Y}?a~O4U{`|yNE-+(XDgyRh6diy0f-CiPf26Hg!JC>DXv4WR zdpU5QY(wpA;c$Wajn%h04ZU-T2sbpVy_=8TKXZpbu8iv2IvAeUG|JZ*=J0u&Lh$c` z@Yo`U1*-k8TBqXs!UARoG4je}|$$;PD7*-S04Ka@_TO|MS;5mufeA;r;Dn zwQfr_8>_rq^GOPJ<_)k;lB~g`W0W;m`Kf6v9)hBIpX;i!I~8wImYSwKoq!OskJr-q>)3sUT|#rnVK ztX?7>CtiP1A<$Y`vS^bAvN2_W_eO%W8!oiqQc(Dp)^qgL{m${T0L*KM4yynlpf=ExSN!1+(9HSTk*f$#y1}=Vxs*c8>-}1B`3yZO0?`dIhNN zJ`Q92&Gbj!z*$K2RCxYr_oUe@tcc<9SI2XjI#`YF^T8ven^9xj{oFQ%;+mc57N;LX z4#R}((fsHY4a2Rs(Ozcf+v2XBO~jSsoxW^luSP*5(U`;2h-JR1>tByGAOV+K6lcPR z+S!8jtf(pATWugFxS)*JovX4LoT;>MD!5;)?q^frYA`OAO zYN}am5suC@5k*|QI?GU@gS5MXP##v>ZK8ZQaX9eI?Lt!bX?Zp@{*%>bAC4})B)=MI zGir7jJxT|c`t263SXI7*jbQb)OZW+4$#bk3-v@ni!;lCou5kE^47DLSE*>zCwrGR>I<~#vy5CCo<+VzDzy@!BA-pAeo zgA@}_@MbIG2n|hdDkzat(F4nOQtWPx^EOH8Vh5U1JIS-!h}1&{iDRQ|W&)WGK|Fjr z*aFViRsw=nibUM8Q5vI*31>oT+->M|X{HrIe5nnAy_;%uY9Gc;x1)^ZF2c(Pk){r) zHDM1(OPO$_D}SovordWTB7Tg3ooVSaCD51 zLV-E$v1dyXp!%=g%dw_yvzby$fMYaFU%Brvz(z20lc@NW$0Bb;k+p^q&_-Foc4 zV1LD3m0d?nVa?5OL<V?Fop)S zsdNDy%EnkNx@wY)(=Ip-t2@UNesbxD&{SbHQaO$?x$b@E5#%IKQtQA++0+yeg>ubn zD*I1}3yQG?@^((qK;h_J(i<$LCXV$>W@3Fktw za_W4!WlH?o?$}ju(joFBmz?$RunG`lw*A*-ZgS^6Qp$U=H8rx(=*JS;t zR(<^s6nm>dLo3C6Chw<2F(VP&6YgSJx1Fy|ZR)h(xKV2%t~NtKp!4|L;ad6cS)+mEMrbf??bXN(n-7xArSs=P=!--g-q>htI5jcdm2*_}j_PxIW6*)g zMN@j}3#1PuOm?a4T#r^1^L}2;<18zIS_}lq?urB}*%Lb{w!p@%c#0_TB& z-sF=k2CT4jt0Ld@=%C<45{H4j7boW}bQ}Ns` z2FZ8kD8;|>;(yc_f(B_o8_}#Bp+kcxhcoo`m{-jF>(9%_xdZe&B&tFxgMa!hpNOR? z^U=z2>)%T>w+6~u8muu=a%l+#nfDjTV$taeBGgnQ+GtVYs78@?*x| z{*=%nO%4R#DECU@LMNLOJ1L59aYOcms-2S=G9Xo6cNvk_VsJ&Lyg(|+Ow@f11|cy| zEqE`QQ`Z}&`FQA*m-@47|DN+nZ>Uc`Uu>&-(L-sfqfP7?^n53X=aZP*%d9_U%uufx zZ`QF}4`u5_!h_1|wXSbgYq(v~Di;e|=eM_B^0=2qgiUhh@~NkH8}O-(i-8W9w|Y9g zqGU8bA^?OMo*ei_0U0BQ;Bf+a$7Aze6sTRv>pP2hB1Z?}U@xd`4lcFFt@BZoW{TyR zGigNLZw6$vdFBK73U*a+St$Zqjpv6Vlo;JOj60#KS0vpGd^F8$J^TET7&t}{RnQa= zqUr>kp_tWg>cl(p2vtM@4ZD1t=NC1=Vv4Z0o8WM9@rXdc3I$x8G~(ms(1g;!zQks1 z$YM;QOpv$z0{-3%*mSMlv)9NBJZ{fK6B2Be{RFd6J?gA z4=vMmx0b%S%lU%xs#$+>9fKUYMl$`dJpbmNg_Pg2zqXL~*sy;k+iAg8NlT-D)p%bv zAy>JEys~^h(loQzxKW^3r+#-Ot zEdJs!R?(98m%-)0j1+?OHwzeWU(da#Km7lTz@_kSAQ5@I!6q`fLmOG)yN=98^RyUY zSryO47+D(eJqCtj{WLZgH>E%;2w-F9&#yqLXAo*VicXuP@+mpk)uP^@0t7ACDpU_d z!eWFPagk6TxSo&vs8;1ojb%8758Ye;M|oZVPWHZM{G;gfZFp=iiM+u|-lc{HPIenH zS!JyQ@sUqwnVrL(0vpG^be*$d+z&sgv+{8kdmpPwI-HAgEQ)7IpJThDA1bUhu=%z^ zVID`dkhR+c>cK#Kmp)ID?~@O@fZl(9QeSENBcY>BMV4wu)Z-VONfJK}CoJ%9tweO) zVLB}nDGV9vEmUxzOM{~>?=wefBd6xejW{SPnQjY*@J@wKj#rYQDl38u1c#2XqGk5p z0jSvJLdr+bxl`U=7nx2@){2+~^jQqHu;cM2GOQ}cJ+UFNF%Zl+P|u4R{qfj?`vfF9 z9k9?C0z`W(KKO5K^%XNgT@5Q>;lnw+HkvL*3&pA0rM{UMyvHO2^{t@BVNl!DIe%;y z1jJymAj*N=x?P9TAsmc>0$zU%i4ymjQV3;&7x#;&v?3_}W?3}Tx*{(E7vNX=8Gk_M z$&rGH#bmnaVNz|N22sG^(5DThUxq+;10nlHKEmW{vYKG^{3ROD5 zqdITomC|=&g7D$SrzZpD`^tK%u^M9=6=jD%bicL{58dG z#R%>_G;Tg~k|THJBY=G?Cmy@IOeZOo+AUW7leOlAM6!X#r;O_dY}zLO-}Tek%XT_q z-W2@$hd1uJ!Q=+2AJ!&Hmv=fjbVf^rQ}s{T zzO2)aAl5)QS98r!8**Zdy@J7VDtI1r6#0->}EyAO?{4PpED6{o8_w#t48R&z6o_jrqh7d5{Dm{sLm}d4T{_<(^e4Yjvh!b@7 zSj&6b4J0tDQUs{}_NdZe@bC8xG*M9=cSV%LH zh+$D-1U!oksEEIhWOk_puk;dv4aci0w~EL6B-$}|@PQ`H*K33tSMe@yu&?C;h6SlM z0O~$_%Y_o>C(PRQqv zlewzP4w&2N6<=gXBswcZ7oE<8JM?`QEOp+<@HnL7`P?APu|wv*p4)NQkyEUsFy{P{ zaMGD&8M~4ONxze#sx-aoS`8TxmyF|YOctaI2NhRtf>gC2b& zy_G>#$|c*H(5hg1{EDnA!kmE_Sx=a!&*A>+1HwQG&PU<_cDWd(y78gus^h^~LF3LZ zT0Ts%&4@F5H1gO8q9y>i8D4y}=*Y=x&AIlLB^p#sG2X&RuzwwkYl1Qc^i>*| zQdwt8M%R9OpP4_gkVyH;P zAC@jP<&-CHP!PL^;N4vHTeI}$%Lx-*)`joA8 zqjLlCb=E#7U-J~30)(fnm)-sEsL)f^(hjFF*)?m8WLS19AJ6nEl%^_W-){?fm}Fc# zH#m1&q}+(3S`SMz4rqd`COh4f=6T`8X<72ou0I1RbdFq8-)$aeabEA!%mUpN(N%7; zAuF0~uyadVTfYhCRLj+razFx76#}_`~(%^+nNG4+G_rk9=}36PsgdPR@gP{Rd+VTc$R0PMy84mmyYT@_Jc~q5O)V z_%8^XDO9XUjUK?`sK~S_CdW~IOG z+mn5}d4JLfJHFF%$tB_Gfi;tpca13P%QxjnS-2M9`y#3c|n*TK6h?t=>M~ua0gfWqFuONQbjwY6V25>wBKW6}r6? zy%u5bz{P{Bf(C2)bRWUm>i>1)tTJ)b4EBY~n}r1ii@=A}7`z-Vbj;CT$~*w>nlOaR z3jl=(|Kz%Ds(3CEAau1p2FsJi7_PU1$k`Vl2?hu9p9oo~F$^|=N1#J_1bkjP8@^IB z;Pq2rhbu+pf$BBhNP^^=?Z;j^14rqjTGDr2R%hSj{EaFxpSBm!)(coo?zwzubQpmu z5nevzDuKJkLCWk`59 zm!IMexYSYEHTl#Kd_^j^a=(wFPdC|R&8}NhWJ^YujaX_~zg8$x9 zLry0xw>SB@p@xU!Wd}jB zsntw3BQJ__O~`qz?#I_BN;TK4&sy;WJj$mr+kk=3%qb#{+{-CBx_t5LQ+Af^`-{m+ zFFvh%)hb5C8p^Pi(h~z-uu~*I`Bt*WpkL*^ysMWL<|jy)Rxy@|}=w zecMmUq$*Z~FYBE-!G;XdK9EqEK(wCXN5W!;M)olP_26p?L*92VATl72L6nVa(m1Um z&di=oNCJa{1=Cx)i|u3Id-euCma1GE793fqAc-4;y&2^BkrTPA`AGK@Bx{-n2#ZF<$)Hia);}CJba9jI${Gey&x<%_#F?#M}GbNr;AK^E*4wmeqM*8Q*9 zQhsXyEky0m&g1T$>x~j7T?V|y7OEKuRfYnhe;q1_M_V3K>+Mw@m(_hWEAPzd@uR0L z^KUF&J)YOnS8j%;Si8OjFWP-yw z3njGirr+#yH*D8gxrSj9zZ>?aHpo@QToO0;`W!bfgc8z?$5V)>mTN z^MmH9?(?^jY-=9;6Yo4c`q6aSYOc(E-oUr!`0dpO`gOEOQT-0jAE9rqC)O`^r%ksi zhjX~opo?b`r%f1OjLp_V-V$~xZVaO9QJM;$qLhduP*Ly!g?Wz9pZmd`s_e&{;{EY1 z%|%d1Kl^u82BQ(V0Bzjd(!9)EfD-kaOf9|>Irtj8tKhu(qG(qIeL(IVrf_cv7zJr@NnO9Xk~3ha>9+6uhm@bHpdYb9Qd={a!%-%lRj!UhkvDsUzW+D1NT(NjEa)qYG>FH81OBPHsrSh}#{{?0$@2|I4>)Z5AQ zos+$qbL(lLtJUTyyPzyKOULun?uLcqR}qIYTD$&_GPOhY=cLPlGM+@9Bwasoc|*U# zF*2ym24a?GNYcX51xX9<%?-+OsR;YqFY~cZW(!St{b9&E|JoNf-P?CBga*9)Lq~dY zWz4sHCf=P4eZI9{SP&!dv$dRe&xOSqKFA}O9t!`A%}eSx0T`-_Lwh4!Mpl(J&u8rBlWh3NgO(pRH~|5-Xlpj^@*=85|MB z;-JRC$mUm$v#y1j0u6G5PWl}L%1nwsrK2Mc9u1>3v$CvuD*;5j^$ffR%1@ixTcYwz z;o<1XE-C+(RH8l44cabNeUpesEcL%V=1JC^kV}6XT@B1Oi#(#jy`inF}?2XuIE)P_0{9E>r>tn z95#PW(60-mnAik%W|+_n7`#8fBaixAo$$VOrTW-)HAN^LgZAi5D6jipdon?@qBwi; zD-C0*3!S;q=K;7#K2ov;pW^H}QyQy*-GdIQPm1RgoMh|%dbl2E;u8aDWq7*D`7^f4L`6W?{2!>5o;keYjisXg;0!8sr_9E0B>^Zcz4X(~R0hCzf@0Y` zspbWNA){!k0E{XN0w4le*H?px6MI=umkC#<)B~g0So^af*q4@>`qDTI7;R5rWzm5JVWSx*;b z`E4?JM(;Jg_$SSx!hk!>FnD3VhVROJB%cqxnpyG!tl%wn9|k~WFOO0qzUTS0KkrV} zZ~5H%KG}z9X@a()S|CpSr*LGU& z^0&bFiNG8BX`4Dvd;gIe*ZPmjNROl-_&gAhaHl-U}LGy9&+I?ErWG^93HX~t(=zMShX|80~ zk>sS91K)8oo8!*goEOM_vY#L8ZLJ|6>`-cUV`tPFR%F86;QoD~1^(<}SMAD!9|&R% zRS<*!#WL}hgU4mRHS_C|RsA_{3|onSIW3P;xK;e<%i9jZ;q&=l3!Dwg7yOyYco&nDQ&lhTyU3+;OeYO3nF?$XWdvpU-G?`QV(u(JKLb8xHor zq$z+Y3=UVC;xj|2kpGlMVFWVB0(Mmy#$F&u*9%f3)Y9%2P>={eLXuNr$j1T+l|Ms* z<_t)XHaPc)B+pfDs(BspQ?!Bh{~Sp-+H$dh_+zAIml3&3!g}n=m56*}Z_A}v;b?pc zST1_^(SanaIhX!tU!mphx{Q^7RNpWdwGGS>R*X?LWA z=F*ttovW21W0PXAR;XI^!X07ovbQ_SpHiQUPWTnF&+}LsVGnNr!ekhG(a+gz_eSo( zUVCLfFL4wG@O=yYio$Wz1)g1$1Mcj{gt>*Jr@r0%h0e}jx*P5%Hl`^ChR_eY5o|Q? zObJT;b-Bv;hldp3|4}h)^hKbaa9zcK^t-$+&&;tlTH3bQ;+})2$_YrR|L66Q%F<~f z(pCjf9KH0KzMFe^k)BJ@ua%pPOZ*S(@vqA2=5>U{CpkBi#SF1F9oeNTIrGhS|BV!_ zViNOpQ|d3u0!k1*(HB>9}lRG*lD-Y5|6YVuCwg%Thp|L^|C&g!*Ng^B}%fk4nX0^s-pqwf-tG+wyH7<6xXl|_>?^r z^Ho!#$t4TAT|M*vIawm%>g`_3U?&3F04=o$Br5RliGH@}x&bVNf{$bm3eHCe5J2|$ zi9@GY;w-NGWjzCWcQ!v)PQbUnN_3X#CgnzHOf8Tc9uj>yYJT+@Ql~AVuD* zvGl(lJ#T2TXq0H<)WEpzU%T+e>`uwF@3-MJ`3k9hfiK1l+DD~!=DC-(rH$QgupQFb zD@4d@!gTo0wI9TKE|1c~7aOa*A3AlbYk%6UxtvGS{uwHRlJ?3YKA&WpLnwT-x}c&k z)M;Y4<fz(MH>At-0X=qdodA_B&`i=>5CzU!F~jKlFC zc?kB33Sk49$rA{VW8iAyXuJIAQbz(r?$tY`Ws?BcK&2#D9+f@_BO$BX%YynbzM7cF z8!L%WxR@mc0(gMCvid|ENNolsDEJsdz<>oBgW2k(qYO6LLBY=B=_wj>dPMmv+Ir<* z`I{e}vvkQ7=_~!*QBdsYqOs;{FA{`xZVzA@d=ii5% z5*yPnfSMGJZy=0tl3r62wGiJ57;L4|#S%X2+kb+>;I26HR%cD!*>c!d3IESip>HYE zYR|jE5^?GS<=-jx{-HbF^DZ^$0@#l{q-rwoSi0J*pXq=^{2C&3gvkq44&bzIx0>SN zw94@1OA4-=fYtApiMH?CG>esde{hcacVnKla$za9 zD`Q=c{r^ro0e&CZ_0Ro~waUR|0x&**p(f?b&SEc(JHrhz{QtQZoUXSK0%Zq4?tIV3 z0izQmlk>T0!AIG-)m5_YAPP)}8P7YoVkz1R>!M?PcgOu#(kHRx14HwS+ZWtJ(` zUGaxr6{&K8%>4H0eFW&?kg8m29Svz|i0Li$1&89D1~`vW_EexD8_mybZ3ro2SDx~Q zVv`n>BdxRtqyRucn4b_x)drYADnyzyiksjSP+=?4jxDNROa(Q-A4oF;uS3+!!w0fp zHdG)3ThMLDcpJeaNth$`Ds@^++=i7>vv18X6iEa?9yV2fombxsMf1C*gVYnX7e@AMvD4i?qF z(iQXz~6V(FT*^knZi>_wI7NEEi(WgN?$r}?tD|R-yRJTzjVkA><*m+*gMoC0P9FEIF?pY`!Vzv*?6qQnZKp=>a+NyVI^I2TdBWVM%6g9;I8X{(zG&~qJ~wULoss*VkusX= z@_`&8#lnNm%;(yuFUi7ElyIs5bcG4~AlWPaEPnd_5i9cJs)p#-w>g*q+)OC4s zW0-Y|GOW(seW7vqR(n6)h z1$iw*K9>Mn4p$~v2Ey|fmv@Eq27u6Q3(nK$bxgOMr&jOe)H5oKo4<(-ARn-vgEHJB z4v7Y9mYEfo@Xs$u<%u|WuRNZJ)h|E`EHEUq0ZM4VtwkZ8UCE!m@5d0ekY*CRO63x4 zFCXF%p_b*HB2N$|DgB86j@VwY7Ay^i-K7S}!PldA^FT&pxQbjwBH^>R`yBpYG;`(u zk#r^SO#lC1nsRhFqavYFiFJumGt;8nD&ZSRIl|VgON-f-awOjp zH5A)Nj>xj1&Di;W_xpQ1cz8I*XM2C%@7L>jy|BN-jNViFssxKBJK25*m+Vc^nIA-R zpXdk|9V7q<{q_=@?6(ObQ|ooJlmf62wFQ?optV6YO3a|r_c&Ig2#UR}>gF)33dm1s zAICNJoUpTZ1|zMWCTvkx{ER@exOe^em+Bcyxfy04YQG2Lk+Qq$`peENp z1>?GM_Z~M?Avenfv9xQzAwT-l7kGz{(qYppr!xI9s|+<1c+RoXJmx!U3Ti!&JwHf0D5YOJQwtyvsG{NfBOSH z>)&mFHNi`HS~HnOVs(qD6Wq=pYG6ZXM{DEOrxs?jy4Aoov*kPmg`u^B)dX!fwEy?7 zIzkM=z&IT<2AZ*AbJ}ZCn;p2{qk|y$+dK2$A_2KfpP4gh-~mY#E}tquvt;hP*&qP% zeqVGtPGj-ndyi9=bn;@Rq0qmorQah29+gdr9hYbUk$HguOqfcJJZqfxK-ny@xk?UH ze1@VbxgU`CP59+}r}R;}Mz^tr-DOSDXP0e$z-3TncA_?5Y+i7fJ zl|51^)eMa}Vf2=zZ=jy`-*Mw8qmQ@J0*_*p-l>(q1JsE1zOC89JM+hmKJnImYOs4v zgp$?kYi-TWpSla~EgM#ucuB?v*XpoKvxl6p<6mputkcs=du4IfWsQ=(XJg6QfhRv5 zJRSF@g-O>et!CdlEVaSfnf;sTxI-h!YRi=qzXG>q7#s-o_WQ?0^7x`Lx4~&t{PjY_ z-STa$)cRMR>OXZNPzt9*KWlTfR}d3x+c}@|6@D5=jQ4CpYzewc-nF(Y*}IZ_a^FEq z=ugM%n}@nXp2fqR54H`n)fJ0(>aWal%|9DEu`6wP@KF~fm5RxfU({^Q`T$9s|LB=0 zo1t&KBi)OxprWV#MVp5MfZB%IG>@DdQ-V^O=r-#yv_4EO2^<}I0YKm_YyrMW?C2&l z(v}llwd+BC+>TT1_5|olZKMoTJ*@e~fMqscgd4HpIQcAK6=|Ti8XQ)ETz-jF>y{rt zY;1a-94oKAA_oD4H0kJhDkBpgM5Rr$x2bT=xC;YsjsN-JgXBi>J{@&;P357yJ#FJ- zrH%M&lXwsMH=J_PG86!~BW45s4gpH&KcK9*`GydzmmvfigVKE(gkj6}8v0#6bITcR z*V_Zc#*~peJPP<(knw_zf^vYW@2wT^=K{mA`mck3DCi#uh3ga-&sQ@ueEC}&U>nn6 zz|yLQ!l?AE^BzCM!0dOQ6m<=g3&9Mj6Cg{8BllqfHLy|+-2 zn(#_NL?X%PbZFD*(itn1u31fqK}fvqJ^AjcnSO2bX$CiBPABJym(@gT(9@S`O2@wo z*WP$FBzkQ1F5<+$9rFtNKgXyLqfgybJ3l@1Ug5oi+3$N>UMYaVbLmfyD*9d8^{TMq zQjWfOhquamg{yjwr>$(;tj*>ly2g!8Ei?Fm3EkDIx9iojqS0qX&sopmCfo0AR)mc# zdK)ReH=-8r35)zt&L;wz8FWK>;?k3QpH7UywN{pR(~;viw| z0TTpu_pJ-7o({ca=yX4*9REJPUWtO{@~KEE81fc@pbaQ4fQb^+3t(4DQQjO1%Rr;g0ZKTzUUeu|%-UP( zd^hNoPWwNs!p1*5_OgVgq$@uS6)11dgI{ggmv)WQR79c z*mjr|`jt*=6o^5at$wJ%HVX&>Bt1UHMwtC&2d?eyty-{ONv%+sFdLu~L(^KEgWz&v zGTw|zM0NQura7XM)ns)a7Pqm)&35CkB2we%Sq}z~IZ`O^xk9qyo1;29LUi~aY0CA< zPe0O9JvY5F4DWm0 zZ{8CTzbV9K@sjejV>jWQkyfCIAW^Q+W1 zhEXuUqr3fIUl3_v>i4WEa%QQR#rmV6oi+SO)NtIHxix9-u~|gQzH4Pkucsr<4 z{->=KD)?h3?;lq%#Vd6v>B|}`;ii=hs}($-)#8cS);B9`>l@OlOz*y4<@0)Dn}Tx7 zSx=)P$`KKhjXcVle_Li4Kn15pF%ZpJDH`8iaR?p0_xW2Qg)}gBK{34i2B+ZP2R)g$ zQs;`6PFuC38j#6%*DQOutai79>-mj;z7XH0z1)25h*eXn$cCbHf87~>eq|$u%4zEW z#26qrY2z7=3Z>jh>|dl7Xl}B*yTd5jaZ6|o<@{9{%P;vFVnB7omgj?Iis6;$`M5}E zdpq6Q&skHGyE~lG(W`WSEP{g+E<~p(C~W*}Uv@Trx;+Vv>qc|h5ItS2fpkhzY$0Ku zB`12+%#e|I^8wvv<-H+ZsQL6@*=;NIeDS2{bP|eDI4|^qWd52-_O%zPi&Q&c9o1_n;Fdb1-lZpdnCwX@Pv@c zYrhTA*yWc!CJfPJ%rH5%g@Q6|DJx|5ba&_D{G~wx&k1Ao!NC`nI~^1HtOT41>EdlK z3{7NS(i1!Y573d{ilDPqtTx{`PtO+3VhTIwg;?Ty=FjiQkHd3I2e`EE)nb`RcZRzZ z{;>QHKU>}uckqPd`$cTn;dma2J21YLE!811pUdT(X~CGF`%xD0^TMqwj{*$gB~$On zsea8Qsc8AgGvE zmC>e4dLX1f7smG>L&!PzW4qoFs&v-xwn2+v=C zIQ_={2YB-kQm)hE6Z9PVtvY%!96qXn+|W_c1YSQXHS?s+CbF!t%bC5YVk8W9I&4{$RvI#WE!=zb_5D z_8QJQV%Stz0Jd~C$JxDdVx>s@X3|{M72BAy|HCDm%s@0M@I&j~aB+dntq&>YMMkM_ zWf%TpB!7s2l2Bxpjkv5rCS-i927(z0BS=OH31)l2k_nUv8qU9VP8Gr%006?Fl(yPa zM47(lbiRbi@R$0?33h@j)5k?S?erecOkUay5$_-#!9%k1Z~>35Jh?pAq?ForG2Oi+ zV&vI+sl9RuP0y@2%PUpWn0|)yHpZ2v1AiXH3P-LwT-=ltJ6p1m=#e`5!c9^nlu(Np ztjm}?rx=3BrM|}Gmk&rH$~aN(hnv1-`N%UjkNQZ*GxLl`g^6~>@97QI^tumu9nUsy z%x^njj-Wf8$4z;hobFZE=qEJ_F7DB4yWlaB+e}{GC^%74S?iOpB)fbl&%3iiqx|3d z(RR}y%Yl+ttfK4%sXni`uppOh)wUJJokuSmu0 zlXtKX{6l}_E?myvXCg>|ViLh89LJ5io1S?0pX~njb;l_dHrL53Z&X0lEyU*dl)6Y0 z<#55d4-Cg!Ckrw{T;M~JdRRrwdj*k$GE(8_H_RmmyARjax{W-kTQ@H;GHaQno zo!mIn z+>3eWWWt^A4C`*7^kElU}F{nfRlzaZ(6{a@htjnv*WRnfgw zN&%XEmip+n(EIsfZeBpECF{Gb*he2NI@l>xT7hQq6B_p{*X8!Vtqfeay7{?V^%T(1sT8GO{8dEq;rq#esj`7hfW!&-W!qkTa9Vs&+ zf?tct#0Qp8lFFl`Jg4I^UslD-1Zqz$3YD@p+$|mT4<&dn~g|Q^49f+{$SB8 zNCRm>g2=_@DrxUVmQQ1<+&v!`a!{hdT#zbz=H%?Gc$OGL`Iuw$c4Vy3VD}->l`|yq ztamsQj76B}lPQI)Zq*R@41V6J?WB++u%;GV2j#~|0b#Djr4qmT3}Zp%5a9w7Ss(X6 zAulv@0PPz6JR@Q9=!X{;POAcq&eBuE(=E+6v)4Ok|Eb~XerTh6` zp5@-sSYehADJ$cT)9Co4nj6nAo80hEKSP(uz=(syRlThO7sj9|otJDAtf&Ex;?qDj zW?#+NLcQ05kT<_{zC*>8<7i!(cLutWmXf2JdvUChnXMMn;i3vTI9G+)O>IZ3Rr<^W1q^gR>62hoG1>Lt5TmQQynfYCts1~!1i00%bcOhOsD@^}$rfg&S z=&$zdxx`~TQS|?g`>d`}yTB51-tNPBE$C^uzgiIah1Ne^+z?7R62!2GY9>>}$1=yJ zRT=Jl4GvUh0V(?}j0P zN~A2Nl93Lley4t0=qi!Ab)mCNyF`?%N~;HSiAF?RcQb{<8z_)yX!5T7Cd0*dRN%=6 zrCNF9NB1LBG*%Zelq%v*?5}Hj^Wcxf4gNgUD2oC zs^#@mz@az0(tfYxtN*8>FGB2=98BFDBt*03%{ngh==Dd3TsgVt--2^1PaZ}|MMwYj z_)E1!@imt>oB>4P`Q1t>Ish?hkK%_K{nB#T$$(v?L9-4=fYw4A2@oryOc|XVKk$2N z%HBnp01$99FDnwuXb`TLB?Vygf^ex}LXOljg-ZsY39cN5z#5AhldI0Ml8C)!0@UXl z(zUK@r-oxi#@5k#S`nmKH`?N4>d4Iik9_?r$Fj6PSa#>-;GRwIi`SR8oHh0mzEV+K zQS&=#`(-9yWpzC!RV_-qpR?-5+jDyMM!Ag@$F}^7@>bmnL&A|=cn=^gQ0P)ugnPEXmbv2dA8yAS!w;_BQu!SZ{8 z#hKsi6KCvpSfROc%vr~SbAJsL7%FRO*E05qLk5TiuASz>eei~?1I<6~yw6-aT%}krHdnoF zceC@xfA3t-n_2TawoJV4{Sk+cIa_P@v~dTnf6(i&#(Umh&R%BUfse7j4*%J60jn~t zeRtoM%L>$^Ist_rh(l*~f@W8A0h9;%c ztR?7{f;+{Q%k3Vm)LdnGOXHktyf4 z-^lH-b~=zV<^~JreVj`qidVf>3EB$v$m+@c7T*42$5C+me?&@W_mj_AKxo%gCRkp< z!X>wCIs7M!6McYUCm0jPa?4n9t=K;@-ePG0OB&3UYYbce;YwUW#AG3MX=mp&+c=XQ z2v!t$hlt)CzUQGw!>Q{2Wiu41&nL?QjgAiMvsr((52)2woMR>KlBb+DB5Z1>CfluZ z)X1Mj@3wbas%AZsTnlZUCi>)F_FbG*cf7P?WpNE9E6sa_RMEF-zP3{^+T8ZZP{|Pm zJO$=GqUCEfk3QNw{pPjb(<8%UH~V(_ej3hYDW%=tV6Qv`4L{T^%vc%zfLouF187+= zQhr^xsozJn&7W!LJ*X!^yZZON*zf}$&|$1KKf3gsm!x&(-NyVt>B1_%2VJbo?E(^=Yp%C~@Q;r?-do3p<}?Y0sz9=FV#>yM`<# z!SF-jZ06CePh9i{Ix78aemla*3(mmrwSk7mP&k7k~$pTXnt<#~f` z*Kg*dFbkH1|EvItS$8p08ZQ|5Ha! zXCDLOzU*Dau(O&dR~(GeUk=SWH%1yrG(z~CyV+ui2A3bPX#7V=@+gtL4QBIZHa6pw z2H3-8LEJp5$bK~ovSPv00dOXq+wDk{o+_n){>GFH`dn~6F%2ERb&_#p9#eHpb<$X; zQwlfC$#9M=pUo*N6%DNWZ=&(<#IHEj6+8P7i!ZxxH82*$k=McvE%d@1DuB zqucVAcFs3MrCDDu*%h|q32`rZ?pdhj(`HKriQ*koT;*28tk38T{52aocX2eNMDLhm zL`swquRihi`7UxcJ3DyyX_`=nWqxk(7R6BZdSGppYjo5x+r8c{2UDv#KkNZI`S*=i zW&h{lF<>Ktj%ymCtMVIht$U(QMdQH)d3NF7tmJ;zXO*rH^ZtpeNMz` z1uCOOxeaWeY)YEEealueX~s9)qC++GO83G)OAPrbp#_CE-@3aUfk1>PFQ3SOu?7$X z!q+olHx)Rq$4&ASn?@d3SX9m>wjb5RV}O^Mzixul~QRrT@be8_9v7eAgpj8e&h zPZK-twrFUYO>K{nrv1aJYS{; zG()`&3j$oL4urd_9n3BNCAHUqJmv|Akjy6$faW@1B=um4(s_@0g5)?E&@{>coKOg_ zvic5on!0nT;N&t`51M##V}o*D;M^zkJU<`_M*UhfR?g>iC>=jIP^K88h!=+N4r`S* zk`jM(%Ukzuy4!Kb*g4n71_^TsPH|$u+DY+tE=s#T1G%KZ_Y&pG2w>W&^UU8Gv`+X< zHTr12s+&%`zCSN1Ia2$@b%oL$V>Uak-q#xTjsg8DlcE2<1==K@Q_8jv3L0fx zs)t;2U~Xs%Sg;!Dc~qHHP-ZHTufCF0aL%$|Zo7qfhbP zD`Q$R(X&9kD{Hk7V#m=_U=x;Ta$`!6k9i+1G+gd@c~+6+IJ&FKi}4GMZs;@8PO>g0YH$d~BxE$gQRSRKj03*Ni$K(grPBV#@+LR=+#eTx=6E zeWI!SZVCSI4rT1o4aqP>u;C2+0S9`}^QvB;jL+i=t5&{9w+}}6QbE$euR`w{Kr{c< zF*q5VRz7R(OGFTXOX11`x0tsMySFQrb`*i{PFY8JOuRQ)vOn#-vAd5oNqG(XZ=CCe zPmE|q*$QKn53NrwS8SO1D~J&7*Kz(g@MvvZPX64M1#Xc~s!G(@&f(@0MgDh{6$n5O z$4%w&FPXhIX4T@DvZ9ZXq1g+IvTJ9B34Ksp7+vKs&0wL`=_#W}h+`TE10-OuPo!9nWYd4I%i&7kOW!Ipdr<|+7&*6&H!a8SYmr~W6VsF`Q9M^>gxn?Err@E7LZEy%! zfXW8?gDU%Adjuemde}fZlRAKTh#RO2sVj*eMma z|IO!d$Jznlh%j}n;SxuM5K#W<;k7(%D z3Ps|SCiqN0esMcjTzzQ_7_8ZHk^%GsD6*!9aDda~3PmtiDm1LI6m1~#3nP5h z?h}^G>S+z(@@mo4zuSk%4>$GxJ3kWyy8y^?`uk5N{Q|&fYJ^iHkto;_w|ob}phI(w z!1%1Q@)LVZpQoOn7L$3OrcqnyzwAaHV=!3Ob73Djt7$=8B2o_S9O$87WxhvbOpcb)00SK^ z2%ob;v*c)OOv+u+-xd)doW>#`FOmBL+$E6a3wQsLKwjL!;)$vlmA#!ao(62+2$yWW ziVaO47q}lGeBzQV0&;LIVX|9=24QqVFH1s@XQ<8qaW0wquy)1=Lb#?Pu$S(V7mbFO z7}Gpv4^!5?6vPJk-+k>f#b0jRtd<|BGvqx6QC-I@1MWKip>{7K;YIrNvA2 zMD6RA3q}gp2h2>R3I|p%{m02s}RW0o1ibs4RG@%yXRspPtX*-;Ed+lvgblO#;vUw z?oz7eXnayEV(+3cOM1rr)r+uz&-3!MW znAT*AA|+lW^!f9pMqIg+I-sDSA8%ilR*@xN+`^sdb7zG^;jD1hIufYcDTBs@nQ=To z)Cka><|RZ+BPvtuFEk1#cAY24mk!3mMU|M}8pZWzEsiMnx1yjhC0DK-p{UgF((iEaabr~NtS*M@vMW0SXs>A_K? z8IX<^LJ~E`fUSPw(Wa$=chiG|y-yPMMCQ2C&GptlzYPf9Ew@fz!vE( zzW(!t4(@bZJgB2SP00Pi%es3tpc))=bg!LzqokZ=hf1arIo%12zmNLAL!|HTg+T5Q z!D{j-m~7C3yXm0D+p3E4^OqVtt0OJgyfRX6+CYzVdz0OgYPG@__Dzucrt|X^hrC_m zM=V0b_A8~xUj~2L^WnXQ0$hLoWHLVxhhX$+q@2`D#A=9`24u@4&0V~Z0{Bj)X*ZEP z1}iP-OZZ9ogu>lFS*HAbUG^+F>Mu_dr^E0_$7LvL~ zC;n_7k2v?$ZbCME+Ui`aT)ADTp>WekcH`ra-WMr#q|cjKi|v;5J#Gb4(^(M&#?(u6 zcOXJH_a9_KO8DIaa=gPo;?ZwGvZNftD*6F$anHPwF6khJY>^b46#G3GoBjRpKD)wr z6qD9!8DTdA4p#_&q#q(;&CcFezg#s$9*6{+#6W=%b5KKzqhmHc&FlLexT`+bmvc^I8SOyz*Q!nON58P%lT+g}InKD-8l0_H1+cI# z>D=~TQlC!MF*pk;myw%i9Z$?PjHOZ<=@*0qcs);sm4o-r>{3x-lnqc62C-}*bOv8>Ng^W3?B%q-nr!xyb< z(JppXCa+&*9{pie?nh)jqsInP1tu)xRZnLHT{NSRi~^Cv$ZgyLo2b3`^b^Y&qsmt5nxr zhoa*2c{^BI1?``dPZdgzRnE1$%$6)Y8#pmxQlO7Ix~z0@e)fGwW^9xkjhj8S2Lg(}WNT5?y)|q06i;$omq~q~{@j48 zfyQ50>|BJ5BB8Kl#qw}DUem^avb0QZDOCVkk>6quH{>4|@p#ffH16qr`NR#`M+0PG z>y{^WaBt6~XQyQlVc)be5#v9S4gZpiPad5nfJ67xP7=4MCO+ig>wOoFnF9NP-cOX5;R{s15NGZ8Qo(gWC9a&sc3NZZy!YC{5B*<^H)r9d+;$u> zu?lee8J&e&oM(KePdYG$MyoB`&bCnc@vefZ{JF!L8az;XZ4x0+_ z9%gDprsB?{@~=kUHo8_+p6j#F^Ye!r|Nb@zq7AhwU%GH<gYF@+?#sR-#74K=HZPa*6XoJ z?mo>-g#R-vSTGDbD{|}6ya0qt(N1oNZ|-*UBaQtvN8fM#rkFn6NXP+;?Gns*)PBv` z;lWX-Ro0TrCf&bdG6+BS-~Jggwai&v17<-O{CfE799>BX=2Y>kaj4SP!hDNAf^zV` z%zaC=$j52g3O2A#0CtItln)%#D1EBJ-+WD*0)5^i(E&;MXzXxGsA`dL)zSE)K7Q(V zeE{pDx!1d@)5#~jVqyLL%#&Vxc}^H}U9Pgw0loU!_4*2ia7fBwsXQz};*EoaQY6q+ zQz5KO`M9Wd;g%43rJNikCyMc>tEIn=EWsJIfoXfJ4+Ws~=vux9Fi%<}cFqK%e!wfIW8=gD9*l#yG z=oDyofv@r(H3G4rE$zAN`AFRdi~5~}{whD~tLc^dgJDKLfhRVAP{B_3L)$&(8)``jHtqJze^7l4;yliEGd2j7=40lD*I=cyJ*p zTwBj9dyvI8JE!wXcuzTPmTFx#$a(PVU(u(|r9G=o#hP#Uu$`Bisrc{vHo~3`$$wD_ z@~9re@erFRMQc6bMuiVbfP^ zllG0>RI^sDdl}it6EHGnSLlvnzGF|4UZj$8&S@r?=Xt)s$-I=_J`C%Aag;3C#L!g@ z2qb){Yc7*qUH<&@pp#bDgrzAwCx6dorGhMr&4#>=!iC+pXhGWUo$6`RlEG2W#a$ew zql2NA&b7Wv_pV;Mp?;NRhYgW@cCN9t=4R$#6wchWYP>v>+0EKHw%ya9|HOuUtZ}7O z120DAs=E)&l5GSAi$AYsF<+iq<{GN4Y`g^{H{!h6+N$8-k4rguDB3CA%XQgVrz#!w zANFk@4!#>x+p_zIUId(l6MY(sw#uHC6XlD>kEIc15)IyE=`D@RG!9b=%8aCzA&9-S z51q__VgKrngI~(%Lm+{J2E0)KfdT}=*evnd+Svep&o9}AtDC*jY)vWMhVrNPlytz! zC(J#&ck*Kx?9H~3^e|@P$V$D;wYx^>XMf;CN_QrUHFN1|^2E1l_g>ry9?{WKfc}HdL`gW%VgW`wy++ z%pP#CkrfCkuygYmt8*O+>U6b38(HnVI9E2DB=Pit_ACo_Y1uOWX4RQ9XHItUBg(Tj z$rVc8;*~&95g5g!^STP1nwge_o>jRdhaFyZd)wu?C#Ie`G4jcaw$5$8{33P}=~ino zyCUu!0$LnexUy&Q267PSqp{GeTgrRGS?4=8VxlalyD|83Aw~*e4KSddq1nBZDNi@s z0kFFQ!9={Qz;7aUPK*66dw*JH63`^8mJJEy=loO}uXT;7)+w>+d}Ig>%sf_>pQ6gD zMG4aUtBMDBg#$#jXV)%1XcRJsHoP_3vRKej@41=2Z^OCYMrCUmY|Eh|d9kwgJB+aR z#%1fgviE#)KD4iRNLy<&)aH2h)-mg$Q(E7R4y;=}7j)0+=zz3PS^o%K>mrn;V(ig= zeT&^I*G-R_2Rh+(1*9u){(X33)mLha32`Lc878Dg4K)YV1$2W{+8%FXl5#Bc&(- zBI=&T#;+Oye@p6-bTUr=2&Z%HGll0Cg!=EV82YyPDviMbzb!_TlPshg1t-Y3D+5vA z90vp6$BECLfd%c@*dwFGth*8Y#=2k2t?}AdMa`9=%HG@c2#o>d%ip*^-Ot}V<)Gpw zO55>i*<*#=OQf7IZhPUCSYqNzJ)=T?%kp&n6>r+KRhypI=48ihH7S!MF^?ITAQ9Dp zspZuQw+?B=o?1>;D0K+i(sS6YJoLe;shJTAx6g_Wj{^F(Ee|!RKj@=LC{&0xDLHPL z&ds1twO&hmru#c6GkWe{pRg-uOF$mrj3Dj&!}ZqNcRvXL7C9qkC^h2tn%8Si8EX=& z=-LF8=)u^MVoUoC1HX2PG(ZKn-U>~2Fyw2H`{hK=M8NHf(}KZLKXQ{LvDFkkCP;0; zy;rp)h2%$DYhEZ@S{TU&{)Pg@`d)- zCOR*=p0(jm4^$7n+B;l}ckCWD>{L)(kB+58KWZP*J1=tg&DxR=8{=&C5PV=@S>9bA@J2{t8ZJaM7^Od`M$f9kB_!tpM9>@oP0&yN4#|SvGgEYVjeb5+k1&mvc z;$Cn)a_bERL15&^N8rs7iIY0`)jdw%vD@)B>w|fWdq;B8jdyLO3KL6UXL9CjYWBJ- z$#3o6PM6A)HkURM2y@rE1Y`W2%3gsv-moOaH-&_`H{5IbPo3K(SJ#BfDT7O=;jvFS zXnx%+WAr`ppDoyJU*quh$7&;6huW=M3~0}$u19WnRnpBCk!*KoDA@3_^5`Geeu->w zkGC?hJ-xSP*ay3Z0zWD(UL(}__a8)4}iu;L_spSC^J@w6>+sdvuSH{Q< z8pQvn@Z~nS2WA$a{(__h*)@ZRF>$MDKxD%yBW6nKP{&H9zA!vL`jqmoU)NE4;)?dw@;tKoa zB)PNpTBcrQ^4AC1(sjyBQn?1HH!1{KLqg&;wXk~|e{6OxYxrh|l4uk`W7yE=973Vs zjH>{+oG(oZP~HKgcz5zxg*LOrQ{!2KX6e4)`;Wm6KI(k{eWA^?NHkOf7vE(-a$daM z?;2Pb69f*3tWAEt1Lbm%y0hWiDC>JNPB2fci2?XNuK8P|0-JT?(2urV3dS~WL6_~o z=;?fqp$_rS#Wnk3Iv2H*k6t&r>#Ac;44M$CdwjVMnIrW5YQl-YhlmK2DxN zgQ}q9#O*A|jxJzwdXa#s-$p`-*j@qTfTH;c_HzkSaUJO;$L|6PRz@@I+q{j-BUmk{ zSR$fE5r)}sS6S2Zl^e+$-#OiQ%@D=lo;PUy8hkoB_i6Uljg<-~wPg*&xp!l?%(WW{ zc8X-ZP8sSB!?ZfQGdH7C#iPXfFk`HqT z;W}B%)If{?wHHrUZulz{3gc)%tt#+1^qU_}DGss%S6qPfGD4=pqwy+!;ac`~`4OiD zQ{<4B9FA`?WBO0+DnZm!N5T-xM;`H?AuO2;5tBCOljm*@`GNV-{dJT3)$YBC)7OX_ zS{ij!>s+I~W!bgqMoiDMX|B=caF z!TPp0Dx*cCI}+uW6Xg$G{rFd9YK^|hBz@Z$xE>-wN4+KXhZbB7ghWY$2vI@;K`ulPoO641 z08<`l!_aVc#W|gzE2Dx$N3(h0GWzAaEvQ0_n8;%qNd5mRV*mNT1J~Ch0#$GgMugvZ zf&$P0k4E2r7@xNfp#m5LlddUp5dH*#JyJk?)v!_r29lXbp*IO)s$VQo;JQR8?D5mE z!kbU$qp^4(p}zUfp>-=F09vOE_JLjM10e^&2q94Bg;-;XwDJv~IwPbFlg?wZ-5Oem zG1VVO>$Xi3k#qz=r4opz_jO8$ol-Ib$Uj;Hs0T}jnDQW54z52JEG-luQSn|nQ0g68 zqInmMR-l2WHjSR*f} z{q@~beTKl7X*iER)L+28mLS9|{^-~)K(Up1Dfub(y+?>0%#DG2S`7%ji{Fmg(~tnN zO-CTcB<=o7OggjWXye$tG*dJunzs~wpf_v_1Xm?V%Y8+}^={{JTya4%@T3bEcPI>{ z8U&jP;X`mO-*BKBtTzjow>R}{W}j?>C70~HVbUiE#2<>FB{eg;g9C_P6%^JTu`hdA z(PoAvVzFc#M^1)h3@QFl1B4{D9M=w~GKrb~^9DgsgnVfr(PSGh6{H`rd8tcDYT&qI zAdxs65?LmPka8)u@&yNydkMSc@+w~8*Zu%G<15Yg>-5loe*+@oe>9Us(;q~tG81Us zOt}01==+z&y0EPWh!4Zp09m~-af_aKAQNvxr6Zh8rHxF%(!P`U-Sv+!26m%cgEw{5S#m1AVTCP{FL^KA^2Yzy^bWgnb^AQ|tY&S(I%{jkMR4L1dy?9ZIdRTrr zX$)H}DGWsJc;RYFOjVij1<9S1zy5%~v=;KOc%#`QDSYUwxzkwE=v;UO)`%?)GEmMS zlpQk4OLi#h@^3S`+_AmWX5%2edWefio{)wh0}1`*S{}2m=^6`ii+N+=)8 z9FvZ3QHEM_ZM9S)6iKBbQha7cHFIr4jv^JcQDV;097AR^JN|FK$N%$qh=;3rpRd>R z^?W{G?YFr(Nx#XjlRU))gYbF~Y+&wzS(DwfSl zP-7EW9*o;W)^k&vAK6KgfYVF3vB!*T@)_rS8$WG3 zeD2P6x5!6H5z^`7_iZw?gD#lgY10o99&#N~aR>P95$DnCm8ISQ+CzBsy{~=`e5@0HX)9rsD#1MqcY?|J0|5@rCe>&$&hMjh}rY7;E_S4E# zyZQ@9QY#)d4c0Y=g+MwxR79= z{u@Z~IA|qdF>q_Rq;=HFrjw z<(`b91+LGuNl({zt~qt#&`B?Cob^4>wCC=v+>;6$4p(8}**>5oS#OX%IIPqCo31U!@j-2#uZlKe}cNBCDvv7^E!{)7{EC!awM z3!DFQrsL~;*QVDR%L|AR0uZVRZsQgrzY1Un$VrQI4y62Kmv`h> zrU9grtlhrNHRvI`+DIFQDYG|RDu-msnp$&mng}}m;F;#)?7I;MQ6R_+kjn}hedWsLGH(tGIpCiVL#KCqBxl5>}Ulp zf*EJj-z36*|I9f@P2vduH=&&bMfla@);Fx>2t66n!}$sEp;Z)!sXcsZbq;;-F%g1i z1;I_bB>4KwCCtlfyND=3w@xD#Ah;`@xozGKmmTVP=gPcdXiP^>YO0*#z;LV5C_4S0 zv?ua`hBfUYw`VCEJcBTM@(jLt-S;C0I<8f;J9YiD_rzQhVpFii>=xqH-;CM74nuT0UgDBfHMN-pG6JrCbmt zE`_E(EBVcH#51+IlPYn%^&!`ckU*Lkf!V75nfL=HbE90}G9rECU8jM zZ%-$xt6=@4IHjGW@Y)$SoZsd<_Ms)qwL1uMJ$bEjCLgq!5wZJ3YAP3xV*hhI_;3sQ z%)cjOF+}>@M;iCWFmkza*^-sNJxyQIQn>ZdY;wNp8MPKE=UypugD9f!wcwlYuls>F zt&JA;&L?hMlFs^{7`FeNIg~H8V5e8^70zC1#qjvH`yLnC|C*kryrx(`{R)Nia@nY) zg#IL4NI&`&auS6|Vy=@c7Z`8H&kFf+#V`?U<4F~sA254`!2&!`km*+98kv%o|AVull^;v7T@p5PBW_WaZQ6Xl2bx*2#m2nCiT zJmWrp4gB%paP?%=o?jIK;G0lo?5itod?&$*p?jGb*9L(Ncz_xB(`j;L<(qvn!1K zl+0evm6k(D!u9U69$w^whPHf65*PO$--@6HtX>-RpDj&fD_~;#i{p7`#!fJwlOZ$4 z6@5B)K>qSx2HJZxmLq-%XiQ*GGJM?d_8}!HQj4Qjg|kx6Hc@J(I8Lj;B~U!3XUIgd z3vCCpD6@j9s6+ez{sPR-aAtUkG|IK}TM2$JB?lVe*T0&5^>;zoulM3-Q2&6H7Mzxr zmKruv*|2u~6JwKkzI4&I^2MF8w&4$;+3r8vn)RM-X;U>847GR3yWPE*a*HwZHfxQJ zyTkBUNte45t82AIXppF1^E-Cx#)yp17a7Cb9Q&prb|A0$ME-qEUI} z@Nv7X=Nd0S@#J;U(VSCAyuo%8vOhx&m@-_S-geub+jY)){B!21jn)ic4xeiU$k?BS zuh59Vx?<0$yRR6JaFpynyyxU!L_WcCTH+MJ!{ympWsR$bpmEYh9Vik1qezO5Gj9_* z+%hKDFBhhbu;-6#N;7Wc+fIt&+1pf|{&-^i^|6Q&+s{`Qi|v#T^6PnzEAy&d*pmyNo! z!f3PsK7FijrGwd3>#O&u3O)uKwn2VDK_Nu7Utg!!9DHu#s-R)`tj2DCys3l#wEhcM|K1-~S(2aQ6zrU|Wpl?na#?HHT%-Y|Glo}V<3GU!44qNaO2B@cEd1eDdtha%1p*TZ2KCh!(49)3C>v0?N5%$(=X=I&~S)BG*Z zC0_hXcnp)mVPc!zYlYRcN%sS?*||-OYpXQfV+5}cZ66Idg}82x-W~N^C!|o5hT*M)%&(eJqK2id|7@y+3&p%&a&Vy20DbW?PMQsuL% zD(d3u78aDhjyr}p;2@jd#0TcIMbWMW4(QQ|rs*Bok_tAPjk#)YOx8*@##L@mJ%(Vx zb=3~kG%CdV9NyN?O%M{r1wh_ruveJ1H|;@=y-7Mt|6}7mY`^frm^3?Kam8u|HzXXh zELO&pNpYVVb+W<9$xrBiYt=E`79vj$2Yn|mmq?lPE)-3T2%;JcAcZnq9bFD>H``t` zlU{x)KqB=^gI@#%2l7Uv&)?ogR=R#Vk@`e+jr>}b=uw?G>3NlbrDxtn1cMg-_Q(#4 zx#q#LiCW44H&x%O%86oUVFS!y367@Lh((!LA7@`L@$nCw8cz<};q`PL5RS};?w8T? z*dQgG$XKFFV6n`Fl7wvuul_AMV3f1N#!LJb(6YpDQIh%>b*$t1G~Qxt{Nx)7uba_b z|AYcl;U#90u@z^)30J;&z-aaPiwdy!mrw52ojP|SchFC!_`LgoCEN{@bJV+gGJl6V z+>n9LR$b5Ds~Tal0<~iI!Lohv=hUyZU)s_%ZXr{hAmiU~WL!4sP|}vwgQ}^U7uK)4 zt$V?nkf|}mjclzfGHb2a=g@duBKP}_?@cNocynDZ)&0TuW0rv{mUK(Sr!Tk2;@fph zP4XY2s32>J@nhiin>Z=JCON>UKfcnOQHm!c0()rNgYlsmythvnB1*SPPtV|8YHVUy z>f2P4r(%N5{#k9@wNM&}_JG1VN>`!L=T;r7uGa3~WW9f$Hbv8LK z5=-!2X_h-GbIH2c^D7m!g?husU0wYw>*7s%u}qf!`MbyRH~sNrK!?nTK2DpBUmlfS zk0e;#L6(K3c3rQZZ!`g&e)%jWK_7tWbB*qs7J)U}yrd-aPS_88;~{>3SO1Li1{EOC z0Dh6*OqQ)0xv|puJChVmgXfaTw4QLQo4QyFseU!jtC@P)3cEe?8EQvA5OxD z$S3cuE7DFp`}o;^+{6IG>o|h^$f&BqT7J>>3?H>iuBdFn+l*G0l ze6~dA3QTu`W~QLeZlnCu0U{Gr^BKm#?UqdnIpWDN?`H=agufnVaKoi#tMG>o5x?kL zPG{IVq{UuRHOu<l@H z=ue_MS}JVX_{fymUQQ_!r=ZA#St^EKj-l94}k9jU?v;A^=Whbn_r0BSVpy>1}qMI zZ^5Nufp%%#Fs0wZ`J`JkY>sKk>+9-s}7-^)UDZXOkDCPP)9rKz1==C#km4?hy^TJb~EqxKEQy zw`i~3%YG04%%G%QvR!n#1&qjmeKTm2H$FK0^D(8W|L>bEH)?tl1M7r@ksp_y0oh## zXreH+UW#1!*Pl1!-2W4q^y*C=V~jSyqZr`i`E>_q_cQ?sKHr>EuQJUS)!^#$fTSEE zHpkc36&mV#CWhJ443Uc(e0tr|c5rWEtstiPLTcIN}B^L;~{M5f$ zwf3s@nw1etPjwFIyKuo!$-7W(i%~LXof|d&bTRH~1@r3|?-j`jbpu)60zg~hCwysk z?h@bAjsJiYse@u`yhuH`pP!g>fJN-WBoW2tVsi=DQ9T}FZh{!yO$KTgG&29oEiw8x za*?&Uy2UK0_73`_${NG8V^$e2LZ-57kz5y?TYjU0&U~zPAquTbc3*+gBA$cOfg@Ar z&X2&z`6(e~xQ-jbjZ_2~INNMU?2{M{!u@2eH%Cbh=XCQF3gaPPc2 zou5GI2b|qwz+aLM4rU!J!ISNZbMT6#Co-O-W-Pu3o)mZ^%rG#VWP{p|VRzkO9~u(s4I-9IA^3qH)MEn4(&a0i9o zT%VT!3~=|#M!gJ(3V`4V-+24nklkaAYp~~St`dkv;rV@EsgVJVT9E4=mziVB!8j|u ze8^+@gA!VV>)dO?{&SmesU?T!WqeXp0Qnr%+>H7#gA#Q8Ftv~1^F`TF*|76o-1q!h z+PEo_d4Oq5KZuQ75phjZe)@-?yL@I17V)TklK?$Sm-xyjV0p&+$e1GPR+?M*#QoyY zl<|WHmv~U2pu!dIyFYvobNSsSyhRG{Ko)x2GnoDXCbt2YKSE%cz2Co-4)XDZ4mD8U zaG{nMlUDb^3&mSs#c;)IVGjLqNaFz*W+Yy{Nkm_Adt6@2X@M^B+6{++^NMX@?y0R) zi!qjPV~wfrfh8ZcIz%thIp5F%>AUt~&J&Ri+}W8l*-OGGv%`hDBIc1T%I ztD34;=pchWeVtg4JVPP^*%@(QEFqYzHS)yf>QsZQ?O)!@kCe>5`zpyQDxXb5Q;Fxa z=WZRc(w_UIAS@@g**kiWAS8(I{hP`a_8(wKKP+NhCu=DGjpt6zc>9S578!Fr|Gg!5 zP9PE6ik%Iw-jGPQ$FLEUkIu;>}-sTtNZu}U6O7c-bvOSM!e=O0Lj8QSwIcVb-A-;?t?d}=Xn;D>Rp zm5^%cK_4^sAHVBc_8s!?xvb6t-5Qvu@l|Nzskt$!|Cp&#Ao|1+n1U+R&(nAv7evdt zq!fW-_2nFF450*HyinurKNfY&IIVM0Tocnt398nA>?*#JVhR`}Sn_=%6f?25FBf6m z#-LitW6?DzM1Xmb1YiU1sb!)8Qu}eE&a968U835eebxHRvy!8Y`~6{x>%W%rFl8ja zDF@PmVUk}6eXJ>mi42DPGqjJW&4xV>LgXKh8+p2q;5D1MwQOmr{p3JO^kA*Ld|GD?I)Z__aM1MU5!Lv9CN?|vdYy~~18s6a z-||m_cu5#Psj2`4WAxn-sPcOE6-E)|ort#-6p10vL_#R+3*TcE4~T)(`@F~?m4WHy zI^@r4V`=~cV+eq6k?QF^bxE11D482jGloGv(tRziybp09OQoQp|fL^APa9?SPXk43~j{rT;zTPlTBO&b3qw6H>sc z-rYL%Fcf(9BJAD5$x^0R_BHrv@GMPgVwXXl9NCCopC9GRpCW!NQr~v%c(b{08pchX z7YtT-O_V#xgx3`vD06!D=y-*T20gtTQAEf~Wtp_BB86^s4Jt8nJY=%3GIgK3zK&8D z`>)O*=o=H{p0yJWwtVq8skZdInXg0G)y4sK=(zOS>phyR{0YQUs8jj53mUCuY^A&Zp;uC=pQ{wo`a*&-?ykb70^|?SnV@R10Fv7lThX z4^RZA+4i;}lyYB#_(ltV7(N@AI~MU9K|wDNQwBta+rpM zM*hCu8mN%^^+~OKwCH)k4|{EiJj~GjvS%gSdrn9>*R5hV5)~U3VMSi7K1tNrfkU$co%nPy4 zbs2fGwP58$U;X7A>7SSAF>sxL1K2NW2r1)rpCk2N?TY#Pr>}X$<-YmVyiKrBI+vKQ zWFV85^iwLP7exdWTv*lc0H%=pKKYzDv=yVJ+3X$^rZ=^BQauBV7ZcegzM%*f=(39D z;+^!r)l6lxb%NuXtHG?pv+oAKtS5vle~^)eet4s`q_gtmZ7pCE9-jSd zPOv1l4XfM}Dd!;hmZ@zPF(VnvYm*}%5q!2<7o&130JCBx==4GF^;Wz*Ar&2p=z#a; zdv4`q%sHmgXWpH7Hh)N@u?dbm47_D?=#7T~H#dgXeq2A#hHt5WA=UA-6wJ{$1v3h! zjYa8!rVj*ogV&oKr}qltPy!QDzCujD>Mg{l&*q6UIY?&Wb5BnWg%r*X&48=MRI#r1 zH_RwNZV>EGT2L0m{Kt-X1L3aRwOb_ITv(;|K z1|OQKgYTXLZ}g6uxYPPRM_&`o;6hFz2kvV~RZ`cuhYZGg|Csf0jqTg?aizs%gmAdG zQe&;iWE)sWAVPpfJRYW`Nr%b@81gSd*5E|vk2{{b(t2dsShZzp?>ffGz3W;Oa$L8k z_f&eEzqLgsom|Y7*88^!zvGnT!7>MemGPQWa+F}C>)LJd$g!TEwR_{tdV6P&Y&|3Y zCb{CyG@|GBk2iM8Bj@OPFYcEi* zWWe6}#TY2^7?b9xfu(dTo-l(sjIf>M#QPp&OzQOl*STtQPR(m@@(=s{gJ!ZAhNdVE z%^#+VPJ{Cd)pOkIolmrc|L3a_ql3S%M2GO0=}&~vr-L*QGdn5_G98rx;Exo~gOTHa z?S$5S6*+I7B>ofS4CGtBf=NW&x4*qE4-u$Ys~*ns5R)K(Am0+0f|>zJ@O$G1 z_m{ryJs;5?!{2Ja#euO3Q_tOV6BYN(#y=x)TlmHo#5K)``o|1OC05vDslEjSAK;hF zHcZleTp+=h5*LN7DvIb=zX&!Ki6`c-t%*4Ft}3PXq3Z)(#7+n`mb|UpHu~X>2upb` zAf~<|oW4KolN{R|cM9=@o-wyVwqzP#E=JYsgL50MFPEPP#3{v-iYqy4tQt%5j%PGU z-PR*Fun=6DlsotaDkq}XH}z)!rHb;Yn6F3F8q!OC+VJ>E`(7>YjD6KcFc03{`Cut? zYNX6Sg})XkP704x+@7ez3VR{gXl z;j0Gv;vvj<{e@Exm2)D_UvfVyK*|MYvbmz^-6=oi?OEPW?@NqaKigE_GB_pobZyMA z-?{(pncRtdbmZ>fqCB;Z8y2^K?CmvX7L z_gg%)&TBR~cYCX8w55&xSakCBf!%l7-3{*i4%GHCOE-+Jth7pdGLbtHB`g|xbb!I5 zKBIn4H>})BRQM>Bs*-3fOe}ivCS1i;;6WX6P~f-A6x4+0OY;DmqyPD0LvE2TV$sP9 zJr9Uzhb&6S*y1^C2XU^Q%-QbE0nt}lNj+nZU}yS(1%*?{)R}lijwMXdvY+@^FTcVg z4V=sns_%+Qk3tL6jRm<#jzj0q+|0Lg>&^f>LT#enMDpx6Ojhn){c|wUnUB zPwsRbv56@%8Fmp2Y(u7(w5Z}Ywwf}+)bF5Ahdwl^Wf5CfX|@qGlw;+d_Ubes&DJ-& zQ0);sFsmVU(G{gsYH&f;?&(H1aQHG^z6HnaUHWoM{pih^_6=foLDgly;jz`SMU^(T z8%95e#c*38zmJw!c2Nx zwfUBmqY^WvxO|8`$3&sXa@AwU|8B$kEGx^jj6qtkpwXb;_q+es07JZczxwOzGUEm; z;Dai%#LFR;Ym-CPRn~PL#B5^-)jFsmc_uueSnVe4UbGii7q2juaOsH(8`%f94AwTO zNl9@L|5R_PY;ac9?)e^mtwM9=iIHORjOz<>+dnsY8@#SuW}w8CFE-WVtn<%ErA`VY z9QEXF!0Jf84ctayd#zhdg!3mhceLiLdu7_v>w;uopr6_!Z&`iQ8KIv4z!v@S<%~r8 z9t|^5eo6<;JTvb&^z2&b^O!5dBlOINJ||9W3bBnD`o(ISmXh1*X1j_P|COo&Lo}Fl z)@1kfOchDAo%?LCa8~0&wLFA4#k@SdXrbg9?|p9QZp!BJvc)DaDbS~O`Mu4jh`m3% z=?0-lPc!!o_4UT8Dx-`#ve+Pblk|T4)cgZF>bcqlWGbt8GUBK4E;I6`N}S(p|MxW| zhJP<7U{HJn^eQPqFCBVwLPsJW6K*LvdSiQS8i*wPj%o3~9IcFwzuoN@?9#!6DBrGm zz%9(>{~3Bs{$i>md-uMAzFWoU6l%Dc4fvRLO5tlTEYLAQn*7A>y)mOm&*M}IxC&SyYHlK<6ecFe>F zd>wxAo|5*`SkO?y+8THNaFM&(ryX{$aV=Sxk1wTCw^|`7v0qy>;PPKIy)8)vAqsn8%xxmbR^k)(d?YtP$zpD6z6$#l2PWXXV=JkGsF#Y<*vy z(63}aUm5-U-f%nD;Ozh61IRtC{rB~zEmF56!gV$)oz!cZ1}f~p$!-6Kv?syy-gbuT zKXiSqy5`UihVbE}!G?c zXQeoAU&_PBe>#GD*ync?7$@y8e#Wq{?}ZLEHXyk@ysJgm_4H&9BNx_3$zkj0X5sjr zrSmffnjRnFZSp_a-hM<;FYrEf5s)DfppM4Y+-IM-0{xb(0V6ka8XI|I)B!-|q?#o2fr_H?a#2*Nl09xe9gn2 z2Nxpx(XbJ+YYWM_OBC{k8GFM#I3gEzonnb6QKEeCo@(UC?tIRXjOyC z5-v97gt#!h=3q-=-@68%m7y*O9vRwmx@_Cfmyb4zAX0^iTBM}hhEKXPHcdGm7$_u? zi@&l*K{k8>LSMQ2#kl-DDe235BRZ$2%?>GZrkg~;h5ua`5kO7B&;I*$W>kdVC;fc8 z!7B+ez2^Kt{Bu3GBV(jJ>A}~_dsplc=1A<7-pJY)Z<1=X;LUXZ5{8sQ3MCy2awhWa z1T~Ph**4|>)rc34Tg|I)ADzf4$$$Lq@;@s>^OEu=69+KC+^4L+BFog%8^v6HYu2Ms z`qpfmy{6k;iPBn(^g}`QL964~+YXNZOk9tRiu@!0qdmkR$UsrALB=gg!R}M*-DCFp zUO(*4+*>iN)rsxsPPuYb`O-!inX9(wmIwCZZIa#9(oP9w-=s#)m5q1yx~{|tYo(V{oT%B!jVmPQE~ZH{i}(!2 zrM$Z{lnAIRcP{>GqGhu0&y%VON0&Zl5A=&vHbSlkKiNwg-3P?uWS#W)g0_vA-yz1s zQpE7HaZJ+Dpl|VF)%*dj6Pg*BKLE92uQPu;d$?` zT`)cnPFz^uX!qsKg{r>&_TCG|h>>qj)v`Zocd{jTW5Kxw)B2Ob`%+A{q0Kd5XyLUi ztA>AuIIcsNL)YN#-blA11)_kJxjJ`@#A$~1&X1f9rY2?zJI`KtyYF6qBKwtXW7@U% zE^kWFF|lPIxv8H;*Pe&QDg;07ZWvr6qr9zQMT4WQVB_`RitjA$qpHup{uHiSusca) z2M)g1wtFY&Xw0e(wCtD->h-%Y)f*MG`mkly^Tvko=ECOI{NzIW<{{-(J?7~T!p0uH zG))bA_%dYkjXCeAl=&3pN%DQa_J(~x5|1KLw z1O?iy+||=uJ6G{s1K`b8EXILG6%FXPP}Kz-N%a*@$4-^hd`pszF=J5%vy;P|TXuFX z4Or*@7c<)UPFivMd}pD-mL%7eE1dD&=VwwgA$cmC?U@U2<**1qcBcE9(nc2su@ z+dO*SF~$u0j2b)JI+FS8jJb6zRgdlM5hQS1BWO?$l1luN=xY5?bMjMPM`*HB&wKTN_&qByE5+++jYi1xKNJ61P*dx+qHTGi z{tqQ(_@vDS?g}*oiun}TiZfvnAKgm(-p;M3?P<0T`oUiY-Y*jt)nPGIc z#Z^^fNN!GoP)?LT>t?WCrBkH6!tmUUmVJ&*i&6fOf*R}LeFB^MzPt-1f7fP z2VF*F9vnB^O5Kc`Z(P3>0sVYFMPIdRg>M(G62COg|50X)fLD41-OAMT+GRG*^zRc6m$@pWij zYTodcn*eaEKXnoX1!RImeu>I1NS`Q7O_(5T4d8%Fa-$td?p5P%piPvf8BD+;4NYbw zC1k1OqdJt>-z&(Zbm*8C@&+HK>2^-=$Ug2#+-?Ud#Ca-3U|CXvp>ww6Exo7!vk^@PB5 z5Pp^4{x_*!SJt{1pk4s*ni`DNl5@y{E$OW%Qk*HjL(45;xH2B@$&$b6PfkC1=t298 z+qQGSgMfs&b6a(I#p-hwFI#F^dna4(UJuU@s5dr^!WCPSuZcAt9c?hV`dQK8hRn3A z@-B5Veb=@FGoc$kzI%1$Ta4l5i;vF@RG6<_o7@%m_AcV8GU2^GJida`(AlP{Uo>$S zVZ9siqQB>otw`x9=n^Hwb}Se63DdrN8C~Sz5>Mb@1_Zx5{KE7)T%{U2JYE#xN}wXciNVs|V^NRM;R;R9sGEikqiv7-NB=LM%L46m^8Q zmEVlO`o@D|MqFV8&@jnapY_%fn0*U&0hsaZVBo5&RYXz}UB|~8;`z4@X2Wr2=?&}i zmo8{G37j(-U&)xM!CQp(PA1S}JzpCHx#_hXH{3s+bFfnHzZZ8}aUY}g83BX4IagOl z@2GB38y~>vZo2RAg18v4p+FF@q5cj*QB`)P@s^uxk>T0aj`RP`L>GGQ|HVRTJ zrMKsU&lz;X8G?Q|#hH>FUvSZ=|0ZGhnYl(7HS(;x+ENGiAM<~{s9xJSaAshB{l7YP zLJK*gLH))8&0e2RpphA9&lx>iu%}EmeSB54!msuZjo8Hi;8b1VWWmN4_-qH=%>K%r zxY8LADct58y`}aadl#D0#nYA*Esgp*OA^I3Fe$dRU4F+y!B1}DSXff%S0+as+QelBY-AySO0-RmElXY+1Gu@XPW6{OFbxh^a^Cxz++vN>u*QVidc3C6aQv2^%yE*#j4oD~a44$bg zZ_fS}Y?CwqzqVcxb>A)I`gJw&o^Cmz$)UJ9SpyNXpaI`832m6zVyeo4IU%d$b)(jygv2ukFFN$SDX}r9OukD3Qvy z*Ae)}!)#P9izOLMC9+?fX1t|NAojC(gPG?rLPoVd3;0{^X5d@SeAuaV94WJ%Mt>^x z6-uSa%K_KTC}GeMhB)EM2@_)yIC9fNw@9xQ^2&BR-~D`-c^Lm1jEZ5)Wkx%A;kvr6#;$eN4vz^6{VGE;sejEXREuspuD2s?cTZAEbgB=#o3-~QUOG$1 z=Kw=DL~=sinDpOE2QokwaW!^~DngQ?E!XiinL;B)yfOn>%*hak-m;pQ)SU9mi@HP=Uc!+fAsZ~4R!|}G*zb0&eNm98Dq`*MFLtZ=y!;z2Q$%tpqcr$%1BJSNg zDe;QnL*)CWD3}ATpa7{HfVZJQQL2;xFliQWUuBW!WH-T5;0q@XO{Fl7)W`1CmRM*A zjWjpcl~IZ^2UBuu?S?MO`*yH6t> zlfeBqRoWlhj|#mD4dnJAY;pA^Dq67}^RDIB*f~MM46!KYB zD8ha)^#^yl97i4?kA>!<=3+C}t^&9L3x3k{CPNfBBb6VWw43+@~(zmuTucPT1izwgz3sbT(A?J~#SuL<8{-lodE zbM*JgUkJu(VbAD7pY`TX)@qKXM^itSe_im%J0BIX4ypCw#xyyN#ctgF?^AA(gWs-Z z#HI%mJTX_Y3os?p-pPMWHqz9Me`5bCNmYUHIUyEsZY-vOwIb*iR^j!+Qs8y}I{V#b zt~3~zu1Seam~K)$q3KZuh1iEzLtsP1gEwp0*?~Ml zJj^+q+aC_Zo4rC(;$#@Gl3Kp!opGz zQ^IzV+rXZakGM(eY9od26>K4{CBl2Z78PY)luZQ}aDVA)Vlb!e5@kTXl-s(G@WnD; zNS=0nf!@8t^}Ev3vX%7(I|r%22Q8>d$#!Qy8*?eV>fupt9ca8;UAUK$A#>#wBDbz6 zcd($WA5bZyu%8JXG|*O68wYygCiPqBfR28VTn#$^OJI3{1A>|aSf0^6mWq*og8zg7 zZj`6S!dG_u10@X2m@a;SWC;w6rk8^6YyJ%$_#>6GrLhy(KwCY)C(Fq}O!3j4Lp^U5 zlh*KeP^9AwszgAQ}|A$-kXC&@o7i z`F0gFIZz(Tya-4)6!Kz}J2R=nAq}Qh8@@dwr~XoHS~<3oRyb!!8OBWW!OKz zA4?IhdC$#CKV)W+E$LTTV$Pmt%&C2FcoG8FG4wZV9v&ulkYma%zHpNVfXZKrFirqg z(ofW{kTq)UxSfcmWs{7x78!eP+gBS5aMLbI25RXR&08-^5n*w7vr)o+_Ji0@E$bih zVTRBTNd=TF!pUh$8j$MOA{o7#+j1F$w=DLEF(G6@YAu`}PD8_TPoW4DyZ05m$w72Rg#OET%e4J@r zKLhhXPn`>Bt3^9w(3*Q7!!9@-(YZEM{0XS zC4-Oob^NCD1a(~rg#>|_B5eN*LQ&h?SkcaezoR#B4B+{5I~YBM9#`)1U?BfCuJHt4 z&+%pqQf+HOTsy(UaH!+Sa+kPFD1NWNsPuIuyzCvF6SxHLB?YMirV=~*sBwE~f5$3o zRO!I69yY;@3HlcYBh#3t*x_jOlXCfZVvMM`&=#-(Dykg=(Xidr{X|OS{Gl-~U&{Q! zSgKe(**LAt8r{lfE$FOCRW&MhDgbe&yLk zLB{Uuf@W)MX;RPt6`#Q5iVK2cWBa=rTlKwhSGB&lsQ(!+c?(`C3YhuJ*VO~tZCAWp z-T)tV_rBw#S{C+#cekJ#&`M(@6H-XZS$dZQYybeRvU{3W&YL(nsp`KZk>>@A!NyNw z=MGSjJ%gE5XVGJbekKy<0=x%x<{XWVv8T}4h=58@Zae4aYx9`=4ua}5sr`fj1xI|) z5kGe}y*I^#84-vBB}9P((N!n0ARASbdGgQ(;wY@BQu{~7=~14b+fyBFT`7Ykv%7er*nMgz4tG`iMB~m_ z8s^R+tEm?iU@oOlLede z%7{WjX&wzahMJi1h3+wfB~gK3;k-@5BWTE7=ox1w=0hR6*%3sKMEpH;Rys3gf=$>n zA@M#EqLhYWp8cyvP|OG?HtAn=CY^k?E9H*=cpk%|(AMBK2c}ErCW7C~r%qExkMe>Z zOYcF8*p3Gf!!kuwMeZQ%kIeWJ4@jx&N(c`7EeoY`v;l5Wb<+{|%tnm~SKUXxY$h6ej2Q48C%|l40)2ox5Rv z>_p8QCim>X64TM|30~q)*=55nbUXrc>U(L}vpM9(wM1aPl|>C1tcGDqAkU!)E+@C! zvJ{CBvlzv&l*f>3&Lx2bQbhv)4CZQ;6S<9Rpu||7Yh08wGcXsOIDvDOkASRrL9YoG zk!;ns(WBAK;Mfa^Hw>irilt^Iru2o!b#cdX;*M&>#sX=-oNe7>K46(9-qDx_jSuFd z0nr{DF#isDf(9J1(LqwzRWqI!NBFg%siDzt?yz=Oqe!`5XvbaO2uQJfV1pA3lwx&V zZ8M(r3qh8%vu*R9fCh_6ST=2Hp8!@cI&)pRAf*LH`%(l2XjW|jvm^Trw_i4+TnNVY z?-?l&fM$d3h7G}Agd&1NZ=t~2BBJ<;;Z`6HA)QK!)4K&Dg^1kpnj?BDfZ5v)L?j^Z zKp2tGe^lntMBxMC*u@x0kV-COR)gga-Xt>2$g~cWM8OQUd}k@og8;2g4T?nltS}Cr z_HSGtvJj)1(gp}9@}YMr!7-0w5?{}ux5x|0F_rR}?SAs4qrXA@p`V-7FLCfdf<9Ne zQSM$HLSUI<8%qO!{795q?8O>1VVaxJ)rJSXGC)hihmY7wcCH<<=ZrkJNGf3&45usg z`%F3Fa<`usSt=PU=k{ZgM0ed>X@JfPTG%uy*v2j)mk>BKVeU24UeApQeQY}>yRN6|w738QQ5zKd z4fX@ujfq)&3A}EMK$*1Cfac;~vrr);V>IEIH-sl9m>9xSyycFM3@IIOWl(^gf$xOL zE?eHx!PNysN#&)x>Q!&7SmS>l)40a0Z#;w;j8hB;)psIWygJVa@*>RD!m!|O03cui z80VcGVohQ~hG*3uMql>Q#;ev7ace!(7eFXhIu{NfzPHbc)OTLaN3$ZpYX1_qj=YaxbWL;%g7askpE4SfR&Mp#!d zVSh;Gf<-dU?AumMLThxiH3+;nh z3-k|5*SNZb%ZfK(At|CY*+BW~oh7tA{W(R{UL$5=FPrc_fxj!qzq?C% z!z>j(9E|n7W0|?67$D0-!5{XB!PEjIivoSi$21=K!TLgn**9#kzxLI_W#w$bGmzlH zRSd*yNg;*yq_}>3l8_-q$ZP%Xye{fiuBOtUnMoTkg&YP{1@AL)^yTT0q`oAnH6( zfIJo)4in3I%Y|yYl901DNe~iep!}o!2V9Phx&`?0n1ToQ4&)RI@*%hTLr0RB>7jPm&H`c9yuEO=ZF|9s`qKSoNrr$K8xo@5W zoN3lFSxfFRW3VC6TH1h#izK3x+h4T7-Q-vZa#>7NyjyT17z;tnz)97O(0QlF_tF;D z1zuQ?E-X5N5(R`zH-Df2xG(}y*e&OtCPnj(d8wU62_5(yFzp%z##1}s!dVqh)0>1n zCAG~iW|p^?GZT1LXfZ1HmUQY{fC zQJ5%@-X}tzyz@3Xhcbm>Mg;z9^YwuYvKFxMkuaM`8Mf>?>*(!$()4U~;?wsBpP`ET zn4y#F{|`n>K{XP7IB>vBfrNL*w(G~2t@LG|5kyrr1&C#6_wXx)xF5Qe<+FtZ39v08 z3a;@2TKJTd+o4ZS24=+nYa8QF6S(b+B?+)^DL8PGc9O#TO-et5&j%asoo0M?bv9qh zh*NdMGDQEQ;3#>c{5M43=0^Y6%q7={H-)2)T3|DULy$3tqZ8kaYMTB<#^$wH@BQXo zh?4^iLns-`mctk_iv1k4uYQQ*kn4UpyK}0qG^0_gqUNq}?Zw9wE&_ld;BOI!-T?#^ zi^k;*$ai*4K#P*N7slu7hAY#7?m|(xe;;Tw!|Nzl0$2!mtHzG=S~fQho>bPB%x zRdoK$c~N=q9dV9B8zuu|(GV@HhhxWGg6VamM>41w7I|*Vb8;>aXJ0B@*w#C=WKOyqYqb0Nid1+q?ytnfD?pg-ybnw+TS29587eS=_NBawp!%_sR8P( zF$Q~D@u)lrOcyv3fAwD4zrAg;@7whN>6zzwmav@YDX}y1XA0dx>Y$zU%4!2yga&FZ zv#;~kO+Jg<_3g+Kb0QZPFn6b9y2S5H=}tMHdF-icT$9nYQ|_PDuMf1J#jp@of1S!* zMnQv?)od2gRAbjyId;N!6cg2egG2S^B`&dIz)ojK9}I%9Ejz*V?1L+7_n$Pt{UKpT zYyG+?ln2HmM}2DuX@Ehh;xVnzHIGNGd#UyA$`G)Y{SY%RWSkuIN#x2NpOQHaBk9+g z&ALS3dda&W+!s*=zz&fDPhjAFY*qu0M2Xl!XL*;sBi?H^m%5V3o+cy+z)WB!aAlvG zB~1i#0-%k!T~Wa}`a!Dj4e`s7TZQ&;0LF9TmF&C>P*LQLjj1Obmou%3@~s-5cJt>Z z`hAnDWr#x}$K+H5lLMwIb@NQ=|B6)LW_P7hoVe=1R<#_b1Bd&fTlzhdf6{jruKq~6 zXjLf!gCLT?Lv5tBsZ}lNDA4N38Y*LZNzza82C-;SRLhHH?%pl2Om(`8m0}$){28p73^4Iw6Z(Mav z6gwGSp?1PtQ;}qFP=4m5Dvpxo_huykB<*bxf|2qFy*fY;G2GVTQy+XC89=aRg?@JV7u|vS&>oCi*Rf>q5)pXJgiY@+&pH z^r`L(%m{~98kezIKkk79cyI{&-@p5NA%XJ;29_FDBBQ>k zP4?cm>v~Z(duuMr;j)%9uiP0OMd;b46um!5&^T-Q+JC=fqzg59L@9c4E#mgdsgI_h zl>qHCH)QwKa5OmVMg;ETOW=gf#)eg&wd~lG4$dP8un^$uI?U!kM?-qI8)n-`m5A4#mAbx}Y$dMp) z1_vHO%8|ZSIzjOK8rK8zJkkY@giW^y1exaR+VMJOr4tWfv)`==!*E{OS?a_AJ+2jv ziK0!(f;A+__9clkzB~1KM1T`D!<{}mO$vqo&aY=img+?Gz(3TkV)1k=b-g;iF-^X&hU$l%9S3hyO$ ztj<=`#YbkccLZP5dlO<)P8g_hesuaNmp?8GuT;{0xy5H3G5|d>Ri3fl$ZW6K|M>JL z%-;@>;uJln`(*wG`u#Ga^#LAuZs4MdZdQ7+zqP<(CGz6OWUdYZOed{4KWc~YSHY_) zBLkl~!Mb~-8DZh8Ya=stI~ljCz0CGTdro5Nq2_CWGwPxbzDbOl@JH#`_A^9gnr9)L z{=x}j0u_dU=Rd#O+SHm$L36Bk04Bo4gXD5T48j$0QB#B8Vx#lIagE#au=dWu1Sa0? z;IZOb2rA#%|8o5BlW&ofm<}j_dQf?eky051v&g*jitrrB+9`Tn?V(Kc|CRaBTpm%! z)hkkD3HTH-veRA2%%VaS z9HNODu7?|ij|x}2myLLUE*0^nf%`K2^xw$XNEN9j5f*2+J+3b4dMd5zL7gUQ1VrD{ zIR0BL-e^oFyZ_#)fSM!c4B3)lDMQ>Zj`>OV|NHhN%R^_KA5(q!XrRTS?n&tdRNL86 z=CVEJ!yV*`7P>q^rJK*&HncJWx#Kyo6K7~t?M8hQiVkbK&Uh+<$f}~(7ZB`6tDS%n zVzgIhoUgXuz&kROUFr?-`?6EZqvTNZXVWbq_FF@!F%mWTpyk;%9)$LZkp{AZr?%i} zIV#ypBaP`k1Q9yEbA4rqtDX@(@zxnThG1nC+KV2~cIX?Z<;9d?@o^hg4>Wo$R8aluf*i4k2o`SRQg5v+4Yq zKX|3M>VoJ(vfs<#+g4JEBb~1NqCMG*zt(qOI1%@xYJT04+3x^O*2?uXf`eW&iqzFFyB|_DtJSf^Tr?)CxoXMlMlbyriiZy0>5ICc7N)Me;keM^yI=pJ_dbpgI{V*t zQDly<>2grF595sfaR=cHYoPx)jjH$e{^{$9ubU}sBLWpqhG&k;gwF9rtgz!I<|*u= zu#&SI9W#t8v+{f~puXuj%N>|Kyc+#gC9RuF_~T$PW9@`#{iSnt=-65c8- zilccqFGMbwU&Epk;RWoQ&Sg5M2^^^7KE6bz-p50DA5^0zI6X1zL-UDNpkd>IOF@N) zTzPbAZ@#VrBL~}&g9Q!?XvXb|i8t~61W&XCl6@fbmw{V-$4;vv;L4CQ7s@o$N@-`j z;$-wLXmI-^Ku}F_t!)>ztqv*r8>Tbks^Dxy)V#rCXMBD4qoubA`H1Yl&=7y$PFz|v zBO`z2(#X}coDPc52k(5#$Uaw}2wo26$MMZh2QFqOsqFavDAmujV*MPn<{q@}{W)9f z+my88-q@PWe3D+{Zr<<2sHWIkLZ^j!qVYActwMnZBL8Pp=y0-Mbn2_~;?W)(A09z% zoEMcoDY1oOtQ^Pjt(MsAZvM!~yv1$;su1?tK-zOk6^ew_5j@#~aLC(Qj`~YvHVo*~ z!q+ik)(C1!`GED=<$s{4eA!wr2xq{LGh$JGB+(%CC2C;b9478+UL}3;-|PYtkD;Er zhoS>&<#c}zxwF3r8#Z)lp!I!I%#q&+nt#0^AuE{rV+ROTslVV1i^RT64kOoz)nvU) zpO}|bW0i*%%P;r{??i!OHI2!eqRp+hnnRRNpXRB5t>|-!A|EXVgI4>blQC;eO{W)` zLna9bIu+)8juCe#T7W+34`*iV^(1kBE4-KfXmEHx@yY7a4>kM7%WI5X({I?6z~BRa zGEFqj8{GW0&WV{i7~A9aEqAT{`8x6M5&XLErVVqqzP})diKYEG#LH6qW{CRUQujP3 zFHHVfxOV@`N@1fTZS-q|ZmoK9vsi{ zUfGw8Wuvh27$$lqmG;9|k@`dsT1_oi$4I^)!!Mh?rGr2{u|I?_4!{I2#2U!U57ppl z;JrE%^n9DJebNwMM>)Jyrd&3@yTu;pEj*{`$URzn_^v57tu=V8gE7QU(HyT% z9aKWdTycn1U;C9W4VhoIY(Zvw-AY$N**;zHhZ|&9@ zw_Vxmd!qOz9B~^QaE03CRjg8e>|6z`uthJ{#-p(l53Ci)z|rK8;eH64lCvyuIIv-n zREXoy|5FQQh%I<@GYKLXHksN9fj?LlAFvLAY`6m-C*TWq`wEgWtR+xoUEzT|FX4zS z!Crce8Z?ewu;D-1zM?=1$B0Eq3|k>n_uc2*)dw|^$WFvvGyMh901?0)bZ~;AjrLd z=73HITs@{chW!&sE>mX-nh`&5c)nhk1{E`4v!-8YhUgng5Sn5Awk=v2K`pet(R?@U zX^XughE>VidPzD-?1S%TDnrV#LngZUP`u=!V)@gyqNn`DY9{~aX=-!#C#c4nB)q~t zDNe3@_>D`QcYc^)@FLK?iMK7xrfQWvaW_`~zJm#BeW_kC<5S_WSG-1P`!S>W)0|4A zsz~Xn^}CEeo#>Bl?B{lCSmrMNg%hiCsr)T^>wBaXXpx`?uh`Vk{eCpHMUKmeW<4=S zkyr5$&nAQdMP?Kb6fqz}w$=$M=qVn{WS_|601WfRl>d=Tb<)N#gFvTO^gkva89t8; zAGsAsYnz~(fp)qr+mYahyl+0>juxAun@uHH*Z>FyY`9`;tJ|xs&IYxIs*m)K{+F*Y zPphe>6RUN49o`?fD;j05mii&-QP=^%QR15?CZnGCiomMaoc~%_-8AGg*Hznn*LKEJ z^{UKadavA`_>U|8v#Tw8$}h>oI@dqnN>qt6b9dHExwt9us)bWwiY>=qeD_wNad7|+ zDNF^WkzygNH3QylE8z%OsB>@>#7~lU`#HUR*NDD%OxeRP)iYRho-ES@@?|K8;QKQw z!#mG9F!8`28RQ#ikIQL8Zht|;uH=dG2R7UjM=C=Q)BHF7U6nRuZ4>ldR{DAzq%O&6 zWMOy8jXe3uRiF7^#7i`H=}14*VR|nD3$&e^KPt3B>yu2|tlvELi(@Y4~xu{td$kFTK!%_1iYD*F>>Z z>bin z)8TE^zeAKNhZC=t{ApV@4ge;jb)|n{h5Zk@zOKfTVbS3_W`qf5ZUP%0grP!gtqJNN znlTX$FJB^8o=QuX4}G^rUQ;V(IIK>HtWc5EMaf9l=g<9`C9dK-7a*{01RDltu_kPA zjLmdjW2!{Ah;=b%!_LIu-jAKw{YL)6@ML`^fc?g8&fMTsC2S#LHMnS4(li@CvAg8M z_^AD+>!GPgveMJ{M$a`06N&H^hUhC_8{5>gzwq0)ZX!0d{{C_@rs<5_$pdlj{&oFV zvbzPi}u5FbdRy%{Nv>n7#m#pa@FXfGRbdCxZ@O!I3o7d#|c+i)r(8!;{)} z7t;^P8hcl@)Ij1YJ~s(@WkSh*wW?J?tTCUzzO<7Ru%WeZ#uAt7VMKWPJDFhM@{5qR zes;BKsc~oQ&9My?g)U|Zv8x96Eh=a&2giPoe39d=8Z!Q{Xf+7f?WU7pnjACGlCF*n9f8oLuc{6WbXp<=Q+L>+-$`n&p0x@ zJaZ!dNN155kExux6_IbxX^wVL7vinM`=k{Xiqq)1W?XH`Uh2nKYFtpmeEeZM6_Y(1 z57r-y47?(=FK(rKEa7NpYI6IM#BgGkR)-zIFRf87Uu|O-=G=Bu*B_do(odAipx$yHQ@` zX2VCJXs%V9MR$ZrLwu{W1*8=t6L3Icmbq-mF~@(7`(!o<4W*8%ckjB)RTD#0cnV?T z3`3)p5Qg&KySY*^#N(S7)&%Bn_AKf%lMu&&IrO#DtFn+U`As&mW~>SS z=T)4>NwuJ;gePVtfHtRk6V|WNhYLU4Y)-^muNY~2Znuf@QORR{`=f-=txv{3=cG!6RYbN6^@{{F^@^N(F!cM3$PUVEVsTEhp<6M%QKlPeyuhP>IaFxV_nUoBD(Ae)~ThGd!UjoPspIH_GR-wXwF0EU~F+LT}xg zFZ!XKk1&q&vLWJ}F6h?jjGp&FFKIL0;`;mK`$42o#ob3ixzy_RKbNGzwEkW@%r}@i zlaG7xDWpLZQUkCz$oo|@KBSdVA? zNi{o}wxY29G;a<`8&HQcx`X)L&{{UU#(BXo-Hc!GC3lO`RSFG~6NWf)u{iKU^S;m;(?8Bib zsZr?ZSZ|U_gq!roA&-`w_~P4L5BF&_=MAlXe09CQI9+Wvv1DA%>h@YM%F^xIT5AHJ z*kWTEdrz$u72jS_6DL^h?NDenRx6`z3JfJaS`)g{ND-A?C~jJ5YNlPCIgJ5HBOh$K z(>osMc7&r-JKYx^y9>LJv+Fh5sBf58Zo>cYSmNB*%Kny}Xuvs0^YL{RfhcRNrX=*L zyTOb%W~gLsCr@e`8U9Yhq%!pw*>3Y6X_<-Qw4UeTG!Z5fTO7MC79On|!l*M|KjQzcTT=i1bErOY3gx!YHGS^XipVEr0!_$I13YrFZkqXfR8UsE}x!QSkW`c zlDr6L#m&Y69EZif@H}kIts_%kW)>`Vr-cZ!k^=9K$~>$>@L6cZ#qAxQ_-ggwyO(zT zRi+Pij>BCFS)jIF%H~H$Yv|kU{wUp{D3?%i6I5U7_65J^))~vR)h4dRh?~-(LCGjD z6}<5}H56}iGa@=P#&7ajpY#yh#NwmZ{SKat|7wJ)83+%u=<{r|g^JkZk{EuL6R(U3 z&k1;^LAH3t6~hVL7izOYfDC(m$n+e4s?Ne) zb;x}=K;$|HL=S_rvn^{91m@Dp5i=^%I-DuZN3&Lno8jfbv_DR%03m1o{lRzdZ>yE- zoYav)0x^bR2YPp0d^Xpc{jBiplkMu=_y|e<<0eOBniI)m4TNp@Bn3JJ{Z`B#df{5i z?qo(s4z1hkQlI@?TCFT$$|F{)SNe-ZXuxK3Y}Uo5|L4ljC$1ZbEqKlK=Cf#HIYHS6 z$#cTqppQ%E$sFJSk7F}^u!C-FJQziIH!@r*-U1NgsVUyfn^=gBDVv^9X8?dH$ikV% zOr`c$&P^7XPYz;>EQvbEX7k771+&H07le`e;6&xep((0qG@K6Qh_gGXksF96;wOa>pEOF%8HisBEtYlTo)>xFmV zI_@n9tH|z>0>uyts)!83>(ohbk`iWjqn7}Xvz~`8CDX%l{2e2PpB)MR_Ut-qN8Q{MSp@9{xL4rf-6eKE6; z;kp+Rc=$6s$=wUGh8MG2cYuhPHsk4AZ&W@xB_4z+YpN>`y;R5D#{t!>Z!?ZC*#RE< zajfKDO#NkNB#qMe5$_8(A9urChp803A*aQw5Pk$f#!#6<0t6JGW?}<34oMfTX#?)?=OIMkVSjX&?m33$1f5@a{k#xqrj$hH7%yk zZIV}st~xO&SP?W!8Z;DQ5?XdccAz)bS0vD6-vgDhJ_1F-6e!U}(*MSEPksR~oaYUx zr`7|||AbwTAn7nAeh^+|gXsz-Pb9UP_>w6{WpUh=`8siFCR zk~E{+)JMQ6W5cTZ8FwRX1+D`Sj#hbqJ0g$mal~+%-!Rdrg||gVGIV|2g?-Ra-Ef{K zeIoQiAWVy-LDT^R*bmUR8Bak)^;@3~sQnBEg?0eE90fYFVS~j}%Uq!d-AVqf3v%zo znSfhIpM4JS799(42e~5l@sfRVF!QhxJx}Cv)4-6p@L78!z$vR8=-^OytQ`t$!81WU zsB76@YLoL1hs2f$P}D+E5-xAv<+*3@^QC-!_SiWMkdp-qXw!e%9q=K6Qk$Y1J~UAI zG+h9$!s*wVAF>+$bc6UIDg5iIZ2Ue>)q9aUMW(KkpH-%sgLPuZ)8FVJIg&U#ym&@gb=#70&g#W8-HOjGHDjc z(~VWOK-%%mwbqUVO`bR;l)=2&u>7Ss5D+-7Ub(&?3dV^kds%Os!u#Rq2NuEmQ7zX(pUbK zzPZw7LcGqlb#bO!$b)T2#I z(NBv7XO)1fi0SC+98d8+SUscmXoSDF-Q7d3tt2I_ik=EAI57yg8_#IoJ^{F^%!`cz z{P-t~N?K>ATIVzoC->1+sOz2rSLFw9WSWd_Cp38J>65#Wt5!1b6w zf{W`;1|Y%TYW zLBuX%-N32j8ZuLG55TOaWy1l#cvc56By5;rM?C}gl{a?Py_M@KH$>U=6>$DKWCJ!f zKpF%-5Mx9(h0c?}u$ye{Gnio8U|M03^>@FKpX)*xF4{u+KN<6_55*E-H@m8#rA_~f0V^UUy!s1lT-1xZnW$<(|GmH<;}F^ zB(0dp_8c66J^6xYe3Y6+4risisNUYUuG$^qGH_n_d_m6&Vf^0w>GQ&qRu@+zKR6_@Yz()VT`w$o^FC?_ZU~LoEQ%XHK@_Y8m>2N3?uSG9k*jm%kv(Oph_?+f|6}1o+I5v5V<%{InvzUC zNs5pnGH<5zemW>ExZ&+>m`H=px?72=DNXG&WVIW?rMg-+>&9xw9J`q|KYj4jFp|fW zWzQN23PACYa$^CWEU+z1Uv?iIIfiVq>1HXdY5wGclkwoP5Qh}8DMY|!q)&e{TnoU0 zkcVG(Hj!9HFMDj-+ZhRGRAiLVdrJLpsxa(^jNpzgnAiowL~8YeF_I*lrv;fX3CeDG ztsOFdNq7a%s}?EcM^=bT=k!Yk;5}hi{o%5yFBu3!TgxQ%MI&t%^x$wKecPXTr%o33 zWp+Cr0^A|FBCX42tQaHnD?aHocQDE_`r<7oU-&Q*h|48*8c_ff3dWvw0JD}j0%~5( ztD@k96z=KRI!~Tyt8;`E>>1kPgU7&q1yz+IA?;(<&HqiX2+j-vSpratMVyj%3<>0* z%a0o=T7f|jYDJku0mFA*_B{zu1Fj9}I9NL!t2Rk#)bY#(!y_gxaE5x_!AIeu2&3vN z{&k}W7^Xpx>=U-}EXh>y!69Q4k{dWnU3{eMF`|o@UDoJ>9#xxgJE|CXk`BM6S0H|o zcbwB>ta;45m!9by|3=_^$$oM)fpVpMzNx8C&jmCuQTs|Oxc>Su@m`5t!NRizaDdQy zwnLmh(PVZudjqciMT?-6iPH;umY1MV8gL|uvML>PU@(E!T=yopx&|6ck+awP@)LU9uM2} zDaZ>DDDLOgxThS-UKY4r_a_266a8kM4tST+JP{_+Wpz8&<+_N(f;N(Sj5G7F=X9oy zTbK%%F71lBOZveEX7?%@b)eIYNy`?uVzdHm`kPuw(!b{PRgM~Ha$FB)x~|UVKxK1z znkZxcq#ry3ZV#OF3wA`EJK9y&h$xhmq#LYbU7CW#6u*K`D!E-*IvWKiE`!CM1$a_E=nSV2nCs8PV9`#HHpBK-d}GV)QA_3Zgk&5@%+An^Iwg!FL+K&rAv zIBBH2uQxw*Hv7twM*7Uh5r6QEa86oEXZ^z*$bEqXxe?CkdiQ!l(*NA>;|azlfSiMZ z^zr(m1DJx~r=5@@;4uc;kO|2Mw86=dIk*h2#e1&))q!^afrrcLgX#vPYH5XzrLhC*)m%WVT+La{X;tQoqTN1E6Qh$Ve39 zPAgBx%ck*#12LPJ956OAGG-;N|HQsJU`HirssfYW*hlGng-F0`!a$Q#QQ$WLRuxd; z+F#rO!Y*V;q$o)+R_H&hXo4y5uFy^mfOwY%{s)4bn$)zgqH-5lDOoi`)*yi=J&2%L z2>Xd=k-r8_)q1Un;poM-5vlfX(;*rOOqKw0l1BWg<8Jh3OV zT9@Igt#g+E@OIP~I(WqZP*D$bR^=FLO(1B%9`^{!a6p~R8!LjRWzn!Hfa@3jq@kQ# z!%+6{drODQ53B0?1;iM^`ea@0eB{I0NT87pz%@?kvv`>5mh;Y;a2;7S&_s?3l|Cs`aBs>_ml;S2ZVK;WCn;MO!3V5*~D z>w%E~NObF`Smm{7!nb_fP^g0|dR5s?rLXak{!V3%{z<3o6a$0=s^0%tq+?rmAOArl zIr8jDz&}RJ(5jArP;*b>72QBN7d#0}MJPj^B*CL#&zT7RfuLQ!RT7VcB_xUAKOPAy zPJ%Kf;q&C=*q&3LXr4s4?|U=!Ur!Iad&XL;ZcJ(Lv^Bl`TxL?X#{XsOf^1m&jThuQ z;^RpFyBP#%?o@8ZVk7KsuKZA<74hrp`u6VmzcS;Ys3_OgW_UvIqg{MMd1A5~zkOD=1 zBS_H%STnG(84{B2XIPp8SY2I6Yag&h>TjaD;I}X94ec{5lrg|yY;fo+Q zYn!qCb#D)mVpmnGi_ixRpHjMrW%Wn0iSWIX`UbkQzz>1HsJvr0gSrF+&H`8Sh!T7miunY%0BTS=1yd^rFjH@iF$| zjQAr3f408)&^I%5c|6H=!*Nj#YcnZPgdnl|# zEaTYO>rx0leU(hUaa>If_y+&q1yhwaSox_L@b7dt5hMy7TZ*E&mRk)^^F!PBVqMzx zX72Dr3Epge>z1v)!k?8lmfQ98!v?~(E*Mb7|PnDVO-dV6{ytAvi zX5+D7zW`OG_uNNjRytM&Uhi5T;a!w8x_c=U1jSn&j0Fr@amuK?+0-C+40Ppk)qV@s zu!{*HePOoc$A%S66SdL20#zO*y zO488aS)>3UHO0P-g&swe?*($*3 z1po&G1S}vnwLhc9N7f+onHL-@K-J!`L z1s~>DI+>&PL#N-qOx>5AA)c=J-R%Ofj`CjR()`=H>bWB(MN8*CYly}%|qcFqwB zzM1aYO|m#jqiS_0d6PEP zy``mgh0nz;!NWAs!p0qI+)rDz0}U-n?~+b=x_|7_X_m@7n=Vdx@ttk4aO3j%n4?cR zG@S@>T!O^^K*Kgn$2Jq7YX^qI#H==(e%}4Zn9<-$Ei9z<^+5)Tz$=4Bt%_9wo>a@+ z;_p_B+gyCU^`X@BF+vmnbL#7Q*Q9oW_;BpRHU|H-3=up2>}kQko#RsGl_L?hxgX-{ z^rYVId?KG3xzHvJ!cFX+*LhkfzY&3Bl=KQaWmE(xQC&4?gK@vcRuEo96DsJ>|Ei`|HDS@o8UoDD?7E02M0_p#1Mh5;)8RgU-f4 zmIc!F`jA+D#N!VZ_m2FYoQv##lg6Qe`(*}#HU=+WHdOojpvROkDwqu5v!2Kk<@SZE8B9iGk1!H45fjVu*S9&}Y<@&i- zlUGcve&wfJUzHhkROnLLTp)2$)=+ht&lfrBo`xwMZT^T08GdLocnzA&A#C->z3g?P|4gLlok48;+Mi>P^o=kT@dkqCUy&Lie zAVT2!&>&*svZA)f^F<>v_+(dEst*5e01g~ayNKVH6}_e6Q7@ongalCWGNuOt3{`rP zYZbiU4d*GuNbV&=Fy~8@uS~fu3PObd!D_yPgUJ-+KuzrydhlqGm6u~DBL$dx99)g} z`gi**2~xZ;1>OS1e>c|sfVs$U3DKlZ?gBQ=33?Eb13IsiUdD-EDVE&#!M-M@&|?N8 za&liMDcbr<;E=3>#02DUtSRX4fnga7=cnUq*M6=@a@DsAtLaY0dv36KmQ>I`gR}uO z<3XW?5X1yCaD#Vlm-6m==N|2NtKS}Tu&A@Ja$k0Em^$S~t%B8N}))@x71L zK1|k$mEF|bRxoio_`c&jKSniy&tCYoeML=E#d>aqv(*1%yW)q9_R`{H^2XJ9>EoF@drUn(5<9yX#LVo3gb<2YRUWQSxX6! z_vBUIhU`f9AyR^_B8C?LQe0nDCuM-+sr@sB2~2Md8Co>f1)~O=ebJI+|H(5QPjVXz z)Guuc4hJ3=CwOj4g%4OMKogs=d=3G_yXU&nJ&d06LAneDAtQ+r=?yEh;BFnTJe4}2}Yh?j|v?6})DNOh4eV^K5vrQLoR-oJl5 zvp7kjKkohh47SQ4riqT+o|EVKM(fojd0vLU`}^<9CsU<z{}5b1(4%UBop$RV zFBj46eTYH;`aS3Vo|xRI{l)A2HMJ04RjFXsfr>__UX@nl4_A=6?lwQd8HziOQSWuE zlRC`f14aIuxY0Ikm~`c*ZI||u$Ef{p+JMIg1)VPMn3bpz4!sr3ALO@40%-II3Br|| z4z!RV?}xR175$@#8uLtF58tPLKH}WYqd-T4C1far+_a-SXc$AS&PiWkB3=9QHdkVA z*gkE0fKSKc&|N?lNRWGkh9~fD;mW7+?`-<=9oNC4>eEPNFCQs@ENHqcq#Xz$YRF$U z0ab&dY3WDH`Ja0#7z_?(NCVI0_sh=OTqYRX(+?+1Fie3$x|u=&uj3&~nCAW!X4qH` zrnM$E$*1tk^UoQ}6XDlEIy-IdkZHfg+|8JLzm8v;K{pOb{MZ36V5kE70--)J(W55d z7Qmar#!0s#fINP%;J8{md&Bblf0EU2u&%tS_4dNVs4{Lp>+pw! zff@{;H8u_QREz$XK~yc{T~s5ay<1zg&X3<3);2k}8RLHT@TqilakZT$oBA(@T>FkB z%muc|T>Vh9pp778g}yf3cqcl4Uvyi2>Uj=KJRbMSd_?U2nNJ?K*#<4$qvm35bp7S} z=4$`!;v22Uxi}Kw_T*+Uo+(wPgnbFuaLb{|k0zoDcKncc-tuPJY}9+bs(6hsk$Cye zV6|DD_{)u|leFKAIZBlA9pvEQbNtDqfYuw|T=^}seCnKtJR#*j6Awep3AZpj%nNY$ zPFi51;1a&Fwt>Kf3&MiL-}?*taZdY*c0tViJY6`;Bf!IH)|GM7D z7j{y_0GKn$Q+k5r>ETz&P}T*;Uf_o|eCvSRbWSFPTl*Bg>>03(3} zGmn5B5bsO=0iU5PsM{D(eN8~{0@Ni+klbOB!I{l@P;Z3$J#Tb@_0I=BDOd6MBPj|J z98#~lbyP_xN=$^)+yNS2lW??KcjPA?3tvD(gH$0kG49+XM?V^xYE;`RTe_TZ8K^uBqY?ZKZvAoL9wKRWcMM9&e)@U`?_UcpQR_W=ecamP~Cr=Px zU`-*PODc~JW#yU6KdzOf@s~H+7hO4n?ClLg$Crrjes56n4qHy&y&4?uE$>+Q)-2-7@E$YH$cb&ER}2vP;PNfy zfb@phMkmFLaN^lhrNaB83!M}|M~FAn%TIui3c@gQ$M!NEppbCn(e2Gz2gLIw#xC`U z+3AI3H;TIht{KV=-rtiE&aMgacP@A9qWMd28am9H<^27Y7!6~0FW|vV=oO4xHZkcr{I&7pZ$c1PdkT8jJ=Nfz7#TdOfYS8<6Ea1DT zRVGZm0Jb;C(c#P!Ja8x?=^X}EY_Q?|jwClzKLsnKma`)%y98~Ori6!KNIC^WP z(6(m6;C9@RCO%*LpCHQ&@)y+8;zQ!%FeCO+JPtSnP|)xtky0&Sqo@g&4WHS*n0ywx zH1lV_SP*bKc~WiMhKnmLJHH-K3l;7)7lW?TVCv;(5Y)y*D>^5?;%#Hfb8*zvp7x$| z&*QwkGS~`#@^)Fp#IO~9_MIuS`Nw#ccfk7DM4H(372EOiqh)3i+P}c04f*dF-bCZ> zpPt-gedEo^3)urjIyWN+CwA5crL#|I->w=sa#%_A?v^`khWM9x!l}L?VNUt}2hLQ* z|GfQgb#-}^(2eJ67{pzzEQ;A_&TXZ3-LjWIk7A+9E~)9IT_X?QSH-LXf^(H39i7JW%pQ zoRf;Y0P8S+?}1W~)W19yz2&{&8|I#T=(bSy^hNsGyc>hi17P=`SZ`z6=fbPX9FW21 zkPiyfHU=(h*M`P?HNZ(h8ms6qr->s@!@!Y$cE$ZL`wICy6&sei?2hY~7?!GC?pSdB zR={^XK4uQZ9H}?g#-E>z5XSUhj8I3AeQ+?%x7P~9C(NLYe~v0RdzE2o-zVEpU#d!D z>T+fDg5V_)Cd_$;GP$>9SqZT}Jz)E;M)3o-{VT8N!WVcINeO2UNfgSeE(?io9DOpr zQL6CT9@kF_FXxi3TT2_nQ&m*>75hc@t8TirKOLU0RePtjjTX08t|QLU`0tM0k4WL7 z8o*O3Yr0mR9b*_=cs`0BcLLWKY<4CWCSz7R*)R9OOdOpo_RADyW_r$SkF@R+vpXML zo^{UMB7>l*avVC1W8^(bnyfy zPJy{I^~~mK_o;%|A0}3Ewc3$(6)<$xpH z&BX}VNz1A1k)|^V8wP3%IKgr-K$;4u7;x0;WskrhgX?Ar5COO{*xmm_JIa0xiB%in zzztu4=m2laK3$)NY?cExcHpN|IT9ejIe}i<`HpoSdQnv=BnesMWb^0z3y`9hO^)q~ zb7)>^@epS&7XJ{l!xT7+P<-sUGD4?yz|p~qfz=r&Szt3T{Y1v8ONf%S>7Sy5C~`wv z%1*`KX%MFGXn<)26GdBdoY3q54-38!G>j)te-r7z^drf=I%<{qmzp+M5;H~gzQ@=~ zq^jCNMkyL+vqcV#NIyR_p&*K|w#+7VzAkuGyzTX?#AEy2!faY%VXn$bd5(ULRJX|e zsY=hQ!D)97{`%X@trT-6B{PYn0;BMV#w633I%U#7A6#1RaemS?j5u(G zKNoiu0mI|62AP9@QapS~FypejDG+F;_l%{XF@8J)UId)cA$2bih&%os{E2Ud(1;I9KiD3GBnnjoA|n*b{U21{+XPnaud zyY7%7o`C>H8tNj#$vCiq{schIqLM6sU;j2w#d%}!FoLUj;B6Dq`#xZy$*bsI(mbc} z#iT17?yL!feaW*A!Xlu&q#t|!X7HMFjK2qU>ES)=4RVCE*~7Z?_OtZ>Rz`jOeM-jBVN*D&kgzU~na6jgWW>1c>GdPCn$VBSB=~~_d zehskU0Jpjcgu(XrOTk7&{|SUpC3EWHP=?Y&GMJ{f>pvw$}e6R*H`v4_U_#cTU zcGuZo_*UuEI0wKm3Y&d9f-tKK6_uw1P82sZwd^HcXVJwsH_9eKOV=FT-VCOw26xDO z9#x2oPTKoAVtXXGS6g_d9JKjSW3=a9oRLr!k|xD$=KK{- zeSd2Dy6psFDP2@@HgYuavRxH5o!77gwn?ODt^`*!|X7^Q@-}*&NV8(sd(;7x_ zr7=Yzm6!PEFV*In-LlU2n)_sR$;Pu}Qwl0@0h_3#hy0@J76H@{FTj2RVE{HDu%<3DIWp~@JWQO+( z+e(1A3Hw0=PqFBdM9_httPj`FSunXdG(+RbA6buRex_WIqGDz0lw)uF`h7J7)mE5( z{62t#5p3QH;Y|wI7WL3wUeg~m~0f5CL0@Nm8IGE)Bv~}S@MU=l@=VN<>;`i_@zihfp4f(5t zzpo9eXk@W?x^`r*`|Ra7Qov&n{?FM4KIJ&|^$N;qT=%J(OEtbO z!TI*xhtWJ8&G~GQN=f<=1yn2_*v9blIUvn)(7+R<*nz{84d=-_GM6$^(naTBSiA3G ztr1YZiX`{ruAMaj0SI0o6ofcz;}L=J*1e_sr9Oo5j?HgzAqV1Uk5-K94&8$muY)geG zm1>BX9K}|K%w~4{pZ&dFUC8$RoX_)q-p~6TapPv*1|FtHP?Cgo&44-Zd{Pg)vjZ`eg^P)WYCA$$18O!9MYtkV5LeKNE3FD9`; zT^u51;9(L(*t8N%0{`(o1p4>@wroO(v{Cap(o}yrxqSE+^Qr0d&7%o0TLi*Y4juZ%z*MYtECM|gT#^=`!u!cUxXZ=|`?2|z2Lf~7b9@KDGtUPrq$a`>NHWofJT zt*1fCqfaYe=G?9f@clrbyU9Wrq<`1P?6mdU4^=sEE}E`0^JAL5ELBCv7b#WxzHAVL zhLy9tuk|3STQSr5)Oam8WMSFRPzC<9p$gB00n8NOA5iqg4V_rlt4~M5@y*5{YB~*B zObty2P!u2HXlhtD36?MuB#_ZkYaZ|Z5%SY1LM0ukgckL+Sj_-PX}dPpECR z{C^=8t~DFc<}Pgt?~>t<<3ypVLj*%d+@t9*agZ3JA6@8I(oDaBe?reyxn%RZ0D^QCH?cf{ETyHcL$vhk>*2; zUeBGkcmL&c${>@(%_{65WEnimH_Wp;Mw`C%O`I2t&Ep_>b|*%*e7st4Jv#RAGfCV6 zSC_Z5?3V30NAa6Kl-y}A7zGxc1TxrVKVPsHaeC7xNf99I@B+Kg!3Pa7XFlN9h>pn}n*`Lk z{VE5s#jB;~4;0#C)E@T!nD4FKeJ7ip^=6kv-I{w3{Ink&y1MA# z*1aybLo~}dWr$Nnpu(cfw))M3(@pY45fjtBmkX!l^tT6ytW^!UQ>NoLK#6ompnA00 zL}1EQ`6{j0p*@Su%GS1QC)34_crp6sl;f`O#<8_Zda;Oq{>opHfdw1}YD@lr=}T&A z-wsr_Kk@rJXI6`J`v5m4|4%4HAQ=+*fY?MHJKvXEz>Zr-D2aMl0 z+te_iBLVI>I5kN=rawZV2vkfy1Em?5sf4O5mDoR!LlA-5W9-hFx@=Mpll=fr>j%we zIp%(*`hL9kPeXN8AzEo%-n!<*C<#4u`F`hA&62Q#@qyU>8QfU!M!0nUD8=dr`ed`J zRsDCG`d12+A)4v>vHik^5^laYp}!84cA;}63*DV<&Ag`m=isURnVE8ilU~iXmoP|3 zAxaPVQeEjf-s0kP^|y)HvD>XDx`P!CTu)n2f3YpY^47T@N?E5qoXPs|VD_Y3_BH7q zj>)sPawvPk@Ewbw#ST$Bq%?jIHe2ovShF3YF(tQKWd$~oGRB3|H!XneoHLZ`RKFmS zjo`ea^16-ik$;BLLuk&{JhUhD;Z9kQ}UGoyRo@j2>R8p8F|2oYqtM?;7$yK-7{cLG2OvLA6Rk zt@{T^-a+L;$jwBMpvCSy&J5aiC8^qANNyq)%yGYm#F0pIqE^J~7ve)a6f08B9}6Qy z?y)2pP*Yr*4`e`gm)5tb zCoJW_=Nr9FZpt+Bl(R0|`C8nj|1b&iqZwR(b}MP&)^CJQDd%)9k!BD1`9%NpXg z4nljW8o;l9h~EDEEW_=X5C{q$eb`@JH3nqrU#|-aJZ(B4h#bH`=BB5l^4$;)PHW|d zI}Moih(GP8I|2beObNajPJj^V+9VI=i_gp8>8*O?vuc1OpF;(PHG#(BWRm6+q zbqk2cm=62@~;ww&^gogNCHp$!Je<0{%gcAMF9X*HwlVDQG zd@8u9r82^N3JEDeQgz5R&p-Yt|AYmtbsr$mL_ip6t;bV8lvLB*JB7HcLb{s}K<&78 zk$jB>0juYI=Xm6MZ2<qf$+MR|yTQCZsk@X0sK|9r4etv+QYy4L|?x{*&$@eF7{>66ei! zZIgFFg6iciU?gOx8a%mKs}o^3NCD{J|7bU%MWkGvIc;rP_3~V$ArjeoJof<*1tNhh z;8F;H5+HdT&)Cw0kR3h+-hseLZs~+78Ul+F0yyH~m#~Jzj-D`pe>}lwJu?q})bLM7 z1@0WP2km;>Sv$;!CG2HYL?7`D*m4)2RRfIqqHlMOqd#|$^LK!>DXkT4)ah+A@$GY7 zd}$UOm=nMH9?^cpltNZbPreM)6ZkXsRhI8&u%W;miIm!^{3=DJfynfi@{LkJeC}Z0 z$cC`(xC>_3_Ordc8RnXpLh=%Sm`E=p) zjp|X=C!ODmC!59}4!)Cly{exvWsjw(C)K)WdOL<>hB&LBcF|YG*#B9lra;m51K-!Yuqwwn|hy8rbKIShRNlaiJh#JMiolt0NhBsJ3A zkokgoun-}gzEiFiez+oy&~ufe3atJh*Wlkt&+g9cxstq-A`PoUekX|x_^V(Pr&3)+-vFTG5WD(Y!;ylM%|dr2o+`&VZe zndfCfl%N<8^uF|h>t8Zs56A%NKEGLuAcsHhDL(%~0b#NG9=oo%a8d`rB$d}5lfQ&` zy7fst=KYT(G3pK?Qj#tpp$Y8S*BB$cm z9wmIDu$)Gq^=ZeNR^6SQYohIAnUuQ`{)VHR{0&QHiRDG%?x81DRZ9rMN4pim2;#JG z5_A;J?d$1n4g)?W>;M1+0x!200NchFF4V9ChA;$BLV4dR31=QOpFcJR^h%Sbgf-t_ ziNC8B9J9L!#n8Zy^E$&$k}pLBJtaN@#(pw%UOY;cV5E7M=50QEeIY5UvaDy+lj>bzRcmZH|}mvsN$$>slLQJ!Tb2sW4{fr3G&p69YD$p1Mo*e z)q4vSyGL8^V#;)u3^}+BiqtYb6|-!BBB6DY8BFtZ{gN2$qHFxx1-5>;c2Uz=RS8!71}us9b1`p-P-PUdSb&=wKU7Ayek--NuqLVrUFgf-ri4i{r`ys{ntYbjOOnE!Z zNBT3#cf>0d!V?;VaXO^PoO4^rc;Rw<*ImzuwOg{ePNh@Se?LX+3XK8ssO@bgtdqpK zjc7h~{f7!6o0BN+sTVqv7oKuv@_Bz@C6I?%)dTn=*|i*{xzeczM5rtJH{!ENaNG+j zP?<0$<(xyuhB+MyYvGJ1Ztw#dDqplU12W!*OsEBIhb|VlC^9q2I#AWaA!wj-0)+OB z2JG9|A|HUbU^ba1Pm3u^i&P85`o5r0YHe^b6eEx?bE!XK~Ayd)~} zOTS&^`}n`Qz=vFXGGuxGuQip6FYWLz;q2T?Ad0qDa*$#uOA=Uezy8>ZIjn8TX=9ZS zX1M)G=b58MO3=4T8_KTre?N3fS+qR7hKPT{m)r`II+=P*;&;0pXaa*ni8Ikt;;z$Sp5Jgo|R*(S+MvV(GHzKG1HauGaT*zV6K4y(RuLqDVw zzy2w}4f|duryo3%Ab)6mAZ;GWsq^RBjFOUMvVOc2fKVkmMhRXRJ5M~7yp=^DIdMQY z*o;u&=S}f}QwGj!$g)VWybejLA=Dh<`h@!vJnur0Cph@K6CGNsB)b6)p<`6|y}X$4 z4RaI5j1Xx+czWYA?_CoZt2wb?_!Lc`GcoC+!GnKr38;4CfSgy0ljmv>Qy&*qd?~3HJzv1S*(-G-)=emENMQWj3lSHj0=4AkGnJ|cg68E0 zK&N4yU=1^JM*uHI3#6dY#Cfd;we(nU+^8iQ(gjlv2vLxpGfSQ^7F@-ASJ9YP(44>w ztB9PyXkrv~sZbNYW${j~UZUv9I?ITl z(v({!zyk@yt;jE7Li~Xs z&)#o;6zY8^f;C-CXaSv8C`qA5bY?hy{+TrzHBNQ{?&37CvEEA zo$-_6v^_)#Yq-a@>b%_V}ahcuuv@YOOSKV zz;|TA*@{1)*K%G@5DPivv6<(T+)%X7jlkex<`x2pwY7a)s9U~vF&xR43`{_hSo_xN z%nyW`h^BCutRnphlF#T@yY5!cR`zAy+BaJc6X%B!}10QC%GvW@1`xl`^2!jV|Q~g*4jAToqX3 z0Nvwns4xi)-%69r%2eY67@PzCg9ErUIE%oEw(1bVtbdt9$9y<=C@}0a-A8P<_bV&_ zRd4dF+)OZ^K*k+Gmi2C$#tJ0+1YlsKyB3x!ZK(WNFbMFAB-~(C1YpWKfvOXW4s+^O z(pdo0DqTzpCt-rhfIm$NtNYiC82gY6DyfYs(h1eYgP=vEh`5#Xq+0f1QcIU3PMje2 zp-)D8v^KE1@zc{c=p-tkHCb~z=S}% zv;(>sRsCTL1{UF`(&KayuOkgLGtpg%dteHwZ9cUH=7@f2n&opsEkrSTOacLv<$F5J zVBoxt(e}}yQvB!%4h_S|7-^`M@BpZn_qDTjz^=zbj3hBHmsG}p z)S~(I5Dzp6aACF3dDDvcT!n>)#FBFX)d+)V3pPLOe0ZtD+bs@gvE1vxNw#s-X4#cE z3_g)CES!fURH2&WARo zT-s0rya&*n+YE1}25j-D*U%@RReo&^VPU2@f=my8*JE1dKwwNc5xN)$-TWDfLwf8( zl+n~oqz@r0M$#5OSl6ae>7d>t$cMDe~$FJSHzW^yA;j&=v zZaoFoMRDWcQiqY<)CiMW7KZ>hV9A;-a6u7BO^*-xSK+^4()sVlxA=EQ5Qus9k<~Qc z^j_VJaQ{pa^)iAe?$yuVfZSfdI2aJ_cT|Sj7poF#RNm1qB-dKwXT?lqNF8?G1Siz1 zj~Q9oJ5)>fbVvY~OWq#h-y&QgSzsk}bRL8o0j^X8qHL;NUi^vTZxlGz(SUU9^|^W`}e0rvWVC-7*_YCu3){M&EIa?zCn?Ed4&k+5-C({63|CV zuPY7e$-(}Ix(;_-B*gLml8pnzbXqs3x{xat3&{t>24@fOgTp8WgO$`svZuR+sFMgvIH8$2M?R)EcM0elY>_+AKcJRQmze(k(r!#}TZjq1!Pzs5n4 zdjbcdCQC?t@w0VBuco8|IZ%tl%}Z{s8w8ut4X7Z}E#n{w;imn_31RQ2%{J~WWcc=~(x`5})Eno#9H|FpH| zv9mjL|9QV>7U6LEsrF2g{tbV3R{TyXZAVNV>mi3TDpD{2tBtR7V7Pa5LP6BL!J|(`fAQq~jcPtsl4 z13V+;2Dk^C&g@^rFy(a>pXStvrcSHb^ha!5+pm`CN}jq01RjV6(b+gVh!qfY>+RF7 z=dWnAp1j0rd8g3zvxi?Hb{d)9tNmbGKvM7(8|z*1mUARp*E;>Y{I6HWqg+6-N>h6Ij4yALtyny82srS1KBG@hP9p($m$I^gW-;C}UYp>8z$ z>usE*=QQ5hq3SbWWDCMEv+`i@G?NdsX$;$RWlr{Z{?@Eus)rEzP@SZQY`oa86g5M?rj}N5m!W#eDw0m^>AkWc2o+YxWGIsKa|twt{z5E?5;?xV zgz3yF_j`_T7npAO&8tt}G4j@qYX1yc;X7xd0&;y*YxB^AY z-fYn|+-Lif+60oG@eQj1eP-@&=CtF6q)eZIEFIEmJMCnhTx{>~0o>3|fOP}K-sDz; z(>m!Y78usly&V!F9?TM1IwkhK-Cj6a3AL)^b&FJFHu(vgf>UyQgrgyI$_A2$lvE$4 z4r)pw7l@w-^thjITdR*?Q1c4qAGD$3CBesZE0!q%YHrQ)NVWX z)$eI%@j-`6=j(08{$YQ07lJRC2aY4ea44X3B$7-VnFp?lT#49HQrD|YEUZ4qXn{gx z47R*$Guz+H>PvaW%XiQCEib=Nw@harJ{?|K_AEmO7km}9@3~Xn4)l|^H)|quQk_DU zSE$(BRD8p(*n4Z4oLBl)7ae{Anb3B0)rG`}RW+HcuSQ$onf*!d&@M;H7U`CZtVr5e z(Kx`cRNTku936jYFtTdxy1e$}J5JRm4!lDJXFpVZ&{){!J8y2zTGHSzTK}Ty1+l54 z?U~dWy?j$lcG|(F6FQrJTzhZQ`ZE)Et7UAmr9Sq_**p)v{raFU6@o_riWxr^3OoCe z2mW73Hl!F4=hxSOzmYthnmSN$1r&D%gmMZ%4ah<$r7@XpW1Ek)-W2E~_c|kcc6Zb! z`uGTaqw8eNNzLn?zbjSKb)DH=D;aI+ zZr4}I#mSLvqRM0;A!uYM1^;Dlz-g(};Iw<%nKyl1z$=a8?l+*h>+H_y`^?|IIrnkQjO}U1Wd&i%ie|=8XhKo=CCVVysN9A8C2wG zsW(5~Xt-}IJ9mpuTkFPp;lJ0qy*=1gkj}X_yX5k|#-`CGKbhJ){nvB`_1^oU9u5)Y z$}(za2m;0ROAVWk7YL-Bmkrs+l0Ge6q?P2HDwFnh-6!%Z0;F8oW>q`LthM%q>MeO1 z2N%|jSe*8gtNG|U6Ri8`XW;=c=lqFdi&wJ)tqe^o5@p8@dH9M2`*w+&!E`7{iCI7( zD?D#{A}GSl4HErxIyLirwwEn5__LlQfHITbX0omIo=3l}vOPX{AW?IyJ9|&K%i`ByQSvMM zZ_ST8Hs4R4wYrvrZF#q+JZsN-Jel zYK5$AW7K)srP-;ErtG(vBoa5A9y&8|XY8kT^)W5qH7(z=dbvd#>*}6ewYgL3*BKTe zv-TAd7!+r`P>wB}l;vkuJt&{q(s3v4*2&Ff3a^qjzq*v-oCU5{l^r_kcQ3h=a`w$8 z-OIjf%hr|!ryT9=)m*Ws->0H&#clbP8P&;S<#G6<^_IkkyA@xzO_vng&Bd)yO*uGJ zEjdt#1e-tdMZv=*A-?-{bgL^g{(&F3fux^6@tKZ|=%c>esqG8YxaZ|Fg4VTB3w<>4 z+;$V)=$9w-dynSY+|m>>jMfLeOCNlf-rK!fH zsq^zwO!SQ1ngWp1g5t^*I9yg#$yYQ9M1kkmCyTsIBO zMs1kgqOKmWM%m{{&DDP|gPzdINIUz z(Hi5uf~<$eM;MzdGVSDNN*)!yO8(q#*TZ{dn}h$b2}>$O;TXT_RHZACe*fPe(v!}K zR;;WMY=|=ZPi>zGZ<~n)wteD=T$0geS=7(`l-axzwNXn=_HFJ36KC0uS`wo0w0p7r zlv&DwAtj!+SxwBc;f$k-j2kN1{t&MR$?bYNX8K3zDsG|8BaXV`&60n^x^W-63!E*s zo6&~u17n#5CSF@0!T@T*1EIp>l6AWn13ltgtp-6w4<@g+#Otg%d*=M!#(ZNCRjZK3 zog9&XUf?yUILS@UUZwhKUDNo2FM}XvO}QryCUv#7`&(EQUcO*s(>UuiQ>K$=DE7Co zcHKPiHxkzC>2qQdKG$DbDi+!XG)8q5=J&{_#OtPd5C?9g1ISzL1AZtiX)y+p3usg}22 zEJB-Q2Q-Cy{u{XSdWX@ZQc38ziiEKM8A)kR4qv*KK=_B-v@qrD+!OiO*|0QVEaYnu z=jSeNUC{(Tt)4=PB&f8{>-yg$lMDy>DD+^q_1>;#zQ2XV36#;NADR3)j!AdT>SHi+ z@cEf$-Tv_*mQu5Z*Z9y+WD)jkr>?l(hJ`v5J3h3>tLs%lloQg{wkY1iIT*kHKIDpY zpQ_Bmc5AXU+=4yQuOT+6ilmq$2BT&cxeqKaI%yA2G_np~l$nk|C61KtzW2&IT_^3R zQT$;szPuvLqr`1WT5F%8(aG!x{rC0mAabk9nO?E<;h-{=y8PzF3NP;4=DJZ)ijMuB zTtmN4wL@y9Uu}NnTzMn4G(+65G;243l~*x8 zBa%SqdPALZ@h9uJBnTeHGV&TtX6AR0X}TvzCZ9(OUD}p$G}ZB_m|9RI?P?aD91@h} zCmO&_5&M!iayd%j>sZF!0UBp`W~-acY?OM?qC0mYIl+v7BVd`@)&(P-?$AgK62lkD!lbjT7O-}E4E16cJ(lN$Dc9frl^@JJ>78s ziYwm~!w5wY2M_84VnO{q^JQORZf!m;8yLO)12G1!rXEBPmR;BL$;NxWEp zL{tI8r#mpeqpfY(@}a!Xi|hXO4-Qx~<+{-=VHEc;T$ZhPxwvPJEzWbVJAoPHPs=-C z$A{P*Th!E}n(o!bG1$xNY@UT)eQDA%%*LTo*zKs}Bk`W8#3p4W?7;X)LHzE#TS{Q? zE4k~B8)k452eX%419P)eDkF~@9({9@+xhJ0*fG0}mofJ*dk#c7R8%~#jdFBC%7-zR z;||y-UcYKzy8o`MV|Q&}lJ7GE!a(ApyIOvvSbzF6ykZ@TQJF}?cPR+(lCm@1t+$&e zg=g*W-uCK>s@jLdt=b9H3^Aj@RIlN&8$zq48zz&!b`HMa_fzcD!cIoJs@y>ReG#nq zaF!q6*v6Q(n{Ixyws({+CSe$1#iek}S!HxHk>4flsHy%eZ67OSiDw^IfN;JTF`D!S7qCe~G=FZQPrso++e0E2oc zh~9hmn#zCp_kWaE4zlywBHxWf`Q@H_^+GB@-QBVp^&e`tB5DWP#)0uyF2r}25@FGp zP*A~5Eb~4qgSsG1y8IZdRkmBR52^JRp87eX z?-yhfIM<@eDUTLwDcjm!=sM`~#eUCwg9o1ZB}Snhywvak1)8)J2-EqHWjX#?CP768 zHH;X8+M=AmofLm^G9}2(hM$vdQ^k`Aa*m;3>fp3)`{Zw7835;T^4E1mqD$7l+p@nt0bp3{Po3~d=-;+lC zXyymHHYbjp9pPyXT_!v~S0Z{jr>e`D5IjaBkDeh&R{_#0^*6kvzsl0*kFtAb2Hz=+ z6ZV6O3q(!S?TvUJ^zcCAzxXF{8#^x0D0ZvZn(DCCAUrN6 zdaijDgigRKmS-nyO}=2vAdZjlHmP8##>-`t%BkL4G!~@S4-E8GG_p|G^G~g^g9DNx zwllV+83txuuWKo940cmHu|7X>LgqlS44)9HW(B6laU5xcOp&DNfrG*6+`>rJ^bl4De(O7re#GoUk|zM!Ka$U)H+;LhXhKP8Z{`OT#$8 z1e(pU40paH=VC*at;>El!e2Z8Q$C|JUcSQ$=&XFp|GW<=r5{^mvC8vrPd))MzKc7 z-?XI7xiX+@d{RwE=ldt|kh%GLuKxHrtYB~`EimjywgR)3K+fmetJCcJr|!g-)HEr2 zk%;g0`nae&1H>MRY3I!yrchL*4P7QDE`X2y0$6~QwW9pra|11t8H_&)5euT{EXm{4 zk<@XY+c-|({(!|ds|5l-%h4l9Y`~b+)o9f&jr^^xE-Fi3ps+q?8Gd$uviZVfHi15r z+Zo0nCZ%^?%W=#JQEn_%eV0j>vZIp|D_#`Tt5ltC=sTzwr7#dXewW&;hV~K2|Dymc zjvT$aD2)1py4RnN3NWC=pMB%!1^5?{_R@qnU>+tWiJJA;6*Su`jX<13C^&#ALG}ya z1`3zspvl2|qvxAtySei>7rriAWQWqjO+KcPCWFT?2`9BgeS0Rx9{o2lb!j<% z$wReE7-qepBj0Xy$WhP9o7-}aRw|DKPh6=?s@nQZC39^)FO)0pxa1*F(g+6`-aw=$ z$Y#pbJ@DC+#moakxtkC-8fwQE&UrwNKQ$iew)dD5XF&fJxDC^fPkAPEPl{6$DhIhU z%`4e7R_PR!HHLu^0%q(ikEV=lp_KZ37vq#1z7}`-=_XMv!#f@1(n**A=ADN%G971d zmyVU~PZud1wb_9QDkz}z^t%WARrzFm4s)_IkZ(#b^Z|DoYVC`K>jBlu;RuP^d@2^f zoDrmyKME()Fv+s-8fu`@p_D&gC^7NwB&k-i>G$cZlT=Dj-M{W@=w?|oR-mv?2t=bi zGEcX2CV@FqpeRm__UNxWGfN5Me2!Wm&b2kRVMD&FM9y!1d_6qy01b8f?lUOi9slGKzi0WxvL`BdR`Z&^{zT@OM%zJSbU%|wCpK>_=t081qIQr6hw_Qs zD(#0vG=`YWq$ex>H6{qKj+z|K?pO>FO)Ox!B*P2QuG(fdP!f8EGOHSUuGSDS(C|wa z`&-Rsar>x3I=k9_>sQa+bsaJX2t{;e&rp8ji@Dlj3T}=xK};Z1>~v$Hv>{N4#bB!U z7owla5(SB-*`#ln8U|=QpW&yn%{L|N?Mv)o9hgrb<9AZRXC`Z_z};|qN8*f<`(R$) zywC6Vi1wx)v^V*1hS2?UPY*7h`6Xe2!<=#<2!mufHN=1KUAQcE4`bioaN<7tg!@o# zEl~(LBL+_rE5PBu&zq8^j|63LelcmN{24KACvlAUl_d-cBwuHr#rlQy+$05&W~A2l zu#FFsF)B<(dEJ-BDJN^OPhah%OhhAwn2^S=`7+x$4UTM`qB;7?;7aH{R*)@ zWK;uL;#nSu_GSKw)&L2<=n`B1ey0 z+51&Imj4tlBJsIHneI4NP}5+Twhbpz@yG$z1j}gy#;Q2IvYJ)Lm z%H<0bS_TfoFHFY;-AH`%>OuuZ^BH~0X8gZd&qZ~KK96knLdlBC28RJ{ITH~aIN5A0 zxDALQ#1V#47>tI;fE95T?z%-@UolO(f5FxXi9cQU$0AF!SX9bCMgChiu0TKEef!lF z!a~sJO*@HfCzQ6=sU$pjurtAV+J-Yy+N|@vAH1PpuaL$SJWUv>9t1j*{+*aO-bZd4 zJQEfHg6Klbdz5)Nm;+5<;7keR3Nb&FyuNfAaWMzol&j#8c#YU$z8v_XfH~J9_P8hcN zglW4eU!wtBB|T1Tw8jU%0i7dIqMM$H6F>F@cA_R!lA!N0tO-AqM?b^UrwKvU5qggF85HkfFc!!G!lwJz=_+*DjaG zyuTh9EGb))o@~5*@m#vG<<}k)*Vr%bxWE56u@gmd%iTWZk9`%%jP^9Z;`kDtRU!J5+@aLN7?RW` zyPHn6?){tfV;s45W22@vdd)s+(i&#Pc5Lg>ub;9ydtV#f7vYkEFK^Rtixlj?@Z@qb ztWiv|%-{aH+CKdWbGkad^8TRZ*>CU@J;G*Sa&BazUzPyCeNvw5VWu})g&D$SSPh)7OM)%ba z1b5Ap6oPMT(ow04xnvVY9+LgG+I8P8YR;b{%cVogwxn|Gv_`H<-K`=_xt>AyzBTB* zq}y}yf?dM@^*^A*)v|I?Rr~8q@6ZEUiVH=%Hr{n@+r3`xW_GLD{EdL@fL-Zt809+V znRoQIebP#90D(Cqi*+CUxH= z@7qDA`Mo0FO`Ei?5>+2F3Rw(8dP+vTA)?YRZWHLOc?+&|M>zgLTf*^9>03Z_q&A8_h@s@AXWdN=W} zjPpj$&|P`QmBAjk7yS|H2Nixl{4JjL`7{$>P9RcFIi`MTbpCkpy1=2Lsb7D#&5?h| zXbmgI@HJ=8?KkV!SXA0$WsaJo#lAnRFc(AcS=e^(1DOR2%U$DC#p2AUPn7fiF3l3P5d>YFISLuS6R-tgg+69ZJud7j3l-ttua^bTB&!8`GklWQf>d;lyJleH+ zXr}ckDf(F+k~tat{cn4Pc2fv3BvA87je@C1?^B08vN!y8w&Y$&_;)mR1*bIrsHiC3 zZtWGyQU|`Y^tbPXf5*jb|1dOG>tflaJJum@a?g*k@-<%tiPTmH{vvM0T0M*Osy)gon=`&$|Ht6%vf%e( ze&LIsg^RcELcWXQ4unN=($8&MXJ6NQyK%~S8#?lHchArj{l2EAf$(QGdtcnn6^S~l z+eC)NvHmq28keUt_LtU2yICjnWW>5)XAI{v$YTU#%017#kv)t`HJQx*S-qIC@ZU)x z^??4FrlvC|*;lo+RT8^$jJ3t}MeHgAciFiVpta z?y}Rk<+)$Zx#(U?Q|jEa|5Dd!;jflgC}cS<$F+Jh#N&36CDz;iO7A+8D^(nvot9w| zkUoW2<99wx`~|Y6%@MR!j&MCszg09$+H^Yu;g86w9q%2sSAExNaxNLI_NA&$&M1F# zphn2+qBaN^^3-*{x*Y54z#N z9q{e~l`Bw+^ow2qMlFH$++$-Jm<#eS3AVffaE6p1g1TN?4`o8K0_DY=sh zcAD#E$XYUt6zAqsX+cd2sg5Vgvb2|O$Q^g2_9tmqESERC!X#;ij3!;k7B*5Xu6K_H zSNJ*Z`QVTlZ89BkXWBILMxe^?`#;06vLpCY+uP6KeBjiLG#{S&Vqw~9niFB z`bKrtg^XXn3%^mHV>xiLeM)C{)XgK3tHvBlyD4W%VK^ID$r!)zpvwHM$(}!w_t(HX zto(;efavGE^XL1~Z(DzNJ|UksL5>@x&6!93GXC^+xZUK%E@5Vjb%dRsoSYwGn)r<( zsXe6b%44j+UHVx_r3^fAbwTkL0koy4SUW6rSJchPZ0j8OzKNW_`!m62sfknGLH{QFgU0HTwiNFWyZkoOOgKXc=_-GAH zpLXqgVSHojJ>L)W+VnL;IC99{4`%N~T=DjWJ~;(R6~xCqqmtET5iPH{XBP!OZy72a z?)~S?{_IA*pe3y2_SFr9Cq!G5$?0guQ>o<1g(CdsP50+%L&fY#?tAfTt(-*0FoYFM zG4yU&0&Amr1Gi#>*Al3`MMtH(9m^l~TY0@hW!L#laWA3@yj9|EhF&|%8Y!LI@&3`_ zeOj>&y7zAQI6XOXHDQm_R+?IY(#E#9r)sR&b_a6rCd)>)9eXJ=LHwNBl%?!7ZF@_3&@hS6rUziMB!F=o);7AQ<%-HSu z-FoP^i{_TaY9@TEwB8hlS?IB#iyMs9lO8)e)m&4NuNFOziU}IZk3F|BWq6pKbz`5@ zGm9P*pUs!eGtY5H%bd4N&RQ?~EXBQdCchH%uX*Fm=hWWKE%5?l_MHT2djw<&?MyK1 zr5tUa8_BPTogYrxnEdhnH9ys)SJ_hGVL9=gvKOCU$x)ZdAo|R#`v1D{jz1I^bJ*XZ zAmY=~I$8ONb2Rpg3tBa%&M8Yu1V1-4pPH00=W|)SFU!}NC7BGle9fWp6HYcbHhe0| z1;+-l1a`tUp_)3b8&C&#{g~i-FWt2U97E~Kfk`N#8p*? zc>%I`o3!su>^TU411D@I*6nmHxw=2~+Ta2MLjv0`fPC@Lb2-q09TcY;QnOj<&ALH! z4S~`C7bm2PY!3_!EUqQK`+`3}bl2JgIE8eDqp_*R>0c!0j$r zwwSYO@6tINap!~Hi(7=2=aTsBcM8fyQF8lGP9#speaA3jp_3yz<&#XR+IB21W~nEk zAl@$ez#S)+@9^4{-1CuMIfs)2zgU^#*v0t%Uq9ar&DC+a$tNGGvy+??9L<(kZF!QK zy!*l#27Twr7;*2Rb?;}M;Zy(A5Qwj~Pu*il7(n69%cc%G0sAS-YNCfx@n2)c`uDZ| zQ>Dk8nZ<(`;{v?t&UqHX6oHEuFt50h)uTSo=51~aWWc}KkViQuC}HL0ZEGvNyg)R}6mMqFxK9GC6e#E#)GrMex4MZS6; z8U(S+C|!L5`hDWf?)u|`PZ)fvn>Zeaft*T=>Lqa#MY0(|3-uutIp9D?X?EuZ3@}{O zDI?-kAQHxayukU%g0+@x>7_r=8Rh`BuVjERT4ERDbsCn9Z5*hpSZ1CBCD8yp735*` zTI$z**n5e)>FWC5f6ALJhWNf(q1Gf8;sv*o}##B>cF8PgS^cco3PVJvc)S5Y-8RLphX# zl`H{$EF2|l+IY^b-OHwaTL~NagR5;xM{egNvYo9K7FjUrOnq`@*O9Z<%?}FVm)mC&}Qj8yGw(R(4 z9hEBDIu-rO!#cc8#vmmeps2roMBUVzV6Io$w)x;$+EA8#cQypyteYt5ky5%Q&u~4E z#=*b^Z>P85(EmfepF~5Qi#%nebidY`{Vd#nER2hSo3%Tm7Cn`I2~G_X_csZ`8pvvl z0Zj>~eJY%{QvvuEZzNxWbxD@8RalAb`f+~kd;EJ;xyB^7Vp+R1YG80SdPWMBl)WTd zN7wH@({Q<=Qt8`~0uUkJuI3ZU#n@M|FKpGh-1UP-(_YIN516IY@Bb&Vm0oeog@bCU zsePw^%^^fNHsI;KA0-dvnq|YilmAO~>8orVuHzk63&S^^s_c-Nft78~TLRw-xBgv{?E?s>wWY`oj z3Y{$SO6_MS!p3*B*Ho41kBgC2-1seow$Vp* zsBdmj%1wkWsf64~uClErTbB-ET})YI()toAl(uGFvzTpPAzd-3zrc)l`b6ms!Y@b`OOFrip29jk`#*KnE;gNfmbPGoGt3=}m2f^v~G zyD~AahOMt2q2je_<-G{dU=a|TrjrS`4bX6pW~U(uB!(?}-E`ZLv0y%r(J1G?HT*}@ zCyQ-mrpf}w6J}>wIVW^<=5qtJO!u|A2OZjx)AqGKvnQ`W+vg9{EBqrF$Ju#<-}Qo% za?IwsMx_wXj($(A-o91&l7Ph7fs8CBo=8waN|8OD$B(s^C|X!f6|IKpCsWz#fZ*+q z|2j-)T1%#uMW~1?7PRRXh~`d8gwt#>(TVn%VZ%W_4MTm3o_K9jD_H<7(F~Zp-`1Dl zRCm;y|MzsVGqs-jd1N@oPOn;yefv&J&YI3z5%Dqm-fs1#RA}^}n~|jAq)i2v^N+28 zwmQ#kvEMSg!>N21rhP$U=bA4+jP0M#5#RO6YQ1TRob67{KH6oFkD!D=&$P=IW{oHNGUsE@ZO9qEm zw|{ie0eOf4!5EBkt?cJpzsezx_}U1V%r~Y$iwBjZQD3CNFV`H$Q{THS?DW~`2%Ihv zES=m*_>m06gpjgdH7jl}rG45e9+?VeE$&MzLHDfeRVLq}sIrQ2r^RDA%H^D~Ff|0r zmyA$U=A|j+UvYSaR%95C-!95E*8Q*~K>9lzZ$VIb%i0pvezXPW*)Bkw@6FAhbzhSP zRw?q;tqS3A>&KI>=Q{daqRNz228oylF2j2xvBXU}%;U%2V&6RbpuM&nrJAT@_vr~H z#Hk!3VAROyu%?0kPV&}v!>O?qcmzOAMktDGLS$&N@GzK!T=$xM;Gskj9(tQ)L;m{g zGp9l}&i_Vt{q%y5q}J){Y)x^A6heU+h_5LFQ}=Y-rW)}qBPkm$MmXtsyw8j6-a`$& zYFrhKcQ4k!iu?SS--s*Jk$d#19h~%hVU0^Ig@UIdE~MW3CMPR5e^3a+we8q#9Wm%6 z4gmF(z78ZHVwlyc>yMe;%Nr|R6eJH*RM(&>d2eqy%i~pJA7hEDIi?{lJ_ztvi2az@ zr?GHYju2nwB_Kc^!W#PM@w-0AtIBp>NVPHHC(c}U2VPr~6nIuIy3N>|^f}B0PB_8E zH`y6bX{x4ma6&QII6w+8gBC#O?oMLw-m@1@mGdX`OnHrzyek@oF z-76@OoV{`z!71vONG^f(x|7Cg+9&5hX)F%>31dzxfr5@boUX^kq|q9rzlw2w|@OCMRQ+GAzQp-du?x148C$*AahT%ok0 z`izMS9@RI3XIBrOo?LiE!vNdBE^hE1G*6qwdOH_mQ2 ztW2b&zgVEBT2nn?2x0rdTW%2gUI`-Zo4y1lH6=?ThO6e2VHMER6>wq43|{M`NrL)SnP<5j zfW4f!vSi6xv2V#yoc65BUq$;V)uW9V)Nn5V1h-Iz87DovrgdN@MBm@q!eIXG4DhRlt>etmz;`VPzzh8i;Z5yb7auWgW!i18Y z7~h?0%aVtQ7I8&*orD;d1Tc$TcqPpK@c!jd4uNQ1+c(}4*GF}`y{O0e$eBQaxMzjk zk9qIL!V@JkYTMp;UboWfD`zAPDs_YT$g@Z%Q+{x4`wD#sG?l}zVqpAgJuXJls6}9B z`;Gp~YXnjXP-iFxD`tXOZ9h{OkhfEzFIuFM9EPPc_!d+5yFxKIQJ%NHo)KRFBn*qU z?@jKT8PtZ}{)!N$mMs+F4$J7@TNi-h475pM01`m#`>g^0*))aSaW1F)YaGkb5> zJmJDm=aWt81m-V;vg+9Vu-SGpttA1dq)pCzq)-pYr~HA>zYZNSQA*=zCdVfKP=PfN z)j1KfE}V|Mf1M7Sx0ObByS+K|{E*#aB!Vmqq}cjgICY(ctZOnp!Bn|nV7&RHh0D4N zM;eO6K=dQCV~?~+6+mdK(4Tpe2Z-BPQJ&(;qyOp!(u)%li*H%?T> z2f&TOG?ovp|9iTg_kkzsPmNp$+D%9-A3SKkyPa>agvn2j6CIAO5=G&1*<$<%+~%-YK;}$5jqmtZp}+&D4!IuhtJw+r-n+@^Myx1X1cR=pGA~ zOb!oH9#Iq~y@0%k8y7Zy;WYhKS2`8(gD62$guf9Hz>xRHFkOt;|5IB~MUqf@yJ;Aa zwksIF0W#Kz=H-jb{|({606tbaztl^bfRPwtL2vjbNs7VN7cAMa?}$HitSKrpOV;wE z)un#7{qBEFPjo`j9fgI+eflP}`vsRb0)8#@L`%(ytOgy!_J_h(M`HN`QI0V^sM45) z;xHh7$uO~Bk!zUiR6tq}NLkwv3oMqkCD7QI)C~iLbjCQh&*?-(s#Py*!5Mc~;yuBk zy}Ud~SH!}4Xh>Dq8RU;z+JeV7y_rNM0W3Kr5IBM9I)|%@j9@Y=wqn{fF-$22r+5}* zB^U!UbgOhLHQS+OGFU&U{X?uyWn%-!Rok61bdY!7!*<{vz|1NeOE^~Vw3ESb;cUjg zjap5cNC@t_oQ$STJ^Ou<7Lgr6{;y*|CCvSPY`yoyE9W-#-&uyO#mf!W4XPEYA4ey{ zjmDa5$&Bo;Igt;$S~mrg?AjcU?__WCuRkqovD-3q`{?cPN^Ot-(94L=0s?vYO2ht7 z|G6bQl)S2*nRI1L#It6(m`OQ-=p|4ydlUv2lmH2#1EH{(FBwl@sdU{~e1TL7>1T-v zO@X4Ho)us}(NhY|Ta?+bey#262log^RS3VDp$^K>vDQOTDA(&gcGOi`C+NXz&ZctL zwCd%~ZSnYU+|J-!P5-l%_^vh);1|=Jd$smTE1?Nv`TqK$DXl4;47Ks@Dmrgsht>NN zhb#A7%CZj{(n1}7WOBFl{o$waRWjbr(xGP^cE8irvV&O-DktfQSiFDx%16|>1=@Z% za#pT z_#$ao*VjTcX4g4%V}1pBVxm7q15318iCc52ugd|rQv~u)?}v`SY5v`f@kE$Nm29%d z&*{KWh<0??I-@-o*L~RY@!_{1)$xn5&N;2-J~C(fst1dd`O^Byom2tix zcU#Ubk!%3TQ@P_T3HGSKD%WU+Ij7B z(x^bK=&*Wcq(=d6;b2mes*`fiUQ{cNcy(o9>uRIH14{nfeOsBWk@Ws=8ssE-*d*QP z$_xLCgY5xd-bC588ic7f+!mL^2EQpcUmIS!j?vmfuOK##9v#Wag!u6t4I@a!i!qS4cM#{q4b09emwHKbx>t z_)>QB00N6R-tMBd)(Uk~;5>+CRB}KH#1w$X4nEbk^H>0F;nWZ*A>Zqz1WH}Kfc7hx;O|zJ z5%lm>lMUk5%NxVa&kaj$?7jO<{`++7Tkz^tla<>=Ut*h3X9)zB^1Tc3R({&k81KpM zD^F|g=Y;XwS7}gpZ=JGPQUe*Zh-F|dHC{0uu+*T&+5zi*-;C6`UCCQogrf?LJblfp zT8Ez^_jbIlt*O`R-cKH1ivIKK5vsZrsy`u$XRao6O<%bSDuC(g@Jy>U`e6gc zmIHfI9frqU@0M@58?*!UHL~a&ZQrv$(aVaYIlc})go&k=5Z_a}hRt8xXuF1TzJ0>B zJ+B&bo}0J_EISx4P4*}~^<+2Z-vIsqI6P9ERNL2V%=967sK@8O%(@Y~3VQ*|zbR6} zt)B2egMzCA=Y0q*L6iGUOKTgb0SQTz@iRX5{8Y!E^%sQ_EPjvuCme9jjbbgXGFLHQ zC`q?}VBR|C^NGc~{_J*I{=Cvl0$4L59$<~~Frrz^?E2qttn7;IblSyI&XoR-z@Y6< zmciV$Pkd=#e=nx+=I=uP=d~$@#}8@P^`@NItK}GB{KIS&D)ao4{R@u{oL$;KdOYxv zbgdd^p<@1fV0P2muX|*X4|^Jh@9dMaG&KTWR+zDC^tFnPbPOBp@I0*1s5`Cu@wxsD z`CC6obm0-5T)v>Uc3sZ__HrIzBXH{W_z9 zERzF)QOZZOf>y}YFxaLU;!!6+Rq@f*+jBc#1F9Ug-Pl|ivVr267viBh49#Sped-3~ zxwcvrB+%-Yh5-x!WF+3(VzML#0WJIZoND}Y?g08VWrt4nVytn91o2b=P5tr0*9^g> z&+PofVoE`7-kH@Z1W!D}L*-YUj(@pZDq%QAe59jp>&@~hy_9M~Q{qP{PAUr$&&V5Q zn!>~wDF%?GV)#E&BxB<*O{Tx_#jFt>TL}>Q&#KoE?*XG}`upx;ML)ygvIu z_N}X}TbDSu%I50EP*osfx1#uPBl3j$@6d0?+~ipJ9J?tHj*uzwI`LIA6f!N3plQEo z5?3|z=5N@mlDDe7WWZv~Cx^|SP(Mj4QWzbG&pf3@^G~7&$#iZ=wS?9|#j4J%Mu}|= zXUi5DUXYS-P?Lr6C#K~r;n^}Z@gKa@8_iT{VF7>2hM6=5)QuK>mHF?qn!Tk;eYK7@ zU{CQueYJhU@@Qnq#&u0vZJ>j|~F9#GzBx3fLeT7MK=*#UVB ze8!>G`&Fwy>gSxx`e}Xd#I?50-1~T!KN%eOtor5dt?Dm*3;k?0OSKJ<+M(1ay25|u zwUvO;^co~HlWTsNEJZJQl$i$asIfCQ)X)&Xe3H(S*`UoB=c1F3Q9cr}32q z!}YzA%qP4eeVQTc&ZF0{51inPkO5d*OMc;wcixZ3C>V(3fjfjo^&~!&GXk7rn0x|g z!vHQeTdpRC3BlwU+~Nu`;jY0yLX7Zm&OpgzKen4cy-i01WKXo9#hCz5UJxl9>|(?G zz(LBuumG~y)biXau;|!7esC!p!EZPJ+UnOsi>@xn>>;4(Y z@$=W@sW6Q$-Q5LnhwAqM!WoLG<3FKCNMY?$(uS?k>8aX=w!&MMs`9=iEG@??;X5X& z@dcL-T6qVm<0wOiK!Ux2xrnbU(1!(zTEmw~>#zTMrnws8|GIQ#kwCb9W7s=EEWGu> z_mxjWOJwRF{-G7Ll+GS6)+X#voM6*#-T2`j<~qi@&zA<`4-ycS#=lG^pycWK!iufl zm6|NEMCnHa&&(bCq7j#fvDD4MjQ%Gh37^IzP=(|4a94Ea%E#I#?oi~4uoda@`2-s6!Z3-8`^*^sSk$rD zt%DAp2@ne$9}ogS?Y$uvzo0NSkYYg*rY#aF5%h^EI<9V7!4d_ zTdt7L#C#IURkG1Psec?>XP&j%GvoRejQ5d)1|%_%tPLnO>$HBJ;7e0dbu2e&oL>n5 ztWihnoYBtd%srbGliRYQuX`YTgb%$r@JmZ5M{+1J;w;Nt$#RV?$jQu`7GrM$(K(Ta z9-{LuBz&(s@>0xFNvlz`febQbU`fIWphW9lc<*-~;IBi{Qb1}J9=X>?g%wR5c29uz z&m{6{Y=%0dJ$18XF*EV>?@r@2q|dP-6Nh5NpSI!TN3!aD(Bf}Meky30d--Jf6Ozzc zKH>sTU*y}(UmTtC=L@F*u-|BP4&f)ovcyy8^3+E%KgcH%{lSYay{u=4e5`mYk?kuO z6!75a|8PFPjP->Wfhce1NYf6zdmL<+|CFWGrE}|+4eSNn$x}b?r5J2_dH40L;CJ4U z_YVOUC|?{6Zj(&6ApLrsq9FVfmYMWaq5n_3*-vlRUHZZYGb#Nl|1MjLwCc1jQand& z6gs6n?Yrt4ErEh(j5!25!4|OSJ!7vsM4QDSv+^dtSCgz=Q^Z0Qp2h1Yd@;Y{6awUQOvGGq1WUyS$ zQbitR_G7axZ%mg5#Q=_ZXY`T5(be!xAAY5p|9hoIm6Ub*K;83n&j+0J(1Cn%b=4ziv3@T>Zp{ zw$E?^L0)LEKZEl%`fR;|gG%O}5Gc7ENPnrMx|ai|Y4TWHY2^#j&LCq<$B20Mv-}Hk zK0sM}r35N}MZS(xtH)m>rF!oOt4m*6d~1ClKOHjY_xD;c0HZP3?xtSbzpfZZ>MzNZ za$c^iQg_*GzER%V|539b7$eln`}5>=)vMqMj$i4BA1=#kI-@*4FY2rFs-X=PW|n?E z70bUrWi+V8*>U#Xt8AC9On<;re(qLI^<1G$l?cU33*KAH97~FP%n$;FhK)*}c)tzZm)EWydR`$N$P+DWH8%NfS|uxX4N82u>iy1JN4pB5jj$c0h@!_)XYI|Kx1k18 zXZ1J@?bFZhBEA&Q%4;9{Iurq}aQF3ntw+D#n$9X+_2Q)enk2M-l1tdl=;Oq%d9t41 zpXo^0y5E{B)VK8^6DT|=#b{mr&>zhd6ww?^C7H;oPqfPTCra#eT5<@QVhG&Ke=6?w z{KMj9fTSJg-*A*$#KNocFtD1rYH)`E(Fvn4H0F!3gSG}+Gb4WoH*F+gF!&0SfsZ$) zw+5VVKD*}lHB;SHZKr;n)JcS))AcjfN*5qUjS+Qfc7d;3%1aDok4iK7Y>ZZ24ji(? zVLJ0)Qg6xg)Zj+DsG3JN?zU(-6@rmcN9gr)d)pgT9=*SlSyaVS1MbO&$_Z}6Gw2f^ zXdM&%L%-+}o!7PDa~CfWni!k$Xrclovm@8m^#gBIv{DwjEg3qa+dln^h5ikOm3H-O zIgo`X4U);9$DDy1crp(fTiB!4?%_(2)&!&&kR}+7&u*6S1#(`Yj+U4y<}6+MrXUM= zCU|BIrYF5c-_*2WU;&YW5EKM5`(l9N)W|45c-g8a_A7~NMY-p-vM0^twdy}2&sZ!F zSBAvqM6H5tBkZI>j@Z$g5-khkOj?MC<+r{i>9K#NkMD>^T!kaoeJR)*2*CbzbGm!T zEGWBaM=u2m@*|9ayBW{}5fS6e#D#_M{mJN&T1xX=5s0gHq6tdq(F27P)+H*J0+ag1 zrdX0#;$H^n3-?gt3sTs+XtLowNX4)PDl=hPpg`@ylFo|9vzZ^gAeC=|}>p}YHmNG%4R`^(J)t` zP-1RuG7_jAq6Oqqyf$@1dvCRP_)wizBcD>z$m6E|L*T;(GU7mo?t6iQdC@x^FT49p z`1nG5s z9UJq@m!|KUqw!*l#p1M;8Eab?*$Tx;2 z5#?1)?X?nOqbJ^J>pYPQiTmwEBE`jT`j`tgv?q_@lg{PRy5~FbH^XVsz@E$w6JwAO z_$y*!_0JgGz}?qu3OYH7AM-c>O;3m&$OwZE0WaSMYCO4-J3u4XvB}^E0_PR!7boiZ zi#X#0=yn8;=uHJaRT&fIPMoH2$_oUL9o;Fu7>vFhm>f;|0ZD1;<&Fz2?YRjwJSRP? zEjottR9}(;q;~~p$rm`?utmweKOuqk6h5g_CTtk64+1D+W%bX zw4Vv6CaVdg90C#*FH|f;Y{6@_)Fv$|XoS`bQE|eHl)`y3r^z%rOtu#{LF+gy9LR@0 zg37FGsWWby=d=^3Fs^c35|1QH1JV3s@yP9aEGbfVUn&2Qw1xG9+ac5=q4xSWP`Tua z&jv6KoVYpxwqOTGYCSr660aa8pomV78H3{-2boRnJekd_`sgpE)L+1#g#{G7(RUAMT=|;klo- z(XjE+O07Aow0ru-P+Y^k77oZAQbF=*@FQ9D@3Xq$4GaKR%WQh7vyvDTsgpzzbu zWj>jV)iAFW7azxIxFm>OB|r}>g`$cmau_usJ}^BPu?1kmi{o?}ZoL7L&8FQC!Np7k z$0EoP_d}pV$;7?2g8d+BzUXADWc>uHVPYoHiPcV)2T&85Oej(w@C})uU{OHR2jpwj z@u2F2qcHKKCDak3)3|_;YCBF{U<49fV8Y$^nbdf0EZjaBY5Sf{8K!@09dCG&ptvnu zpvUd)iAwmay2(msr@`R7aqS!D>eG6V(h0BmhefKU)_svpQC zBl9?qoanWz78o6=ihti&2*r_r-9-{!djd}WrqGkXd?0C5AX0>b{cm4U0OE) za+AL%qpHzwpjAC&Rai7?;11{)Sxpwn@lzquRF46Y{rPL)ZkN*HU!ZwkTl$4*Hq@fZ&xXbH!xUCz9%vtixo2SRCKJ4D%e`c_>k9!z2D|`D zpH3a3NDy3#!PP*r=*i39`tj^eD*P^;_ADkAI;U7)OIk8;gpZc_hI}v;vf}+VxIGd_PuBdC=aIq-{u8M8u9D4U2L+TkkOqG ztuiFbBzCC|y~WVjBH@f;$r5uD-;%5N>^berqZr}uOBG*iW`^We9&s}o;b9mBNjTi$ zI2_ zhveLp2pUwBqka9{V!I?}i__ZI@gL))GZ|9sAji>>MG>jp2I}sJL?DkwwU^`MXaz#l z%C)s-%M=B{A<|hy_hrizpUuc?T1z$L$@pGFLr`9Y3Z30UXh

    AO3TgvZu z0723@s|KSK?oL=)AXm1XjKD%Q$uHtr^AWIv)ClPdmJf}C7;B)3&M2+9$J+77JUF-N z!Rv2`9mw)lH4Y!Rmp0#}sJb#!gG=e9V}Enm-24&dy_|Qrc`#ic!70MZ6+mKIn4uAQ zx2GmoB&>$6ju!(>l4-iWsdbOwU>(c8ARbk1BQStelQ=$E8pWorTV!iha~84?{Rx6Z z1s)rXKETskV0`4fTRJ1>q#5v6pfT!WM%c%=~3|)bAumxnrlqyZ=Z zhC*45@kyNy)wAJn6r4{{5nIs|)4=vl)iP%iI*xK5XCMNn@vN>_&}k zqjA#MP8zGR8Z52J2!Zeu1DKHJ>H{b+h- z_cr)BP)~|jGz$EZuNcum8L{o`ZkY97f4`X$oMv=Hr*HG& zb&ftLSeZDf;WLKFHtSYuRWv*zq{jH+#^_pLJ89=A*cK*&H=a8V%3q^^Vh*IhtoDe< zu*Q1HrrJ-k@lG$2TOWabFx?^!4AghAjlUNVzX+&C!r^ODemgx~L6=XU*HVCBJ`7;N zC~YTt4q~+T6`?+~)>bWcHvn`BbN@_>AUSZoN$jbAV9gC-fYF4%*#(3fbwu9n?wd6^ zn?s}ExJG!nNPtmE6nF=`+^-7J-B8N^4KG2{O4=j-d$uwdFeyLO_9rTcD=N_0sOxBF z3nh)5@GYTd;@x%OtZCk z+AgXzX+=zeYPu5e+b3yJ>j>#?rg^t?DjSySrgWsa?(8 z_dmSFa|Ep4bg&4Yf)A*&%Kueeu`}ELINu%4sQC7K10+4sV#OBaVRrTh1W$qZs5C&8 zC#ybGfQwM(t*r3#$X8?kpz8S^FNqCs3#`r$8(#|Wt$K9nRiOn3>Bv*tIXJlH#SWT> zGTie|6YtCvA*v`2>}hC6)5S9ZH>i%6iLX3d*9gq-@B>>r5)p$3F%uSi&esG7$iJCy zA7-I(=TtA#o zgaG|QQRK_ebcTkSq77D4tT<$ohKAoPhX@oiXANceAZ=MKm7uB-zA(ayPYj@X>ID=V zOrQc(0Jf)?AOfl4^}k$UFO$h~+XYl%>U{Ls%n}IzQ6|spj{&4i9c21PbqG-N=L6&b zTm0~a6-GF$1kZx1mO>`Y{}H?=|D1d6FRUR>__`*`Vq~)57Q|YRmLJ-ZH!C_VNLk~A}sYny6XWuLo?fyBMn{rHx~dsa6eu$d&H0q<)EoDt|)bw8>`iJ+}qeBEz9=r8%gD;%aFZ(PT~PHA4N(q1jL%DcyH&`?^sZG82<^U zpW{B>+PN6r8f|>+1yvaCU()TjKw0JoKcWH!7>%0dYH4@rkrel0uurPQ z{@+hE(bJL5jLOt_*L42hEFRJJj4X#LSTJSuOBDshK>bc9>6gmk{`q7z#`Z=+s}sha zv=0U#YSJ?DzcdajcK!H|F0XKGt$8^~mZRXr|0YcRUgpHQ*iq#)Uxnglx^fp#y_4AU zmhvhRk$D}K6_Jflv;4TEw*HG>gxSk~_(iDm{)b-#IXh6WyE&P(m$oK}Nl+wh?)aev}zayNBL3s_Q|a z3p-AJ!aH?XRm`md_Pf!SPv0)=x{c3NaR8xdf*oAVK!!9}Mgan-Lk@PamyF=;S9KR- z5WqMUp&dxMpK;O3fU+sr`+`uw8_K{kdi@^> zDj>X*G?0WX?Uy}dm!&9?cIQFvnHRsX28@VkN3pe(T8XRVEx5tf>Ab+vDqk?d`94zlJibu|K$j#<7#_QpbJ)V6? z=R&_QF-yw@ma=b++qMX5H@1^80hjI!-vNQ|Uv~DBeZ(*C-NNtVSSrtCYc0qi0WdSF z=JzYOU_^IMknX}n|KecVq~kkFL7RR`3LpdfalRNPT&YZ;@l8j`?|^Ds=5N{~dMY9d zZ1e8RDKGK7JDmKR`9#-W1B5>sgqkGHo1B$DPt(`%MDyH5VHS1%R?Hbmgd za8UwVch~lsv6H5;AI#0t97tn}eV=J7!b_j_I%h}1&K~6HfqWn@Y2ozkC$uafTK{kXAxQv1~ zp}c=g8H{iLZOUNJ$x`m!QA81@d2%_-Ah^swDePwo0gBYLX-5uf(UVRqfjzu8!pKIR z9~QOW=oh|@b`y}GRd)>(m#RPdT=0)bzV;)Iq>1}xMn~U^^&~c_QL`vK(SeJ(Wbhv_ zJY(0o0)GPwp45vCu}mkb%mUP2Qr=J@%;PvX;oc=SBWlK%_sM31@E)CTK6gaWe=}vM zwI#sPMu8BB8BQ!o=~^S^`vfSl;ryiX%U6_Li?u|Ng78<^iZW#FHV%Z%xjm&A`064s zAX3v5C`)*tYmTt>ZcK&}m6%i71W|YKr%MlBINEYB&0r^0l)gL-!ThVUQ~C@PJrk0r zp(o>Xe0O1IYUi6(PY|IAbEpqMr0pyCC_H&bnVHjL5B;AF5Kc+5;rCofm}+rix|r`w zTDBfFb-pR6H9Nwohs6r${~rty*bx6Wh6vW!rTAENbfs~>Xl&{2gkes0GD;g)rLu?43O4C{`b$^r+ik1G#b!l=H7jA19u211FU#1M0qRcd0Y_5NW*1B(Rkfr*->RZ^PinaR)pgYiMrLPD4!6Q!HNWuB?T zm-yI2Glei-W#&jAs^n7&As0hCt;n9bEHZId1dtU^eT1|) z?PrXQFOhqwbTp}*oY>Y1FYXxbu_kK({&6Mw-pM*u5~99_xLl>|L z!ezD_&Aa)zf2j@B{1#))A#>|4HQuTeCN4#2=oYZguS?Gd0~WJz_e{0fYh%uPgjS;r zeLp>>S1o3y)_1VasN`X+jMSTd3Uz=$sD8yrZYu-)Z)FP=Zopv;kdBIl2j}y@0L;4x z>O4PS*7Q8(jWxX$*G3HZ2gJ-&k^GX6nMg-oF{ShY)?6$DBn3+yD&w0m<^q2IQTQ7xZhR~J`G9yQw+vX z+-FfPOKBp$>4L+a7<)zEE6-glcp=(M>F4<-$Akr*#ydoM1FW{zy(J1n~pj+xr4s01P^qD2v=1A@M_S zD4ndbn&Eg{TpfQVc+U!_sPVeU?8b@uG*(n0rVQz|T3``TOa zlOsy?CI07Z`v>WO*-b9rnegOC^i!(M5336<;3has6ZX;gP0~$^HVST2Wp$d7I9%5> zPo_xd(M8s3JF%eQ&PXIFDvIUKkkfqNAm=1kPW@-LQnu604i^qvruD3Lg7T_&YjWod z9Ih&+>tnSlDcF32!2ZMsZvoUvgd&hk_>5|BVZEWGTzR9Xnm}T zV(Hhd3aKQdFs6mTv9od|P#5^!3i)-;y3i@Lj*)$BPTq0xCuI)g@sCmQ)5gg!Qc<{) zgR{ffdDpV;SLQ$6Spk!FH5ER`IgJ}PJ^OD6nTbca5&0trLeK0r+TklRY4+M!=+Ei- z1@$lR@Vc!)YtkJBvh30al!v@P4 z4ygT_5+2_Ob?D+x-NqXl7oH!R3i%va(Qw$swD4v`HAx&n8^^mR`^S3}rOr6`?5>N0 zoqN?XeRZ%`M`%lqlPOUcW!z%lhoL}!NY1|T!KOcr*7Lo;Hkl|OP|;B7u)F!DmnWCU z54V_h1J=>!yv{}LL9w}uz;FGLkERq&E%>E!%Gv!hgM%sgdV4|q!#`^78U%UxpN3`# z-E%qoZergqiQJXwBHPRgUa*Ct52(`IbVS|#oW)Jhv)>Q?{8<@bV#VX)y2a^`S9CG6 zoosDBQos~n3UG`k<&pc5QyhV+*?z=m-yq$5*UK;oB6Lgormmp@1)*MRmOUUw*WxZY zRnMo3hRn`{jEvBqx^|S0r)6`)bNk)#mX!4i@FTaZIta^IUu5^bJRGLaF>^lDkQ_>1 z{t_`CA}KZ^eOK2!9JbFP7rM?Em9il@^t?gpXnkF!sH0AsMAX5k=}|5h?nE5jk+m+1 z?!XkO?x;dnknJhpGBns|R4hIY`n)O5%_lkk#+s^Esz%P;b=?vx1YqZSr* zJBt`@Rd9u!O;5fMg(wv*3xdn}Fr!Pe;vn8!dh^M?BsTxn#bA;khvIxwf|Zuz znKe&ZP)8M{!7`MKX}I-+D;aRd#IT+3UKHe z`Rr49Fc4X4zi-e!{B~~q=bO|DOo4$>V#l4ZRZz_)xB>WC7yXq)<#{;#+Nt0>`)>*b zeFoEqvEi`#aWVi!Isl(i0p7;$2jFdN%|fxfe?dVUNsk}oqhoU*LJ3q!8lUhq z0B|v9t-t?*2?X%T5Nhk0>2#?P5;2q<&dUq`S8aBm?DIkUZ#$tkpSX;F&NS?%Qf*B1x+?<#3L%j}#G9kLM~dx{q5&-@B<4pQR?u43BXI~S3(CM?o5 zACo>6uD97Q8DS@d{F)u)KCeC3|FDB^F9z>879L;zr(GiV0^8Pp9*#>x5)>Hjb~jly z%B=!bf4h2dI}&6!m)kmES~Alr|5Evu?S(FXdtd4{e!_{eSaZlg@qYF>EvA>VDcM z)IYvrwsdn4Ax8dS@G6Q-kn4Vby^e@U{e`vq6qm@>u}@e(JZ~7E6jgNHy5r(wpa>L& z-M7*fi6j(?>-Z1QT*aw4e2bntS845Zm_Oa@DnkZ(XIUGvJI2DZ6R-A7g`KRcDV4fK z^zMyRB>CQ3hB1bx<#AYd`u67si*7%9=bWe*yNf97nCy}lr9x|*Zj6y%9jxZ9cS;z0 zSo8FbV&5!sJxLpBsx&QiwwFbFu3Na86W;8%(&tsvHSfbEY6n*0q7EN#GLqdgHo{F^ zU0h!}|G@2J(S;cOLq_2j8UCiwcYA$nOF^g7*OPCth!vFMWa{-S&l(-91|I$#o>05th|a9_lv{x;g?Q z5hx=^am}j}yZdC&hk#e2`4|2pBd7a z+7*t#21NMzVoiY!Alcl|;)APqM)V0sx0p&_E#UPDmW zx$_Tc;^d6zAVxA;BQa|jA~~2q8O*47=p8PF1t`;|{d|7g78O6dm3FM2X#1eSmCx(G zOEDJu|xMPeRaij*dE<9kI{177A@@)WY-y;C4@7+VWPE#%!h(4b2>J zF+;_*u{BrsFIK%V0|g1dCs|TTohO5P(Ora0Hu@TsWdcG8fq0|I*%rAon;&X6^5VW% zS4#@RojN@z#1ZbTN@8m(%E{gT;3cI@`$-)O3pHHtWcV&)=6f|za_@DdcnHw0kL$Ks z=g{r=Fq_OSePwvpm%JticY|+6_Gaf+$OfJ_Cdok+b&PZ>Ma-6-FWPyT$2h-RyO(AW zSgG<+KrmT%zzqVLH2HkMa<=Skv)ooV7K(j4wsE3UAnm1unjv%P(tq|vERhXWERopo zjq-O4hy0$aK9ONrrt)YA}<=Z*!Fy zJ~au_LoR-k^9;%XXoTn+92gLXu}tBs>JCX10%nZUq2S{+^X=`N<+2(S)MgHUR4S?G zU5(L0gQ~@Pu106raX61FRwVZyLf3#=yjMpjYnlC1w_w9)w@-4*HuYNgW%> z2HwUTO{)t7xL{sANzd*@l$>Zf?y9m(ztXC0N$&_t<5mt05Z^wP3VVvb&~{JmcjA$K-g;VYzT@VTj$4WUFQ z5!XKiMPg6-dHWNOfP(`~L%_iSNCx6H-4wvm64LSZW7|EpI1}h;77<_LFsICU*8aw* z-!Yfxgu~zO!T#4XDPyzh`h9Q^w_^GWwsN}Dn7n!r7>FA;0nC!Bq~a36g*Rej1&}wJtyVLR8wy=&x@pC!0(d5Cm&ooX6V{3S5~^ERXcm$Er0#!|=bc`8Q0%V_b-1 zK49jI%+BOuInTtu4gDHl-=8l{L*F^i#LMPo4%y?2s+N4bmRQUm8Qa4Y+1bhhf-`)$ z9ebDY#?RlXFnKr!btBf=nC+CtqOc_?2`@HO8HHo2P zD`Zn`?Bm66EfIvnV7-OS&-3V|D|xGD=A?U1^#;(j#`Io+nEJPREmCrF$*)=qbRzQm z1fQDAV8lL<`A-#h6+Slm?oxuhSkF$XHfIVkxAvKQa|4qv(OYdvYIP%IUvCsABb7oO zZj88Gs{^b)i!UbRVlpE)e4hMfhTry+zV<9Yyhi=~U^WS)p2CS2O&w z#k=}dl{E!>CT%jGsWSmL5hitRgH8aS3mi1+-IH{T)BD9a28d*C<&WM>TJcV4enX`11&Zt9PqR1L zRx+c6F`=+QI=*2fI9LQ;0`XOu&UdHsE|^C{%mX>NusuU#gCN+|gEEg2^&bZ{{*8fd z^;E5|M1@&E$#Okhz9~5sRJtvsuSJ>iPDK?VXb{kJ`BrnQ%XcCQ?xoI8MXu^7yRqNZ zwi@-Q=S}$r>G8sAvyZh#h*u)51h;O@O@a>3;!}s|4+e@Wedxb996EJ@Bt;c&pKWz- zJ)==6;MKg+h-~j~KEGMGn|?_M81y6vX>#O>a?^swyg6L+Sn_>Kc1mrsr(qpf-}VW5 ztsSRSQgl@Y;8id!PRO&jeZj5h{kgb3jz(eWSatUe8M^@1HaWH}=3cyOdbP|P@8ueL zhiigS`m(V*@Mrs!`WvU*;J5b2?Dj{CsRh$@${1A8-+F6vTN54S#QN$Y$o~guY*v6S zQJkPGI{x_ezJ`@MC;V7h8UqFf-tMxqR1&OXNM35%Vj;QInEIu$K(+7O&=QB^-oc=UUuOv@L8Z*z!b39Dj_Yh8)RQUh(zc z@z;n?Xt+i)q$#bH^jp;Zuzcjamp67WHuFoV_Rt<*SC@C(pqQlOypsq8Bap=DqSkkF)4^<-Q3%J& z{*Q0I8&AMv{{+|44;Xis7RIj@m;)iTp#o6 z#269uj3;TYHDW61fPpcn0;RKzyh_#|tfP$l3TUDo(@su}8^&I$a0`&*DCoV8_GZ=W zN=9|3IjZ>m!T7%+R04)elflzcuLU*H0a%e;v6$2951=L z@^sVwdS7S=;+Kv!o>+}8Mkj+kdMz;qt@~t8xfi*{?cc8t@jG&M^q{RGL6O>G&RjP5 zNJ?p4GF2B2Uu}X|*q9U4=mRO^4BX29s1T_5uW(Cd(yE3}*SK$B-mM+*4i+v(q#G4P z{4m16{6z;M;r4jzU23KM)I4b&P^!vaR#9Lve5Sx63f_uz1N>hfm_Ge8I){X|ps$r} zi{8z&Wn@&?o4iM&t)Dg8)6|92C*g6MIz}xR?xUMV;0+JcIoi}Qxg@cVO$oM`_KV+= zw@7n+f2nn`$-nbZvF-)$@V(GBJ!}LbJ*VyN_!iozl~3&G=we3=N++QS7{=L*mXb1G zg>X+x-&Z`5Ky%cdp2KI;Nu9}@JcbV$w7_{?s=1T83KX2^KT!EKB`@`OUjb*7W4G*p znZdcfErDMWqY6L`yWMlc9cF|hRZ?tqPvQH44}=cXzas}IVWSF(;N?aWg>5%-dkEcq zqXsuE>w+E$#%vtQex=8ZiqLPs}S?aU?$#re)+ zc=_Sy_nUvDnH7e!^Gu@#(VmfYST{tVxu`(E9g9N86*0pxAKowXkn(^R?~vy8X9~NV zktoJ;_?(f<$ykmEqX`}NL&ZIy(pmZ(wKfV*v%T9;58L31pxCP|hP2-maKX_3(Jgjd zjwS8mLdGr{8I{{rNyPJl6$>xYEKA6y)Ir4z=kmQJZ*z*LEiJRKmnWm*^Vpjk$XpfV zgu-RF<+uXu>j|=@f>J|>q3VsjTNe!O0}HU-L(z%KO3nZqR4|kDU3PL6f!fiWDR>5O zsGcPF#29rCrt?@W0;b7@EGEjmy?dAJ$%G!Nw43 zdOoaC*iwb&h;i9Xvr#K>YZaLjM!0;%;lNgGJo#k5NQKqo+E(;9XTkeFKYJ zV^s>&_yJzk3W3+x>WF^r7VKhtC?&FDdFj!fE~SvK5D$%Xs%?#J$2W&9^TPTVywrbl zTvt|=U3~U2+5?qOVxlZrc}=5~_OQit&CN=@m92fLX&#opo@!>~$QaEL&KuV7PY~fJ z*cqmu($a|~Q>Gl@3WemA1zTUtP4$$gGMbUix3>>%A9fu64&(^k^7al|+Qs>i5SNc# zHo$1nGB8k#zcn1{*N6!k+L_e}5TUfgzd>kJ1~M0{{2q2Bqv#MW#dQ;~zmU$Ru=5zr zXn&e292x$xdvx#IYVx$l2htrH5|g9?$=*Zjvwu&}pwG>XRnbk*62lNS@}CgbpUld2 zz0_sFl{WB}IPVvZTGgdrqB)D z#$hnkk$8^$bpKGBoo`j3f|+UZaEjZwPdV96!}TR55pyUd^vid?0!_Vdc|9dZv>_j` zj0zplyE~*{s*2tjZxRi3J|})^enoiRSB}-EnYHEn5OC;TaG=O7Hgsn?n&P?OtTFue zNup5Ei(oqbb$p@f(+=UVw5X~0n9;+4qU2799m>lRklquzgn_B7pn~Pj>~;5Z!-HF# zcdQEweeW*6_?F=+V_KQ}Ae4=U;Q25H)Za1ghls4F9G+uzj)Fd9pS)y`ZzkoT0|r6j z%xRmiPnq&PpJl{1HcfDYaONt;EDWuyh>i*kk*Jgyov6Uy)h~+H!$OerO~H5LJL6i4 zh6SeotdxZ!c_E;LO1j1^EgkXH5fW%Gar+D1GR3_k*%$JRS^7j;B^1@+- z79LVCnpKQ(L>+E6O}n47Td=S)+9T>Um7UQ`CIdUWAYHZPbZCPZ4!$O#GVq)c9Ne4{ z#32E+zmHT)qEAet9%lB4gBeunk)({Fm8l+C^sL+HfYIbj{=g8bWbV&;eM?YfGLHXt zaEZj{<(hN;1dSb$G?x3j+S8Xj#;?h5GUOAaq=L}Uqp?I7Dx*$KIqb&|a-%YuzR_R&Gk4u)F-VB?sca;?i*q;PZr`FcjGi$7kET7=~&n! zz5x@STEr2n#Gnmvbaax^U)W6xKj8ypo694Qw4DFgRm`2m8vCrM;`;QfJt4Ilvx7jm z`(~`#d_z4er|h@5&VW8?<%Io|r>@^V6OVdx*b`Fk_ehPl{R=#|Lde&ofiQnwr!hBs>%0n{3O| zDc5yU=^q%LG_4(tX2FEFs|=XAbaBN8)!f+h+ECxRgg+_1Y}YuJx-+XtXyGc;CRVr@ z_u!TKVnr66K98kwI~fnQTtuk6fPu2tk+wl^9FD?vU=jJiVzG_Sx^*u<*3WsnzS?uu zW9w-2!F7KHLKpL{)AtS2|8&8rPTMZ}8eRgdV{NQxkK*rR$|x}^Mq$Sz03H6wl~XQ$I#ma!;ZX&6jqQ$-Mc+)JkuiMrwzI;rgb^t-6?- zMYvkMVnAGfw#l;dwzxz>>5g44Hr||INr=f-J?#&5O?AELx`2tbH5Mj`t?d-VFav^; zqT(Y3Efh$dY+511Bm-;jr1zN#{jKWax>UmOHVx9>4_F~>wfh2)mPr#H()yPi$CZ(K z6CGHLgT65w{^f+{{Qyt^FenqsY)tCU(4o6fb8yZ-guExjIVV7IqZ&jcEXp>JgaknR@T#>3V^ zL9DwCm)uO3t7H9c08%dGG5)e8_F-l{wS-ELwF)?{?Z|*mvOD6ZYcM8#E<{CMQNPji zM1!0=tqj$_tUg4G^WCpTH?;(KAseSJ#UBHTMpw0Nd;B6955%(ZK3y}qpD*ANf7 z(D1-7%%2@^E@QCVO$fe@4Mzc=!5CGy{`)oRZJ(tc81-)$`ewip$Q1c||P;ij}J%y^&))t}*!1u8XH7K3S>VCQ(Z%KaRR4a1F3hwZk1EKk4k zS7EWwQ(#CB66jZJ%ImLH?%eO3=cM9W-*2KX3fF%f#%c7)PTK&aS4vA(9~MR7 zXJFebZt&#nB6ieFyLlbj(zV5S+NX>N3^5)LLUW&UMx+tt_mULE5|0V!D zl`|v=CLYfqa34u}8isE>C-aZ1vz>3{tA)O0OG*Ia{(}9<$&58fQf{|!Q273=NJfvu zlwe6K?&e!mR2i@Q&)1^iiA~niC5--W;9e)DpG+++u~1BAi^7PATQBZt#Mj@v5_c+b zk|RGQN6ODH_8@RGWM4h2h(Z`NPnh>HqJlFNKKLtq7=5Z8x2<65huChK0$*l=LtByV zbJ8TcJ+Gxu($%2*lg-Jr`cfbdc}D`zg`+W<_09~f;h;*NiSyd+EF#>UE%j3EBX}EJ ztq(Rrz@HvMe3rif>HxFgmb7w~VR@2veZM6ugW~33{Dcf`)yt(s#zShfF^sguSqda; zZpXQu11ajtpY%Yx$1~nPhx=Jp{V3*ZdvO=V*W#g~i(!&j&L)|A*v^9_1N!8#sOqOF zE+a}i$x3jxKsy`n-E&!ud@5s$Qih6VC{9a5)fQW{lrU22Uiw0=(;vMQZTBSntPlq+Hz6h8azZQMunn&>aVg zF9Ue`W-?FR9xL4#4|O3sNtH2qPG|buo0}c2%YJDnaP@yKv{VLSi7C*)L9%(iK5R+7 z#ie9VF@mLbu-$7G!hd?2W~XbAt=1eQT18I*xqgO?n6by#$&(0}`Ed5RmHWxeisH5>6<`&svAkvgPvUk_<NV>>?iJ>N@;XT{R)3d`)6vU*EFguJg;#)hAHGrQ7b#PAYr^ViFG7W#v5XBI9xT z6I2*~OPbV~KtPS|{t3!a8|rU<%wR8&KV2bIFSRMcQML&;!Kzg6n{}V_rj|uawd#bH=+>Z)5)YOoP4MS23?=L{Ay~O|gh@DQU zut8Kw43pB4tXpEzJja{-#$EW_v?L}u&hzW)DO4Q1d|le&f*}nCsGGRI`CBxBMPY*d z`Bal7Rr+E&cGV-MD;OcaaQB~AD91o7J30W?#}v_~xLdX9Y}Ps;_)2dmK~09Z?$UVa zDEW<0`}0!m-Q!h2dnjzClgaX0rX`r}@fuSz(l^#<#YFG*w&d*t-PMQ7Cb1Jm$|u2? zO@n*ZQ})G5t>MS%^)im{pC=Uo+<>1@n-=(Bm~l@^(8m$iE6-1|bL_#bM58?c#=(E6 zQ~&uAs1mpIl>+7)N!~h?_J95>fV!|K|GcyQ|Ar`Ft!+*T7{C4JZ~b&tWcm8V)-mb^ zC-SzPSdqc3?ORJv39j&BqTRJqc5m`Wr3#k)yt}OB20LY=lt13llfccKE#!01ob5`8;FC(RS(h+ZgzTSLuo;MeAOHO?6t6rU-^qH2&z7QE3 zYm)4lG@Zx^+T6TCArSmF`2qClGegBWuu+Vj6hAAfml`jlUqxxpAD_L!>e(=w12r`* zeYoIGPS}$uJkylz?^%nB?53Ywf5Stt;;qx)UwufC-Q7W5YZHi%V-*{a9w?7iIwpn? zUo0Pc3e6js9FkMyLatltOqJW2Y?f|Ejv9Mea}7>rIjDBJNgin^n|0E8KjwVAAI+D>aj*$0nd3(v!)N#<8$aH@Y7Roxmj2Tv`1*1y;6FZk&8Is!!o9fR`|heDTLt zfumVmvU7E`5=bwlr8%+f`%YAO-$Z&X7SZ%94H?|Gya<%t=}pffP=&$0R7rp)2al~v zrCE86`-_u1afOp=Gv#3=GY&`2@}vS=a4=58WIji9TpB!xQLRzT#PEkKyMHC!vX4C1 zs4H-aEOHp_FtN5^lPm{V-~n|3q-$xYU8ZQd^!CtdF*7m2q2T}0aCotrKq3i$c@qQ< zAE$eO4h+rwtziC!NuFDDpAga#QA)bdvCO zx{@qaQ%%ms656dyoV@rG63P-+0o)Z>H_0p12mY}|1v5T$4sjB2s9mil**9)!_g05x zM}V5jP9gilcrcx37D?^oh|JMpl=qQONdOFls@Z5UnMF#AS|ySDHb@Mc<)peiY3+1> zXTR1~-*ofk=fU1M0=yA7Zo}(5)#+lN58it0Wo(56_SW>JmfVlLIq=qKv3w~gF)`gUX*K!8qFWWZ_4G6Z;9i%j2Nx(%ptq(+ov17;m0CwT(%N$R(!;cnnc4fAP^>$PFNmD_i_EhEjYtO*sCi-?Onr$(I2GR zBjU|Atn4M5pp+G#?;{=eI$zO|GGP(gILMsivX{fcMm~bXN*dSmi?S3hX9FNvy7xJ# zRP>Rj%m!0=0SvRV325*NU43?zpVHN!o!ARx3J6>Lkb-zCnyghzh znWTW=Y&Hhn3d{;;16o_HvP+Bp!{mSwoebFz#X*k~yOhGUQ@D)cP*8>n3ey&1-=aU2 zoURJNrdxRwGkAv1>PBVk_oHAHX;EuO3zenrGy~N@nf`hmqUw-a_ws}Rq1(Z7y<3-k z>fBfWf9?K_HBr|Ehch0zzYUw3JHf8)c+2p{44pig+!o{2-`a6o3Dqx9!N%1HeQGug z^pYMCBIh1ouW(t@cY9;meZ)u&CTNrX^=#FvmgJ{P$I9*7 zmLi7RE|ar2t4*3@4EUp5$*l2U+Yl37h2M4RfeTjI!nLb;@~{3>kf&S+Fn(YzfsIH9 z@0d&9gVpI3Cwq; zfYLlMNO-bRW?Zv`QALgfHHG(KcYpnqf;MV2nRR4-*pDRWe!o=4s?Tv-rka@GDc5N{GX!TH=H@PvJ5a_n(ih7oq~~m74)B?O zzs#bmo4sGV%yu>Wfd+J$8A~<>F^%>k%?+{;pbt12l6;;HFp%@*Luq8DUH!fK&$O#> zR+>&Hlfz!rf9VDPoo7(g`Z#`6K63x!?y-7gD)5HC#Bd~3ZSwY+qdA7JJjQ+K#3Eep z8!c^-_pgnHmtr|uq4hdA1WM_^eweN{4OO+N&(h23jr9@I&l^CZznW3Z%+8h$&^?VD zUEwL%B60wR#tB11fZ_Y}b!2Ji*Fy&_vA=yU{mDg;d5E&rwAFp>C%f0>N}nYr%)cn$ z6TNm`LBZ%7?Fj~P;WcuQmI?$3*k_?brOlZatu9+M5I>F+z{);SM7X@h>XPpnbq>+y z_@iDSTHxVYWHLb6$LU&)*SaWFACzM;B9D*+y%JRZ{# zl~aLaP*1rr3>A}=qt4TG<_tyv1gOL2Vw%GC_1kPD(#YkrZ=|ow>)`;qV15?}Q0b1DTsm$y8 z@=S>(5osw`yCIxKr_~owC|qVMUh%!~#XPP*WFZe%2F)**Zy6aI(E>O9K8I?{z9sm0 zk}rlceLksdUTaQaEq@u~M*Da9!>9|H%Mb^0Y!8;b$TBS;!pglkgzP&_Qhn0-`ploivxcoaMGfO~6qLlYUG>*kM;H({pZ!jY6Z5OKOSm?aVd zR<^<`&02@27~*J%3T~5<>v_hO7)3=geuaLK=qEY!PXI4@h&Zbh`dN<;8#MDlU7)kd z=K~+c-D&s;@@K_Mx{K}mAb_C?b*O7uB>&*C{iRa10IQ~#9syKg(aYyAqhd8qMV?9i zRC;!L45xS5auGPdb#IVvNSE}F`iEU3PJLB6%TNeQf@XmU0irLt<1N+IB3?JHSzNUV-m8J792=9 zPCv;A7$A8JHphi~89nHl?Rl&YUl^?IFPd?pNzh@TfP=xQ8aEGW{&M~%X7mWha~uaF zy>2YfmB2)1_gxg^&(S)o6Lp!z{6*V6GD-!DO>dn=@s3(NQR_SpK&_}wg8m(wWzfL1 z7R0EcP<*$?SKQ9nj`6HWrsD5k<4TeI4g(LbPDV^aqqUBeJ1{a3#yvc+o8y=J1ZbkD zT3lA!%uOCcFyg?#K(hAvwgQHB1UgCr9^#tRpov`44_sWw%9hN=;u;!74GTp|=92Fq zDZ-qq>yWe6ykPqfhs?+nJ_F}>?M^zztM>)>rJ#- zw84rt%Y<_om@>Y?G7x|tIWmCw9U1ZtrziftM8o^l)&EChaHL9DmO7{1k@DlpCkwlr zjc$*b)gQlf-Jn24i0%7e;0;l}#^`#Fh6~XaEjhSufBf7y502{qbXGd?!!nleUd+rh zwZF}WQ)*lOR~7k}xd}C3p8*Ae|9BEi1aF850={^rmYD4iu6g($kI)VOe$q|+0A66F zoyyX`gut3g4F3ZY^sNU42m#zucFW;Fh>TZF`N<5xq{#3GsXx&AWokfxTF41qp(;;X zRY!-@+N}<1(2!p)pCs7-cugb2+;W-Pehh+ zD8QDO=u=lVg{^J5)&@}PxTOawD~?ewMhLTG(XH1~_k8JRU{VtJjvG+0phG|3`p^5D zvbz*7B=9;;pW;>RgnSYqeG2qB>h39BZfy()MypYeym#59m!ZS;&cn{4Q<7dEOogX~ zj2yw&RBbKu#Fw=)hkU)tQ8ON8K*KdQ{jyfGo#TqS z2Gq2-rIrQ-xAx-tEihd{=W<{}e$Iw3uk(ES1YW&HK;q!wtgorDe0|fxFg=HGO0Z>O z{ks2V2dXf7eAaMGpF>#Nxvzp(!A}VCTQ5!U-o_Nz7?-c!qj}ZJG)Yh@3d{L#l!UA~ zu+aa9kYHH)XL*Xx3nh8CU$X<^_5m6o(y{yt+xrI+woV(uwKuiij^*gCun8)(^l3Sc zwJ<>%^~4M}!0cmCI)d(}$5i8R(EwK1aZOxzXF}@gyD7Ybld;khKvfl1&fCkUq?6&o z)g(k&SkhzH{<)0}GMaAl$$9S>F%VVt3(wP%gs;|n6?%KRpE~CvHmj>s4{Y$TOdxg& zT9x}zip=b(a<(@;v;-K2mGWp)*t}>i;E2aIy2S)l}QnD=0w>EZuk@2z9{Y~MW5FQpWUyF10TxNCvp?(S~I z9g1ry?o!;{-5rX%ySv*y?faYT%w*^6IVbbaCYul-NO*wjzV1sta%1^=b!*#pm-)?0 ze>3I~uLx+MwY@^z=7JLfc9V>gGz+!;kwA=9(58Vm4$e((FrZAS%mgQM9m8!}o$BVS z&6)JFBO*t)3mxp5!kOX4_pYNEpP$RS6X>+UYAfsRbp_w((IehW7tT;e_mm_20Mx1X zeH9oR=e!yizn6g6--g)hKfqe}G`=Ry0qFTrnLiB`ur&l`IYA;SyU&ZwS+TC#0%A*< zZuD78*Q4IJ!{2a&klt%4-)@%k9Kyc~|1@6|T14}C)%CZmk#!_h`_LnOqR)20(5gyg z(dFW{YP>?>C7qTmWQvO7KC5|nW4`mk)|XesxWS$#!$ zu_o^41|F2-Ui@a!FEjfFHHdabj%*B}5SgCwTbY^=GpsS<^gXbch!%9)ZuRmnqFP92=Kq z2cr7$rFMAYF{^ra8aE|Ei8crA4XWk|GhkTe~J-#EGYw(YjW*7&24$*%J^A`p2&g|frYGHL_a5p6*Zn%TH(!6 zJzLQNPB##Ow6r`wSWH$n?yiW`Q7W~hF{@K@ja9M|H%#0zy!0BDI$#rd0TPC_pxyiS zVm?g8V5U2B$WW=MFiEum0Of=D?jVNQjvA#2#1J#+aqEF@A}pNym0IhQ(?+*o&@BQb z4HcJjBYv@Ai+EzvN25#el;Q2#5mqUQanA!XQMPX8gh8sb_$~IhP~ zhMI|cmnK|ZS3FP;F<5s63(nc$WtG|)LKDH0!%_OwVFsW@6y!{IhBx~P)DUFi<|Mj$ zbRT>eU2o>Heh#HV!uI2JxuLE#OC%`U*iQp6hCk>R@PBYv+8AGxip`jf#>!i9WRnsG zhi1+$+$Mk!0(Hd3{ft)zWuBV#*rqbn>xxTImv=BUN703jz-Gnt{1TQp>Gk7C?t34% z`Xh|WJqqOWa}dPOw410zp&Ia=fo(47Q(UNa^TqF7t^7a)5Bt6xUtpDVeRg3XDzhS6 z&LB8(zQpluT7?QmS6{q8+dI@KaB+6NN5Ou_sf>9B&mDb@6O8q9XETUHB%m53?JU0J-k4 zrn<4z$>0W@xEp3tbk(wuw2PiS-(PZ4zmaQJ2qLiZD8QwEKFl+B#HdzwN++5TT*$nI-^a zYzDW)+i{B4p@mD!hyoQyVePTAmtLV{*>w~rs|zO%N>U3hh{odSN;jNw&$p%$ZINr< z@xXX4H)S`|;D>h6bIWls%JUtu(ds&(k+m#*kMhO?=6PVK`aE|r`YEX z^wx?GBw;zFt@ZTk-&pE&jf68WO|=hKHhif&T7U2iqPsa=wZ5*Xxa+%KsJpxNC6PO< zCf%9ms}JsW4wm&cHf2D;7kqP!;CA`}@*!7KjjFjc+t=@=#T7hh6D)Gx5F6`MZ&pc% z`(|JVi*Itk^_;!|0@I?#h76PY44FOa* zFj5IQWa0y;8A6;4#K>j5WxtO&OZAA}sc1;IR;TaC= zJ=atr+B`emmM_U@wqhZGjP*C}sQI9-?fIN3-4^@#_@o9)xN=k?)Cu$fFsZNCX>vyA zi8`~oTQcp78$@$6r-*i>bg@->Nhi=4@#I8~PhEjBbAM1r8WfJ(Pv>tEBch}q3vWG81d$Wk2e73`m$Tw*+yX#(}$mQQSB z`X4SuVh|*CVFgvNDQUWeZCG9d#Q-sk0GXSajdu%n&6Edr{)krsLU{H+9(Djl@ zgwFZ!C4PQJ>iBT?cQqSH!mxtEVH|g|-k5d^pTPz_4BuLjqVk!Vu4+T-SDIIehC?0S zyrqqEJVEn*0F`hXXA1xlTEJ=|uuM6d;aA93jvESj010HefrzvR^cQBxFtM3>noDt? z9o?^xd2zA8$nkb6S;hMr{@|oX>PX6+-4@`OkJRs<^%oz%;rzzg?~6Phd3KAZQRq6r z+_WQW(?t|!_M_^EAz_Kvw>Fn?<8NLRrX5#{=<;);sW=P{5a)4 z)rR~BV6d7(6|0Aku7aIR-cHAwI-MS~Xpc@D`HBB!Ww43Zki;Ug6F`v*$d744vFpO#}giC;(jnu=`sWX>R#s+H^~I>2~&&cUO)I z-p7_y@f!(EoCQA`z8-@*=7tx>=SCF{--G@WY~Z@Y14Q`$8}ZPoV9z{~&du(jYAWqz z*Daz&rr^PSh4+Ro4=if{h(nBys@q+=62=`~(>A4}I>5j8hA~SaEYF4Mn^)K9A~2QaRGs;Fw_t;`p70y!GIpFvShZYRG16J(ksnUN=C1#E;_z9v~n6B(6x zw*Yk~0FuR_YVXs9{+rOnleXAb$$nSQjyB(${kQV>XJQQ!Q|5t4ubG*Zy001HUNF2d( zoI=r-4QtIVX}WzbPtn(^^=steh{IL3INE#+&9SAWaMakD=25*ojU1USXcW@A7#RW~{2G7hs+ri6RN(1)!_P|$QS%7w?m~{QWWAQ6- zrazZK=ckrX6?f6cC?4(ul%x0Az=5#j6dgO1I7=)Xq3wlVCLHVi7~d{rl~$HoS=-lf zioIVGr*|==WXl>~f!dvOS`&kop9x^_+JKahZeg7A7k(@Dxi&!#)(};1i7pyxBChjN z!>@;^7>Wx+)PpnjTg|4teI<)1L^2*ITj7GlIT$!62v2qhI_tofTlC2%uQrBV_y>XC zS!1{fQY?#iIcpKAMCK^Mg7}k=;a5%3%j=T&6`+%UzAgIy2M&L%+mA&fm_Bp*m*$P5 zBv4dp{LAYyfg`}v*KCD2v>3RkuIH+~TWZq-11&ebxlCXzfe48&%bHWR28dQx@g}Zj zU;1%g*>9&*rUrM2%B+IM^zT0XztFCF2kv(VF{YjdN{YLn9ibbDfVNI?u+cS8sL zTp0K`%xsdI2IU-8Mzef3aM->sJW0!b@MfK33q;eNlz^51>K`ou^NYFBA6m@**!6OX z2B=WLhf{q$IcbwHc#R+H8(jm#U!MTFy4W~l+f#0n&$I1eR0UmW|ETKHr$R=`_r5yp zEyn*Mx7U1C@#54z++}~+%7AN_Q#h=7st=%^J8ibTaa=yexkv_Tuy+$se=rA@_ii8h zJHPK}9J(7YSp^F-lL;cX!IoFUyl6vvWuAU;H#q$x1<-9EfF>LHuHcEj7NkS1i0rc^$&~qKNFiY@MnT05TDv zVog@KPfgk*WVG-CbJ8Pc6daFm@-AIqxvsh*Ugf$XWH6tzZ3#75LEtz7y8@sm+I=Mhsf zu_(2u+zI!*Ii$15Pth~h7v3+NSMEoY*0Y)8s}nYiTTRMqo-gG`+iPqotJqL4uJqvt z$HS+Mf_WJFG?2ZQZVlmLt&(NmYq+01xbd+>ZQ(Els&mA|iE^^vV(nf$S~UR*%I6D8 z4JVNt6D98iPe%71F25$&l!m5;FL|EJU7FnPm+RVDR$|e?QeR)pu6|yRB)syNGF-*K zN=NmiQVMff3-E0JS@WjRr;V`HgB=WePcZ-?<9C@&gF&*BdwsVuX zqRpKFn~3xJ?p6Hryyjv*L9t%a9Lp8=-HWLqsk>d8hX_L3cl~#k>eB-pjL{1UA7CK1 z0l8XbF6$h|`h$5f8b|ubHc<==a9hbnL3`*w(iAx(#Xx@g1@IC65l#RBkVj13f?;6c z*yzHdY#*f~cMg;eO#oObE{uG+?QPy?)H@~M7Hxi!9tR`Lip$Yyf0df; zGW>!_0usooBqV76}y_@jHHZimCx|Hz-P=J_LaqeF* z6v)Ta`m0I+y&~WiicD{kQ=}=r=~IcWxz+?l4GswlQj_>~eGd0rz>i%t+bvgt`>t7L zYHc+N{PGC+5$`}im;NnReCoE}CPGd~XipOBax1Uf*&v#Rwr7eQ9-xblR8FB znQG+=@FMfQpO>yz%Y3`0c{QWRXDb1ps8!tV0tXNXsxt^h4WxS8+#^d=cZbHC+*8Iu z*yu9%T^&w&eJ18Q#UN4T$xduxx_s}TmxKem^YA5l+GDtPz7G@3rwhxQPlzBvx^$7G z@Sr`|A^?76pn%kAR#k`_;;4!VG=kd^@c7YNQ$J7iTe>uR@q4RaW9vOdH)?zx6Rzj0 z)S;&&;euUc@Smwyiy*prn6p;#cGSUI+s=jD{r(t9eW$qnwUM0r!|pOr{3I0Uga0Kx zcXz#Qr#NkBtJDd5zb&_qqpMJlGJ4@r>xU+Yk1_7{V5f-~ghXMBsNLIfYD;Cz@_+1) z;D*OFb`MjxK_D>v=Zdj7WmV^JjwF5!$pBG>A^kI1$_LY1emb(BO^z8=eb|^nE>Y*^ zd}IA+Cg@pb*-6{WLy*O^{H8}F9*jwzdl-dw4yO+$_Y)f}t+4&M3hs1M8Ipw#JMWj0}QxAPyC8ED;!JydzYVmPtkb@qz%Xg4c zt&g;I$vH#)PVy-e)EnVn6NJp5ajx9(ay#{=)#SBTddD_lKqHD~|>Gs;P-5w219N!Anpq-3ap~3B^b{mP2mWk^j%@Q3kY3fOg@N61K$!L+0_i zl2N>xX8l?c{HsDc$>!~48>84bunw-E+}Hwr#k&f9HCZy|cxq=yFFnE4{U|I9wDoJA zX*Ii#y%vF1Mv|ZTWR+apk!@lA&V&H<7#Up~-MN&QN9*w5V3K8d*+fdD$vuOj@y8EV za!4Q>7SC!1sOxB28g-8M&X-tb5s= zCY%bhZl~>|Gy;KGUit37l5o)MIv@w%2YsrGo2LDh)+_R5GwM?{6c~S_83#no4N^SM zc;BaqHT>+f*ARvE(v|D`e_*3fve9nv#947GFM7?PkK&N%V&w;Ix zU+?A0Intit8aQ9#B9-W`BI$Cm_<#Zk$ddp9@|%RD|u1*{}?IIb3&jpfZl*vsc)J1AH%=#W3>=7)%ah$2z{W#R==w3r3PVRR! zaEVQ`X-a-ohVUiV$VQh0^C`hZzE}mbiPK?b)t_uVR-};w?qK{I;cIxK)<2Bsa%JT| z07nRTBA9yhT88Wk@dDZlG?N1xdF)Q_{z|||vb{_RJL_veN2sEUVeaw0l$$z1nRBo% zA{Kh79(}ihn|+G(XE%6b*!B4Eja}>L!_q_-)CqMLj?g7XUtaf=Q(VFkoGvgQ0e%ZL z)yI@iJsOx(5pN#Jyc@iZ>ztmXac&ggB~o$F8Kq0K2@(-8buQ_UAc2BseHZuMye@sx z;cw%&aK#UnhU4&elUJ~S;&D`rN{iW^UH2Pysn=@rT0k9K;-yD>gbsc9+urKg(|Brg zs(;4p#}9swfupIc4&AdPpZBbRD0Q)Vl(kn$OCKGgJ)CLPDnC7`f4Oj$|CU#s?dc_8 zrVucT-P28zUXG0rx#y|gfIu*9)fs)}=yv*sF0J{pIviu-^=qaQ^gGLHm|kU+8`ab3 zWgLavBNiWx2m&-hcv_*Gb3^(W-TPVLtv83|Cwpxl_9pyGIBHO5CA9b%H-+*KN~kPe9>E`JP0IGj#k51*Z~J=u z14j#4i^oqpAs+)%JcM(-$c9oWuGEQ!TCo3|*H>A>p%$^&Mqg)iNg(O%JdRGAkB3q~ zAH_Y?rcfS2YZ-nC>aTkG;bgl$Z4Q`J?mb*ArXGLa-|`TK*jhKW-I*zh4%=IC7d1a! zbGDp=2Z@&_vMSagSm)By$*(qj1Zg(PZ|?3cXT?m^67#@)4&UqO^g$F1rlqB2cY1Fh zUtOU%M3c(nwC{8Nv>?o9&E-bC%W1({L!_s>3o7$==gmhw3D1>T%Ny`c& zLdYunUJijkmqSr@lHCLIryjn@p6=mDwz=cf{nb|Lx7-M)Ca%(2`Ck0&UJ9xiR+F7Vd z3LpV9MnBlPbUpEDC=l?b%~NSDHy60V0ik;^zl}WcFi_E7q5C?&8}dYbmUlkg7uV6B zOY%`z>%%4A?cPMEgJ-`-5+fn!NEOd<*7*gt?)7UGB?9Vz$(-gNO(jW(jsTqLHy{QmemyUjN_k>% zskWAX)3n>7G`{&%Cn2#RUbI7>(ROlQBUp3v4jiOL>JWtie_kLDL%t`kgZm40%tevC z-SoYNvaeGQW2LhsFWb0c0SuUd!5U=1ZwVC06^ZfY82!Tu6jxS?OyR|sXUg$AoF`3s zNUn#I*pf3=0_Kd0co*`k7Z$D-G;5UpFSI(`wKem1o6(nYLL~9tRXn@PqnyvCPQm_a+&V18m|UC#b2hw&i2?OqSDiYn zEmJ%+pKj&ffh1EH0M7k-wPQ0}`^$c@mc0(v#@^OXOAQ?jW95yUB!VB<*c%6@&sXK- zp!F@I@@K&Au#zTNW9zJ%?Ra}qCgCmY7owCx;Xxxzyhr&AZ>m<2RgPxvgY{KsYD@GZ zFXsB*&f%u)(R&e%*v5@>9vY|?<^Fi(GXiqpMA`ou2?1!xO~~&M4S;B@cnAMN6ih6S=3^Kq z>8o*RCO%1D9$&LxC+wS`eA_3ok0Z3Ro7vsPq`wIW*nJ&nK`rBPizi>6G|W+8OtM#H zyDRX5=#SKu@LP(6cghYDdA>9mxzm83JGOF++8|21Z&f+4GD%dYuBqd_*AJy++l&3h z0x7w2NVM7&(405FGM4oowiGRoN-{f0AFW9?`?cz8Fk7Tde)y<}AbKy%?=jJa6qSc2 zruxFu&gsd@k}jSjBw-Lls@5IVWD1Igcq1 zFtBd_TE?y1U>2I(Ob9NE>!=%D>X>Eu9^fvDq*pn6EN4TvmkzJOgiunro%d3=7P`={Fh5qiiVKz54 zY1J}LtPqY`cZVIppIc7(p!_&$)w2~$*MYjwdjSQ-{xmPF zx*K?ewzt`^H|kdDBYiW?IVo8b9nurk{yxi_TWt*#1Fk|_d zdkABFG@0Bkm{&TJHZJ4Y$_=hg7*dHMDter&US*ZNX(o#ZL;gYYTLqzRIFgh-)y#`d z9nJ?RL?IZZt#Wa3)6e>`WrR99ibii3pY#|k4yk)BuJiqJ>l0~=bYV( zIr$2p-O+68$En+|&*$1@KYnqrsJA#f*q^f7J;<8PLaE>1>$*SZ*L!1ml#KW+0*R$O z!{e+-2WGDlE|48$9wcTIm-zw8)}0c6-uG;76%{-Aok|cDFJ8k~Nm7x_P~M??M+9IL zPfK-pRF>kR*v+&se~x~JNik#Iai8+J9^$S@jJ*daAMyReXNas1a#g!0%`QagHrU6Pxf4C#SP~Jxq4t z*pl0LUYGVzgW!z0rih%hP^F5coGGVOx43_6Wh2iBZ8b~$kSnh;nCJBEV8}VI7Un{y z#?tTR>DrE_yzDofM(a$4AQ20X$yxjkI7#y{hoVunhfTne|HRMiK#|zkBI(q~>d7l| zST3%@rY=K!M`7AxDW)oD{N~Aj;wv6-__)x$#gZC@EI~IYV3tPk7PzyM`Oi zw>C|(4c9E=JuR)-#HXR)BQ^!EMXzaFS9%`j@pvQf?5@bPrrECop6}V`hr#%jv?owYa&pFD&@2@%&RC)bs7=(uYe@@xYZgV9 z>=^g{$kUxAWTi`kzCromxGnQ~wI3O8qZ)4cC0o?_+o7f7mwzJ4lAcK^7WJLl40L6u zYK(o4*if0LpB}hl?nHZ#9WW^v78*&@48_oWl`UJu%!Wd@BK_WbXN;Ov4k*382U4&< zlvgkN?ak;Ua;=d9+utaX0U>`-ciSYnhzl6|#d4gJ7cHnj$U;py!%3Z^ghR~($ym(= zuSDeq0(HZavS9hM^oPw>@FL0UwyaJ%U15+MT?!)+}l4keA_8XLJmx-c1ha^ zm!lq}r2qqMoqozSI*ewF%MU8VgSEn8aJ5`}_2gXp+Hlv2(VpI(dOx3L{8aJ#K-HdT zXVXw;*yj2sDRqh)@uR)pRa^vna%n&og*aV|c}-kgiFj$@%q1)1RxeREB+u5t#`6qh zH5flt*2#%s`%BFy4wj?V84b90q)HJ9zFwkgtM)MQp&7I$5Z`zZ{+MV;lOQ-KveuJ( z{?|w^(GYGhBl*xW?5~lCEaIV-&p?r?2YkX$oocc6!rSE4-+5PtVO8^CtfpuC$$m{8 zQkeppI<7I>FHK$RjE5ve_%4H$P4sjRQib~NL)6nFap>f3vg9%HY+Ri)MKG^5!SqnA zsEn2u{vH2!Tk+iK?(Tbur1~F?<1ON(c6KbtU%Cp1Iyr}84@=wAmK(W@b=T-n zL`7Sf&3^aci8`O~7}zSA|0YP-=TX@osCzE3^%8u4H_T!D#)1uswwEi&C}h2M>I@UD zS{h>vRB$=n$&P#_o0HY?6|^OvTRgbq{s79P(5$|Cbb`Nh?ha%*2l;?JRw^E_mSWuO z@FUMVGolM_pGUwnyF97p4zCii5q@G~RU~v}MgoOuk_=4!q|$pNi{QW31rnWea3%?W zb-`s9U|rB_Y(NQE7hnL^1s_lj$#GkxaU!XNK&5E2$7-4(!Wu01tzM_|YLO__lwjQ_ zxaKQ%HLi7S0WftUhRi_Nk+ns{X7su;=UN)7X!r3Sr-`>(Phi6{p4;^X#;kmAWq3D0 z!{}MWksNZPTTYckmk$f!k~mplk$K?V_&&b9qt1*dwNa|QR!DvBL4TA9?NE>`&ZE(s zZ1@6Z?MXn(peT}EWiuLM4F70%Q&m3i9mtuD93a}4qzZy6VkG!9F4Uh8-%vi=F|9r8 zh^t4Ej;G_Am-YZ?#l@g|9Qy9&8ZW~QGvty%6`>FQPfso)8Ii*UR%w=gb`=Sb_pZjq zXz%z_bO}NQ50c^_+sT#(_a{Hk-%Ie>{6IUCUIKDZ9J@k>9JpYu+1`Z^d09)dZdb~% z_j{iZgI^3C1W)7G-h|c>%g@pL-T@brE2D#*+GK4fyCmHe1q4Jju6q~ib67LNasY%B z5YL@)s1p8H(j!JRvL^lKDt!>j`W)*jqTERh)5fUH$uThJdHGnM0^g@y!VM$wMQ&Om z39$3Sh*Ml^>n4uS=1~)J-Q){z^#kS?NeNwLMwuGpt7r#ieJkIMw4w7O)56C4q1{>! zrK8&_I(Vuw>~M zn4fax>vr7U&(k-63Y6OOT82Z@Aqh+i2pD@gd;KhXR?x?Fnzs+QwePl1-%+Qub=T4B zWEks(5BJk*re|uib`kLg25Ui&(7>nVFXcR%YR%*d-h*`ylzBk%Wm#l0^jK=Wv{6e& zVWe|J!JK#arn`()FPqRGt`JD>Tb)sdA9Z@Wr^+3yn{s=S2dOiE&rK0PM-2H1Cd!dM zk%2Rt>m*0Lc}Up3A@Kb{N8=38A6ou1(XciF$sXy>@|VTEgLK(;&>o^j;2?)}XSu#4KGEFCqdK#vv(n91=H-mw z_dvLB@XK&#^QjaFvJu3hc6VCIoxD%}?!+s&=A@+Uc|kXm2jNvlU2faw$9b96M)tE) z7BR!u_~nz9b9kYI-aN97Mnxik)7XncDt!ltYOwDfo#0On&7JS<$~VHbXZ#4-h^+5| z1F1vQL7D9=O_o=&aO2yzRumk^?3CZ`V^3yV42Vi-)6pnnvm)wYK8kBz`ePOIJ*=I{ zD6J8IOl#e|3C3AFd1+tJwA165en_W>*q|J90V$ZM)T94L=<#&fDpwJ=cJLlGczOHd zGEf>k2pb{X%}~|ej&h9GTb;~N{eA-8!-$L3@vJ!799#KJ`_h8m{wlaM>y;)5o!-~V<@Rzd&tP2LpDfsAuUjqV4HeeKsz z5TGa>)#NyhM!CShvqA;bnL^KDb%ZnIe6^9+t=OUO`v!C?ZZ2?GWECZ3FWLvS#eW>p zPk(yOILFj3s0DKM?isX-MmQcIE29%Yf(~Pw!>g+ens0%_VOCu&PNyReix0iu`F?I1 zHM~;6RCJF{FVV;m;^Cn`63P->#=JQx(TVAEDcxL-B^Bk;^;?iTX^guafy}NN{^nF6 zFnZ{Fu~4|0=zk(raJTR7b}7X#Tg_(8FfJjerJVbfA^myF{oGS<{u{0Mm~g+1^6h^9 zc6OZmr>RHVjP?OwACM;0dY3X?u~nk^4kpNs+aL|fY{&iMBe)7V|OsUN_`#vy4*r^pYb%^r!dkVqqeobT=<@|16<~y zS1Qb3!nv^2BnfRxl?MToIoWB0kt{r=jA9|*SwWYHEyu&f0Q=Hgl(fsnX33+^p6zt- zYj9WNt?b1V9<)3>;BNGq0Lq-Rx1oEp>l~RNpz~BK-?Jvo^}L@c2W+B1LrU1)NCh%P zkdZp4_~=z;C&ZuzhnF#pGn@B5-4iN6koHF$GwlStCX}tIJ#D>~^QHDO2iaWo32^Ix z`IOf;=Qm%Ct>oiBBGns--@ImHsI){NgA2oB6M##aN zc2icHf*nps8J-{5dcCK~;S*us{qjx@Ft=-8a;R85jjE%txBFB507qnr=+A4UV8;4% z5&7NC;6HOY*Lu2AyyKn91mx0(N)8GdZoz!HcOA!PQeiRmG^>32Ua`dUD=vFMjo#C9 z7VkC=wr9LFzgTQUjLHx5ks?CD2@8VL`v^SWd_-Es9+cK1Fa8YU&risly5ZN<4%~$~ zY8j}gtcJI1KXhK6tj%xp*Ay|Lu8hOO4`=KSk6EOUi$ntQ3fu2IT8vjt!TafWsuIdv zo`m^y#?@?I%fZI$uCEqvS2ocsoL=#snDK9=T*L{7Qu$rpBO1L@s69-+09v)O_w!s9a{lr)r5%Hf%z!fZ}cx08rQx@HDP$^%PGx?f=B@Z zgxs24oFCpiZv$ytEpKnX@ev?^WaCw0`Ess2tPqq8)=O=(%|i2%b<0c>B0G<~d8aE( zfR5)Dmy-_|wCdLuZn9pbe+=)tj(2msSqM5290=?hx8=zK z9J0sqpGOhV<9NLGF%+ji9X4wb587lZpv@;(F$;O$r636W3<8hWFj`*N@MCU3vKI7Q zvo$}fH8J{0F{0Bsqiq8yg7?>sN^Pf(8r#R2Jx*62M}wLi&8lr@6O*r)KT1K!?Y@7v zaq*qvN;EJiWs2*H+;Y|R`F5q`1GhB#+y8WA|3}_JhNhNYK578da0N{JKgd!5A{AMH zuEpls#uZ5#4<3P??0=N40312Gb8i>Cy*-f>QfFFj70LdSt_9T1ju_XY&}^5+XG7If z9rp#sNl!7CaJA3rj@A7z^#B?8qmpfHKhp=>wCBngJYXS75xMGmYFFB)bJ8zdgT6Zd zd~b9~PKlPzx;u)AHB-Dbyub?I;f+{ z;;U7ml&mDldj5}%ylmR;WiRp7tsUGMnVo$%Zu#H{MXQ_I?>Sdfo{c4y8y&p(VU>o?^;c1_PP-NJaW9FTOgSJN4(2e7yeC9Omtc zihN%PiMD*K#|ZC;Sh18a{wk!Un>dXx^!;@wRbFpOzYoIMPW-;}{CZ4!lU#2o(Q(G4 zPg``hg)$pv=g>=^LPMq4Dmw}*-ka~swD)1)iH5oC;zXy*o#g9hpi0}xFX@k;Roh<3 zn{<>(Pyh7yzbK0_D!3x9xzh3huIy{GirWq&X+pEp*~Wx3zrb~69=wVpXTS%*DQU(1 z-lMea#4~_U#$&s$`%F>*s$$`;CILn?gxzsmawUUWSnHW*5ozzT=G4Bs70)H zqmuil?+YpR5=S*6wamo9=M+{mc>%UmKY3N(jwj}GN5tT@zyszW?N2<;>-$rxjO=1N zgUg;LaAM`BR0CS&6gt=D6x6h{Xv!Jd7l7plFlD8Flzc|eK;Yuy7)jh6t zt!liD1VemynuWlFb8vtP1gcdhiQ!~%RKjUqT^x&(4Xa zXsg|RS9%sm+?j~erO)l`%E}ko&sl2=1@0WLSgrb+@@yUsw|s!V?g8#egEQF_8KyC( z^K!4{&7a3Ze57zLW?Dc1&jD^42Tg&k3DY=#47U3uQjfXXoZwB+|H&m zi-iW{eG?&b2h3)7Lz`uKS&!iic9_Zdm@}@MiERS38kUQ4TFg_Z*Ji^%;P=3}&&&6u zZjVt3Tjo=2auFSHKN-qtj5BZ;YtgRw1yHs&#HRzM?h%sa_OJ@7+6Podx_jI!dyu5! za3lNUwbwnc5MgyD5)SZ$6hU%W(CHodz=?#w8h5vYKe&- z$Z==99_8AvJS8ElqGEVr=t=!jIplf?o z(}!yp;_JXK)?NlzquJa0|33Huob~^NAH)w`jh|a=J6%T9}Im&#HWx9 zUY91HfGnFj2&gp)*##`&D~|aTZt>b|*&jn6Mkl(7zgIV1ips}} zL_3*z-$ioy>}f0~Z*SJTm>ia7J$+?y)ZHq!{fDT!@v6z%s~N)$yW^DgzHLZY7#-i*W5--kTaU&1 z#LE4${Pn0@NR~{o;CKo4c%AY(DpToXMHT%jXIOpv=D4~V({wM8qV2Uf8sEP*%JhCx zKGQ}u6QXUaFEhy)bMpi4e<*))ALEG^a*K`9K}k04!^=yC@!^)%8{jb6$sJ>z$r+?B z2E~(;5hgpGq2xBDSROxBBS1TSgrN^>R{ohzcXRDFyT2B3D>g(%-mi!Wf9dMM1y;P;#e;tCQEM zyFp(i=udwRT6I(I`VAyx4Gv$e2Bo42aFD|#Mtr2vkDjSFE)lMw^J9}nw5@sJrQ8o% zxqF25u#Pau04$)He#-eODjPRk3juO#|60)e!u}W|=u?s~6lkb64^;pE6%zogusf~@ zjWXlj(XSF|-4(401g@=8s&U5SA@yl6jrPZ5vLK_E0uydxfD0}S3Sh!V9HM{H`nC7_ zIqUc>LT}GH_JDo(Ldk66mz6vSlb{pARhb|LW1OP}+?VUeFcSG7h}nyb*V@@8-g1_*WRer4kLR&`e% zn$$>F@O`}lO|xyWQdkwxWCOPHcJZ%dxk%5>xb8d=k4NgcNTG^QC0_$Xm4_nNa`JCB z+=8G2Px-=TD-t#+TN|bFF?k??Vnd?O)}Br5W(K2hP7o+zcPOmqARdpcnt+?p1_}}s zSY|~FBjFw6?*e1cbde!5MZTO5ubE$-BadKYE~Sv$Wpd;+yd%HSiA$co2gdKh5PNeQ zDizQx9>0@*f}?!3@Lz1wbBw`#M2a)+PMx!?!1K7*Y_{fLw%!`=o?e;u=*Tz7-44tB z{5<-?gxVhL+NH}yl9C=)EDFKaEYAR|7MX!&ruPp&EX8SU2pDK^qi8ca~UtrX?3 zSHtAuR^?eu!#r@Hu4{UA8Tbo(FrsbJN}ed>-lEzF^{!E09#5&+{4E8}-~Xm*dNYND zlrA;K#q&%jC?6f93+5eDQDlIR{Gg&;O4aDH+UlIM`|8>6bOOq}9wObUzU?dpZmB?g zo-$2JJA3=n--G+&Vn&D4s5_-NppN*s1<3mNhx_knE8g;y)wZ|uS>Rn~CKxXbC;K6Z zM_UK7#sg)~mxN9#P_UwcNj4%A@X`gr4n?fH0TR z#_0qQ0#zphP5N+ld1MZO0L9S(1<#G+#l8 zU!Qyy>4@Vk#~rUT^M$)If{ry6sxe$eyglSGcfmve&7k)IQhjvGx!=U)enOM($%(^> zkL9YnsZ!ryHWf8n1+}6SWu#gd3Tk5U$6QR?%o=h|G}jT(s9Q z&p{C_BK?g$?(13G7hs32-{f6@h)#jYN7VDjls<&;byXR9XTOD>^mJRv8O@(t1E zu9ClOxOhtuPntSF{K_BkwgbSqi>A8<8v#id3gEP(jnQLw#w%swRZ1S?#f<+QzjibT zDsWgu3-0HJ_?_nNt%wb>E)Nc(94S3K9m8=oUQg}3{i66XrD*PU`ki#mqBbuw1;DtS zl`m|R+NQ9RnLlh6lI9i>)ZqbNAK?~o{<&+k_u`HBet}`j<^(AZI?8RLuAB>DntH2*yzb+L1Dd#t$P(diYw$PSt?jM*j0|j53$?vZ2aM^?H9ESMZ z1~di4H8pD+Ir=0#^|BiIlEWRO0?n3F%4H>%c}hOUW&5q{XCbveV_m((ceC^};TgJo zCHFd0a$Ca6n=K3cyE_+)+x@#cN6{8+34n2ys%%aZ`iQAcEtv*`&4XI}I{>?BmY8Uj zoi~lqX&O3t?ccBf`#^ox0y^>VZ+49<=dw>Z#FwPS8>ULVaBml@y-7ONP2BipLVedb zXHOWTy7V%)j)_(9+i$=XkGKpdu*)9uas%H5ezY*^lR&ai6&HYUn%yTl>Ll}P%nioq z7{}9*u4Qd=1h$#61wS{Iz(GUIAdvL80hMHr`B%3%qk`f)iEu4iVlxNcB*wQP0Mw!! zN+l62eEF|1o%YBv+UO2>jCuQgfd!ym#HlN8y9@t8mDqZr_s1hYA`0aibqW|;9UieW zl-;sCZ=FS!tIEkg^H4pR!)eN72RfClih@87;x&|k3*HghukV)Xd2Ci+=1SxNi8!;f zc%!~ycq?!Kzyt*{p(mqxF%5g5rwnyFiogKclu`FPF6zBS2WU7=cK2g?cYXb_6$d*f z7pukJcWZ7JYZC3{v&GRVI+vp|-Y1LhyFl{*cR=v^-n-AjvBa*{W-{#wgov5xLfrgj zIjw@uoo^;iW-vUYSRX^txST7Y(p$07=zW4xqYjF4rPOr4rjcpL9sHVa$hcQweJb|? z9f?=j@D$qc$SA7UUVi z!PBZ+?;)>Boo-1iED-sF_;uv;3!O`XBB`!MPJ=$;ce*Z>o;8eUg z=x{V1RrHi+Ra)~~4Yy;q##brd!$Bv(5u^Wdls(Gm8i6=%1b6Yr9ldsJ8>j5~*#)Yy zKGEL1EcuHPirE7J^3{*>kzZ69GLE@Fi+R%VdxL6GHSriCK%mK@(|odMwvxdZN21qN z4^s8ZVh2qGYxPfT?ua=Uzu?G|ax9CR5^?@k0XUVF{=77}M)QepwCdT*#}4q?AUqQ* zv7bztMpEP0ymc0vJK>@MSAJX*x$i-; zg~f&nT#XJpTvLky_w?#@odCCLw~cZ0aWpogj(|mTRbqUl+GwGppu!-(Ea`1TV}n)C zVY?s;3CLt9`CBE|&vre}s)mt!wVcXy?ge`#Y&bx~Y@%lT2)L^JlNfx@8baU&N{3>Q zK^^~sFPt`Y#?kT|aCt>*>B{!-)=MhpSIr_|HbzE_Eq61O3(dXol==Zlu54u~+jIgsa% zy%PT08gf{Yfb7o#ye#yCfz%ihIc4*0HXrPCoX8t~AB4*~J;G<_Z2uNf~Z;( z2Zg?tBIn=6OPqxW)Nm-o_do$X$0i{$9WV6P!?HrFX!7{o*deK z+MfB*d+~U4$eE7yRbfH8D_rCO=_Jmy296{0wz31=Q>h(8N%5Q$?_^)M!BA6knsSg# zB|=13Eu=b3*t=&Y;lRXky5qs9AONNhL<0%pS6JT&wlC#HKCBe#Cw8xHJ2F2>rnRc? zHOZ*^_ia!9=;+U*2vw%}hijd?!^5-Q4ST7?9z?Vd7~0o2#wEM$MMvKd*<`WtNc7iKy-ot&HZ*Vtg z6z`qCm1_)2kCNt zvL6jPu-6!R4p>+qnw$5B@w zu(c3;@%NiE>m~|>%B<~5p87&nX(Vn>VwjRA zc-3vz-V(i%>u(yjJ+#Nxq&Bs>ezSFmdU|j%+(8B7|4Pm0Pe@BrzG#!4Xbr1z9mftV zsyK4xU71#G2idu%7_k8U>G4ppA~J@`)(w{Ss;d%!qkx;@0M!)2LnqKb( zI29Q~MK+fBAp}Ch?s{9d(e_p~viB&;t&D*(=Q>NjYtcdh3SZ5;YH|z5ZYvjpsC%~- zJ${$ty`T6-iCLZJ9bcF2|68h@SoZ&xD(5x)XR6%iS&9JdCR+Ew3~uQG#&cQO^`^H) zDFx%csl2rd8|iQ$@G+Us4I6Hgb)qyQ_vk0H)6L7`|3sBtef<6_s{DNQy9ppn1wW6@ z&W;w_!{4eoDKRl<4aZi&K>=A6J>;^9RY2JH@>=WBO{!ErMd$V6AF0v~=-`+mcxk$7 z&NreGb-L#Nfj7tRm9lGEO{#{)#Pp16Z|=%``UvjRGb_EhJI0gP*#neuDRi^gp%H?n(_^W-q+qcHMgJDay<@&*`Zg<+CWmB zk6}RZz%?SuF5)I&_(@V8uQO9y#I#N8t-81Jvka(lDb!=+$>YQ<{Wb2iv;3fR@LB^z zW38&&iv?9{e-Z)kjo{4xA8B#nB}Dao7uQ|7rw66X#th9)__v!?qj7=p$DbLoS9@pR znrEYWHWc}*Qc@+u?9?d|LmV$}8#;sAIxGD#uJM8Qjus~AS}-df7pdU}$kP|3B&mIzd) zH~BSKPVZs8&Ap{CpG~h(B!dUTAu;Nd#cXIya}+7&_(D z=3J6|&q>B5F7)^xy_($B2J-7dLBFSNKH0W)R8fOJAy2eBKG3~5JdnP9e7`d zF6gfGN+nv~qkC5j)X3`pBNd4OgM*KlFpmW>Jw3tpwP<3T5i;{jpd8z@UUjeVClfhB zb{P(oyfg;Qb>XIDjI?dT{xI?V@lFRM)L@l?yipc)JmbW2WCHVVt@XR6MOf2F1irrwX;5Wb>+a)PWtx3@csc+e^oFzwIA6o5A^;z3r(1oXF$rpNt%0QuS|K zNx&e?jr@7Mv!#Q>t~i-~8~~hx-Bfg983d712fdzL;i?C69`EXQu5&*1r~cu!-+QVW0#X>t->N1R}qnKgXUvzH%b@lrn zMHv#j6T>3oh10_;x29l=6cO$pSO4|!t#O9$>8yg$Af#r9;J*T>J71QcWdB=2S~d#v zzbB;0+(ZDmH79xuk)xGW7?!z4|Ngl!bXOtUXpOIYW0lOtCUJ{B2|G`f5#G&H5xV%{ z640kA5G7A{dAXigIYh%$!c1ErVPW z(;r?8rZxTaco{`5cr{>`$63_L#ed1E$VZS&7v_};B4PW_3_{X8VuTZJ!Y7kUN5i44 zF1=bFMgj%xIN=O@#3zCDfi?D_1R=B=gZUTNvmc69g4(O!Wmk+4KOPSH?3e8RGAyVF z`?F^NCWn{1>5~Ej+c{1UWLHkEy5rlI|23PmJw^eyQd$2rSQT-!rTxZVjb)6MCC#kN z;_Ccm+S1K()19hZUTLeC7q#f2_*-l}U|0iWIKoozTcDzAmn;x)&;m9) zXTJO8E$PMTk3)LGs;~R+C5p^TyVeSeexDr`(NzHT1BvU$6ZJa2H9EtiF+(YbhLe(R4iu9;lan?DIcYoA~y4xbY};J=^WOPn%tlP8QTo z@;D@sEUb%t@FMl}U0%ERN8moKphF(;7I67H{~n6#-5bMUfKsWz;*mN$&0=H#|A8hH z0P*?tf;0f*^KPCT0~T%Xj}%YE41xF1x}z}KoFzu z1|i0f0;(ArUeWC?bJ>y+})uQAu=aU_XYoW#it%Y$HSI1K9;6EtGb zw<;t~Vqa(s?T^byT+;bz6Ad7ofSF_b8g`x#f2_`xsz_Ye|tf9q}25voi&p6K%|TrAE+>(Fv}Lu?{xHA*pCKPtA$l8?ii%F)C~|p8o%g z1@r04@%qIaE$^ms1^Nv)z1CeQ*m)aNGR?9oN5Aj?3fs-(-wJdx=x+dsj%I2)FFl<>yL;3# z-p$Pw5l8DQW8J+69eGU4&Ed(Uw_fK(j>@}{MWC_&xejC4aX9jl!}*FPEk|+$*2lq% z35Kp9CIT=(omV}{Qd$~vt1N3c2U_)P^Iy-^paujSM6C?L9(R=~gcE==V}Ro}=-kQD zU)PzJYg^n9?T6(Wh_W;zE1y_AIgz-AIv3ao!g8kJi+ababn&8% zFo;1^^U2^Vb7E?@Jh(B!-tJ+})!h|HyK4l!?H!unAgu0rvBZk}BQfV4B&~ux1UjrC zk@akP3q{ax*GQHLiSL+ak>&2nXGGn$XR&nn!#`oR%CG~f$wk9|{G+@N%;y7Ov4#F| zIqa3N&&B3V_2g~1(1dHuJ!bErtWj&0f(Ggn1E%1tSXGY$BBM`63sWhpN2O}E@diI> zBWK3eu1zeQ3c{C=0{H?EP|a(z$F;kuT`Uc+|0*YwCjt;jJ&`r!hPaO;XHWnj^m1Wq z;f-&K75svoh};RN(F`jVv(f2&v|05%s;PM$U(6xj@cVUSb6%TO%zu!egVQQ(M8#Ea zaUPg^Hm(u-3Z!e)2DBl_9*kOZ_wI8gGW%$<1f;ZlDXwS_D#jqufM*o@+QKklYCD|< z@xxW2M-SwFD^a#u2TTWYCdAAfUN9pTJa) zEch=WTV~>q?@17iuO|Z_Nr-&lU5Zi_lyU_JU1Jseq8*Cj3FcJv z-~WsPi^H(y>fWY3Itf9JPZUFecp}Q{Dbr^L5)T9rBFlnX0JS_R2zYq7RrXAX2X>Dk z0}m*KfD{^faG)u9C|Ca?B2cUvG7AGj?4OqGt4x9tiLk!zgCJRs92Fi}s zJcHl)JoP%h$r01ZF%1b{wCYc)kOXx!#DTN2;k60i&W!wRhi#I{{Xe(E3cLM#JFM;Q z|K1L}1t+~z$@g!D*l6n(S`gXVpojM;BH+pDVU{1pl%=OueyKbeSU0tuq5TnoV#DzB z?XGh?AnU1)|M=;2nzK2uj?0B>GTBr+4WD1Lp`}s{76g3U)ninyk2lXL%T|kXK;9me53oLGz-ha5Ra%9 zssH6HJ?{8V&eD;jcZ_5VJAEfA1+>M}1dbATRXk zirqWDLO8`B@nwF0hq2Og`D*vFRz1(RC1rYzemE=vf9V~a`bQMdn2wa%<0QtFt^(T4 z94;dOzmkE{1NLPKO)ZBj$@YhX3T^jskM_$t;+O6WJ=fydsy^dSUtCThKI{2(4V`vm zqvmCuA%PHZ9~(a|b1t9qCSu6201w>%fA?+y*T#=2C3))+-1ql3Nfso z`m~N$Vi`#zRd7$cGVaswx%_of;o%dy0ExUgypPW2D}{VO_5iRs?nlSehy7ZOK$(^7 z4EU{X2!G&hU}YCAz&BD;zAH6sf*jFieiu$qj4u?g?2E(1wV)FM?fmCoyY zge*7R24h8BC4|b-xjWwCBVq-tp~Wzz%~J!j1hNsE-5PM^h>4;gkh${R6Y?PL-1cBI zvbSwJD*b~pcR4gCeRK*h%oO}&K#Y_^y>iCqa8*I;;EM1CH9T?IMC#v&J-xC_LU)cBMbUk#Y-B-goQXI(RbuCmXQ7IPdYSzx3zq#AW@S(f2 z{_&_6&L~$GrD-)^rkS4zK4a1^%Q+BYYifc0`pVo%nGG=I`K4858)Z%_ts2vJT!D(wp^%H=Y*#iH4?gDqtd@ zq}N}dL9G(KAGyJN^vSU0(X7vYB_yPHeUAicQ>|s{XCyZ!n!~ww$|s>5@S+o!-b*AXI%V#-5OEQNnD-h~jpOBQS2KT+xLUBp2bdYP4%y_?pp%1{gOt`h#)qN)Br_{(Ju0fSZs^13yJo&Z3oV^WQlwwMP$3>KlRfA&ETowr_lC zw$(!X06!rDeGwK=B3pU-9jZI7D}$C?Q)SiHxu(==o*ey!9LL(Tc(NZ3AO(%S)yEF_ z$euS7#E-=S%!S~8K52G`pmqza@PZ28|IQ3)+UT)#{f}V9+`(bn%ge6LUKO^ff-#%Q zy1dA)`G-^92|iqQ#4>c9{mG$@KuJ}0YGo~>H z-?^oe&%>`7ZC5`-H}Apkm+k%S9e+~zQo*SZp+HsL5xC2SRgqgr84NLseqawyHbDIl z&Ro@#ykHO<5`u+Ng((LKFMigxz8e4V^7WfbZCg&x*j+cF%clm`tF=~r)3x>_YPv(2eOonBf;?eP#n^~*11IU-zwV){MbV95n?qNu0ARu^tMI`lD41u2N`B#?*tH$9F2FhUuHfEZgsk zxbMF&&Dt85HJMPB)dN9DyC-w}{Fz(@J#86)wxpyW`IZfPh->@;SEy6iDN z887ZF#|{mh<$fe!;LYWGm27<^jN0{|oz#qtA=hAvbc!KG67w3An_GcltN0SJl8aA2 znc80J2X*PVjfr4n$Qm33DYQ(|g8U7Vw5{={Oltx>znZC7z*d8qswP_l|B+R=meqvs z_hXl7WtDNkPF}o+i`Ax40mmxTF;TgQ>4CcdRwZ~QFtFg6LSF*P?7dteirQYutVz1Y?mSNjh z3&wafJu8s#4HH?j=^(KSuW>Isc$yvS?Cy;*;thbAK8~E*B z8`p#eKaIPEJPvgDAo7R^LPNK^1j32vMi4JAW})b$t{}YM?{Vd}2rOWOMG5X{wceE8 z+fVMxzlmz#+qd>lRy*&rU+qD z3LIRX_9?QBi@1%Q%?stSUt1*s(G7fTFZU9823x38?B1}0>Y&J^v<#qK4&xp$1|iMlKSVhboiV}#fX0@niw0Wzuz8`I8qsZ zn!LEn*|L=IOqAf0n)P};Of-{_bzVjLxj{toedU{I?&8C}IYrg!53^*#Po=m8a-An1 z?f1x+&hI=$;0%^U+yq_5*3+mJkY%TWGoNWEi- z!QJM>9Z&h{tRM|71ETGNoG69E##%)`ug!kI)=Zj1^K#YBV>r!uF(_=&89pWm}c1|iH)1J(lP{UtZ&gW<*#B! zzip1f=Y@_)ZF8m;+QK%7*fSQqq7V`0O=wHsC;zzi*z_Q~nWX9y4M439a_SdJt|9II zFkskF$mO{x;y3AMWd9`Mwu&ZtrE_P1wqq5Ze`{oV?TgF2eL8$yd=VA`0isgr>a?Wa zoK!&<(h#UI5l-+Sz&B4`sx&D-?Ja8=KmPQr>!}bLGW`1d#fZf)DKEE%H`n5&N(_U=d^vy$A6ZoEdf^Lg8 z>#@~ii+1D+d~$SIRb2%JbmcwGptW9i+;iRwcFCcVOxwZ8vw~39t(Puja;NXPe0g@v z=E&%Z@J2n}yl6eZ5@@60t7Q(wLU!Q(eEU6EFzK+04!8>gjCZjUH z*OgJ4jdi0;=aIWANq<}k?bKs(>J|;CZ!Llg$(32l8wsC4+7fiXn`>qvdmD(YRTUj1PUfO*(d@v%jD^sL ze0~q-*U6&`tljUf4E$ETv7{ib_Q)T{B}cVk5q3rP<`ggI6nQxN^q3M8pm^H5^pZh; zzUt@|^ILu)%Yw~*xKrrW`*Syb!0-8whnuX&{SN(5_oT%{j<3r9y!y}oBh{_odA;6& zD`*dN;cle)=jDICLcV^d`L$(6K$#;J2^0rd9~S zD$;t*+*ff7r*L@@>o%_+O0S39N#ofpCd`L(30Bzn>!*4<%BKR$IXgI*0$6E%j!yf| z+N27$QFwsDRt?7_(G%l58u1YdM&YQ=C^U;-;2LD3Q*iBkC+n#k3!}a5rBc`^=x*7GtGYh}570Yj?Z~~yIB-!EIfzHi`bY0FQ;b5+wpOJ8)>B;lY ze2PNby!dNWI!)|iBCRrm2M_EPISRP?kY*Cvbv0XzqKX9;wglm;!$u0{_8aR2J*JTKlW~986D#E1LT8sd@~BxBu-*?4gZ$9PdhlUnxUb$}R>a`d#y^{HlEc{{-Ry-t55VAt! zKp;pkU1L4i&c2p<~i&mq7 zS;_{bn;d57%`1|CB2%)~cMH2d3;-Xo@)f2yy)x<@YDv@>vNcSDp0wLLpQaZzS<2V* z_iiSPbD{wXmqBHn*EzM9R{lHetb*PQR2}KDLQ2|=WO`h-H-^g#*@g{8>TPPD9AcAY zpTBQu9*4Ijrs4f3gB=d6`%!r(~DVHB2k)66n= zQ(rJ-;fP6G&v+k9K^pT#sP;SZx0Xxbo)Iyqr~~im6TPB!ybSa5I#2NLZxjyIxb&d0Dv94Er^}^G_zU0mlWoCP)mFuaRk((1L!2AmtMvwPIj- zNCHQCXSE+BxmtSj{33+}0pl6BBYnL*TtgXlbhWT7 z6fbv<;s0LlbZ9hwJjoiE>c+=rJ`|4(e8!`!YEa$T1qpKLXWi!X4e;=UX6L|!R9_-d z{dCR7P6@HLvVMm^6Yieu&K?#kPVfGxes_|A?x^BD_D+w2mEcq9USpIOqyQeT(@wYY zvJ)wbkpf#TEq^Pn7zk2S&hlq;Z^&hpxx4#o=*5UX^vvlnNwRNJ+rsRJq`a11V;Ydp zSQ!wVCb6Q-jPFq?!Y1=aYO|-i+E%FXdMQ^$>U_R$yyPo?j!IFIdZ$kV6@Ij#^f4|{ zngO@d>Lb0x5HToIJgdyk9onncQfffR;)+Yco_NpjCIQ)kWFw8T3 z(!qRo1Z)BxUF~TRgO%v2zQJ5|FpqSdppuv9t{FgGtPwDSq|S}Ct!czyVKou^{bJDh zYvDayrE>Lt`)wRQkuLZz_qKu&>vmbsEGFr)#IAb#w-X4}*KA=T>4&2CM5Ul%>EU)Y zql#t%eY#V!WJOusyZ3RcauWlB+mA1+My6KZ(cf9L5@-;*`m1}%NuiuSeA~6*c{oe6 zC*MZxaQ63d zyf?28uU&ZabI{@D2qVr2xX)5unV#N*KvWAae_!B}R{#x75|3*_^G&Q(B ziR$aCu8(?4V*R6bhWmz5Ka@U0i+sGxqk>qR2l~D$o6R_NYwTp<_Ha zn2!&f@bFrOo9u3k|LH3^d6R1Q%vt$Wxo!*+4oQ8z%Fg!ws5+=BQHR_6IMQovu!zh1 zn9H4Sn<3|eBU!e-4S#(FbV9uBF;Wf#Z?+?8G)T|Gg@HX`0qBR;hC3c)0#$j7LB$XQ z@~Nt@D{}3r$wV@+WNLF}+1eUf52C;0-AO?9<91k2L)G?FSmacdlQ2R9fsD|Qlg&uq z8|rRU!v&A-nGwY8wYq^%k0BOq83R|!I!>|hv2 zPjDIxwlRV49MNAk4A`8u-$8+ZZl}7k?J4jTNj9*{0Xo{SL|Wx3c#~+UZDK`jBAR|8 z_6|nBxmWs*vH}J)Io)Lj$w59_S(OOI8b9r5*(?~R{kMpj10AbbYHvov^-eA>gE~$y z59(r)%F7-!Wp6rS_E*1$j#D6N<$w{9N7&bijLo6H!t;Nja5F)@qfyj!!&&x~Eb z6b$Y%g2LI z+q=bKddhHEwI(YK9j}Ws_pxV}2c0sDdpvz}o5v2uHzl!W>*tsIiuKkji3Vp?8&OV| zHXQ%QunO_2+--9!{cWJ(EF4_ea&6qlk6o;Hy5@pB0@EBX&|m_{ke%CmrfHx2)LzEi zH!jfkkHotEtjJXR?h@@*|BO8(MXp-jV4}%jz`vru^A6gA(P*)iH1|lIDT7YPmYh-mf z=BT5LEuWj6SOe3Jm>pxCz6`dNwSdrBIeD;TUgGAFAa#Yb-qF|-OoFoy4YpL~fp`1b zAcg{)+n4nrPSBpm(eAe)%TOr1r*QjJfEHhTR7%!3VhDO2tc#pjV`1W@=czKCxNt!8 z+aVoLc7hI*I!_$A)Cq>KR;q0=K$_SnpZ!3S`QDShP;A_oM%=Z2P|uC8^WwLWzl{n^ zOA)*qJ!QoYxp50nW+reN4~74Tpf#fVdU+*+(+O-;-z>?5sA@)JvsZblL6@`+ai#b# zj_X4S(1v}62`b#X_T=2=bDt1#GT^4}>4datfb{ZxRKwUUos_4W8N{4Kr%!Ot#GGn% zrus?A>%j^>wng-5yuiQIVvaq!RvR0}8 zFkq0!Nq@kE7=(t#ye*YDZ=_>P1xtkAlEme{j+Qj% zl1xa8zziwL9RxMU@?;~z%Y^k7dW)4u1lDt)!D3LL+XLayt8?<9K8^Zv4kbH_1xG~5 z?2A)OVLF-72Tfn6uvUrp7E=Ls@Q1Sw!3rt3;BsGyiq_<{K3!|b%qqtS_ljz`s^xxS zmmDhk{j0broGxr~1XQkRxz+_K4@j~ey)QG6R^7-crLY_Jtnk6IZx|70V`KZhV}u~s z#hy9%>ux1Kt&zV42Hql5Bx@=ua&f~qw>tS;2X5$ozi9T_0&#OWrX}~;>HFe^iZkD&RwxS0Ht;B`oqIH8&DQh6EK}Ua}SKu2oR6PQiDb6?d>aVB%3qaoB&Q51-!Z zz$DNuLfIE{%Mk0@_mt=wp27S(Yi?Cy>v%!}HEtR#DZK+7y39a+QRt)4l8?H@gw#J+ zR*Z_LsgFykwN^#N&H1vSOk-@XAaCUFQnOOyw|Bj#1wn)Ubdpc1>5_r{skHxjK9K&K z;XOZP1s=bHbQ|aU?k?aMh&KJ1NQUPyos|)tl>?Z=W8RZBZ*mDil~L3ZdwWS3#WW>f z5Gix45)a<5$kmEsg={h#K61qM!iiE5?hl3`KU^Ua&mpI#g9#^sTh247ce^bPDdc&n z6;UFlEv}(q&4e1EZVngKvYqrJA;oE$X%54P<4H%lXAUD?6XJ~tI`>IY`HxrKoPvAt^S#|HZ zKgh2g0K&L<=?OI&tU+430yT}e)`fnafk3uHuP}I=+}X?gbXtTAu{RvrY6z+3C58@O zKfkL+yNYU-5li(YyDod;V3@ZWtE%uce7v>9_y{Z0Y+YTeP>Tv7WBUEYW^i(734c1u z3z_RiV9Jax@A4;E?B$jU?*w=Guevt(Pluys?c}s2Yl&EvEu11zs8xkh@(JA*8SsFn zRDFm}#L_U(gqJ-uCdy;GGcU>c7{S5G80?u%UsxJuiWQaAv_=CPQE{!1u@|MIQ{V3M zmx{8Rmo3uxhIU}CKuOuC-ENsMGZ{tnMWosJ(r|4wl!apu4w1##sb+soC@HCv54W|r zx<+_+S4!afZhvhOSA`r_Vht0NKY0t1&Vn~tuJ>7aj3_jab?7~{*FgXDFII#f4(jGC z%xNgpIcWlBhOq8!1?_e4Co~Yx7fPPA+AVo^hgvJLnmv{>K0;Q8nEe@vl*5C87)Tx& zPDg#ZKoCeARrx)wYpiH(weaC;5zv~_u2$He`+_~jy?QSHe1|_9gk)pG&q0$U#d)7Q z(b6@u6MGalms&yY4#=k^<%7Uy4+GJ>^;RZ^ z3?P!|slVJ5n@o=J=$)HuE@vulR5P;4dXT`zjv_(PEPcBv#sT&M(2Xkr?796d)ZYRb zBYODhN2VXy`_Q#j!ExR`z+N)5)LR|+C;o`ZsE~JkLB;`eQm9|GS z6-zYUAT)Iib>}l;mIh>XHI3Wz8eHZO78VXNQwV)7hW*XehhZ=E+Xs%kYWoqS{_ecM znSSqJ)i=^9NJxOLaj9oRL8x|fE zWLQfWi~hezu?~8j95>sv?mM|Z>EL&Wv@B&ey{Ma70$c$D!KfppyxOgPW~@7ka6N4q zPZ*PS!wT}|W4MRyN1-~@Glt zKqVhJ8gCwq3VokEpD{>Fd`fPPPES>}N+db)6<>^wR6{{d}oGg8;wIOe)nM*UF{GwDPKzYXp%R^z4+4${&pK)5=7w zJeCS{Uj~`t@MVFE?Ng(k{e5?!-+in7gkDu`Tr|rdAkU9;KM7Gr^6vklxEQ_J<&FZV zR#xv298;ONPBMgex?_35MPL90Hp%HE082C}F3I&2VZ88AMHX2382-wd3PFte|4j6& z1stz#mE+ODe?70);zFEgI2+t=f?RQFN8{Ep32XwE^qIzq{|N=!6Ws?5ndl)=pzx3^ z8cI7py={n_EKvmOUkLphbGWjf6ci$3k`7J`V5FS8?|YTuan0P7Oa7m@i>LR$JztT2 zf!z-b9X{h(yI-#Eex_it7F4W}(6O?D?XrUVRfvB> zDfk50?mz!ok+3-86}P@IAt~JagDTX&<1c^x7&f|DvA35NVlvLW z%-|B=f1@*h{}MPDt#^d)rcu?|zgMw|O5Xi00}f=WWD<3FtV+`)PC`*&vExo%^4@WM z;pA&UIsz0V)4eOxZAJxJJ;?LZ&H9TYDAx5wpf04S$;?>4*=P;xY|LiYgaR(_aA1&j z`8M6UPr^V0ae?FSK(^LMV<=3-;7o7hgtWR=m`*i5HqrZuqC$b)3OM%YS!#9JRJU0z zK5?Rwi<39Sw8RSmt=FjaBVc0*5H6u$(oTDjz;yAS>bK>5gUxc=X+x33VJ}oQQb4jv zCe%FG)KxtYnorTi#p+H%|K;QY^xMf-yo4bT5HP22mdd%URzN2+iNVYs_$>~{t_MaR zZDJ0kn{jI^gL}MdpsSJ}78LU4sj4U8_VatuhknQ~?4_JIzdFG|VbOluWtY>hRua~< zKJqoc!%^Cr-Cx`Hqy+6T1gSVH3Y#o*Ga5`IzMWHDOwEde7H)>2ui{@y72^02A?Zx@ z&TMo&*t9tb{RP>LE{BRA82*8L%dJc~mV^r4h1M-2`L9VE4g3-H z-~WyUcd~$3P#7b=9^zeGU;oZ}^B0Lcj0(qw(^W>=do2hIVkZmZ<4uKeDQ@@XS_dZ{ zjBj;IN=RaBv+vTH=Fi8_d_lRa8S!7D{Ccl=J8W2jQ5}NtiP6J&*ef19^8*Ilxm)F1 z?Ja+Xd`pX-zOn=gfjPQhCSsc{O#5}i@?~b%cyL-026KP!ban6so&5IUfM(x|=~V*n zZfmT$8|bR;J3J0wf-A$bh6|N|50!9YbhcW5Oo_972OKcc{%JzWgvEAu;!L&U=5dn{ zD_}N-TVJWdWexA4J3gm@)Idr)_sRru_5Q|}`f3gnBW_44yf5OK-)mRHOW=#Ov8(xo zovqVt$EU!z_m-z2GrnW#<smr4f%w`e-#AwV6f zypPb1)~H-Km_YTxS$m=bF`L2FZ459vL)UTY9=EUwJ=#al@zTYX{~;h=P5=TT%lJ<# zdHvJ4;lm1^oMO;UwBDf97y5bDOs2^2vhgBK4Q3-|OiBV&Kxu z>8P-{SzJuYIPGs5bR~zEr`|r5d#_Ms#~8eVEoh1vR}f;p&>{Zt zLM^Y4J#sKyUoXH0dv=0Cxr+>9TFpq?9|rr?Fg;|95SICRFRNJ&6sL@4IMoSAytCoU z14vJ=1PJ8oQ$fKDs@#keKPf)9+wMpo@o-mgNQE`ET|ji(8A51th_4FZDrN(x?xUn^d~ z`9$9L?|5r4ElGu_U3=#A9_2M$>$@(Kv9tIwV|8VRh>ICs(JNCM9;>XaCaacbN_9%p zxFkj?UmHJ*6{sM;d7-MWUQ5g3f_>(fwOJ`iP2-|bLe`HM@KiO6hhQ4vfOO1DNFGuK zlvLRZ!?ZHwejz2eCej~cR7^8l)8f1{$gW2w<1H98#tI;isilosf;~NO7PW3r_I17B z^mTP*zmXLMa|b^zs7714oty&Vg(lMrS=)1;1aLOar}Lu%Cc-!J zxt`IsTN(i1vBqbfLtgGnTfEF%D#b(FVtQpWx*rfbT{6T)YPWr<9Z>=Kpc6{*5`3d) zx{p8YofPt%X1$tw{pKSE0|F)mrx$OBHg4d4{}(sKmT%2G!O~o=rvcn0ZDI^IZnNF9 z>RyaA>Bd~T8HhC$NHB1){Ej@==7Mf@&2CX@Y^Fw^$7QB>y-Qw~iiD2n*XfhrIvJ4+ zqi0ohGFa@osJuyw$ez89n?hZj&Ul;7;8~NHLAB=AoX+25Z+e|@k(4b;;{$^7M@GXO zE<-?>HGz^dIl|J>l{*7cVIiTz={(Zt11nQ2*IVQtI^J$F*fU0~jJPbmx2;_Mu76u? ze7f&utjG15->zzlnXaC<;R!+p_lZ{M?W@>xG26SQqVOjR%9y7I`MB_}7SAbT%Fat4 zVU}xksNyRY&2u!nH)MP=6kp~*gd_jp%xf`y>urgy8ua&8r0D%mB$cZjS@Qpgq%K~9 z|7#?rq+f#$i2LNShOyXn=?g$I-;a32Ws^%NfD9br@L)IVyc&d%g!cYm2dz%71Mj%l_V9V_zx(vBd%&;gEu1sjOJq(CS!Hjlg z?Yr&;&WFp$C6Axc*jWwGRfORN?H@_NK|g*MuwF;7Dg(7-F)q~1?iF`lm=N(-frV3NRzD^py}H5_CyA zg05#(UGm-#HuKO`HOh_szJ5m+Ne@XYhk-x4`QugSyBbiY zvo$T2nwQ+R&3MGcMteq9hy;b~TAmFrIWF0CRg=a>i?mp-0`nW)Q0&%6z&N!QITKkD zmBEnqT%7Q95`8hC+H>@~JrKVYN zl%`Xn$^-R~e#?ANproQ$;|Cvtv|Yw0u8vIM1$!x}++K1wt3MM8!q0ZK?X8x1$Bd+v zyTxB8bOy`2$M2(m^u_7Qt-KUm#Dpq8-onF``v=iGZlIu4nC{W>AXia5xaV*5#WMZ>1$|Y0cb%^oga+J=nkDu_ zoE6O0>))esc7&hBf-IOJFhdXWuKE!V_R><5ulmz#_JmGZxCO*WaCUDEpWN)sA9`My z4yQ;ZI7xtpelB|o4#D($!?P_HVP+~IOWW_8EU+M~C%A-UF&_9wqWX8!mXxdj(hXm* zUsv>kWiDjBTcw_yrHu1*fXWjV1p|E+1bgO$#ilY$*n^H zT*0B!U`uSm7ehSi1N)rkUj>c~nl~7z^&lxo{1z>M5sxA}H8?jHAcJxQzzGAWD%#jF zx>6?^0ivk#Aecl`dh*iuZGTN3rl?=QW?S(CKL{Aua;N1$q6bdX>G1K}b08_Uhw|Io~m zcTZx#Lki}~jfu-Dv$cV=j7R{;Q-^p(+K8j|=(=0+Tbl40qLv58*WWnrLDa6XCG__7 z<>$KhU$~n#*r+*$a$1{!`ckO8o^~eg<0_85Ke|;Xsgi~Y0mm2Ap3*N6o2V=V{rNc2 zkLx?`fV#~|yH57SH+ujmK9J;lke4&@^!+nELD>V0e95mCoG!jTPm{2k&gl|TgHzl5z(!>$xj5wH z4onSok*c;9N>;m=w^$6LJM3k-p9d~4;5=}_e2U9h&0rP3}d$!ddWCMZcW&ENU3o<74(xcUdZX&eAGn>@bt z_GJ!PQcz93J#{qcP;HINIYE#LTNA+ Rd2AJ3VwcY6K#NOaAG7zl@fkjoUh7cL(o zsf1KZN$P>`js}B_L}=C9DPCN<2o7@W2w5D6#u4e}7}&Q_q*kaVJ5Ji;f)nzz4{fT;s)KybhzmqrQ$bThk^cPDVea`WlP=34aN){Zx@ zMMrx>)D$b*=<&$#3xDI>(`hNe&R?oAN|*$4D8WV=d?*>lW{kG2f0f_Vo9Z7<3*lC( zz`c_q3#f08h8nfdy$MuN*^dX~&5Ltts!$nS{bnzYS$;Uq0w0V|3@FSqLtmwAk!0py z=Cd_AhZLNx=HW)?f1afAYjeYb7<3~vUT+vyb)(51Ha=|u=?uf;_I&YpzJ=l^_hz~@ z{@aQiVq(?Pl$4}acEbToLK$zD2V1G$c@{9oj~1yJ1awkFsF4U*vQ1P>k{cyM=j zcMI;21b26LclQu1xLf1y?!N!z-a9k*&70Y&SG8MPwN+G6Mbiy5KRM@<^L1K1-u|rq z(`c|ZRo)Kmzc@HGv^p?|Twbro=9!d931;i;mA@Pv8KstOc23EaZwCq0NtvW2r8ym4 zDI+*cu@@pe7(f472=y7JrGf#OQl@fD!7@A;Aq*)`U8f`hHP5Oj!^{C@N{(2JoN^eC z3R9U-nb)VZPV|$xWy9x?g?G*>p}hCXbAj|>rXIVgE<~2UXij;83^_V#3lW?FMdP2o z+gu`L^*PX$kVHrhT~jOVX-=n}EHaC_V*|*=){k30lOHUTl2*&aRrtW{Y-zewP`(4t zj~oXCg~G+uz1vg#y33}i1XrKely|h%jJGLz|M=ke`RkFwS^n4MWQE|E&qU(kdwp)L zXOt&$*~&SuQXh(%b}(2JQ1%K)p^RyY9EU9>2;~ENRr?I4JIUty1vT+Ppb%o=InvuC zeYm8r8RN^2Ct`7NSX29F4qjTsm1I$Cg6XuqMB&+*XyV_j}GK8wAUX$J+^L zqPMuLJ?spfcTf3WyL-GQ7@1KM<1CzX6fDlz^68S;%8w&6I^aPH!9kmM5;nR;3-%rN z_v7q*M3bK3?rE;BkA;wr?gYGc$^Qdu*lM0<0dS(hNYDxM2f;OkoyM|0(lOGR-X&>AVLugrDJvAu z+p-fZv^);a>+|e%@>+G_b-!}BZQmtZ9<;w=V|w$*o+9N2IIf#sZJ69_$cW0Bo|@mj z(8{CMq$K{@Nd>&>=Rx(R6NHToIeBupg=7q(oG_XD%^^jr#b?l4*1Hp@-P=O;vPesG`7Nac_-X ztB|y|oEU}t+Su@&5Ma+!8+zPcpV~9foD3oP=(gjGG#VMtL=HNWS5(mkDGUGvAy;Sf zaFe;kjM#88uj~9bRqL`5?^t3b@bL+gKQ?Z^u5)w&9$xHu|#6o(T`s_%pRHu zps&_+r+{aqKa|qD%{6BS_P-O7xQYcLALz*Dx<=ti@**Jes3mNyqhl((wx#5^9uag~ z?QZQ%Q;!-1^oHkegcfPHs#sBEra_XK! z6bDRL4(8-@p7k|xz+o}TY!C5MjON8=Mw9TQd^FH+%j%gvR@jJ98JZEL$?M|0$^lq+crg5n3RV>o_fu3K1~4z~Nz z_W6uTcdHd|h2xi-YjXxv`Nr-{Gw-vVx~>Mc9ij>_Z&Bpjyo>84}4?;CE{xV6ba zm3F?Y7WdHzwtMLL=fHohO2_{1Be)vBJe|leeNth02WK2Z6rT=I+Xxu+~G9& ztp#?a0tTOV(coy!!Hj5aLG>Pg-tWo58jttU&HlfCP1XcvE(giSMKghjBMdJt>wTc1 zfx+c*RV{Y@FhA|J#(P^Y6Q662F0Cbux08q>0wv!U1A5@f7ty@8aGdU;_BBEXFf}&z zTkF3Wa0XNGzEdC`|CR<%paMh-ry8-WsCU;FHn!LxF~&N&8iennjFX{mT^rzLW$*xwT%j_#7V(EkphKb5`o}Kz<2E-MKbh-U426HwrhS{+UcGj>;bLK^QZGJqZ2EG%Omp7rsg&lVh4PM z6?ZPTX>1*~w4!*C&gCj?eB@k>j9U^PK;xgBXrBgpI4tL0J@<^yo*{mACmFFQ=6-K) zl}BF~=r)!kVpyI=$VLIE&(UPw`Q;J2Uqw3UTuq-;dGO!?7(hO9NY~BzV4M?ZX4#eJ z?SUNx_%QCSsUf-Cnt)QE#d|w-KlvW8L;@AWzj?`Qv$)Et?X0bDAGRRqM=vyvC0*C< z$Tcl5$9R(_7pC%cE9r?kSYA4ZZZ}X_ri%f4g^ASO?Z{XlU_*+4*6}!_vg@rWj?)(` z>WmDiv);U0`B7al>TE-QGqi$p+aGxfR!g1{X8Xr=pVTt>Uox!8ZSNRCXR_R0RO4~L z+odtn(q38-tEzypuiO5s3~OW|jRNu_{Uln8!^??O^bf$|1+~l&Ssy&|L4^ndP#||^ zjuxxA#))`4%a!pW2+;h<7hJfEo?doE1tI?|Aa>vUIFmZFJ*y|mOzHMoE)eLOI_2hDEqdpU7mc@qZ% zm_Aqr%%;`o$t|Y|s1vBSq=fu8QZ<)1SLO5du>T@=Bt;}r5|5Ldc``FI=lb%t=1jH@ zV1qn>)Ym1nS#oQ8&5Ux{4Zk-U=m~%;tPPB)pUVX zK|;0q?&@R#2?EHJ*@KF_xTE)-^EWrCWbEGcS$Rt508*gooUTHNBi>89lIad;2M=9< z8&bgRxlgc_&Fic$?mKXU?2p{bOAXeHHI8JmS}n?+mMB>nIX-Q?S`UntUpGwulkL3z zzPEE+`jHmu+kK}w``3m4tz{1V@Rb_Ix0sd`{xjjUjk=G^OLz2eopcP%`2yf_%Qu`6 zol3{I-f4eza8QN%XJ-%nKTx|YbhafwNQ(ii)q(6& zZ)7{6q`@i?j4#Buigd8m{iUPB+?#*ClJR$K7Hqldvw>A7MCH=4cHl2iWUmPgvXuz| z42V8ST^O{%K|=O(`2}44_`Y3rYDe1%fW%IBuYIQi?jJ?E)X@Ky*)9I!Zn83czxv6A z?w`Im4g48fGrE{@sEH?!8N+{m@n4^k9^?S8T$Q-%7r}pN0eahqt)x=_Gv8b>@5AXT z5=k>Pw6qq+e`JfNs@B>8#yR14$=k4eCXE(YTkC-0Z~javQ<29@@B?8{G2f@wr(bBt z4m-~mywp}3p18O&`N({tH2|+v+m={Q4xhK@ZC7rOtvB!Vl9QWGbwk`S_^NBQpZ=KV zO4niTF9Dr|YyQ8m)e&>Vl14X=mG;roe^DqI9&SsWo6pIC8ED2?;MTl0%%Y;$>|MyL zX8NGP`hEXkShb~zHjdZ3^(tY{1lDVd1X0V%6Zj|gXc?%Y z6|eJ_IUO+3!@)~fP8j3XC&rf$Go`j63j>yqA_xSt=ueWgcMo+w4q!ci53FG}7E*dT zZGEFS>F}wT$CGn7gY);uj83+kFn7?R2GdNTW$S(Ad&{{#{%j+9U5_fa{(+p08n6lo zcpswLo_FA?gI?}$H%GKle3L#?=nan(mgARUNKi0ydM|c3L>ellv@ZdT0nk>dU!R8o zKmcEedC0?GxKQl6TpWN|Lxa=7vg$YNNm|K(v-)T0Oo997iwd^A;r0NR0%Rfohr$1kIQ8Cqb4hGi z^?cbG$inM9oeqd+R&}U;1yF1(0WvmQK64wBn!9p&pnW;-+Fb;FG5I$`9|DZ8 z8-&@h5tohMYRc&=jpCPLd z#-}2HniCn4>#RjXdfODtU9ZhT4v5B$CzDnx%8#9oN{{05#LjHT!f$o}9nq?4{ln4G zuyvC`9fFLq=SdLg;4PsGYS{{djdRAl1_4U#Cz1Oba7fbT@(FL_$L@G zr!e_}a{f9F?hn(`$Wd|zWj`V>Kl22wAQ|7&-eZx+A_S05YOxs*dNzEsQ^9>`nW~&yQ{QbQY&;WTu#$2cSsCjUUTswKd7iCd55PoK7-mQD^LNmEw6by) zX@h+Dg12a3h2HF0l7aSi2f{t#Ygt77;Yp8Bnor?J>_Gtw0pBXF6cn2+Jhkqry~}Db zHe7=wNL>8Jai9a-J#mZw48~YKa+?##mx2eaS&bkaD^&OcQwI>_<5pD6QgkqHlEOwl z?A)3P<_!EcnnboHhFYn3I^qR}IRI6KH$p*XUgD~nx%nUK*faXhW@ir|kebuk7V&WC zLq!J!RPEyBnHm{`7i-7AWUq`Fc>Xx9QEN)sg8^6_Akck_`ynf#VOA&GKf4#%0%tjx z=zURP?!Wo|K-hefuXa|0x2dnxw$Tc`0jv(oTE{c|RI&XxGBQItt>?r7a3Bc@j6YVv z?DVPJB=rB(7bv{3>}Ck`ZUEG51i&%`$K^2!H9lbXBORr-9a!&5cK}5I?8E%U1~#HS zR^S&~5tR;3^Zlo4ZLXFRURV9D!|o$1I_-=Ow~me5*Tq+xC9kJ- ztVK(Y)2p}73R4cRt)8;CIjyOiJGLteb8rc3w zWOzo}aYZEZ5_q1=9&g6rIZI_&Ew+czw@UxG|1hzzg#7Sym{^j}0l=$2{E7^2W3QMN z=t?Udb7U!m1zRqX0K!oYH2!DL293*NM?=?a$P#A9_l<`-5 zyRcP*G8{0KlZXEX6oL+hZ**BxZR_*n&ST5WH4@o7=06h6O=5@$0)a^G_7R^qrDnt! zPPViqq`G5BtiFE8pSy(x;lmo>E^V1D@SYbe(4f72FzYnCS2J;CAB|$nd=Q%!+xtgF zjRR66+%hT3q-+FqAHArdfsC(s-5;0ew4Zr9}V1d;*;^H-1!>yg4s%5FY9$QDB*k5&WmG!u-G}sNbieX=#u%Acq}>lg z6WOy~Oy$SwUfXvPppeA}1>D>Y{2ugq`c(f?Visk8x)ceFAiTLa4qE=Ja5PT_b|8_l z!LNk4@qz+iz6ms}-K*(o+h%GB81^O2!#3t**->6&kjl70c#wwK2>uPJpb&a= z@mkr<`@gOJ=SYs55pMzKjx_go`{?MA6YsJrFuDq*Y;`ApbIymC$(Gw53zbKVf! zBvVJCoLmH3nt@PRx!bm+7A%m1lt@h_nmQ)2LO8lCmM?OR4$A85Q6nS%MmqomYSAcU z<#Cs^!IN(*Sf`J830YMM;iA*jk^LB8@HtZOtLl*xLR=z~#F+~aN5Gw60jUk?nL;V% z2!X0tek?G?9#5#Wy?kS)t_aH#GLNQoBz z^6B@Bq1!k#Z20`|**Ek(Q?L~iptGtZLUiJrD-%60 zMoh`=J*;-Pmv->7cYZH2`i#q^lIYN7)>tu?Bze9}gQ4RGhj*RjhlTiO=x0?aNeLc)jtLezwpZ>CZmY zY^-FmiU;&A0CmSY{GR3`jf0EBv@&64()~VrXX_9FWh8=jwX24B@y47srG*jXbL!Ws z+I#2c@4q(eL7!cZE>wq12eprYd5WO5Z7f)06O5Ot`>374Q?{4(^TWo6s{_yd10E14 z%({$@&6-a~NdW?s+CcPZcp2F4?i|*JIcM+-84iRIQWCxxxxE$7eG=4HCiP(qQ?uyp4P&EJ+9wZikW zQT##h&m?<+*r|*4zXLr#DtXugLmRQ5Yb4^$i!pVqwE_^0t*kC(3H#f4IfM>2N=64J zdCqI^-LMUdOSRBmazCI3`;z4pZO|q{M0t&Uxet=(5PcU+gC>r}Hk-f@26w-CdZz2_ zH}ldusSyz9g7$7X%SD*cDFBz#ZLO60VRty~Pr9p@g@~xjxkQal%OgizP5z{}dAVE>;)RY7=}jLckh@j|rADXNubi!XFY@UiHm^sV= zgE}g+4UBF;_Rlqc;_I2Wu@*XT)a>CX1rx6rG6_G7RQzcbj#YF8=CRfemqj48N|oka znemQ>?uf5VL*y7k>~|_GaYqXvshad9lGRybT6Q03oML z>Dlef0Mf?MxeoeU&c+3&Bm(>p=u5sys3ZpHXHA+^!SfO$ufnfUk+CH1tp)F8nY302 zP;LN}ZfAT^@d!Rm>9oJ_cEI)F9_HHR$XM=T4q4 zeDu;4zB^gl-9BpQOnpHGZvGqVM6ImfxqHS9u1}SO1=1GxstA1j<2x+Pv(3&p%I=f7(nN^KW(rZ(NH=dbLoTG?1 zCPF>xydCeY#j?fo?x&sE8`gff&k%@;blsvLkf(hd(+I%0hQ+4)pr;nXq*N_um^xR6 zI{j@xRYie2FZ9gH)XUZk9>1(~#_mNviu&Ii(Zx0cNB}*&`l>JQ}yod^+32axj8WePB{Sqhg%loW!84Oi5i+eQ%U1&Rw+|yY{0giRB~@_^lshP+ucP zyps<>P1+5m|JmLqB1x^tVE56l*0=j)0Z^HrUApHM%N0E;F)W?=RQj1~;1ANMxnHj* z8c*`97Rt5PDOq`L*CMtB1w1lZzFt-z*mgK$4AOMVBK}Rd(!ii2dVvJ-XX{y6L41jY z45A8YsKKP6xl$$G!k$!(kEL^p*K9n^k`kYd5BgZ@ouqEpsFCsG%*^yXe=!tD+a{|> zA(#fk+Ss^8^5c+C?aR-dbjrTS1D4x*`AZ$5=i7;)Kv}JcvgiKus&m9zt{B1z?KIvm zu1?>+atH9@l!Y&9&|s49Oyj+0&iTi}qD_9;CG-EH!lN{yH++u2 z`N3$e{W>thm1lH^;`kN914zh78>h->3?8MWdF@Aadh!t;x|*eL{^ zEeP3kIhzMh)|I5%`mu?u_&}h-fLqqkn85s|3U~<$&tkw_Q{1pP+(^C216>v1?Db`t zWiI+|a@^TL(0ljspr29Pk!B_(u@()AX@7!Qfrd3vRW3!zQkj}dv-DJTB!O|bt@n_% z*dl=OleR`d8&0Yx3#LrqDsP)ZuiwP8^Rv@Cko`pn&r%v=Ukd)0fP(GOck0a#e3NfD z-DC;)zRJCxRNED2Rz9;FxVO!x#LuLmV$$!2zpCiRy~p(y;v1EQ@MTfTCQ1 zXH=B&`*@0+iVDQHk(l)WP}E(^1-0JeT{uqho?Nsv1?J}c?Hq^Fse z69aFY4_+MFW@=pxI|i$1(!`H+{$TwZ^n#T0J(ejIQ`siMKQWPBcHq|(ntU9M>g$=| zDoa#D4Sfh4L#tbNwhK|;tcq$6CZ^cYadQpxGJ@gw$g%Rakt9Jn6~ZwC9(XdQp>B)z zAY(@^wZ?vX)N8k(t=VMr#t`z+dAZYiVfrnkQ~tKH*(y>a2FNzZyi zRDmA;Y_gwv>G-^{vWf?6F_yQJTVZ{b50OFYPs}u@r3r@(`c`5|^7zP66ix{w0CDf zSY6LozCaD1lxXHPlMG4rzZas(h3O`DvOF7wToe;>a#46*>U2OoA~$}Ww#9A;$`_O9 z7g=;XUq*MXISZaKYC+&O7=?P+Th$a>8=_vqisfL9On9PyHtO7Z@Z~h{DW8JBCW)2k z&}B{C^l#(^t8v{ldQ8RShf*BA6PC|24{@ve);BUA*D>Z;KKeW(kHwP^Og_q5%L>AZ~V0JZs|VJ_N0dIT^<`xuWqOr=eYzV z?ELanJS?x`w=rLe-U*o9l#=ZZWA~0n-e%g!+d+dqbAVIYYG5ct2b+V`5;h+Lp%8J$ zpQrs(+s2_{9UzF=J9`SlwOsbL%q*?RhX!cb+Dz`&ve*ldY!A1=ALvq6D`2oFTXRqy zGMWDd6}kSZ3pvvje&XpH1Q+`h4J+F$%=TByb`D;BT81HDR&BPPJL#2=CLN+dMVtTi zrVwK6z`!5l_Cx|d9pB?NdY(%RAD`gy%E80?qjmAKYz_1EcRBTa&Mgjv_p-ppF2A7P z;Naj@UH<_g16(1)QcCOHZf~ZQe5vKm6CA0QR2JV80C3m_)06D!eLCI_+U4ng9I!K$ zJx`BrYbW2E9Dk=}%1v-y#@`kQ3H-x>hYPoIlN=qa<<;Ji1~7dWz+zw;7sa=!+L&24 z>zl(EBmCvTWk`g@eai8&sH#>ds(8%l5rXD{fG5KO&zcsNjpk4!oXgRI(ew=^_|v@F zn!4{@G|$1yy;K0` zP0JNJ8#U)zVjdGS?>sox2S^0W$t7qRCGkes1xBJ9yIz*CQ#|6X52;3dA~I111AE6? zim!IU;+h5Toh#=1p~rB0uxQ59MahI~^X-c|#T3_0N#0#m&R)$JmH6U$^U6gnu4ijQ zOB1U>3i3Wj9EOa7nz(_Uk0=02& ztj3?_L}8sT4;P1guKOz$;e@p&D)j1a&xBP?A0t;Y!E`@O&%T`ir&!SnYYFfY61V4wo86%a#5vbTg#7kbzE5H@B_@#hb2n?Z8NMjtUx{`l|wGU&bCr8AuqK z*jS|n^%NFhxt|#JFW&B{V>;l0<~MSF)D|8=`Y!i{_5R4Br~1u@L!o4@`l0Jbl+R9H zHw($AZ-5@Z9>T>X85yF>JGYM~J%!7`vZa-uNKz$!&vw3UL>XD=zLXZfq5LAnS%2au z)L7f&>v=VUGEnFJRFv@}#2>GC`X)5Y%(+9@AKtq8XWO*q-fDEITy~z8<3q&F>q?ww z-9?s>yU$CjDpW$?Bgb_AV_r%-@y8EmCt@!^1*w7y4Z_xYHaA&DbhamY87snch9pB} zMYT0;GZ3gR#(%poUE>ue{%1*}@Em^NbPCjY;@-6gWC5o91-P8)$((j>{eVfxF3@=R4TabFAhwJ+BWE2q(**g zKJ>n85XNjEMn;4?0>1;@5Jb2x;W70kR#IM_5>(kOR&&m^mA;Q9g9b%phQD~v9NqN{ zPir8=vn8SJ+60kiF!MU@40Qu7i_7NJYpqr7q~5WBtP3S@TJyltf0}XrY0^+i(j@9( zNgMjx@?3iU)87I?8twoGJ*R>O_FHqO)MWZ{_1*71|LhBAnHHAL(bd&A?PSOq0w%me zfpu7`V3}E8O5_xjw@5$WQmU+8BQ|1~mg=r+H0~FG9{v2Lj49izRVMXOTGGYuOHtpS zKW%%TdAB_i_p7ZY!<;rAme0*d#%1(tz(|KE((&~KS8Tzsy5WmRxAgB8hFt^1(1h2A ztyGG?;dS^L<{?n);-toFm*Uqi((WwbU`-zyX~Pq8WH`Tob%Rj$_O6T$NuqY)Ma_-k z=etsB^x$U{IKNkZcSq~S{mye`fd(8a@WBFi>s+0pRJ&|XaML|-JW?)>i6 z>U=tB(*^64XN&e{hmT7TXXCu7;`Y2Ug;boubzlJApHE@G;IFRb2Y|J6T8IG88ZwaO ztmSF{m;^jSfVNBfewJnD_N0l5(}8HupFX zfzo=_;lbN}Hr6)%Z#W+dNvzL*kMaBapYZdcb2|MS=2s8QX8Mm|e%VaZJohfszaX6H z*<_n&|EGt|i@6?8GwauT)#<8i=>NXp|L{F#0>5X8pad+pY7;+;(mtKg*ObF&AoK<#&y=Lap^K{VV|&Q$vH=+6*-GcB5@|uduX&144~H#iL--2aeO# zT3$m3v8x41t@pOoeFFq2!|Ql-oq|Q;Zk73=0iq56(gMgONaJE8FNr42MpoKgL{jZk zmeN%s{kR{7!*G8LZe?HsqWpT~RPM0_3)Dr`$q|4kzvj;eN7}gT`jF)@nAHMeUkib1 z<@VAJ80^#ElmFa8_K{ZgReP3l-UrwoPoB%lG;BLM2RjG(eW&D43}{Qa-Ai*KPG-B= z3J!@CON&*L|obdj@qgZvN;6OIaa&t{=fTf7**&DBkQYG#W9p0y)Jkgbnpi}%0j6+CC7T)kV6$<18}oK>kv`cE zl#ILz&Zc0jjeWz&Q^FCRK>+?47u8}6yS@F1C)2pa9vY4)0t-)RSbR~kgL^b$;m?*q zzl{+Od<0OoI$Atlhp>-|^gOk&43EybkCu{kYToZyB6RM4CItOxX?%T%Wsk_{xH+Wv zoot-5Z_|6y(Zm}HHhduBwac%Qx^JpM&QPH8>k%bV^X5HS_2xX(5*iLD7d<{M!|LF0 z-VU5veKi{DEY|+ptinP42v9+JsYTlRr5cB&+mXkC&Y{9IK=p6SXK3-UBGY$z_QPlIKQJ0M;NZ`z0HaxT%#$3=Ou?E>5{s7_tk7NGE z0p|1ZQ44{-*%p`^BZg-Ca8=r}kBg?wX5Kn=S-h*@%W4b+YC;f5;H=l^9Vp?IeB$ZN z=@GRzhyUYF(Uyh%vgDToGs^zb!m7pYtY+?j5;QCk}9Lm7)|K_T=e zsRjjv_zqdeQ{-!H|27S}Tjs+vb2kEL=RN>MJ2|hznHB0WMDg)_MMe#oggz9EIl2{} zjCXEcfC4N^q|04`%b5mD5NKue*Y6Vzx-!1rw9s5>jrD=Bn%_b+=fX9n7;G6hsrRNEs|>#Vu1AS9~p?=wW2z+fzP%O$OP>#B~@OlRMEar zR~BjAZZEw%=H5VKfzShPXyC$KwZ7A|jFy-IE7v}IE}v4G;&dkN`bX)#;wT1Wyz6|P zTMvTWZ+1F3&=nNr8$;6VtoJ_TvmL|rpyag%>RZ0YubhI4ueZHEfWG+C+?7YcWtnOK z5N=+iej*}u@lgJ{g%S7W%jwSuOVtsbhh87D%HBg7ty+VE%ErYyw^h9`h%8%%*FT4l z^UNBI(fR`#FB+m#l!t0UaJ+8vnhrEJ18nR`e zWAhITq@jdm3X9kE=ujBIOnr1%8lAOxD(YZ}*bhYJ1ek=Fu?Acdqj`-r6rP?59%v|J zOue%6Dt3G8-$))a3>cpq6@+#PD62o^ihP9BU)j z6Ne{Lv}WPf{FEk`Awe4)_!|sguU^Qx{Vi@ILx`5~?&?KHcar)$H=AQSaTAm`-{9jr zx`D;Ajn;Gq<=#yVo<(KA?(<!l4ay;8;p319>kJ!fYgU=(84d)TS z$3WDO-u7*GF8dG3W%Ya@SN%f6GcG(G zpL)D}<_t+W%M`Z;cFNH6oi!GALZG_ueg5X%{bHWgi1>jM@}!O@UEHdNe}`k{$q8Kt zPZ}&p+Dx;ztHvuP;$)*qZ@qQjYDw^5kB;*JV&+3}B%QIp?Ho~6Rn$RDArwCdBtPAE zq3YErN;6{_k0k`sBif(%-L6b?1K{>Bju+l;ziKsoJlTP3Q&*IfURU<#>*Tbq4zjU= zc;Sss?aH&=7z5F~n&znX(-c5Eb$l*(o#T6k2JtUH&z2>*?cK#Q_{^J{THe3>z?!Q_ zdG6!l``Y%EjDn-H%IYqQSo?0QYo$fD>h*?C1|Y-fU7qY04pUtMgteL}V+QvrL-HmU24UpB`@x+ALr1{qm%^@JgS4C`g;@v3Ca1x;X7bG$)oQIPfon z*?AG?6pn$&<-a%5L9VjjtC^*xPO*`d6*om&-rxZJXAg~{GNUrvSprM;ydh z-58}E#+E)&;tyNX&N+*oxL8F|bzwBol zDV`H!(YG^5Bd>!4`l5p~X^giIJcEi#6ipkIMzPP22aoHhBuU z?(iTvLxVCD_9|l{9c;MyuoVB{@#DCyS$4j^ta)0qZ`8b3)ZVqGFj4 z2sj%U2>P4n4FpGie;~#+dadT(`{65MWn>8f6fTf+W*}EJsrT^69uGk+jA?eOjK#EM z*tT=B5jeOqeUm9v{X4a&%{*)lgf^Gqat>S8NqnXlWFTZAs>&bW48tY(5zawG6rtZ# zIc;gf^&RcxWxQo0cZ=@d)p`66Cse|`^&a?wzrP4*kO1u_#J4h3@EnE*m+B+B%wYa3 zVIi>Lt)i;TKB=k%dq*0PqFu8#%Fs>+2H6?MvsuRonDuRC7w@|TQ!k{j{O6SHSegd} zkREh7W$W#d$R$IF)nag72N)?UTV(*-+Y{5?*3?JL0k7 zX|-N6Sdw>HLrrPtWamz)QSxsRI1sWOoACaXBt!sCKN}p2hY8iQm#ADKC0T!FvB_*i zWoVe{bJ3*#B=bKLsIkq11n#%RL9Lqc(J})@&OE;90-1E5o!*2xNq_tFvk!dXk3!<} zMDQ{cQ3ZBGo@M54OZ~bB(@y)N_|nCT^1Uak@M~JKVn_!^iR{SU9=(qEtfUKHASzQ` z;-N2s@<(*q@pz4B=vYU`ey066`Yg0W;kTrB9zg?c;2qKv#dfg#P@jW6*V8#~afnCQ zAS(|2$3pGhjBS1jrrY9zE>!a(qpsiH1R@g8JZCHZ55)nb0pf>7ErI|jY|M}5USU9T zI6>;$oG*slHS|{3N3WvoT7S|(B2EkqKv8RGKp;(o-wbneRUZ8H=0&BEVvgCEWNaZ4 zIf$ED!th&^m25x4?gIWW53a56FQP+y87OLZPbQdf0Br++zOm;`dXi1!yWt&;^_dUD zS^v^0{#=y+hy_^Rw=8s|uv@2nt-~S953glYDP32=WzA`od#rh~ucJ%ju#eR_CuOgy z)x&T1vkS_S3-scp9IH-G;= zw3-PID(trPy1e`jF2`=h0s#e)_sYfCiXh5rxu?TjR+f+D9pH@q4`e673j{$sgUIrX zHcny`NyvPs?;NoDK*UE@SAZBH<9P14rx_2#Xd!lXtr?sG1`#unmrC@$Jk309n&wol z$_x)uOUR74{mPjUH;!+qDq51GM?#cVL^mikU97=ph-Kq%ut#Y4wDJVmm2eRO6D zV-ocpY=}SMiA%ZUo?Mfz(!jM8@=j#s^9)RgUZ(#qkf53Y{|6+fkWMHh$iF5fRYOBe z)%){ur(vq_5c`}?;VHQM5dc?qw%0$|7-f!FVs+GBVRe@)g|8xj{sZS}?cnDn0SFaf z^Ur>Z?M=Yu=jYdHW$SJT_Nb{B*8n5~KJPF+pwHkn5IYNYVE1fa=B&Tfs5WUl*#Pod zp^0ofohH{fSVTHH^#mj|PHt1*tpv+@yg^O=KvtUN{4)^?iXS;&fub_YaXv3n7y|N} z6oq^-(Qagy%EG^3i)^<4BGYN8+qa^V9FxbVt4wa6)-$?dl&F^QYV!PjgiAJzXvXx! zG8e$HA5L=<-)A=Es6R$->TlwhxP0KrY5nZ=?d$-w?h0uBR61`m6~e9P4$9lqf~^oG zP~J#VQ{2|+8 z2Ad9)h7#9g{smtw7!@p_kn(0a%hU*sU`)%5l~spa)^$pUIXa-*x4jkkEXLO_A!P#n8qtZm<~{jR z(PRhPFO;Xno3QT=R(aUyuL0|!h<2py`F#nUu)bY$dU*Uvl)!KB;4j7yTxT}-0Zp`Y z0&7tuBzihU5uI?BHXeZC2A-pUjxj#)SWhk3`RaKy%&_)K^ zP@0}laLV2^5GeLI8N5Tl0O0>6OQS8un5)Q#Nj-A^YJP#t8>hguuteOhAhs~g@w|-kytVzJ z@{u_VML0rCJR^=|APEk4p96{FrzB!z;YKYrKNQsX%F#SgQg$g!Upndy?w-C zE2H%qV-AB+jkO&t-+3QyF;VaA;ct75WJYqyJ0jb=j78c;ecU;%Y z0Z~uH>hVgQ(SFWAi=aHZd5QrcU6%fqjwHhV11o~}i$#aT$cXo8p$p#&>xIVBs}&$z z0tDZXt@r!yT3ycbsnA{c1YLHtggy?PKMTHrLE7^^_$9rfw;a=8y~WdV_vRZ_$SvyR zT-l=}MZ9Yh7f;s-9~--&;sftgvisE`?T4mVA%WGVbR*Z1vo3yHDZG{0q3gH*t>`h+ z&=9_>Bz++?G(Mi@Q`(_vv^w0JFVr=5$5ky^CXoTYa13q-%6lVqVS_iCYHOd?)V0g1 zHdeHQb7Kupc~L!JgMD)iGrfVKP5E^7uPd}C{hIFe)yI?J)%CHQTwK>gR7%Rq#zxc2 zk?w2I>sS7o4p^^kcd>L9P9teV{C=TtuL6C~I8KhJJ6K{G z8=`;psL>(8yGH3rI3>P{nR%fx?dTH_FGLM0CwnWhB?wVm9| z554u^(6_`fF&l4lsh^r%5*ssTbTg*UW{fRA)oe&Ov5;BG-{l zdt=vC3v=!d@3=c5Hepqaf50$_4%C2<&!wzhtjPy!0h#a7f!f_nl^+dm_NN?j?Drk3 z=nB5xJgiwfvp=fJNVm$!n@hXZn@4I{m%<3|jFiOOc4yx*pmq-6!TSVgY`!l)J=|RA zKA#_=3!tc;q_ideI}cfIklm7CeEV{_qC@|h%u*3vC>crL5xSb<%13_K!mlQwUK|qC za=UT)+_sb+nI6p6#$Kh_TA470cswp&R7=WM`U}0LraYCW_wdJCK@V}zTisf9-s2@xXNP8A@ zxs*Gfo%p?9iqS~>*~&6qHm1VLHe;it$&C(IeeA@T>lt2Wl)j@8Q>1IS2;?lPZ}9Uz z90VC@@OdlA^7sAVCQ^kkPU>{b z=QiZ$1)!vOaLrVz#q#rqS|J$7Y?fS=$OVBQ%lJmhQoCeMx?gc-e%a^=<%nz?hp9>x zvE5UVq-r!oG8g}m1Lc^e3l*M>hi2|-9e*`J0e%ZVmLNR5|DO?yr8cW?$!=AsN?sm! zlMCX`s41DqE%&jwR?>J4e(iO{)36=5KLuEe_8xfn6Y$|Z1K0L>aB}fXqTJK&7ON&+ zDYPy3>CQoxLbcs3%gU>fWPHi@PWBAMWOaX_Ev#7!XFBXiDlAh1NU&D(e!Ff2fX&xR zJG#Md%Cb=4m-=tTyP3V*SQfIAG6!h***NCpD56(XgaI+WaY|O>-s$B9bC2Nr89MW& zI&WV4-K=x*zT{gd0m0~T;-IXzLpP^X^f=wpnhd4!nbO3_xT8hc?Y!=$lW`oDj(GTg zxi)|U%TV|?g0I@=|B&F5mK>}2zu0>Vpt$#TO|S_N2ofN8AQ0T$A-KD{1%i8UZ`>ug zLvXj?9^BpC-Q8jTIp=)e-q|}-H8oSaTT^wbsHT$cq?_a?@B7I690TfMl1kpcnFc>F z1TnK9C_XUq#o}v`#ya#oz6@Plo-}-w6*T6%?5Pnc}4E+L%XNT zqxD!0m>|cerzp`jjv-~-NI@SHpM z4q#GP#ScdwXmFq65%sQqU;! zlu`TTqrnlJkHf@gL_XGKjBsM2ROubcyKx=*TSov|*sELK`3WUU2l%sPC-yg>Ba70a zsS?_o*` zcJ*WIB&HYf%JQ)G_%@keJlW?Z%@5ND%G&tJI94YEfX`JQ-g(-}4-0Bx)G+z-HUI-WjL;qkhZo`0>D5m$v8wGQ{O+2VLvj@+3C!8B5WlIO@5Kq; zfZUm+slKTpJTJOicYJVUf_r^**V01cSP7d1m$`iUzkRxaTYr|!Q)(`CP- zPTa&1u{Vrq_G_p7Hi(`1iPHL~i;PZBBMxL_U1a2irw)Bu5;x!{SK z%xfxM(Cla+@ko|W3>c@iudOi4Kl18CK+B%Oey*LQZGh0a{k1;xj`OPt`T8xTIyaJE!fC77fE zGlREJ+uYfYBmei`&OnM!fJq|Iz~NbUKlG)SaIyT!7Z3|)mt~{opLDewt@cp!liyE@Q$%(~xl&@N7*y}uw zsg}E5A$ROTlQPeO=>6i2a~V_J(}sH$15+@7)+~0Yqtcoe5K6+yWK7K*ot#YZRv+Dz z77f`KR)v0RgCH!XZ8JZ~Ap`Wx=h+VcIfE~-w^lhQ$+Kvd7^P?KEqaA4dW(k4r-smS z@Htn`G=qt&Av8l~Yh*dwNhg|~8DO1tIfC7s@~cCjL3=YITCC|@D1xu{A+=HK4Ws87 z(pwnE@n#zzsgW8C$>&rNZqSjm@?$Q4ghTHMAoW^J?)y(|>^9W&k|YVkf+&?9-UDkZ zL3XVJbq_J$L{qZ)E7ohf+Q}s32heXU&oAvyXOvu|a_p|5@r-99urEj23kE>f2nCUY zaMypnv6_2m{A-5ssU5HX*ZI<3x(|mbPk2;868%3lBxp?-&@r|{bX>F%Q%7P%ti4#{ zvVn`GhEw8IGX+8&#Ua*mkymDOjpBcNA4L&5v;83I7&wX~u7umnn+!g6@qAG!G!Yp{ z_>SXniLr{^f7&plC7lPzF5pGa;Ltg3(%v$;ch;AMeaq1X0dEwkv*swuIIBQT0U0B3 zXSmtmvZtfBhva4* zV4xi*>7={etkeFghG&GhlMu1FYaR28g{*LvU(D^iM$YpT{b9nRv8nFv#s&|>oN0*; zGk7D;*%-R%}g(h_HLS{mXG1H`uSX_SamUAq8k|LG7#=Ya#z| zshc-0o+9P{mZP8mSU_ZL?M|FTPfyRc4oP5KAkc344@mSZh$vE$qm!s+r#>JSxZaKR z0As5qvdi~RYK|wAgiBa#aZngZRV^2Vf|+oxVYMQBhR^=AE7O zcSe4%ISM<=4V$6}?_CqMuNKQqZTlrGdL=kohRk4tZAqeDRD3)NE z^Ua+u^Czw)dv}nU=H);_{d+657&=A3qL~rb%$?d4B@uo=0pbe}t`y+|sYVy3Dk$af ziMuGdpi2zoE^wwi2F-m9W+qoM5rvA;eVNOJ)eFuH!m1aIXDmaE`O}kuD&pHmVDp56 zL4Yr_N{@6gyVUs^!caj6#djx1z#n8*G@G+Kr{0mrBvttV;?Egkj|+%05m|_0y!0-p z27PJSO}a#CF>DCZd2GVpdIff0{RCC!M;5?$V&5XhG&1^}J3enEW&(9mX|SyY4^`CS zH>TZn*1v@K0rz$)3~xaEeixJl#~eMSV9T8E389S5BYVQqlW6Y#F$ zS$6Ni}q7!bF|Ekze`vJ_P%`=Dq?3Cw|6T>$|$dE z_Xo}j!ctW+og}63Gm^qfPEJoqj4tKqJb%**&n!K!ruo+1qRM2&?=nGOk?F#|E)suv zc7EKkTeYdAl*UZ;e_+Q1x)YBQJRX|Q|0ACyT^l<&Q}J2ga3FKws^yd0=B)Mg{`CFC zv>Ra$+30F2BhS=;LSAKe1wTJ*W`!LaGp3W-X*d1UdIgnPJfv3*4w7p<$H$RsON#&K zs!ZnfT-uHREKLBS@1gkuVE$bs8CEoZSw}4lLV*@g(Fn}qC=?VLvkZRy0twQk0Y3xo zQAPaR1XjhSf5`=js@?C9-#YrF##Ku{Cis@x2mo@v* zSYKrK%U<@$!V|AY?SOLD@3n|=qL}f@#a|#-VPnferre^0Mi&d^qIY$@?FhCw4MvZq zv$N@4pw(CtVIn7Z*6HH6s^ZmX5=ZVHkPkH#1}GKc3|j1O?Z{CC-5Pl60p_Oijdmfr zI=#546K;&m{u^M_VeDt0w!p;Hd`sF5p6TLGH5OmU>N@dHV~Xl~3$$X^J8TymPSo)X z0DpqnN9w2m35>9#S-qU8RmfBAf($cq{lsdSJTB%eL`4kd%w0;1UwAZ(iJBpQ^c`o{ zW~OY2?K!)#q?{^#)Sdv1hy_}l5Oz;P2wI=4jwM_r z%0CqLEkBRKcR&Yrv&79w>N`LoYzn;-pp$MJ*Js%ylzCTKa;4{1eMO#TSp9pPH^b}oJ>S*pddN z5X>iPJ{9OnzOJ(0Z2f>8OZhiP&1px4?B(#pL|>GpX(u4;U7q5Xc~p zpE1{=o1o&_SMAcg?7Bqjyh=dGw`s*)7$aZjy!35(l|J~RIN)lupZVQye*Kf@Z_0;i z`eA_{MCDa-!gsz2P|jwf$wJfN)%tB+^pA8^1sb4Y9vlv}byY$CbW33vb15Q_SM|YR zA@(_&J@D%2;v< zj#((6pGy-&<{wVsQlQ3?`~KiIcred6HT^yk@dI#k0D2Ljj+nWvMm-gBD%I8oleC@Z z-c#uoWEZjv4O|d;?DWzUU^cqw+<*DBNUhP@aKq^_YqhLf{lrFxl>eRR(L$%`EG@T9 ziM$9a`NiP8dYG#N&j|D5sc+NL_X&7Nz}HPdp`6;_ycnj#TUwEN(Ut9Cmw>KN~TYwY= zO8%CpsA2Knl#%#g;46qvjvQ!BL1SAW!jP=e68{jmXLMMOfU7?yH|_12V=i*VXa^F) z!6JMkm8H`7{Lck76f!c90wg!@g%djFI|QghWS-w9CO!B5dSW|7vRHA^e=6VsU<#Jg z>v1rCi~C&{IzYAIBH@hEHxcclEO+li`l-Ue_I}%oseGt;jWsjS>_wxZ>6S3~CKrON zCc&@nVF$8PX)6j>2ruL1dn{LGGWT!yWsq)9&0IRRr~EC6yP(YObSnM@$=oDSC_E=b zIGE$Q$2ZIb(631!%uD2f$Fh+7iUKJ=hH<2SOZ7Wyta}-g1FQi|(YKC34P*Slj)LFM zpXl!6JXqw9&L+`i(ah1($S12f{AIOxq~q)0)5LTq6ye$f zRB{SM_!P|03cgVaL}~=3FNWP0pPAUd(fEEenRz)}ln)!t@(px$QYtay%E8!8XRUOXdBLtKnTi=4d_p;`7-(kYq1mLM1uhN+TJAXW-H7YJ6Gq zFM$KSKr!rVp{*TtbMsn&<1{V2tgMiHk=Ao(Brs@TVW4sC$dpQ#H*m#c>fYrkd+oEP z+ULr;-GKpnOeV*hEQu5b0*5$&Q7XQ?tO*<$iM*CmR4H3(I9*DITo-(MllUaC2Xwo2 zFP^rinXuA-6Ouew(nx#6VTd0{{m;N!Mt~6?;xh#%wDbNZ+R2UcIhNHdiAWW%kr~gy zf{5+4 zLwG~I+&Q+xD-<>Nf9NAB3WQ@yv{<6fcPU2k{|z-6R3(WFx@**jYwy(er6yCnm=XPB zUxRWE?zI|Emi9y8b8Fye3N~RV{F|LTrnYfsCDE_X5G>^luN^g9KC0wcZ~J@dhSZBi zDu7J%K*2G-B}A=>vX5D)i1!YJoH=S(8bK%W8X|noAZLB6cjZ_%ol2XJ;KFriiME#w zwkGgKr?dUE)D`r26}_5!ef+e@St^ImSh)45T*aZ|e7mU|7stY+>SV29%X`1RYyLWg z8UwyfQIqg+H_mWcu{5X-U14>c-yITvKG%3jfC1-dujh;^j9} z$>X+L9|ZzC2VhRdKt~5dPI=#DbsV}r9^GC)e8)a~-45-G%Q>0m)Il-_uMaFH>K>rn zE_>3&vjcnbxkGnV;MvN^A9tc##On?E%>KAi(@6S8*GfmgWS9UV z*|)teT(fbL2rFpXuR?@~tQgKSpFIsC8!^im70hTl`CGHri({HtrM~_j%4ZV%R>Xh# zMjq79XICpWo1uRY`phD-((`o!?wSF(R{*2Mq9^b6{>jw%sN$t<^{mwo2lk_Z3S(bj zu03Z(iteh;OEIr!ngIF6fHvr>Iu8rC>a7G=4FtOP&rO!13dqd1CX-ICaUGTgN6q1b zj#j`cfKQy)uBYbQet*2%>0A@_G~Ym*4OWtZN*P7|R>!?HBcbWCl4(5P_N}A&?es+w zV2NuS{Ipmb)y8_=5Un6Aaj5oE&U1s;x_4mldj6=`1bv5qH}?EG-*j3}9?=T1);7P~ zlZ68C^;M|d>WI7NqvX`wV6iN7fA%<5cqMUimrzWin!dC}Wmiy0K@cn<1~SBc z(ACOmZ7X=m1^4(Q2lip;b`yVTWO+me^46(}$vj@Bq}CJxM4iNBHZjLD?;`AwmZM_z z2{&6`5uMk`(ee{^T2^|IWGhyH9`L2MX@*kuI=8Wb`)Zz-&RrV#eiu8BkfiV|)0T=` zNFKxEA+Ec(e_|3%^upKIqBo4~c&3H?i2*cr@51piE49pRDH4goE|VS;<1w93ELXN1 zgKs;Vl@R&7Won^r`d!Fb-92@B&FOeGBl`T-G~H!e*(hCz%v`OPj5IAsy!^>!&}DpT z<*2q0x0Bx)uJSQNtfopxpLM}eo_RUt(|B6U_}S-RcKehG4<6-UgyS~hmerU`)6v*I zIW5u)x2#n2m>=P+X{f_zdQP6d$Em*ze&*pNQ8|LnB=d>uoIi}($~Jfdg7V__oH|jz z+R{1FZ697jWR5NH#-;H`L@i>rm*T8ELCdURWv=@f;Hnb0w}5JUP2RI0BsMI#NE?Dx zQ(qZyVU^sahNJ4}pJ6l^a>TmhAFF4SpD$?g+fUz_=D<`vR?hVJgn8FX=})c_esZcZZA4l4alu-chX;ha?)&fk zrWv^XzHQ1f`&O9Gh@cTq+>t&N=vQXPBxaYl=2UHA znAyrwDfz^>LgGwH+Bl5BW-yUc0Ua45GcbpkGEk9#W7 z{s89oQ-kf(kTi(h<2)kOh&1dfoqZwDXzng2Y#Ik?@7Jl2xT(MXHx(%YRszf8_)h#` zELJ^(Q(Np$?!2z2?ZBuN!(s2i7rj->bo@^#$x06Q-Qco-k2u)WNn8Ux3sR)n+3DP` zccIVdj1SlGYtuP-+kj#S+`ly5R7Xuom9X55dOo;5cwk*oS5xtep5yyX{1H0*M1PHg zvqAL6uA}AAW--rD8H+ALCq3|0GM;&Yr*jda4^q1`-|pZGRMo|8XfCe~_dn>f4jpCg zoYtGeF_8h!6w|a_{ZM<*hIZV)TF~9)3R$gio#pwR7`mGMEnd z92X%*F#PrRSFkC;rxAL$$mqkhCM)e?J|e{g$2iF5n)Vq3FF5EOP`fjvJ;oH*aTQ8F zfLCmpcY6mF@eJ&mgO!HiVJ;N*o4`N2208~Y>X^iG+5*G{<)=`oagXZJ)@FB0(c)OHqq9F_D=69f0$vw z+cRT4nsPF%!gXg%@9>~=Ir}!RN!_C%o%X4cOGyJ_1Dc!?>`yS6lU)J^d9d<|K!aKL;rrWlCcFKvWD3Z9o~u<^pY8gN@lsW(`xm!Pa#rLx)W)28o+ zhhLFJ+%(vblU=)W$; z(*1SCVC9Vwc7S`V(&nN!o|>G{=v)Hg-(%C(R^(h>f(Z7gR`3?=DI3grtmjHD4J1}jl8{#+H zWSrp@A{n?%J*m72m3KMNq4vWT!jdd860}^dEePcIb{n{Ixqqp&}pd8YU^2@zmimn~I ztmNI<9~!ixD5K^SX|y$&4bX>0#-K#r9}aeFqkalZj}P?Nm38bZ_(3qa_?jn-jzN0iGeIFp+Jz! z^|veQ7E=ED*l?~QxNVXYZ{QoR5D^`6m9_6FojETRThvA2y*CLc!`ZR;4AJ*Lo||T& z6iK=q&SoId#p4`8fY>!`JDVuY^+s6^M9>Or&~--1;X_D1{2K4zx8P$&x%U~%Wrc$H zl6ueatj@(mz=HIAAlq$7$*XoezV_bn>85Zf9~`25xkK9A3H+BK5O-{%Z7g}%J~A6p z4*CY7^7e4G zI&)>=+V&QdASv8q)RZ5!cBiWY7!(P|3tv{d=Wo>AKZ368tzRSD9wSwbBP69@yoQ@z zaPO^gTnIGx$h+f z^%mVvm9#RoXu_WL8;?1$QlLb}*45CkrP3x@in6v6t=h*!|FUCTH11vLp}&tPgknkH zh!VH3pi)p&qT5zif72^&VY&bG1=+9pC*LB&W*ApvoRBC%boJT3=12VekmumilG3-p zaV9Z@^q!E|b*H6;1_oi^ys1c{#?whnUBG?36Nc|Y-U)X}U_{^3Zu4*YMy(ss_X9>IpqAan* z`M_o7V09NEEq+Lly=iwj&ZyQqGH|oVM{z&@(_gwW1}dLWsWv_`!zT&%O(xyi?~JaH zZC3q=!YdF!dgUQiv<;rlPSj2iE_4CLC>z9KF11JK@_N4uBDmEd3cvOz5uB6lp~o2w z0r4TP1yW7jGVPC_Eai&kB>JFts=bOSm;)Rs`};1mdNKd$-fp*@v49WjF{oJ%n@Lg2 z9NKyJ%sE2RLgtnxR{V(9KpBmsfU5Tsr|zXX$Y}gRZwTDW5Q-$WRdRC?KQLC5tq*cu z4Rxq3;*k`y8(nv{saOki@-H6=H9&Cx68$_%?syKtP~I6!H*7i89i_{V%Kbf|5mUCh zYCQqzo2231k;e!7J)Go22`0q*H(pahcNWxk)Io64pS#ecF7#)^jaBf*_oMdna551o z%8d?Xg2kb9r3~;$P+z|&sR-y$y!oWDUK7sPMs~u=6aOzQz!n1jOcQrG?5k-hB4jZA za0<6`XqGx~muquHXuHcvse;8?fO(Mj^B(gt1Y2pw2CAZ-^Kuvsd7B4ox zG^8=@6<~JdiW;a9j*~0mrxc^NF59Wd%9bR5>HdxjI%{T(Z*$pwS6Tl{MLhxr^kB$+ zvp-EiK_ZC)xP`(>o_xuk(0En*_6#$gk7nAxFZjK{c&cH)Fz;V=|8Bbd=2vHbKsR{) zp|U_wGth+!%zl1ICKB)fulibV`J8$y@_2N2&J1$4cbIS08@V4O&;^4By3}4bNlt;i z3_b}t#g2DF#>+_4WVZJTq>GTkFhZIbg=*pT`gt1xO087zR)Dvn{8~>)0LZyg>A5lMyaseR1Xy(RFus1WEw3s;%sla zct)>fw;lR-{WP5`?Dr}rC|Fw(#>n_9<*b#Oh`V<+l8P=LAsnqxOWm}+chJ<}V=n{iQe>T1wc4VrM%~xu1o` zNKusxY31ASE|rNI4K_~|-gtBqm!7bL@Xzwf_#Aa(h#2-!UXIIgPNbilro~A zK&WoE`}cbZhXV)89>UDUbmr!y#D;&2k-n02d~{?UKRsTiW+=k)nedZ;hH<0EXA)@F zTfd@1_bNvYqsL{J5Q4l)2y>Dxa~NA;5){L3bh4fKU3R2TnT{=>y4{mf^{Yp9t1ZYc zKL=xtr$Ks|A@!Jffdn>!!vtbgLpFW|ZNQ-=h*#H6U1LdTn;h zLHcku=s?+q0O4iL-r$J)&5*iaHcSYrdEtmR8Cuy!P7nwCu)Wme!h-xAi0+~v!phx= zOb0z+a*3^aChl$Wr9%`P+B;PuG2z&68Es-_lzVr$R+Hg!+5?(xFRAg7Ag|5L`Zs1b zxWlDmIoVR5dOI(_bhHc1&ah1fmSV;-y}Vz_s+G}etl8=OPy;3#AB~e75hfD^B3`LJ zrye(#Q7mHM8DcV~Q$xcabX-!4jNNF=@Y1 z*ZO&U}_zzKKPAu27Q34!@A8!uFejJ#QrE2Ni7ZA|>&RPC2Es0o@ zSqfb4J{TmY1OfV50yEzoXUtxkGw`iI zlP*Ll69dr_4Og_Fx8Xt}DztilsO#fHz)a%=pIvM4yjaig`2MT#e1khX!fa%c-Mx?)3C_)0!rbG=Pnkba~5G&UdrJE@mb{Y$CG3>~-+IvZ_SR^zq9 z-oKT@#E-^V`S#$jHPs2GDMF_n3LnMSDR-SO^xa&Di-A}hX(@2f#a987@gtkZx6XDC zyUZK&sy;4WS@GUpG4`>Gu!Qtm>oVF z8eu+Fzh=bjLONMJnCyWf>sX#(KpYLipwBUr0HOxsn+y}m!hNwi{$vd!TC{?Vewn%p zJ?r@1>4X~^r2AR{qyb*vp4e{pK!UIs`YvCx)w<_FpIHe?1w>w}Ezh?P^=|Qd^9;AT z$qbu!vZjlg1=3SgOLSz=K7RWhDcNkwOg`sJ57kjP#(1Q{!Oj(7!wqxBjQrz-g2tC8BaR05v-s#N~BiD1=bR|RE}>5cE@SN9Kb z1>Op_<8&`(Y0W+8Q_48WnsVmc3H?-~q@XceF*78zwvWJC+EI)tFe9>LCsN3GPDoZI z@lsaBNy3I@dbC~Eyfg}U6AS`H7nkMdWm@k|kR4`mtRCrC-_V_Rr5}ay9Cft+hz`A3 z{;5CV0LQ?#3~MRof>Yp4R9%TB4yF7$tARse)?{b%rB7X3Gz1TtG4G;E*uetZ%#twd zd=1F^3>lFBI&=uopq{02N?JGLMav->ysx8En*6dp0@*<@i}k6Ly&YeGbmPfS9 zeuc-CPPqdR<+lJAbhLP#w&y$a!DmunBhpEn7!qYBw2@z5cX#K;NxGl;$f?jWF^?%W zyGNztV8<|8{Me1dK}!8($yx0%SMi*9Fq||G4dhw7?BsaE8zH_r_xt&64V`*&+!Ax% zv&4!O@0xP3wDlQqL~J@&CJqcx;4<#prK5uQ2vPdC$}hUVhW>jM6#@qt=yzPaf=CbO zXCh<}tPeB&))d0ax?;08kxcjNn!7bw+`88{O6=g7lAh1N<|}6?=X9^tA7>J?fJ@>Ic`irh^7* zUI(`N)XGOS&!0=u9M z7m6GAMN9+-9x;&R#})uIqYT$x!(32Ti$g)|O_v!_uErRj)^#NMu#eDjkY2d%Wk}7d zYu#@4WDvf)u%5V(9j{N}yWaDnntKCVQmTBpYlZHvxwWR@OJTGclk4=MBOkLuG;s`! zIPS0@FcyR-L?j*VJiT7d?f4`c=rdD32&5GG6L=aJp{}8xT*Pw-RFemHo{;X1B0?k{ zHHYPEkK@BH&1QChhL)9qQLcp;nGskjLh|VD&z&>WX(pytU-T=C_2kvZTF9>>$#M-m zYYyprJ}+^ykEAo+HI?tkYE}@HuT4ARn8StaMwt)v4b)Xt0{l4xW+b6_VXnG{kq#gc z@xAE&8|*SG+U-mXmyA!!++F*boS$QC9SJKCukLdBZDBc$nSKZ!f!y`(cZo6Gz?@o~ z(C$u3qm3h31+zZ-6l+ivl;JjB-?V1T^>*FS)x!$UoIA0cYh(NiK@Jle#_ok7V8- zH7u4Wx6U6Wa=v~JV8GKVudNA8WzIMInfV6|pK)bH_HAjnuo#(nvysHWO*5k|OWqVB?Q zA`{1Z7`%2!F%;h)mMUx>5!%L1)R>_rc~ov#E1*rRKkk&W%S2swRh41h^Ahp=%jmeM zzbN6$XbdrbixwZz(RZyyR>z&Zfo*%5p>Ud^us>m`e7dsD#*fh%wKVblN%yb!-?w+$ zp#4RjM|)mCpJ@q}TS7w*3}OOHswcnhoZe@=#u^#G}iHmgYDXK+Fhm@m5w%S zc512ry|0?6WP87a+7<2NF-&#$py)B@!X?n1xIGsraA*PCBvX(w5%w==dk91l1^Nk8 z0A34pDmJvF8!im^(B7G(`a0XL;=)_r@Mqoa0QLYz4r;8fMlonID!um4c|Q?^b5vBS z11=Vl;of8T`1*e(M=BVxM0*Oc6=<(TGxM^nD`yvIj+oeums~`YZT{*t*KK)ho@T+w_ z=Rn?7TV$Z4-2%rP_@NTnyoPCnWpyIZy5k2tv|XY>s$8uZ=5Zyb-KfVFe)1=&Q$kov zNs3TD=;UuYGP0o`yWPs72`=k2kI1Fy^%N zZXk&^I(^???sPcZ#s2^c9Y8*-bqVhro1$9n(V3cla_k1onsYrqT6)s&p72By4&aVR zVYWWJ!Ivc?t_$)%NS>`^V*B)|F@U4vYS6y z(7d+b<-Mri(puJ*W=z(p8fzB19I8yrE3VRzQ%jp*557)sZ}~6I#OGyzztn`LO#bH4 zo~2GJXf+M%h~~&94L^=aQ@#3+i`V%sfrfVEyv^ufe$sW%CS8wGAsP;!vTi~U&2T(l z7vTJ;xuw4o^Ro9j4mnR+Er)jCLxR#W5;noZQ_GV^S6JSgTO1tosvZq++Y8(msYgpV zv(k-ClA>W~>^kvL>G)^`t#*A>E+5iL^gd-I+7pttTSQ^F-|Qi?cCQ;?ai zOwxGcr^Ovo$%>+IIaV7=C{j9UN`9Ir9#-?+Q1#A~wY2Xf236n0X0)(Qw@fiKup$tn z-%etzANj&H$K)Bx==IHg$MZr)$*hLz^MQUJV?0#h}U%qd>zup!K*a3kgwrwbLgr7`{ z#S>K2nvG5U1r4^>w6fFc67H9%_lNl^J`c}3X zIqy8LR(unjTL*~8{%5Gf5Lc^8g-P34i={S$lf7k4jJUW#pdG&3J~O;#n_JXSQ%_7~ zcaxkcfF4i&hjW= zx*2e5W@tT1O8s&RvpxCt(8 zC@*fLwURkbJsy30JDa>Pp6*opp-hO`lOCD?dFmuT{2Gd@itX{`p(bw61&SYFD)q|1U+=6%-KE12 zF^6zl?IuO562QIm)Bsd#*|Ztjknkh`<|>MBw7~4N1)aSl@<{GRyqqN1A$4qqBV1zu zf8_B{SP|2Lj+TOrbs~d(VYeghM@QB#RT}u407B#q-IosA@4ucMH=A+1*WHk`fb27^ zm+?gDjFCG)QxG1fipjRCi1`NMch}rc0hwZbuyoo#J6=T*sHw1<9P}kaE>BnFo42qv zI1TFOI-*BLA^ma$ML~SEtLWXIYP&QjKk+cKtr-a)*mXZ%A00_AbH_5l=;mMq_!o`h zPNf#XhY-VD12JJ*yt^~3Fp;WA646k1_G>+^HWBe1y6th-^W9Oezf$gcp+1}pb<8Oc%%cSXoXDl6P$6E*qTQpH$RPK% zPMM1h&|1p=fWlosOxtS7(Cl(>#z`lq<~!TBCuGrqZ-Ckf7?@gN$Os8Klnq6Dy*xD; z1#|n~??mtExF1XM6;(&UY37dsFXVWhPqg1C|7v?}5-(J7okh-|`H1KUDG3EqKwY18 zx!Oe2zvPe~zBCu3NyrY`t%;RMSQuOKahPTT4dM4Sa-10p6efA7QGFvQ1sz}JryG*X z7B@7LK6K!R#`Y>+$_)pCS*}te-~O^J@xMgsQ}RK~1%c_g0bfIO9J&V{5O$cnh08}gtWI+j` zHW80B^Pd*s`QdWC+x8ofvn48N<*aH*bJQTR97I@C+i{3($X_WWz^cI>gcw9hsEgb< z74X?Bkcl^+*W8#iXD3tlxv*Yp8>X21Bftf%^2FU=$yNf|7(b`_O1xBWA?H+q)FxT0 zj65krmou9UGMCD_Z+()HeX&amO+tef&>*$f^J@53g4P$k1DM|Tw*y18a}Uqr!fSc; zIqtW!@QXE-p%K#1UcuQO`lu6*C(oMmgxkM>+p&p#ktb9Z(yPo$=KDL-gff3hg~+s) zn%%UBk%)<)0)zhrIuU8wUJPgl%hAuij@FP~~OUtrXZ8eq(GWo43w~jpsvgHW$6-IZ;AtceIb&LPpaJm4s#dRX^1IzNERx8owNQF!I1-?|V~T zot=JTBuP-?4w-jQk4+8){S;DhY5#O|ca56pg=KrnGNGoc#_0EKfKYW_0t*-_J?jNL zcw_L6N3>mqLMYT2!mcBUYk-c?Ym-mPJ5)){L#x^Ny(KvcAPv)COUIs1n>0+86_l6~ zs-6kh`HTPfeeszEz_F`eVGv(Yw68eWpsU!pU!+LPEsp|ftG9eKv{ zQ9(nJtvJH5Omv+^@=G^?ku$xP#Y*7IaOKx>6$&8v5YBjA*`Tq$ z$ZdRm)m0>}iQ5YS8m0Pn4`c=)hf;}vn1%zpu9>7j%IAYfwMMIdUMr@lc! zo6U9P;>@Uvb@gZjKCn4ORTBW(J+%$@;`%mUD(sq9=VArmyh7w2_|o^9yJ-3-4zSd6t~I*yy(kg{YIc9nNkL?TqObr&FQd{+N^k5TR4N zvKBY{FRnXAQd&j9QT&cFnS|3Vp^{(4a*7%{Gf4Zl!bB5l5fM5$IMt39s`-cs5fQIs zj&CsBfYkPK8*T}ITwU#XX}wA}d5w%Y0~ zP31vG*M^qEemNFUpiMWMedxXI9Hi>ej0H~rbNd}Z)Y!`W4o2o2_}k;QthM@s%7!f4 zSY;r{OxaJVDqN}OcFi_qe8n7%j(oIv-y<(A{AVIv3_vd4hsGls;t`_|HQIsXj@#7` zk@>{FM9BwTNXTnp$ZL564bMz`;|k$SlMq}kBY;m0(0Xnw`9VdwqCkJusg2!P7+di9 zcz_K;Ah99-K}jwM0=_M91)j_#w`GW#(9qF&ZG0_6fKV#eDdp}J!uM>D*-jt;0q4hT z#J7?#=xqf4hFl0d8p^KkqQKRxLx?yyOI{D+dERq!TU zH-jn+vOT)Q6qknnl+kJVHz6~dF?oRkviX9h$~T;z-GH8KA6vNT?3^yjuC}-EkoQn= z?PJ@s3J5f3D5UCU+|{yfPKh5HZ2KGb3}B%_rqDT6HESm7+jTZtq?Lz^1S}{$&Njs& zjKbS{&dBI!nl3v))U?AobY`;vhh;RM$;?)}IE8xUyZsO%gh2*s(P+P@%vMbRbH|Xb zcOfI(cZnyw-bsV?;69H)w0rj^ia09KLu-roUs{0Z2L0o5M)hs#yS=%o@KxNpxMW$p zS*Ol}eQ5vOwofg#moi;c+UqHA2)Qfxkc+>=DJWH9i85$L<^f$UDgDc`6J(+Jx^696PTG>HvmkV@|F>@OBQy982?a_(Y^`Xi z;T>*Z!GK{LP()whYN#WAl)}a~)@`A#h>H>IQkl63W1jxRo0dib>occO1bb#GGyVJj zTb^-)hOi>JzkkYvoi@*KV278&jqRA38Vs}CI%F+iY@4!@6uG_2`4-d8HG${TO^#>iGYzLGeTF2It zP0vfS;4kQ)r#E;Wmy_z#=Rku498|BDT718k$?`}80L5|b_hFdVx)asBgIN`T+2K8Y z-QBwXotDD$k@NSaCE_atpNtg>sBg>OQ;z~P#!M7N9@wVoCfdz|4kynr>Y`&~xoZRP z-k`HqkVKfj45ke+7zO`H^iumj&#_gf4z(P(_ zTyIZfzk!8MCp#OnYyG(DS>lsX03TW;{@9DOhoQOquu7k_5j+^!{hsR)9nxV&7WiA1`!ai z(CBn?c13z}5OB6!0H)elH08bJCsqsIJXbqFb{H)SPUkRP^HJ~Q)nOzc;J9qk9pMg^ z@kAtlEYIUromZRG5--5VXTZcVfa2?_4*A$V|i zcXxsY2=49@++BjZySpT~ySux)&p+9FzxTa$Yi7vAB+$aMR!UK-+F+P1nd5!_zz)VKLoqO#k6IT#tGM!}y!<6l*T zo;!G;!W7p({w!!mQX^g+U$Bf3^Y$~w5T`WZ63*Sv)JAL>?cV1K{%7$iUR1{5;Q|J{ zoPThmNW~Q+#1Eg81W>Q@Bu2+SleFHH@}L};WWQpM03*m%%{*NO$nb#e&N-9nSH>|l z6)dCSTW7q7_259>v6cArt!{U=s4q668nStdQ~0&EIJjAA)bNNz2FHHu;a#E@NHQYpRyY9e@^*s-Zcnr$F$J1 z#l$HTxD@K@nw>50+e><8_{B{REu|Xl}%%|PuxME`upC(%}3a?eJp^pIbGK7eJaB8cv&<|%+fyB)yI1s&D%G| zD}yMc1e`tU)pl9Ajpu!4fNW<&?h|<}qr~9Ug_E!HB{v4U?iq)2JjtqOEdr?P;{>m^jmhgm zLs!jcJ)UvX--i(`?7=;)!Dh6+!5$lH!4CQU{34Neq`vaX(+>wnQdpSFH{ii|+_Z=D zA@t13#N&-@9~Bhx3Pi7E5WEul?Zl>w3L1|33b7Tq7hWziJs+=6+;-NQ3XvZQ5xNWx z7SK{aAl|9E$meR9sR0eqL6Kn3{reAZ$&`};IVFp!@!M5RG+elx9r$nNQtDk?Y5qv$ z7=b#W?`Mn6j|oYK3$cs}eoxzL(_p*4T9$DpSJ(0qI7O8+4~nTfA5Q$nek|bJ0G@HG zv=t|TR~>ur3vWPEkz*SG6D4!OgIYkN^nqL+u|M8mFE`o!;ess+K7Xm6Wf%}{wnc*S z){Tp@E3MTb1LI)WCa$eSL*O;h+D;%7Hqp6ao>3~}%>KZprmPj(tgt=m^6}0Es#>3( z$Z$MqbAx6i@Pu>*>CrT?R2Dr*^$J)}FoRUjN4rUt?RxDcUz$y-4v0rDKZ(iGppW$p zQCpc1E9I*Fz{bWBrLVg9PvDSK^(C!{7<>#R5ebW4+?wUfE`3F%>Jv?IHR_~>pf%?g zW66P=<$Pk@sMOMK)0QWd8`_VVw;Z@Z39pYA7e@q0QSf-Zh3$rWyb+C)0d)=)GtV{e zW$vC=o3uNLkn@LDnSn}c)6nwS;piatei5aT2lqdOQl{?zCsE41=pv%{%_j>kwT6fM zY3-wg!Q#>Tb*Bbg0gs1#CqNc8?VDk%ljGA^v8OpBL}6F6s{&&vb-6mV@w%>NckRXb0xie((g^*Px`7_yUhy z_25-+ahod3=HjU&t)Gpci0hoTqG$F|Wr`+L=S3fr{rHZEOJ@b-;8d?Rb2E`*LWanh z;(YCiYZiBVnPmE#O;KhDB8%zt<|_$Nw5mcvgVBW=kQFr17cwO)FD+dAN(fYD{z%_H z@|{=L23-un9OaxL`=%}XDXWzf$H~<1W#;g71}Mk zSaH$nh8c~&adR|x)`{-^o3(S(n>w^(%_G#;A*nd*Zvdqpb7EERJb(zG!2;8yrt3?1 zNZ&UCv8Juh&!?K{X%>^Da7Ox;)0LXV*RP35$=WzpEJz@-U>H{%7UPwfW1ci=Q42)q zX{K^LLko6x)#p`jYe0km*jKxiROZt zI#0f3`3TSt%#`L-=eMcLswR!m$G;X2_Cwc~Qc3Z=I+>ueD*M7N=RS$y2aMFE zuog)<%JNrU)Hr$hzW|^HwXV)~Gi&ScS0;tgv>AbbOBjWyXvI6( z#yGqzvJmd)fsM^?`^LdU06(`*uP52zPz&V+1GM+l@>>ddlE$3f`vC{Q(fivD29?NW zPsURoivCN|#SQy3OSz8%kCt+$w_9!t48-&(2|-V3WQNY4zGX}83NpCOrQ%yLLIXz? zKuAP&<=YGTx@~m>AbVtqAQ0Hs2>e=CG_FSUM-?juM{rnT69N$lolaj0c&_dop1n&YfMxe#pA|1==Ugx6TYXR0|(vucC&lol!MLfedQ zYW}$oBXIB&^E1_iHB0gzI=W?y$$?ytRisuRK$~^LR{=yQ=CW_Up=AM@OHw@yV3th$ z-n^MW{&@JA)sGclcm%tH8k>UCoe!r$$UJ!f!8b!y@>CgR--ulDaCl@7JoCIOieoAP z88ZnSL>AXs7>RbCUrM5>rgNUe#l$mLuR8;l!`e~oB!7tHM zU@&XsA0{)P+IsF~vKmCmf_K(JtM9hTHt8R$(npl7@M5{#R%L?;ij7q!V>akU0jmEQ z3$`bK(TFU3L!B`G^EUeyuchl!iR8Q14g90U2@;vmub`13}ls$j{lD+Mk^F5siyzB(Dul zi4lH_8N-TQcbQpE1=r^A|A@Ed)Ik9Tv>9LSj>=u6l}!JVMUvLPJ#P4vG?V;n0ii-6 z0(6s|_1df4I~X@SS?asY+Q(1Tjpc9t2P4eT*#L;dym ze?Es51FFZ@Bd9)^PD(Dle^)lnB_C4(*#%RREQkBVm6e2_T;2@EbB9p7JQXz3Ky@CR z4&Gwgg6nL{wbWoL`z3y-wIo=~jr&?c(}&sc^n=s-R_d9FJD=xpI$qmlZ}@P_Qi1a8 zKbpz*jNGM4QM2PAPEMhnoi~F@IovdER_Xc1%RCkb^8%xpO5>XWW^#yL9ZG#zheK48 zD{NP{ZCQ6E^@i>}d1T`Wrwq$D_a^V*-^-)pF}}iOstsZ%@$RvhD1Nh{v1npZKVD1! zIkoRPtd0HS#Nc|{rRl8qMArCSAbLi@6RN*tOn8+IR@yESOV$TK)ne2Iqn2Y}{ElW6 z4j>!ZTUV79Lv0R4f{Y>zaF;fXKj zvg?_=m~nTp7U)LVq^#}xuU=spseDk6;~hqMjQ@>Ch_tP$2_awV&s( zgLwlz@1Z3GR-H`$p#q|)3Eqv)l_IOlr+D6mZxD};O%Qf=qs7~K;1PVnWq$*zdM+b7 zsUV(_-#?3L1Y@C;PY3tvj5YREeFOTaz>s}|i(=RZ4@V&pIBR2&D)))4z;YHK{j=5A zu{pK4>_vX+$OmVc@v*Hzd4Vb`8Kn+?dcTqq5}Qzs5GRZSnL|ItPsO!x22eTxXfeqz zP;o;R6sMjgiK<|wo9MRNp0xo5R88jQ#IkQo*eN{H0zhlv_zuzN??J1QDkD1oM@tzi zal9>+JDfn>Qlek39itERiV34@A*!@X4dY!2rAO$IMiD)bw&!;3ge!4#$ zP9Ii$J&HYl*7~+(vFt96@D@b&4{R4;cy9c8(Al|ZB#C~GX6<|*`g;(0V(rYddUk8b z^9ruSEzX_cd<&gSRt!Ae?c>EHCW_dT;~;(|q;c@A6R;&#-UHg{qbbn{! z%+{mo^ksHd>EyB34tPocnM-ZMaJuyfJ&Tuq)@RfDh-PneNNc$bPwMg91waA-C|6&a zez{_lj?0q<0g5Q21{OV=C)?KHsZ1iqp=ZlhE3hL*HP5*^Z2(eBwQYz$+?lN3>yRX@ zt8)|H!=#LyCeX^1&$>6923mgYQwUOJbUj!DFvtVGj7z*dV_yRqLYVjPSLf<~u+Q?vqk_eHm^do_=`yuPruaLg=Mj<1Zq z_gr%4zQsI!=caXw=PI4jY?m+Xcvk}iJNmCm4E7E7Q-+7h0Jf@OK@!(DcH>8>%+^*W zYA5yT@TMys)!XG%+SDhL#gn6RJe`I5#qyk#!`$r;Q{*jd_x4HaQj!sz- z{<5MkaG)7WwyguRe4dTk+%5FjP<+e=ljRj?l@sN8{Td6-uvq}zBLF^3rY_cb1m!*u zepYm`>Gbx(SgsM*7YnkvWeyhzpo>?YCymfs@f{(|OKoJ1M>7EI*Po8dp?Qv>3RmEN z@%xL|p~9`^-1^cny=b3q2A31>rTrEgs`T37YOyy3s^A}-tn)z->l&4hy+a@P(x;MD zJpB<`*xv4^Tz@Qc26Cz}5X;yU3|~z_jeg^GFdeSO_FX}B6CO@fNds59Y#(r5MZH|A z=Ir~F$2~p8A%vy>8AG+avqMW;rNuMIq98Mn&?#H;afa6dgOZQvUSouRAw~>>0XmAn zyP2y^u2w-h6|UGAS;#w@^gf|BhU`r$~0qg<{|fi_N@kpLCM02yc6M1<`&c~pIt zd_57keW#HY=GVKXh$$Yh};~9Lbn#H9tdn(_C3HKq!#9&rWLUqFD9`8=YV`zZ&y}= z0$kez9Ni1t7w3C7pX*7$J916ODS1|NU&VlAMw+y_>CwaC+d89HX6FWu5oi6bmO?Sab$EVD@udUj?ZluFC@w-U1_;Jl(nT|@l>@2?MCHjbn~7+j2NJ;$uWfVPmD zuvDY_rNUwToB@&L$DoxOGrW_?Zk`@wSQb@P^E6j@7}IkL4|BHcd8fPA zE&9CTnQLOVgU3}Duy#t0*D4gCbogvY*g3EuV&6}k(T=W1W|%oZ|3^893o(@IWMrr# zOS-%~hBBw7$Ua%QIHWQ;KWA4|CLv=oS&+(ECfz$rBLo|p65;_fUA#4Yl2NxrtKltt z*{E2`ferEXUF0+lSs8XVQ~pDNm||n3GH&eN?TfG?IL$v?0EyvZl#Zg(P_yClVm|(e zx~$T7d59oh`R)5Dm?>C=gKy?A+APf&t*^~?@|GSwAdeSX(Gel_QTob#62BkGWq!<` zT4AR;&=yob>#M52hV2LktsLNZe=u2@ivMWH+r1x7Rixi9OKQrwqK&blL`ET?Q#oAO zXa6joSc)iyip`BHDooU9a_NRy4L4tTu_|^*jZ)94IAo!4_IPh&71rGj&7ljto_YEno=^%lJ8mf5>9Rk?@shA8Q=7Yc0xe zIstGwMA^)l$*Hd;F?;39l{~-vjz2#9a+sKjKMH1CbiBfIh~(s9kAkD-pQGhNRuix+ zz`QaP>Eo3_8T*9+WWmh!2;$;)>AZc^73CB}ng=-*Myq_)B_f+CjcA_-J!|(z0kaNC zjAg@@l5uD)?916oN)c&|>7nP|^NMYl>RWoQs$^EZzcLk3+%Li{PTJ*}s*2b_5~S@Y zUk;9iJ`Cl^sySPO6b#yn$`r#aIQid~t5D1!wZ7L&@^s);ir#Om74mKMNt zkdOsIVJN2|TzXCb-l)^?Hf*Q5QS!D!fG|=CPH8HcoT;~B?kXwaWvrky^o1c9$Wy}( zXRuC;CHNH`gQ-CwU)Idr9&(wiIh4&-VHJX%3`B>*IK1J2cv3Tv9n;}p9^8d zDno%mo-J1QB%r>&0Z03~UJTO*bvnjUs|u;XDfg(I-Ay|CUmZW6o0o&6pX{Db*I9Jc z+*(W|07+n79ph|UEq2^lt&@`l4{;k7 z7Qo9?a=9}?Dk80>QAlgfFH4=p-1Szcqy(7z_@kmGoN!w?3}7GD9X%O-U8R2SSd#KE z!F#;2VPkj3-ukuKP6cB*zxAxW@hAig6_;m&Au7F@T6$gAr`^Nvc%@EPLYMhqLb!f* zR4NCdOFv2NPjaG3Qr`<7go$3jgyz;W!>R7$@uZ$nv(bT3tg!aI(8Kr zMoDZ<@TgWvpZ7Ahda|)}Jxi-mOo(E{nM)D}&wp*)*6sBI>O5kq-P+vMwwD>lr1aO4 zCrA+U>D?}nE{w z?dI9%n>18)U77>nph!$=JbNC=MqbinHwfSUxvGSoI(~S#5}&r<;75;3>bzhJK?=90 z>q`}WynBsQY1zWA2*SGV=hh9D{e$*T3vhe^AB`M2TGpIb)W35y21$sVGaF|q`g~zQirxx{Cn@|Ui#a1T0vrs0I--+XG>;F{To;I4QGKyZ+SaXrG#;ai9=nV<>Sg` zuDVDae~4jI(!*c|mWrJzb!Eg0+uj}d3cB7)|8oksBdUs}8sntU9i`Ut#coXvw(rnp zH0)pW99=otWXV1Yh_R7u3s2U{Dom)KJ}e2pRWEmaHx+tg(Jn6V` zkRI&^?!xTY#_gTN#AkhxXX{hRAwRQ6egB}`bX6Om_}-ASEeUacOz;(fA(o`cH3@Pq>1!LS>}CtqomUv5@q4 zaB^4fE1ET(TpI=uD?DD9=0l}`R%<-)k zG-8UHW6nz(%S=y^GC{u$hVlJoRCja0^y@$%8uR(yQVUTP5AT~SK2D^`jCZ8OTB+Pq zqU+W1CnykYN8aR6PvX%Q_tWV*l?E(KPQiChoU@_?3Rv!7seEO;jL;qbbtJ~f(FK&$ zCJ7qsLAEcO0Gf4E;5!+DjV*e5@O7kLTm{wRN4E*}?Mb=3k=1ZvJ^m;ybigGCdI2D> zdnvw3bd84B-l!W^7+qC1@6%@zzAW;XrP5o!(p->-tA@CcR#xrib0evJpa}{po@dmA zHWzhCR#d2`m-f2iuX*M~Mk?eeU0?c2*7sS^@MQcnd>5zG$_7r&RWM64nS(x&9fuFt zbnR?!Ry`%nc}7c82joy@B=a1(lWwd!CLb;sAUXh^^ohg}X$-3}2qasy-Z8BVpjqq+ z;(QFc^}!k3shIv+?FMBpJwRn}#NjluC!{cGli=B6K7P2#>`|9dBWZs!k&5q#Ti$@L ze^1k%UTA5O#s`QDPCe@m!!U`ou_o&!yZu)K=UX;^kSQO$b>U>x?M!NJi6p=bgjj^&KFbaXtk@6AMk`C~_XZeLxk&!r2`Baq(8X(M61 zMP$^Of5GkE-fY-U{xjo8VC8W^HHWyc|pkANLn6(8@B8^ zSdvMf^kdLn;`JPy5<*8+eliQ2!6BF;*a&h=Q~qKl%Qus!Oes5+~r0IN6{mo zAO!B<4NP8shPM@*Ew`>*%|%RqJsU9F)W(aEJRG$AK}a@DM&Y+$UwJ=6M;hoE1Ypd@ zvyp^?pPobz!j2L`hG@{$A4ooId_g}C40aN1b0r8QVboinrE-V<>(>?BEO4g! zonDwnRVwkPDfp{3;6o5BR9AD4ho@bKyy1~_MO zGUcA_z((my@hp*KBrLT`$!$@!6?_0eZ&MsoHzqgR-=-m{s}I>8935pXvfM{UlZf(F zFK{M5GYeh-45388r&Io_e^A2_zH*>PQ)ehDnW~+?VEjr;CSwSTiGsc{g0>Z3TYuAX zeF%S6jhp4e3Vmzl%VuM4)FO~WsB+?j@x7jqeY3*+HWxYtR{9wx*L+MOS0vsxF zNzHcGFFUxs;<4L+s!pi7cf~W(hVBUuFfLk8bslUp{L+S5_V?SQI1A+TA*plZ>vRrA zBS8~c$l5fTm#@Hq2WvW|j)%g?+(>6IF0ix-aRjci0pelxC_U6p5wT<3xhGCuQ94~l(6@B%Oy^(mY~(1}JzH9=Drre? zKqm@$a`KoUQ8P0tjt8TWNPvycxK0RCbvPm;i@R5$HV#MqQmcDM7LS!CDs_pZMcRk%O^wM?YL!=ZrI ziuX}li3GCtUQ;JE>+|zjTX{~m1E+D8oCHr>I>@F$pbtL{W7t4`_ABofSWn>fh$FeIXoZH&06t-Z1E1<0bJoN2+H(n5{H>S zQO#CV{`m>wCcbbyH5NvKt{fHdmt{rqf(1gP4pmIB z4g?;Z4O{POSZd|WLHGi96$~v9ZK(xDA7!>lbD6ML0&6Y)sZPW@#H`5zT=X9$9~A)2 z-4wr+nC0`qWtpD|B^$^qz=yU-U5MI@y*ni=FD#z_vZiX#T9KbQi6^!lL15WcGBFw( z!>2ug)fM5?Bt+qIOeRy(&7k|cl0U>_L-1jq4W&nn$>VVARSNK?eWmV<>YvKQzG^Z? zb#+F3;_6=GebN2we#D%bqHY^!l$r|y;kPjyi}p=Nj(vCzqX~r?8lt}2SbR?Ekg^t= z?-|{7G}^^NPAGZ~O%2-)&i%P+c5gcDTAt+ec@+9PSQ|q=42L`6MFf#fL^OlxP_dz~ zh-Lk-HuXx)^C`=2XghXHjo#|MjPlS|qk~uOM`CJ1smnxQk0f0Ve6W;JVH#Wxb!jku zT>azG*=*Q*ZMxI;I!}{Oe%NNDQEyV05O8_*PFp7wEW?s!bv8fZ%;a$B@>l9!7k=Gy zYFgszNAl@tF=A49w}Iz@#!)87|P7?8XsvvVY$mQ>;3_2=jMEiYD+Ax&%#`q4ZIo zNiNF$iTuZ}hY*E8pz$ecT3}ZL5hAIqWk8`Q4XO(8Vh<_YT#1VD)J|TfuB$>-F zp?G1D)5w)IB2QXZYOBm?+b10(Cwovk`wv&s`kE9V&u~E)DA73(g*-ij>tfgMM=ybq zz{(2cbQhB<9({GC;Aad{( zs1`GzA~Boc_g{ThsPp?w=fbcdy~w=oSe{ z@~f~3aw_oF`(!V(ltX{I2kU-OtwMg!m5iUhO**XQFbr0jAX(MTTdlPlErT*rS$PO= z@cTIAhI)r&#&1pgYDNMRrgH<}K%k*WOv9qs)M`BiJ)ulchp)U*owCCNHCHyzYI$}6 zPcOYC6og0#9f0mzF?Ie5EV6$=Lm z%lh$zXflFIrP8vkz8jcuEJ*m&dEP2dJ$Lbh`)f8LhNO>|wYLxqPl|zUxd^Ad_%E*hcf2!aVDrOADeV_zd4%=VwAZeSCZwO>4=3=qMx? zRcss`kbl+6Us$!K*5KX_wjF`5{_p^pb#~bwS84#@*;hFfMU%3Mii1MB9vqc(EW*RG z<;E<2=GD6t5qa{NgW@QC1`lw)_Z>WUkmPDnHt-gQ{=RFG1MleIUN8;gHDATh(ps<^f?N26Z zNDi9X!+Qf##KbTKy=i!e93T^P7Dq`JLQTlNlPoIrDU1lbmX7k5_jB;0oA0(?2|S@hSu)ZXfQ3O1^nO1dpG6*9jXN6^Ap@JLajC54L8_%{3=;v`%i) zY2|?$2Nbr5!GoDD!*m)@ zQVRoDkRNllP6zaH!0mK!yq`7CVZN~j=;JKw@n%|{4Fa;ZO?gh) z67ODrgDQ6Y}zY@vj{{RM4qVYL;xQbyXuafcqabYb1U5zdb@p3ck zm+s)Fc=}$4u#>0>D!_8W_16!q*YaEI?pY+e(L_wYy<)v4I2v5du3~umLf1&XAdCe{ zyPtkGXcuvNCbfOua=4mSiuAd#dxH6L-XGe^Habg!2mQD*TxzqGrPokq?tH-IQB@8L zizctEbQ*)5|AY}Lq?;V1ehi~WgDIKS`C491xzaAkG9}xE&?1-TZc4xs8kZ5}Mr}xV z-2cfZ(N7fN=Q7@E!2)^yNa#$8w|q{}Z&@5r&&1(Wh1eU;H5W|KPSJ#m*JPrB>!aYw z>;vwbv3=@tg^N+&n{*hIVQ zysKH>`YRzr?bS4=k;tQoR!wCuB1ugwGaPEAS{QJ`_gOFv?Imf3I%s?iU&&ID0^$gf zu7EhgB9x=!61dX`*~hx-h~7s_DF`o#*(?>0Hi_356d@{Y&dTES+2m96@fY(8E60?} zfk2kk$0!!oQuDB0liwDb|8p2@{OOt9#b~B*EHGROQRry#=krAEBM1Qri_Z39jPJQA zjnx$yBn%JRV9guab9Z3zg%98Rghii<0@y$rsd%>6mW zC`4FiW2qj$sXrmSR|*5t!VBgY7fYJOJCTlDy-=eh6$<>^Mdn`lf5)^vdZo?6k;bU4Aw_`t3EdOXv)vD+X(u`_mv8>q+zG{7;DY1 zXWe5VQ4g)J;?sLWTrOttKUWnvT|(fBXC6oS{o`sQ4x%M^J!yJmNBHCPwI-otx!7TT zNSu$20A8C-&6(sCwFP#0di9CrdDXxuOEV#DDo>8XStB*PTss(xj1B!6qe!K{c$v@q zFDS&eP|cAUrUQzgm`zrLMc7pOS}vx*h7gFXA3y!=vq|oyq>eeCw5j6bi)SvR4*ffA zFlXSh5VHU~Z>oO*qs_vB&gIo{KWF7B6zu6qCo(0ZE=^x|QVQ_d{4+@cd^V|SDpdz6 z>Rzyi!;o61+1?^fwG>hbjd%r5;;JhSMrTUTIJLCXwiL@M`v1~R){8oPh~t;D-p97I zoN9SlYgZW6ZgahNVFZy$P%0@fG}>JUW47Tgwmh#ZA9K3HNO{(nJ??t zJ^AxLQfbxXZGHehut5}#Q`0z$*U3(CHU#6F=nv58a6a6E07V4rt>b%tWxWL-YanlOeGVaS(?V z4>Po%tR3^uxy2;V$tWe_MpPQ#1LmHIinH=YP&qDCx#AW4YWESSgFcd$A$~ALV?)og z_1PFP!tUrFgG6)GTt4IxdK2{U6k&uF%i987%mN@@QQpCx8H%GF^43qLueM*+bN=sP zXq~+#clS<`skByBuNID3$UK^_u6JQS(D2I_q@9BW~3FRh~3mxL8{Ybgre^@0CzPLR9!K6RuFS9G1e4A@<91@?48KI#mtlU;y z&DEnii5`(@?B`>yW{}$ctyFh}l1Lcdb!7bK!=lVCxR)Y-a&kYMnJdqW+`@b9Ba5bg zuinxr7z9pE;8-XPj-#6!34`VJ5AZz9T&{A4sciUVk^$?(o0II3kqrsS2T!c=n#oI| zO0u04ZgLwlO-mp)!S42Zb^D96&R)k!jP{2lHvPF9chXyYxXB|bYLWNllK~(1z_@=o zc@nZlz8*u_UZKH)&J+7aHPQ2}Dt`|4Si4fwC0)}YSIZ?ZH??|LeDouro}Bt#`33@% zL8j5F-tPl5)dOd0kPl=_tr-M_TtCc8?hkUP{>t|h^Ja&%4LBQ*D3pv*5jSUtkk8Sf z_S4Y>%D5b!uajM^5Ey;G`xVCY;auRUlh6o2SE2*;bJML=OS)%5JsDyq#pTi#{k2ib z6b(@rbc{+;o~FmIHT~FhaxHQoS>4q#4I@&4+gpHQ^L+MRv zoS)ldlTfnbQ+AjnChLqO_47jv3%k9U?GFFe(yK>00E9pk*Ja%KUZrWa)><~(-(->H zw0}FEui$u1yw!c>ZT|`0l*;ZY;aOw9$PA@!dI?-LS!62_Qd;%r5k#)ajZJg){%6|e z7RzFLj~e;IixI|e+P;HvBSE?|&}+Ri5c%KLTie65VkBOCyDF38@ZfR>t~OT*pV#IC zPY~^WHvx>**ER$)k+WK_Njz>@Gr<7oE zzn%bu0`_!QHL~4+Lnz@+szPJGY9XmFZWJumWc`)CDxc4}knyA!chZ4ao5 zKioI!*^#~KoY!tV<6_XhMT!^zp zIe`LDr@-AQH6IoPihLOG78QV2TSxOP4~ynqL?!{nyWvHWWxL=)s+-x!D`wwu`GR5Z z*&Zj?rlUE>f#L49?E2)L-jN<8kZQb)N32o%@;y%&H;U zX$d&W3#1KiF3$tQC8k?WHWqKRByBXy|DISgmMM=vM$k}?Kyv9Lk1ZN^kwt9|#2`ak zDt*ok!07Sl=$HsNFS=qJj(<8Eq~0W*vpbbT(RZ`?$NC?`D{F}A9T;#_>EHGO?5 zY5iY9_9h<{;tIyVO7lIS$jae6%%b_7Q}^BN3m8B;~qJ4s+zMGeG<06 z#27EPse;`Bb*tIu-EU#Q9C0BVNt(vOjG(pHhmDj{Q|V3zt+;Wixm`T-CsY242WRJJ zoRL$Fj1h9a$MOlo6ESYLphadGE>HDZ!h~sz;o=9)`cS_~M`H=LA*?=*FFL62fld$r z%}L4(Che$d2=~q9fmu5%7!V45b15mBb5i4P>yt@PjgZ zTl#qzy_I`rzF#?4Hyb~v<#N2vMkzG_moXpcHMj9C)vq2J!qTb8s1#D0?RI-Ld#`!c zc63jTET0e4ca`iVMGaT$pX+h_|K13n`@^s$l{@<1gH$4d zbsle{Z|xf2JR0XFSojb|n*v1?yNE89!TA_7F`?Tn_SOGtk_oUxhE2bSe}kbnH~yRB z^$(_|R+{Ac8m2|xu`phhXzBO&4;?1?Jnep7957ec2gk&oZf()yH` zq!bi{5j=1(_ARL0%_P1DyNn!)+K^pUSPEU8enW_ z|K`&GnS#=t{t3jyXu?YwTQ-YYt+)_y{lGE#fSCgarymp%TS-^z&U>=bvou-#{dRtO zwAv?OaXs=3>(;>rxfSO;h$9SNlJ7&s3Xs=})4agFJUTlZpB2klv&o+;4#e+W&?zWP zxN=sBW!ad*i3zq+0`YrE*h&L|v>9xdMLyL|-2N~5C)3%5>WOhUE-t|#{+DfKPM7=f zfL$iJ4;`0ZjAOyZMV`r#lp2)z5)P2P2PE)au{hiqKW-Pz3Up?9tl;XJ>(iZ1%dM7p z=*>jK;;4}4t)4bY3-~n6I_w;{0^6M=?9g+oYx-B#L{pxhlepZ6AQ=xGXV)gT=kt_m z9l7Ls{OZa&)r+3HX-b3N$ARJg->sFeaPf!NFZcF4fbC_u#zYG$n=+kS?9|HlVZinh zg-95j_huhCiPS7HhM&$v^2E;;STmqCZhb7`I54lSo|&rbh#eT9-jP7YGZ~fX-@b8w z+|`D4#9_?AtM47V8q2VV(Z<=Eo^kGNE8-JN@_ym|1^wb30RFn)LMnYYSa09;8QxJIV2u1&iVkj-hwyM$cpm17-mv+>@Wb*j5EE); zg-EJj0CXnq$;~PY@+!|$xeA8~%6fH_c3e(N+qimZNgz_Twc12%y?m3c2o556n=Z8j zTI=Nbl=RvGu%Oaq*4x-g8gD9g$O&o}$j{If=71NQ_qm(1JGixSnP*KCKI@k2&oR{gc9b^}O(p+b6PXSI>3ST#x3=i;T83J<9UN-w zHE(K|Vx1%9tkpXAYneOSKNrlUB?T10zc9(#u3-GCxBUgo#2DV6jWDwUR+y)skz=&T z?;RD$Gfe_SL3;XcK>|;{L8Fi(%nho3)I1qCnmSHk9W_ll1m&Sc$4yvZS{qXyEdg9; zf`QW6z~HDVSTgL6QSR@lXfs+-=@tlt2luPM0=2PXAH|kAiCGP5cyfTcR}OOC=tcI0 zAIAQaPG8*OE6j`5#t~mjF_rYN0FNL2c_Qk2WlXH2O^UMQfzmsvqGOiZ3ESUqS|f}I zi9&$I*kPX1(YDapEs78LeMse1<)WR9#jsMAef%4c+ucYF5uu6zKhYIS8!ZJbL-SgQ z<*n)ObUl4+p7hnsR8zq2?uNmEIi4~e!V{qIGo7}oaS1VYsAefK>CX?=n0OHkQChWulP=s10dho);!8kz*LZz7F_)k6^HA zpL621eFZ33VdduK1}m2KLD za~d`K3(BA%VMg;+9?^SREo30>>?nrND-viymG&bfbhDVS{i?*DNsb<2$=t7GQN#76+*wqax90NGt2ZVGmk_zgyfw@JN(gOdWsf73}J0ASk*5oN|HF#T*DA1Pi$LfGc@_G7(Ov*a+gOu4)tu;1T# zC4f8A^cJ=H!uqx*H#wHCnER%(38t`DB(qo-{MPcSdhwjt`_zAauUBm z7SEhlo8ND~3Vm^F56>cbGGt^K(sxqh@#uB--_{vHczH&@_v6Sho8~)U`d~&g0=03g zolC=&(d-;(8NLR_i@cz0U2)-+lO1xW>vc8^!~8&Jf5eP?9%vF<7D1&1rEU43K%HR1 zAp_|R0%fU^$G7VmTLFrMQPKj#pbz?Rm~4vUOA}x;6}J z4ryot=?lB^TOU*E+a9m;9jT~>c4!_wjsvPm!w+A#Z#_X?o-C*K#RmA?9@m$a@T%&G zt<^>w4@|b&+7DE{&@9<_2D@ls7)Rx>uk#D4SCD7R_lw3-4vl0-+}igGGs}bkq&Aon z{Y4?5RQ>v)gk`q=n>X0h=Y;sg+4=;_Rjt$;98PrXbQ5#qv{Z$H`NisD$GK_Og^lp0 z^a6R?7tKW$PMBHwf5~hn0g_FP-#cx!0adl8wyP=%A}-Ra8d>EYRaKK4sN4Z>)AgHY zh*%yEv;9M8kRRe~ZLbYboOlVz$rd*L`gK5Be|4U_ZQv}o8<_(qv-Tea*sfhafpz4p z@Vjt7oJ$JbSa1?1Vs6a)gwj3sS+C$MhqU3EHSG*swuK7pt|Wy+*8DA)k;f8Y`nEX>J&CMf`>I{7H8$EjD(2 ziB|2;uK4_|f+LiiOb7&gndeMCUQup252R1hLi91nmiGe{8I+GF>6t_Dr0HBpAcA}a z$4}e)*wl2MQ=-Ipd2~B2GGO7b$cQM}QX(>6Tcp7|Hr-q*(&suAru^4oW?{3P^}W^) zT_|YSis*pQV>vx2QkmD4O$Rgq-IFiv@^8$Js?8dthxxch(Y0JAcdEZ90`^Xo%Q(o>qi?vv@f1HsjP@p z;aNIc`Oz>H(Y~;oRgwIpJ65C*sM6v9(8?O1I-Q6wS-QC^Y zU3=o|yY?RYoOQ-t<9u1;jPr?s!~;10bKdj*UDtIRS1nio3C>MlL_>v3)o;l{iO7OE zY(^f({qZma{&fQ;ab;xU-C#6C4rkdDZ9uY--xDHKLh#l-at#l|k)*boukHl_>UPYtUJHGd@1f?PQP$-;|=1=|lHl;%JMy zAFz+M->Yu}+3YLtb42ye$#GsT)AWx-80*^o5)RXJU^Uo z?A>LS&sM#Q(OG^CtkI@%M?a=ZBE0%Nvx3iR-<=Hu{6k3?#(##`p+L0AeRg%`aL?&; zSdh>BQ_;<{+W2t2I%Kb{3b@FR738+<^pY$d%_7K}7t(ApR)y*dU2XMF>J*U>pDYN+>9uC#L1JN7~)UUfWVaajx3)E&`pEr z>$7!38C#PIVIU2t$tT|a|+hs0-b%6&w~xCGU^Uhj)-!1|vwywSStZ2Ts$7VRHGF?%-R z=USrScbN8bFjO-NFy`Iq(XGdpQb4b^%k`g-+-PlxJ_P&NCu?N+q2yGYt!cPG0X|edYUHad(goq0_v{b7B~%aX1~- z5TwrWWjV)SpEt;d&M>Mjaw}x66&J=+5e~j1bu-l7#`+;VCq(|)`#kE^$XB9ub?{%K zF+^w>P_(*t701GJh5|oqE}n_Bsia(JiOIgP#KDdhyQ=aBjtavA_KuSx;m0+zM(fv; z#Y&|2k{vlRX~=~wa*N1;61Jo}+0ThNeH{lYR^w!t7_U5h$X)n|2*shrih@2AT~LYX zSK;u@0Oau;8`{ZOO+JwLNC^v61&e%3p`T_`;)lPE*dT{fJ|<<0~mB&+;y{ zN}FP=93uugTSGJ(C|MNlb=!_#j?Czge5YoyemL8sY)_M3@cQ@)Jv)Nvo3Zap-=kn^ z;p3c9HQ<>(&yOe%zw&0aCPyySn#$RDvF}PzC0S4~k!0z?Cqmi=)p9NpzqoW+U$JEE^VYQnGfzD<%jg(ROsh@+sYyPh} zCY1w;u%wjaoUT;L?w5d#B(dNjK82E(I9OTM+x*gIzsyl{9W#tibR^(I0X-?r!q^m% zZr+xE$6#4=6C2&gD1)-<-oIzi_M9SfL0 z`s!+d<4oGn@QA$qA#vT`pDCDds6IVSrmd3^bcn)uC0IX))u${E&%yQIUvGFN!_-K+ zkr;vN+RR1#tovKB(#qcigtZdHeo1hb@Zc!}ip@%z-XT>MNUPy1UVHVp=C-E{_#Jyy ztw!S=V!guZ)0^klRX;Gk{T7pjYe&R^c%*zjvmi%hlEUZAi;hQxpn1d+(vRK zEri5-a)fQNUc%-Nycta58dT+5I3Gj2t1!H6pMUWSx%Uu%ae=4x9}DMOETIGgA7pXO zlL|KYN!4OlxQFp8>q%GOo!FNDGPxoQS! z+Oy`(y*O(5YA}9oDTyXEA)$KW3qoE@r|oB~7NWtPi%+JiSCzIA0_J)$U0~&KXgB~z znP?BSErQsm9!K-7%IQi4tYh&VEc5xJU$BIEHrtcyy^s2g^7t611XH)(sUkG^D<4 zG;A=L=f5}ZQv3gL*I(Qvu1VeEjO!ib8vq<=ZPf=4FTq8A()sXFLg~I}*)5 z@Bf5C$aF@S(RBL+XyQD_ z8SgaB&xxxxSG7)>IAv?D9Z_%x&4H(OgMRLlSl94FZ_1SJcTJq1@rt9p|8xE2Zr*iZ zV{dpSlpt*GvFL<#TCH{c@T#Pa7=Dke%d4fe)#4!U6k{L?7GdPk3$yAAN8)G~M>(zP@-#9X;(JkfN@slnu$uCBk{^y! z-uH4}+o3;!;Ao8)8?1=geIdTTIK(P=`V<^(;J6wS4S!19mLW@nDkc2K|JZ40?k6#q z9vQe6muF={1b&LxUcz~NT%Hq5PpotkAJp!g8>2;nJ+f&JFJ0`fCDa{`_)iEA7Qi@VNepF%L@cw z4|p>w5)H>C*2?bI`#+3;UqnR{c>5<3grWY`0{p)JIqmx6N)NpQ5e$Q4CHOr}eP1ST zC_-h_vAT`4Jrb?G2?X-nmb2A2t@YnG@N9Uyk2T$RM}9JM>HeQlEuLsM>6QNkYhljR zQf0;dpJ1)P7_`*?SWtx9OT=093{O3-c(*8?PM7a)Mv;S!^n99*mw{^%+WrHYWHRUl zH=Zvq$IGk`lf$Rfld-_RGHNclM%_7^A6^9NUSsUH6n{-( zGWlixutRZa$S!zlJJ>gszq0Pi?bD4rivi*}TQTx-cZuhA4d$_n1w#sCZ;mF=Dba68 zecQ)UMQf}h_kT_j|8a`7GJAL$zyoA@aN%SJ!V~{|b*SpMdM=9S@yLZY@1=62MxRUQ5I>ZtbGf@)OiKEv%{Fe;;L!)5%%M4gA?(ysNJjzq`nHLcM^|1Z(;lSMW zd3X21hM$ST22Ou}`@b)qIm66!K}^S9x<^Q9ZI_|NIvcSA392fNLxqjWBb&p(|x&V_*QQdEcjS`?&n1DWW<+*nr?{%g_ZeX_c^h$wjkr0l4T2vCr>k;CXVY^p z*bm++q|jRCg)+o&pWlBE^Iy6EQ!-EwdR5Q4dye})|9eTSR(O6NIyuep3||A7({QZ5 z|LQS!KC{DlOZNISY|HTHySHKFJTmR=atmv3j3{-Dqlw*wR+VBCpd?y^^$56OfAb7v zs^4*OI#dy&AQW0zzGffld7k)t#){Puhqa1q7g0qv~o?%VFlVkWd+702{IT0%b}V|^9l5t?pzyYN~7z09cFoS5w(nN zpmKjSyA~IWfxwx@kT;N`3MK}{(&l;u4e$P{(Uf!G{^u4I){gjNuN^#L#bv%jz`JBiU_^TVjr=x2+HT1#S8wfGd5m2G{ z_O~hQZTsHcv&SZ)Larj|Rmu+dKBcFqnE%&&ZFdj5@%AnlZ8MFDQ*$&_k@N3AQhxFs z_3*?>`xuViHkju75%V%RsS4nJ)cWfrAS&bOCBWMvQ%8)&jHvb2kN>&V%;2*-y> zhpMs~QWCO77v8HCJ3~W*M5d}4!^G&WG&^tRDW~KJjXh5)*QyE>rJkmE8xv<7St$*9 zYJIJPSA7!?AG@iw&tDeg!x&IHSVywtqd_&&+*K_?h#>WGD&d5<=t2)p1=R~g3C*MT zagi|NMW0E3%oDX!vOfCeGG8b|l+H2*Z`T&O#4pXzZ`K&Q$~~_mJw}K65enne=7`#R zor3)PQtp2XFyOZg!H*M#lda*pH(I0!LKgMB+!OKx#nO3r$g*eZzYZfls}5BNw#hJR zDSCoG3`W)A_Fh#X!4)u%z3#8TqD)cthLA5h{f_+gw}BB0Kweq5)oVM(501_xWPJ)SoaAhshJt z8UYJ7V}ZWV8bwVzf|;-!CDeEC1hKM?@U5}OmlB`IQqw)W@4u#zK_0)%keAE z0H!&_9g5N(_sH$zbq$vY;*EjWp3i#Jwwq7uEJWm~UVAiTq7gI#P7^$rA&aza|6?3< z1eDIs!~ViK$sl~(pP`Di=QFN4iy3*fIxU7L?<%Z8&envwuBmyI%csK$-uts7=aI7= z5Y%{QhI%luFpHKL_5?y)_~3eaQb$X-s=tOw&;fUP8qa9FxmDE38|?WyeSI`HH}mPi zxkYTfgYL?B19l&gGdqR;Ou`5;U1Iy-=!5IEs+vxQgLs1>XP=wCgR`V!U_>2mc#+l4 zLe&*HWU$8P;yQRE@A9-#bRt)ir9hRtr>Dhn`<8*R9Wq!Md0;B*<6LgL{`OwN<``A= zzQ3Ewu??v+Ofl3m6J+KL9T%BUD7s>Y$4IUQys}#dOBrjV`a*WXA zi#6jwkD6MUke7$mQu^n};uXyJ+iu;I=i)PGsB#po{-8qcluoX*iwb$0Ucy2>e2QNx69pHzeKQ>82A}-?LCtL=HUAnH7m*;ROHs=^O{F ziN&=RX6Z0j@KjK~h)7FW^ap-Aq(?tpCYDr>hSmgeWE%D>2xVcyCNfV! za}M@Yk&@F0m5R1EHpBzLWO3C9=6fMG)F_rP`4Y~>vy=B_QlYcH5H8oA{Py$|84?GPP;e+BZrGOm5%J#t zL(v0!f_jmB#!^5}u3Rd+{U@l!HAsI?vR%Ya?SZ8ypDSMo+j&W%h>p_Ma>qFDJh5m} z$kr0*j?~7tJwl5JSbcQMkxLQAd$p0iO~)gw<^PUQudSr$%{a&ad8@p1(Oz7`z&P=O ziVCI)YPS2O-IhdXh8X(-yt!Ykw{n%H2ta))HSVhEsHh>izc@0igU6vC-}{my~wNQ>gm9sRpSKj?`;iv z>0L2Kn>WjFWcR%NVznV5Bd|XyXfA{FSJsDL*OM{|mnJLxRcbk+)60%T5ZGj4TKB78 zi=ewRV;^cVMmV?;y*jGK_~^i_5}G+rz~WWRDfgeh83G2oZvO%EI}78{yH(y`Z_RpO zup1uL48qov`5_5+F(ukCb8}Jya_3GWTpzA-lo+24Rnm4W1c-FfP!jTVYGU65CCKK;i2cn$rjTvb+bOq-cwo{c>58+14IX>wy?cj%AL6?nXm2mfJz znKF!z2D|#a{{C)M?mk7iH&_umRE08Fnl(BTmVElc-sy!5kT5~Di7|aqQ78x!bm4dr zIeEE?ftlIluuL0sJe{jch+sDsR>W+z^hHVa$MoJ|M}E?$H->tc_tiVA(M2ww8F zFyf3UQ-hPf4Gupx89=!?4M8F1aAP z9=>}9!4*1v$(vl0nS|7Wd;To7n#p6yZH80Z+dI_Wh*71CLvC3wP(03O#)Whg!x4XD zAX*3>;sDi6om{YiQz1|q#N_G#HH=7Q&ncU4Y5vqSSWf@eoEyZLwAz`{2$JDG^1nz) zD!!Wn!2guRoH=w*Z}1+xR;;rC|9eftHJ<2h&7!LE^;}JdzD>Sz_FeuU8gx5mxV-Tr z|D!+=m*@)=?HyJ}W2r8z%hx&EsG+4@E#C&QYnghh;*LjEN!ZsaQC-Aj2KT@367}i? z8=`)nqBhK~EbDPvxh!t$%leCkHSE5#+Fy?Mscw1w#B;GZ+&Oy6>&i>N_-KnfJqLXL zc>=x8R#@cIbVDqcnyVs*%d=qjyUR9wS0~$QhrN1_qb}=8iYHHEV!H%Y!T6?sxM1yM z=J$WnBu&a_A$bo+fD7i|i_Ju&Wc_y8_4OFrvGY-{SIzBg>tbVXXl?7Vdx&_bapT;N zN3F!dh^T%$!&cmJ+WYO3H4ic8tH!4O?MmK$NaIGX#-0Aer}zn0*@k}}4|rIZvcK5y zgf(II%>ZeJlXALWm|m4Lz7NTJTv4HUfDM^RIA9?V${&I{y8e_oo7?&jy}3G{5nt)4 z52#2cq@S?CCb1$6dwZ`qIlw8fz0R-Hd3Z>F3(^1zK4;7?obeP~s_2}7QB(-m$4a)% z{+0fpS(8<&;ikeolE2H^eomG_5)!c~m(XjXoTqX=ijgNxyEIVTe{fC@UQ==Q75m?arNDu|cO%CKp_c}c+amK=-kS}7xd`4+q-bsVQk}zCYKQbxI zMfN>)AG?5fNafJISyV>A;0Fgq6D(T23>D3muND=Bm&t*IL2tCv%8Cp9#{6a_l7(&7 zb~GXsy(_#JAEPNXb>Qrl&wvlF8_}-9uZ2@#3nlUBNU7N76-2ETtM0gz@LEv@?M&ONWIMwLC6AA4c%*VLWcX$v~t`%Y?{9AcH2#(Rn79H;&G}H*&s$9T^DF z=X;xON7Jqlc!a{vK>K8AgeepxAz^X^+ znhI7fbIQ&d#lVQz>LG7_^yiuPnW@tCbfpEqRKeZnX8I;_&k`~-oe)d@y9hm< zi~&!=f(>9yF_y^gy>zXNdIXJG(f>qPaw~);X41L%-XRvh)XJ}Txf?fW#{a2!rYE#_ z#))c0=b55h4)r5&)HZwYNT|O-(S)x<%TBz@98Ll)C+zBz`-Ely93A3!d694x*a)=3 zMEM#pbhAbU^364v{iR9KA7d>$nQyJ*a}K;S8rxHZMLR5*H6_N{4WvK$tsoKkt*=$j4mNkEf*% z!$_vEMR+A4fgA}$o%WVAlS+@gF>O>$vd_aZYB}_o_V0ySrm|(!EzkMcGN{?wE0hrDDLQ_ot)~Py0c7Dy>b`^6r5>C-6(%9ESrGOI$W7u<6C*7pP7*MkEI*IOOs2 z<{@dSd~Mbx?x2_mEEO=0-acbz_f1@IH$A=*wP#QdehNv?@s0(!(KJHx8Z`2;C!E zYX5o5PMK7&xhY z8O{Vs^_Fi7*bc}Lrf9)@$0+RY&qfOJ{Wo>LM0;*LnG4QZ-~XRgG{Ds`5RGg-T1?2x zb;v8fls>x46zA}T*i@nkI*zQ06!lNz&Z0Jvqc>fJMe6`w8p%ZQxL_<=*G>3gVRvJqUjx zI=_rv5mQ5HLn?BBRiptdQrK1>l6secnevB4&eIEghCB?x+AY}`7kB^8iM8}(6PnM2 zAi$Ut9{$M6;Rl7M!SHs7fb7dLe5&$qn8Pue3MwdiPKLC4Qb<;N4fXQ^ViafUzGSbW zO}40_yuq}{O14Cmmi6_>O%z)ts@{exhagYwb%vR!P{lmXgR$|hO5NBs|L>6uDC6;J zr7kLBF74m!*`WC(+!!I78A%agIewzyDCgtV{&y~16mZW7MjLkGH&-RcAFS4d#ry{p z&8ZOmy7Opr-ASb2m7{uk!pG?G(eaM@@n7tkW2Sna(^AQ+S7YUCa&xe5gr2!eVXT1& zP3z%7OP*+E{eA4^d2BlWx5QQSptvpQx5Lq8e01`?c8=|h^Ks}>VJOwat3w5n3qZPm|kQzsE@L&vv^k z-k<-@R@sTlZN5J?pJ7idt^r1L4j&lX+C`Wj@Pw(+_n)Wt^%^lV7u5C|355(ZjrHFd zUJxPUGZoFWT76}vcs5tPv0C4;GysONnKeK*832ViXArY{~2!RMKRU4C|mPq5P(iTWOfxtKR zVMT7OO^9vxOsO`%cK-y;d1U?6dI(o8sEae5jrb`UI%8z>V84qS?r>s}u}(G=@?(c0 zaQiV%v*K+o4wOeEX$G1e#+E(@ZfGS*%qB-*`+)l81{l>r!Ci)70U7hqQ#cX@C9^e;RO0m1GjYE!l|& zv^m_iZW^+vP10a9^w%4zS5@bdn+58v=9_;joub`!uhxUliZ|-kr zVy=NedRK=Fd7SD(?PHm>LqJLh4`aH#7=W3}FG`(kj0-t;uLH1!NbcxN2fP=q7G{s` zU~Zp2+jQE#rMsINH=lVsr4+sJL*hB?lAGCf^)q*(sq*QuwgOhq2fXF+_}2OXyXmsC zD4fG*n_HwIgY2X$XN9;tlMR8n?>D_V%iIkQ@bqWqM}XR~T{% zZ^8=9um%ay>W})Vp&By(a75VclK zYw@yc-=C=I5c!F)j$u8~f2oBt^4+~%eRGKlc|!G{WKIxYxx}?woC%Zgz;!W3qf+CZ zIc@vp1;yz)d%Qsy-dkLu4t-h6AcHN1MQE`6io;f>zW)xH+g2X${+#`o%!zRA&O+{CXo@O&ddt72NrLtdgjCBB z-CygW#lIHjz8S0(o|r(-3hKY~BB~9=B$|%Cu+Bw!V8jqiPp(o;!U43qKi6_oiph-R*WH?ahw~2xt+SiEaQ`()4B6%R+}|>{cmq zgdhc-j~_H}SNSJyEJCR-IO;Z6`P=^*`EpjM{C3A{(F*1mQIt4GALu?df7^f|bqf_2 zIx@r+ntyK5@UYFP>GFCHR{Hu^$(ec1=XL}SQNQI*n_IG0p zV5nEEGO=}5t6mIKuK2&uP8i^{9$ue<-0;7DGhljha!l_PTW|f5ZofSZM+p#9f4{z* z``az;uGRY4jZnu3pDa0(7K9%bhCkA{POm`3r`v4Seys`;dua9^HqOXNcK4W3kK_8< zyoGd==XdN25NHuCn{tiNZq_6e2y@gM&yvXFyCrK$HDCkt?ScGz7Wm zh^189+fIjgKbVGY_vuqIlUGFN4rl(X6x?kF(li&ce_KjW?_kPo!J->>%{Ze*7?Yf?zH|D<^Q5EB; zx-C|f_gz|@wO|2}F0NiwwOf0guV4D>7;Wo@lTCxL^YQJcqdL8UfheV?r0S{dj__(5zB`xIa8=4HDxM`UJ# z*B)u}Wo@y#$*m0Z*FhyRmP2S?koh^^%&9w>jjwNeT#5iIu!754HsLJ#?RB4e=FDQ$ z-!AN-=dg$@8GP^yDwsrA_Dh`y1;p~gCApZ4QF1hR&-SIHM&7!Nzc7`pCG^H>0-XxL zR5T*GInGK>`y&((0s2qZiR;PFL1d^hg4&A@q_Sf%GLIE1-Uoh;>*Xjt5zYNr<64>T zDZ?P+jtI=6gKc*Aw1}T^Jw7{lv<3e2ym@|rAUr&W4~|-(f776Dv=#djEy3>9uPk4( zYaq;-F@ikGjoFLjEkp=Ca{h><`*+w-HWKFf=)hi zIb||64T{`#T;US+ zi{6HbD!wShw>I*$Av~5?RsHW41D*WDm`;+G30o2W;ZNk}QTUcIIlCpiO8(YZzVrF7 z9%u==f3*NLl~jSXZQ&8nTJ*&r)0 zajuqAFiA;~b(?zrD_VBIeyYTa;Yr~BO%ry_fM#_rCoUJy=i5#mbG5xOJm9JBj^NH* zMrS5If0}?7k#`wwu*t50Pa4W|Dw&iqY94>OJ8m`KD@{04mBYH*26(JFr$RxV*}eq3 z>yfY`?|{l{-aCVZ?2}5OPd7-0b)O&jr@d$ZqU}Jn98f>6Q&2rSGjt$`e%t-G6Ph5X z(srQuBQPJx!7-`2$e*)QR?TK8THbv9e)3*2dj8w!eElnqPq_H9JGJ_+d3Q7hKyNgq zt1BZ-CYOkt&?mT;$3<$;2+t}}{g#W<3DDXq8B62W>`gsTiN8-azf-|OjXNhObQb6K zh2FW`O_GVw2+lN{ymeHt>gf;@54mvAD-~P=%3PLAd}vyAM$CmmDMBg$`Vn z-RYc}CND`h>>?M-DD}qk9Ph9e4yfHe>lydmx^S)-`Ppbuj_2aI>jD0>Cw-DW7Q*C8 zDxDh=#8peBSD_mPG&F@^h^six$V2CkT+p!d3190Xr(-6D$mNJc%N@PlsX(Xn1bKJb zfb(2!w@ewfq_UZF8<^`CU!E0%d-UV();D)BeQ+Kf+hrs47=8nq;gg;LAI221c?<78 zG($CtXlrB-ZR@yucZB>%4fyA|TS7<&U>Cz};PaZkP$Yw&4|J|A9-EAbVIdtgtY@5e zFtkVQ$m>?%hD;3|=^T2Zy+J)P0%>#|#t@-~f&3^BOs@={6aW=sPAlw@PDK_te1Utm z!G6N5mGVb5Q(C(?_j|A1i;dN-Hw${$!P+^7bcQ6m__(Wse(Tqb`NJxj4V( zZ{fG0C})oA8vkR81OXxCwv8$%`)RT>Em7Z&b7ZJsoUx7T??1>WAe6#cnG?Qw-xOPu zX6ywI(byVz0(S^GTpP{V?fgl3Jz+g|cYcVGalTPYP~`1}|LOGnjc_&;gb$u4z7x7J zhnIYr;ZVY&*)VjHA^1}P`Dg=dT9BQD!yeh*`5ObURhVI&bznM8;RFd6ooA6z4S=Z9 z7v#{8*EF{$X6Aa-XGbKT=FXpmDHsjLmpP>|3*mdyP5w-P((2dhA5ee$IX;@l!&+*w zR|mAz9e=8i@SJ?$!9*R4)L;9!i48xN&2bdU@Sz8-o zC63Bpyn+gtf`>f4Ibz`M;Z$8=Vy!;)n6{2ya)6Auw0IN*3`;e<;;!@Tx_gTXY|Uu# zpUjbTzle$hN8|`RtI&M=)k)A3JTC%BV@m?@RJjNes1XfQjZ+mIxKejz2*RU$)}Jjk z>ts3OhEYv7;@sAGDi2r{{4r({bhmEE$>*`MPIkyEu}7=l*T_Y(Uo4m20*tHXO)8uH zo_*6+Hb;a5>XzGU5wb7vC#O_JszJ0&f(Fu7mAQ$AE;{QVAF9P<0}1J!HvBubYze4>IL*_+kuh?9rv7`c66fQlYW_jvDLBDd6b|Kw|K!2_P)o zTva4`j36y=Phuj`cx>~|ccJlh9$h`(&I>q>GCCsDln!T?j*c*y;Fa3n>(LM%JCL zhfz!(#)`hSo)yt1V#zrW)x9S1M7guR0`bG!hI*8%4ub63HugkN0Dce?r-W_iY={wK zFSQ`-PTidL`=fh%Z^8U#ZQ31<9~Pm5@I|sUcfULr#JIbonwIh2;2v;g`RZz2DHmXB z#L-D51XfalMspLsjR1W~*mwHVLrJoD6+SxWuj=kC(eqRAZ2F^~fS`QPY&7*n_!`x;oP68snPkpb# zT&a38lcw@Q#vJ+`JTpv{tKybF>Sh5^bIK9{#5dWT6^Z6Tv{v2oi2JHA(>RqmOSf{% zmux#Eji(|Evwqq^iav7tSEfS(9E3mXd5>m2*;_J}<@32T72B4AS=C~j;Zp8eOJVX( z{a+#Poj@O~m0TZ+FI}Z(vyK>av@&WhXU7jBgy$shPmC_t@QW`SVy<_3#D`GF4VIiRN4`hxaWMy7eE)Nlykffq8 z>o~N@%#&LEg~+fRF>nn%Ee8Xwi?tH@sPtqC@wwn0v zE5{0IqzqN5jm97td4;R&)v*80kz$uEH`0bo8|=dtQm=VxVE5_-H68eN41ojEbKXVa z`Sq4qxD$Ka&tCXdHM7rOh@d@^G+Y!Iui3X?%u)2mC3Kvf5GG#a>PV7Pv*aN?jPd@W zIV#t+PRFFI#DKi4#Mmkcw1GWaKIs|EkPYz2Rna(Mni<0Nzhb)5H?q#s8~S{1z{Hxv zi3%yFXvc#BxDuW&gP>ljlIa{K2FhMtIsTWj^7Utjp3zK$yg1r1G9S>?$p-_6DnCW|B{~IA{ z*hlSz-%;Lo=p9pG3aC3nTspYw#Uvha9H+iUgpL)d5uY)vtiZe9@jvj3if(FWO0)QAbeh;~MzTe8lmXFAAC!I|B_I zF?9KSAR#PrIY-qZUcm?+YQ?WRrwrta;=b?!f|Yi1gDrY^yt#>ah2rZIbu6r7725&z zT3w1rx8iG7KLHkT^7M6D<)cy+^}1>cF|}(S&3!AYlK8hgpU+CN+sL~$?c(2pd)#|q ziu@`G_fJ~rm=VxcJ*|ufO6{HQ@JYj+TeZv`S`Rn7pp!vU8b@L<;qyf;L-O_)yqag* z3^fuI53%DW4g|P_`hRask}RP6{~p5&t=T2;HGA)gZ?=X)_A7E_5VxV-Db+3$Vt@Rb z>WK3>D5%5_c-Dq4s7GX|qI+|kC2JZtjwRz8+ch>+RH6d$pC8_6YV#hzXU9G!ini1o zg7k!%=4dDPiJRZcra#68qNm6=dvwPT80P7l$2?88K(7JX8%1KQ$xDO|gKB8(UC5JE zhnmGKIT7r^AN^?#P%i3Tp0hdo&BRi5)6z8Gl|V6b@0K0o>f7Fij7_In-d`616WuzR z86zx8CX@UG=EfF>^3e&2r6|dY@dnm1OT)6$gDpUL&^Ljm{O8rdtM44-zF;p#S;&eH zI~l||i_^hG*L8_KF<=wHeu9Cr8x?7i_4YzG0i!K!~fK! zC942g>-Rz$SDL}n$dBpAJW&?v8rbyJSdb_Bw_Rq~!lLiV(_AA{3i3q9uJKT8N?qGf z&38Y0B9NvBy2|G%tlA;6rqF0!lmLE37w~9=yhGSh1;krJ5X};y6Innca|@m!Uo$0thZ{D7Erys z)ay;n^^dO0fI9A^`$6HpcihE1JXGI(B>Vdt*-RehlxXB)BBEwrZ zie+IvH3K_sfiLHvB*~MLGeJb`X?1;bs%;dL^0UIAB0pkHZ}`K{e6u7?SAg#LAsrG6 z4rJVZzAt9l28i~9aHFqm3$yJBqLoDcxkiJY02t9o3y-5KI1(oleRN~N_!u23bgR^g^cNyidn6F+Yh>4!}DK9RXfQ zt`^>j>}v}nL^E2GGMintlQlVWP$?IwN^a|o(h*%qo#DThe({NpkJoFP!!e%I=p(3$ zjpPQX#cfS-_peQ1S8Q5zU6K`JQ>sd?dsd&%dTiXo<9OoUEq2$Easp83XzwxTtU(x zbiJ`yj+lGh*0MSp_nuSX8N#lHX{0>%>lx6$Y!8B`q?b;pLNjhw=k;1$#bi*sUpZZD zvNjBGabEKOLB+9fYqMz~CSpBdZZXVsvg2L+Heth&pIZORiKzFJ28Kf%h#u1qm6JCN zPl@Zn`sjG#?J1l^EVP{%WM{69Lf8Ned4!H$D%uuCTK~%uS$$k0ki~G3b{Qy$4$7a% z&~FS3h4s)RGamKZyR_M+!F_ za*SrT9=%ok@L;=p9P&c3t^7d=1>}nD)(Zx2Qj6g?N)k5`2d7BRXKUO@rt|wvzbML~ zk|7bTlksd6L(GYZg^4P;mRFa2x956aszU!|EvvH#Gh{l z$DtB^bMS0HSCt~4h>GQjOkIc!jnT{eEB*8}z6|?zfv4qY6ZbnibggN4QTff=kv#lA$x85=qkExn?=5!WoCjp6No!X5d4$y)O$Kfnn|yYUb)zkYVN9?BuR z?nbgtI+SM{i)qF4MZLt@UoC#`O*{BMeLo^`&KAvqdi1N@>dx=d=Ci$B*>lplU{9dI zAZ9&PhI7ufTyZ1x}iY`0z=z-+KX!FnU?RPx*W5tW4C2^*}n>)Me1(1DiOgz zq%aC%6^>uxmlwA!Z_7bEAhTvx(Hd@jY4ve+R;;j9OQ-SAo2@`XheiEI^w7NNEk7?o%M3J z7+I!hhEMt)N>%eiPFqefaDQSBMYYRH42xXL;itGcS761!$U9YC*=F~DL^aX3Q*VG| zIdH3~2j@42BIYOdoM%Y5zo)gV2G;C?aG=t#6&eJKN0Cx1t@D;_rqVlG>ZgUdxpH}X z$cwJYD^g3*?mgTLgUgcR-BmL&@dPNsLCcyTVkNTv!9OQOSVT+3;67KhevFWsb`^hu zZnkVMQFJ0Fg&OD-63pXluqUUeX?yhnVSb#|=XN%<6JI+Mhu|M~GJf%cDqp8$dnI|^ zUFSbVhYIk8RJN*^mHTApt`#F0`5mnumVA=LKRoMkaSPr14x8@H)d&R`1T)6i{VS+W zT`OdT($_6)OzP4DH|_rumROC196*|y{%`H`t`9_odL2jI1XOvcKk$JXM_E;JhUbo2 zM%L!Wp_3pUBfbVpy^74Jy!*G3Ie-0}$A-y(u^D9TI}Y;&o1bCz8$_Dyx;piTuP*xf z`YO}!=ZHE^G6xnCDtCSKiOq(LOg3&0q1AlTBOmD1Pa*{)(QGKc*;TfkByLP|E`ff| zuaLNM+|A)ZlzFXLv!h-9xZG!81mimI0Qq`ymYl4)wA52*Z$|D*!&xt&$p2i2Sr{bv zMkC0fAFaQI$obvk)qu5TT}t_leUAETc5MMLEYVmv`)jDQjT+n8x>1D16M8MM+(bDN zxP9@b@)Pv)kt0<)iy9)69yV5FFe%>TJ!`>Y$uopV8EfsM0mON3(`fBe)JARdx=v;2 z>8fpU`@S8(f`8&dFQ3IoWddZB+G z+}zoczAqYi&FvkwLh93?L4lMOxQ_oQtv7PFK5Tu17!nm5+xYB*y-VD&(Y~oa2N{)Brt=v8&27~_a zVrqn{k1$50b_=PF*`A~-82Mfm23Z_zm6#7uv14-ro%?cPemObBs9f!fNzgt6D=9JL z7*WKAL(Topy2{-B^L4N;1upMqdqh|kx_dyou#-(!0 z!D0gfeDj(1uAyWT3BF+ZJswR~nj%ReN*?^5UAEu=C7p8o-u1WVN-t z(O++V&^GEzhlle)SoE*dBEd#Q=$u$lA%fVcyV}sQV~O-hM823feU+^&tpKtvyH*l4 ziAH=lRRUG@mo9y?pSG3z>C)}kuVu)|bpkNm;`6I*J7{ye26{Mc1+?(1x_jrMFkp5r zTMeX(mB$i!xoM+kBX3-o29D9fMa5>6c`5m;b6OViq zo;k-r?QHD9L0?3!vVz=eaD&1?Y(L+$YwS}nAt+;(Pb&}R(&8<7@aVzvlutk3y4(R+9 zltEiwnxu_^VgUPg*;W5sP*$=8!%3>DOiVA-CY4>m(cGtp% z`|~qwwFr>qj7rGiMb{3Z=!sWh-QuoMs)8xV53Rdvil~kqW=proeZ^h62-K{!F6O-; zwL6!3bc25W%DBVo4rx|xmtZ&VoQUheD01V4Raqr9C2ekH*3cc#$_(4KM#*KBE@!1@ zOz7sb;M9x&roTXK9Cdr2I4PSJ#>0_UkpaICcDw8&uv`UnLk1g>UV7`_I3pbdXlGi4jdcxBpuqZ{+(h)3|v&8NH_~eZHI$Cn3r_mMV^#2S$sM&b{FJ5_0ECs0)A=6B?S>=JCWj*QWUt_Ll(=V6{+~bHEw<7BBQyM8 zIpLg3eAOfe$+p{k-$Sf?>D5QxA(2>b-H>d*o;XLVZjXFyEzLSQf<4-NJJk zg_rqaLOWg8ve^RDQDnD>xSUNl1JGAmBetb%z}Y<<&MBTUDCCxtQsj>lp8wxcK9@=S zmt5gxVU}o#WPfYNUN9*r5yW6xZ5H{3t^V@G4^y)k$*sI=Yyyf5F-v|EOU;nDw17b4 z`dC;GdN2;K4vYg-%dRX7ja^*xkeqcq1%67%4!0x?7zeoC6GV$Dr+gtM{sr&Ey%ENe6{y1Y3TM%3J14ai^tA3bmgZ8cK12(kaC3QiwY=3- ztlhE^bt_fs*0MppUnR`RE2(60JlwZ1E#gax|EnX04FO(M*-P%0@JLMcdP*Y1 zym8~nkJ<5c6pPAc$K0Q5$QEZFNV-NM5WizLkmS}NGJi4 zPz;&FtMC8bJ8M4NHTTZUm)R>HPR=@K=VYI~pZ&Do^UJ!U^#IHfl&%CY*OBY=pa?yBy4!rY2+hK|r9&%0#i?Q|ri#|A47$oP*sm0l?^!1n+S zaE(+rya!8V{KR;GTP05i15pMLVrO8yPBU6iA&X#cE6x5*d`=iBVIn>XQ_~T$c2^o` zrQ1FI$WCwiNAipw!2Z`Sev(x0cHH#hFBMKoitVyhRWIo9j}HAl<(nUq{>cTHd^J_b zz>eT)yrv4Mo4yb0%C4_lo_`r3DSRF*WIgTyD5F3Yk5afOPR5YIQHz$~#xSmB3#hVV z%l*y!_kf6~RMG-43WKzMsQ6+%Z3?gsr*v@RB@n>xTEIBEbp<+dfud}EgI86#6mSWw zL0F|kZ{CIZY}6jLQD~U>wud2BJe^K>0O=?$(1_(#h$`}ySgPMWN88sW__WblspC3@ z0mC{iqIR?yK+)2|$9Kj!<21B4$xH8qypj@& z68ml-XHS>`jgtb>(o@fz4_u`F7d~4^4HRceL5 zw^ow~f2i+ZVGDpT3%?}0hgkMjoGOOIe)+U`85J^w@;!U$%42ZAd%fb4OtC=o5c<1i zc3UHILK@eZ^Xccu&sKuGHTbJ3C@iL^fRNHv-92K#E6|NhqC9cvETJEzCsO)l`DVd2 z{N5EmKWa+XLF)Q0J-UX$R;AcC4yUTI=e$U6>VBN3gWa1y4E%qb;{S1+V&(}>F$8S& z(&TAZLh<=&fHXO9f6RY>4#3s@iF;8}pZIhEr|=$7fpq7mhZ)?A*;DBNk+YEG+V-XRg0b4MR1fiIpt0n=4FnqCxjXP_yM*{sue-1N zArczoypoL<;1v#5>9t+CM%Y-Mt~D;Opd4uLC@?cgU0qIkpLagse_k%CW=~)9F}$!x zAO7rJ$A0}aI1=#q+zV^o#2vnuxN3ec(s=`0o2MtFe(G(W78W&C*C@(vZadJL>FJfc z)Zo+S;z~p{-nO)#Eq&KFMyp9hR{#Hi!~Y04jI*j{U3>fPyi@L{)`RPZ^PbEUq7iEk zf|R{=9x#Q8VOdVl5v`;e?;jUw?`{n~uL1&vZQaai()6_lDusZVJpd7lyhO2mI^Iy( z0wLlQ3aE02+oy9G^ftZnP3NXAj`(h;o?tV_jozz?9&10(5`yRj6D(d*+^kF{ zxVNzrB#vo1SD#>F^Z^L+S0(bwtD36QK=Cp2h!U6jlVtX@QT%)*!`!?fwwkP|>8UJ9 zPZKun?5$NsM#HyScAUIjL*`vH*L9}J0JSs|$RgdzV;5we?nHDwfMaSGXAfRGKCmu| ztb~^0{@;QRqmcgrd{|0a>@0MZ|L~9Db7?*A4~Jhe47U{K%Pun3%V(H-rx!IXeep)m zQ@$N-_Re(bZccz^J|)pK#VEtL%ee547a`xEs!Ete>ef6F{v&AivYr(E59P3M?*;m~ zT<=gZ{Bh~uJbon*u}aWjQfKj1OCXqELOnLD(3OAoB3pX#JDLPj9=+@m&s#JqIIr)z zMe%}JS2NUcrvZlxb-f`fROxRXzw_mQvIAK15=WP!BX0iIp>mjIyG z)6g~`OFQ1^GzHJnCu1YjkIhht{4b>nd_$q87bn&<0EqW0pFuW3TO$7w%l04qYuwJK z)KHzf4v5);oZ&+3mH5xD4r+P7C*%}_b|n7tA=Q>f|J%?$1B|2eU_XIDsj9s7GIvzG zB*C!UGV3Gb04TF9rfX1CI-3OHkn2t?4X7K3X`^tQ`{63snmm#7Ix45 zE==0nC#b155Y(4F26~*ApH-%5C3txxaIyOz;}ocoa8iu)ZSYAkQr?6c@GVAt?@JG9 zB(AQdeZ=# zc`yC^#K1;~0N_8u!}xLb;xL_)1@2cCJLN~qC7;aIb3lR6exVzTzgoV%I4Kb7 zptX^t1ni#Q7tcqrU4CMoE$_j(V;vIoW3a-I%;U+Dn*}E8rlzR(#*cvlsICM49L5!Q zobc_Ec}u7D_nZnk>A(5?z6Szn0u7PCq+=2!yZLR;*8@B>&D-s5@#(HXvH-u|vWS{Z zd{y7C1|d-`V)Wh8e*g-~7N&~8yleuP`}ipC_nmrl?Qxpf_VV(W@l6|+LF0@JF3&d= zYlFJA&~RPs`Lh~doi7N5+0$GoyE%bpdG?$D`QMJjUGRMu%~bR$&yrX98TUEE{{B;% zCZu9PKH7%Z5IS?1^|*U8<%`s}^$#bz40;e2*QZjH{K;qB+ETuAx!VAw^IiNbf~Fx_Zj>3onCEUPvKw94 zbydu~ZJm73E4i|C!!IVm>WQh5CHm*9+b8wh>t&~{quK-b!}2q*&Y~X0R=EcNdmkOM zbRifC7#@(XtI}FfmER(D9zI_bvB0BmwMwKC98xgqjH5hyDO+5U86x z4$DkW-R(Y9;`y7sPsV;t3euM%N{syR)BTlw+d-G7$U2M8tAA|&kOpyg(UqLX8F2#M z-pFO%-?c|Qih)>IBQdLA;6iJwhAXNHjRLa7HJwb>*K#$qN0*jAG?Fwnv?54ofTHLm zvNnvt1kD^HzO`h~JDJHGwExur(8#@+msJ3lyit`#6`UbsSU2`F4L@+;WV#T|vf2yJ zqv3v!r=iYcDNFLB2juC-t^L$Z#o$GFW5sRJ$5)+)&F!Yfm8BG4)X<3*dG(!=C%(N_3Gxab!q>XdQh8yL*Z`%0yE zvC%K+Mf+l$moIP7qIy-=x9=)dFeubmaWy{fjltVTu4*yQGD+BHw*$-}?9iwJOTnwP z9JZ#*@y7lhFBVc>)KFNVzVq+!m%Br?yFz$e-8XfT2aRo$FqnM$?ICK;H-2ss+KOP< zunMn6vX=(a>_v&Z=Fm5aApTU7@zTU`Bi@f8O8vR3lPn)=vTbk$hJ2W!BWikps2-VDSrKapIC^y0&LdgF~zqiE*IIxDB+$_Ji1Ds=VmB#&$EFC24H z6}TQjhv*<>!v33El`(!z$een&%6M2fNF;o1!l!j5W$^tW%s7@z7IJ!$p~08%bFbb} znaW=-?O-H4P|5j~X@l%ij&F{l*_)-dWw7(64wak0ts0|h_m2K`!``mtie6*a8*>Mk zt-*`c+|oQ*>aFYkbm>Dj4X*0;N-$=_UMkKvvZ%bWLbL~Rda6*vuaUtTzpM3gM%ZDp z%8Q&S06OwxbgQQTswF%XdVDB^>*30Kmt*$&wwaF5(eQC8o;ml?V`j*rH3q%R`joU# ze!C$mDtEgqYX8WEcCO1$>xE!dw|Y$`*AidC%)o#|VT*Q-uC#Pl{DIkS;gAE7U0Tiu zOMF4DyrZCiN#%fQvtH1TvT_vjjq9nq`D&Lp6jlrR)fnO z?le@&`jBtq78o4k0$k9hFnPeE-+4>%!P;K7g@Ci6m4yJCV&iRdPwt!Yqo!N(+KLU)rnoEVr>_WzzOERdf0b=- zaXZ}KUtN{W8DHJICQ2?@BJB~I-T>1q>x~i(=jW03EGdcQroChK!w0U#99+H1IS|jP zNB+$gdo3=0R#MG5P|KoAVaUw(O!mniVx3Ojkgn4Kcsj`+?GKa+Q@FJRW?1oF`KWwe zM2l$S%zZ0VfosXT9*le>IuNyodRrBvZxc(@T95vb_9edxiQS#N5~|S4Y%ogeT#BAn ztHd<@@ZoLd6?^$wOv^;486F;V|EgBNXY3EMUBp6cPc$Fqn!9d&ZmHYyYJ_5th2@u! z7H0vdu}EO$eJJN;r>ywM-CCP>i4%QuIZ+V^yij|u%!T?JJCkU#!r8L5UD|MsM5F*@T3)k{aM`)hpjN#PrPC|6-6*A7xRaxWR zTIIHsSp9YZzT6PKB5BV}Q{<^RO-QZct)09&T~uVXU+b}63;S(KPrxQF)UEEDSjd2> zw59jOCu(S`A018Pc~41h9d-Xymp92K;!wY+a791Xn*E%W1JSrH7jG52$vp9)A&4H2K+~Fj?hX zoJlM0IyRdB^8JX^axWdPCpWBCO*2kFCJU=)%wn#ak<8ubrCLM$F;XpgnP?LyRpLkK zXiFHjebqK5ePD-ix`=pNrvE_~Dsd#pH=xoJ3gla@Y#9!0qzyqcSCB7+_QgNFiVfAM z$0%*>&D;-f?@_Y2i>Q>!Reh2Ti58ghcpy>(ovKV$Jp9XPN-{xKfA(p1b6jJwLIxz` z!W^+z_!<$%%wmlLWFrTGH@s%>9?Rz-C0)X_QbZt=VVrDH(nSr9raRMINs~*H#w!VF zpE(JZPoyV51Vu|^4jhVC*LkTb0;{#HVDj4R#p>MV(Bd+?6jT1^9KAt`Su>uSlh%3u z4|$oq*?S2V1_3jc8w+1!8idkn3||IF66<*m67?faX^C@GjaoGbkPm|0P3f!6OQmKs zx4yl6%cN)v^afd5&< zQA2G-3T%4NDa1mc;Y@_0k`nV>rg!i`sIm`vJVDr-lJr`o$r|geK{MBsc>7cK~C9pWr%}PXAUT*S=PgxKM#s-gh78-0NIJX#f%?fl?aEuH1O z;;ak1OZ&K!{3oL<+U`noK&D=kHtC;wfG(m|e*ay`OQNOmIkrZULvn}j2%DYo_@Pu& z@YE?-7IALyYyy(j$~KNzO3|06M+McsK3wsg!EnSXCh0s^1uW_;DB~M8p$rDOfdC3CAJn_T;MvA`0g|Fl<;EvhI2sS$gLBb(B zt$63{rKRKGw}xV6*yGkOOUFPLUnz=iyuTQoyj_w48oPIJk0SZ5qAp>Mt=JpmXiFUD z&g&hR`wWvdc!Ln3JmzvZo0uW%u@PB%4!HFK1zIU9SU@S)JZSB)@#!+J0+%iw)I6Cz zSb5ZctyZW3sCY}kb6rNMSMOy>dXd!4eK6I;(#YQu%7+dle_ z*Z#RXa57t_fZPx;eydjwRtrm=UikB9P3d(+j^D@6s@2FqP2iJe6uI|bItj857(+^} zof|*%yTAK?y}yE{?O9#m8DXpl3vSWo)(;f(j)(lhX*OTo3*0TnC{8Aeal zVh`=ce`v{s9nUJ}WNDNuMq?=Rb90$Y+*pA0)7_Erd#>ygq849x_Gb2ep+TSw-uKKlzXXq<}2chETLUIwq8{LVMH7CTxg!%`@zJ0go+=Zd~dX9p8j zgysCX{RCDU9|atSfz}c>T<)m=qI+u794o{Gdt?E>FR?yc;zBm(VjHTb#SdIy`T zY_to#wJ3GgbGRv{coNVbC*w9N0QqL8y!?#;M(a{9W>NY#1{MBq4D6+@| zHIgIk9w+o1F)R9GmlEZ?f&akY+-N$Bx3%0YSNtMbJ&YAJ${kU#{n})E|a;R&8V1~^Bn0(J2Cy*C&v==#c z(9?`wb+$pQ%-hj=ibrj9U0vDzXf}=()|)6;?*4tEuf5-*3O^M+VQG^iy-c*b`jsWZLN8*|FT?vs%KL$u0eydQRrg#RQ2~q+L2$eAN0$@R6GDb{u#$HI0<&*SpCGCLxGY$F^LVW2ee; zPy8?U4k4?LTNX8Ms5wI-E8mqHU0F62^rvJO%e+_aK@djH+NBY zrQ#e2Q3()Rt$h$U>zDJOnje{6&~LGdb(*U+6MMLafFp!Vn*F={om0;n!&%vzZSb1` zV+ZQbdulAmPKTsIOW4+oqR;r!U+w8^A=EiHE%@#39x?28QgIqVkN9ti3WC094kl-H^x{1vX+!0^=NX%G z<=I-<=DqZS5BvfIZahHmYWU?}vjo=tJWcu++r|L=cry8(%XIeU_VI@3XzF8r@94uf zL&c#l*s#uzElKwjRBVZ1=GbDvq>cg(*ZpxiP0i*4*yxbl_}bLw z@mhZ|&JZv!OyLNTF6-UDpW}@<7E9pYC!i1WFa1Dt-o7R3(%*3=e^m1JLovIdap1cB z1D}hVM59RV>Pnl?T-65+M(*`9g9q*I2g_t>PWxZs778;F)SR-lG{-jUJAHu+Pl`sD zr~@}={Hubd7kI^x4UFE*Gh0yGXou8cLHpt53!H#N3MaZ64^Q{+R7B-=BC(gnKgq)G z*l8?O|7%eRtGvdCk@5l+I>8g|Wis}CjY@)ZzH)*sdNNkl%1WT9Sgz)Ujb&LEpH=kk zI3<#HqG>nz((}=*kK8qCeqO8HSVj}Q5@piB->)K>2P1lclG03v_*~1~G}@g@zCjzm z(~-a=QIcrLca5p_R9ICTaFob9G=bg+g{xhyZNI+s639y#v z@d5U!-QjluUgXgCQoB{|$vEc3PwZBes)P3rn?(Kd)%cryZF*t>`6H~d{rssx^fx=E zh{FS)0K7e1gUnGae`NAJDtCF3MId$5?{?i(NKvoUmOKI2G`+ke^Eu3)|1(Cn_J5Dj z5^uOFIR59O&mSo$X!_M3Juuk8_uwk}0R=S!oH-RZ{}sm;ZFIEl_SsE8&o4DvRI$dK>VNGOyHJ>-=z{!_FUp_1d1-gO`d%JYrhaHz>$ zs+s{v;zkZ-YDIu&a(%Nk;WL=*J9egL$(y>e4J#Sydm?flZ~qaw`gCX|v*~mWN-Wl9 zPPotk&fYHyNB?vhZnXp^I2U(5vy`V_pu0wD+>6@ud2uV5EHmpQEkY@r34bcb0@ihwWC8t#`+QR%U1Q|VW(20(dMCj`+(pEOA9K$ zFCs{O3!vAcvyBsXnE<#ftnTJLn z_%yjL^ye_iJ@i;ydUaPsFhAh-vZTuJ4we>bBz4r;|G<+k)bis@{g%x%qh~))yZlOZ z*Ok!2-~UrsS22k8yb%uWd6WrYcCoB`qhBz>0x9PY z`NVId4CwsEWy_50Xj7nD?9`Vp3!Djl&ByEb{+Iw$?LG$EJTK z02@0Os7^u>bKX~`H2gEW6^-hXw|kmy?iC0DIW?nq*nnYZhs*_8U%`dw@FIQpxa2TpS? z{n;-z5oS#rUQPjP%V}avE~Vz*Yh5-XI@mOP8hVy1qNiih;XaFPDcb<^CzD!zsA_|e zBG-(d`A1D{b^pCP=thoOVB>64(p1v|Nj(A-Am0rYECPqnH>-i{Gz3!we%PZ({61wW1PopNl&$2ySzfXwkW2R`pjil#g5R_^`hY=xwu8 zNu-Haq2-+DTba+9yoP-78fqE`yMr=NBX5y=4qM;Tw@P2BM20M}#(zu|1yr4`AD8=d zVS-w&?K5e>*!Vo^YvpR%M#Vt7){-Pa{hsWlopjZH17&==Qa2Sjls%d*~r4GtR)jb!>_qE{+m1pYe8@1eeWFvC{dEnT{`ViW%qO^YGSa;>#qX zqNc4q#GF-yA8{q4lNIa4G78;U_(s>!xJbikp3bI1kFIhcSphtMvU1auMuMm>owQjO_}4!FZ|lXz80K=1Skwy zvI(kmo6?7sk4>Xfc!SqZy)34T7kR0JHjU1#;@PF&Qf#%ozzIC6#SV%G-6|_L37@MB z^-W+>W>pNbAJ8$Qh0(J&zusOu$JzFmzz9RcEmQ8%Qp3TbUF1>BFKw#J1_i@kyE$*c zZ~_yT`!xOX&yE$1mVid;BWtzDK@XwdDss+yvl4J`vHUfCuVO!?zZ)J(@(44c=h@vz zI+Ij7DTiG=$Cpq6OgBi(hJC<*O6Hu}a33amv}&)Y5?SNQV6au)qfC{eDd}cV8^qCP zdW>lSyax=*982%^*3zJ#T0Bn78j6EkB_?t_3K9>fa74llp$5^v&jLoXp6(nqd)afq zzKFdF?h!Brx;x`neXr-RsUg>Y2XD%tlhK%Hwr7a70}Z7Aki$qrPIlIBvSt5f`yTl0 zQU2~O*q8>b^!xCegF@pz(eO^-clSlQ#mKMRe@=tmkAeSOJk}#avUAKat$&6MiAD7( zx@@d3(>Wix+A9gQu27ztf?C|EW<;RId^?4#_RxgvJ`>fT)0v9q&5k8flR zqlp5$yhgeRcrn-#3cM3vD(B2{&l0cdV5*{Pv^-cI$t0*sw>4MF zLv!Lb0NU4N(Id0w2Jz_T-Ph!WLF|{zjI=l4#`2tZD8R6Clw{p^TcLc{IY8gY==`35 z8EOI@Jp=Sk52jlLR_V0mO23R2%Zwh5i%wg{!wRB@o3%8O7Su5V6^L~_xIgvEl_y08 zgiF7FD)?7V!=Vm$+&LtzwENErZ5ZN;gMrZNi6iG)5>5w@It2rkX~GpHmy}D!n6vwt zkr&Y@2h@1$8I}G?|FxNKiqMLHnV}(<`>@10p;<}4;agB`rHx9Wle2*dLB@mgmJC<3 z^^>vFj(<$>ZJ|U7l5r2R5>PDHmb&S`^73M-ptc92vx4uYDR66jWKBc8EZnG4IWe%x6xCi<2&|TSj@N$w zFAC0z0`iPSM(8Dac5a)wF?hUjTfg+;3U0($Otm+j4+s%-)Y&)Pn&1(zaBj+#y7#MQ zA$St>0h~O)x#Hya$9F)n#O-*982YYF6&wFKG0<4fENyhpj{c1A-W^_#(b-vUnUR9e z`oon0ztoj0aMda$S|H=;$tfElE?$|jCx0d(1!GU@F-TjTL0Nh^J{W{}V%K5@smvjH z488GOsmh3~e3%$x_mS_KyBUk2gX#FSFPDCF4=KvXgfHFx*E>o*_TLuPrCL}?ZC$Pf zc9@<-LF<{XW{r9)YtZB)!2NJT$`Q!}Szgrs=+(l7qJ{A%?k03UT7ouCf^e_-3G=#i zNdO$|@74wTiiQIsM^)!D;IHa$!F+kaRAR7)nGu|r?yYu?L^>J>#K{~Y=T`aS@izub zUbed{T1-iOHJT*X)A!nZP%nBB-mG7qt|J590<^@_sQeEk4LJ7fBs z(Eir;lmrk|ZPEg)NO^@8y)W;Zcb|36#_4nKne3p{SQ4K9H0~X9&`P|uKFYJT_RM>! zE#b85-QWLiTjB+y*C^Q9Mt_4wHkS&4xX|Z+Eh5(R_3_7doE+n zdZrBxSq@@E%y+-$>k9UHWE$Euw3o_)Von)f^7T1;*Ln!|8?4xDvP4r{tsyDPxXbzU14 z`L{jd2mepIUb?Ct*m9JU7% literal 165974 zcmV(-K-|BHP) zaB^>EX>4U6ba`-PAZ2)IW&i+q+O54?lI=LIZTYWOoF<5r_-YPcGOHd`^J@(Hj%P+3 zyEm|M{Q)32(0TdQ+*n<$UwMx4kWP{!;Is|NVRo z_j~?*{`b81^Xt2R`Tn)$uOCFd<==k(>(2Y-VoRfBq8duMhh5 z13viY4!p8||Mj~1>w}*7^ZoGazpwV|ef|B@%;&4-)~_tR^s!Xc*XsM z=jPwpt32GnQ=iV)#=papc#+@l&wIWfpYYFXcdg&<=1<|D#NW&L)A&<)#L12=c60D&UZI7^YJcGkEA;S%eZI~xxy3i`Soj*_ zWR?A1eM)R`o=tiE6TVp3n02ui@tN$}!?)w__Y%%^+qvEhl`F5nD`Vip0`K^bKi5Bf z^S}A?`v-lWrW?Xtf7WT~Qz2^8+=e^nzj+lK624z+n$G&3Ki8k^=Kj;hKI~vR%UpTD zevhAz7%BW=ThH0&hu5Y1z3v}_3}yZL0G5brCvGM@?7*Ed?~ub?Vk{xBj+OoC+;V`8LqPMx-Y{g{{GL*sS+W*iU&YHq_IT-Ycb) zQ%N z=iQxG^giNv#yir;ql`M*=o9mq?@Tk#GV5%!uehFt`>(vpwd!iC@31kY{qD5$F1zlw z`-iQ4&-Z@m%U}8G*S`Myu7zK2`UTU316Y^SbiKuJP?se*1_ZoFw;* z9gA;Z$BR3FK}YxOuHk*7JLjI=E#ed@vTGr^IV-qh?BKgYOkenY@BY}i|8?K4^!{J% zTl}}3yWG0}KX&eN>wfLrf9~5q?Aq!lqC97V^g`G4em;Qhy9*ait?!*)4U*)|_lxanGM?rWs)eb{dneXhN0 z=---&CGI`V)$a82AuFslQ;aQhmzC`w;NchFk3CxNH;A5vUD$o(C-j=j_*yj{)^Bef zeLss^;B~2ePX{^!f1m5BLTQ`#e%ofD#(XpD(MAD$N37o{yQeTSVA%A<}5iwa5GtNRY;kX%AS$T*GB97)@*A<#`G=(}0v@tcQ8<^z2@X#T(^ejIGyV zo8Gz~{$KLwSjHrtHdd^J{Iz$$8XmB!*Ob4$Sj@EtX2lIYZ1nRqT#{$Lc!*~`JU~d_ zGh&%Mdf@4DTXXfux|fP8^~i42jnV8iweT8h>%Bu*!q%F!{*r5 zyXN_@w+-x@Ewr`S99Fi?{KmZ5Asz;V|K2;MbtRT1JhQg4aLLHELSPl&W^gQZkD5aQ zAV)5VZCs1c%I1V!pSy&Hy}mJyT`uJefd+I) zH)z1KR>%>zKEw-Lf;+wD2EFR)&nlZp+43`?Q7mnpyah-8#`tph+Ik-rHDF}0B|ZQ= z$pdV7GgK(&Yw>YH?dn0%ioEQEYO*&&F{uK@skGB z?AR8c*7kaUgI<91$aZp9?}z1$yY0+oZIF}gHG<-&Iaj@ZeRI{a*FAXhFTd;!Poz8E z4O7HAE3a<7?!+hYG1&da;R$09_!dHo^33bSh)Ou-gU1vcW)KHDn7v2H<9i}kDqwBP zyfK6I7zodl3y&EeLCBT6F9O2+h>F})#L}K;^$7rLRa z!$oO=&>%o^?bI-BI9ed?6oLjD|1=PvC0p1nJF+rtqW5Qf;BMt&@azf(+?Ch!h>*0I z6Sg_L$3hHpKgMj_AMeQ-@zg_)VX;3fVH}_Pz@p>%gplKf zc!68dU=0nY;p@{%0fE?u#VX-z^JmRI;bQ~O=WS)N@BWQz+6oy z>gk1%%$U;`BLOC+T3@!bK!NH?T$%8N-Ha886(6f~fkrPY$Hm8r=P?$ryAVD&z}4$j3$HR4CKLzOn)hKVch(>p+Y9rWA>0XBw!WdKh{X;Sz((sN9Vu+9L z>U`zq=QHrUiOatih7U(%SBd_h`zl)O3=PHt;U5he7kT@J=6oM9555AA#27&s{i8uK zSnhd2b2eavtlMmbarF2YY!H3ij770wq<9?>w(SIABCO#2gAWO_0l|I3c`oRDNsk1Y zJHuy2A!LVs;BRx$9Ee`PKY{hpMOK3PfL!o0Iog;B+}pWd163HIx2QU3(A`IPjL9FI zYq~j;$o|Cgu9kP=&KrLXxkEiO5psg%h+0DE1psZvS2$Kw4uV|-@txBvG+#|e=(qWpP#Wf^$Imd=-XUy8jLr@Lt9x$7)>}9 z6TC&};aa?`WN^D+Ffg0PCmb)InM`S<{sEq&zr21nARXPr-awR)LQob|6k9rp;K*7m z99qg<_K*f)fQX0o1$Nt2CU5H-s4ENemhgSBTL4SMfhFFON?_w-;rI@$2JeF7RzpJ^ zY%oU5qk;Ne3*@38qwn^mEo7{WrDgvJ6dCjMwi}e^d!+SuUod@4la)x{i1A`k%Z<6> zPpzWlMqbCXL58W`0Nqg74e9mXW7T^=8ClL8`9Jy{SpZwD-+STf&=vfb?L}?Ct)X7v z@~sa}B^hR2_u@Sh_JvX-FmMf^132sBetxa!86CNUaodOqxVn}q;Eh7{)c z;0bWASW!uQWW(-Pp4Xef^R|66QH7f>JaaLgVZVo^JnS>#v5pkMn8&EhMc8NmI*|p~ zQ7jkR9$4HPR+8bTkBI8#XuhYxuh1lT zDux8*dCDCL4@3iP^T}|WC*2EZXB}5YBjfR0V_)z=u!rk@$ZlYm7k}AwCe90EMOuXg zZNy!${1pKu-eoo|6YxumfGPemKA_g%MdYajsn9Vl6c=nN82b9l+MtI!91F7!_nvn^ zcq7vm7S6?9RUEV|TsNW&p$jDhgA7XW7bq3vnx$#@Wsn1chDdUr(&R9k|3>z(X`_S* zlpMOh0);?lR>8n#P(?iI_a>c=-Gozj#gr7C8)WMiQJ8Q+PzHlhFB!IvpI$ctk}Xj| zwj{6sCs<`0NTh(EHoMab40ZUHZya1ZwH9pDS)?{wjih3gkv_#@Ci26|Lmb7RmX@_Yz3+&yBo_#m<&VMF~fQ3}1} zmVnf&;8pOK-#-V|_-+=DcfZJ!D2_kJ++#u12x1K%x-(P+R^4;q64=;fJ_@%+T1kQ-@V26Uwe1chmGExdy8-BA;%Pp?*4v>ZD zOjHLYVGHPzX#!5Eaouu&lDR*TBl6T~AmfQYx#4u+R)XV# z4{!pWJ&|>&I?#1JFS4G82m zpaYvT-4L+{O;?ukWXM-uLswC|DmXhjfj#BFS;T&?yAi#?BUCJokLUx;L;ypBERvzKr8f zAc%PL5=gd5OCljK`vmXuRg3WS-mD9J!xXt15;!*Y`^mL6I6ODSUaPpqH{Zag;25o7 z_=MmDjeDPvFYMDS6(pr1KatZTn)Zi2BVdSau(2O~B1OU&r5MHZSxmp^gY0343ttHl zS^c0A$AaBe>FOObACh^B7d`?5gX#ZBj4YKxJ>zd*Sug8b;C6%~&`k#fxxvb}hZjb{ za>3^anL(Wu3&zw{Ng!CbxZ(;dkFZv(riasnubOH^DH z@0jW$s0dfQJK+C>lJ~_!;?r6ibYhvXV&6?xCLkb5noF&wf@P0K;rA38OmJ&XrQ>FJbi=l5| z-*7tc>_T8H?C-&RiG3IZtDIYd{_+=u3~x{KHQo8h`Jjh{L_!a|06KGXU3kbJN+5&6dsI2!_N zCbKPVF9Z(!I}Mm6_lMv5n3pk*+diq!X)?il!u{*(1C3$Fh}pac9Q_alSmC!}&D)qn zbQNN%351gs#TVZ|q>uR5`2gJY8|Vf$A94Z_MJ|1jzd!~Er>ugRwLWj76}n?yEhV^` zHh;6aaIi<$iIBSP0`dkERSyq= z=tFG{q%m%eR;&Kwq37hy-1Oz5?{1#+6ZDye4x?~LLFy@rElX@z<%)7hKn>pI{e`6o&`kXy{Ak@Qvea11HA)b1nS!4&BJtq zw^Iu|5GU{?WoH6p2VouKebL^iZWq%~{Jk6^H{A{xctQ zQmhHA1>W8V8dfnV7C0fH9wixX!VlI@I+~@2f}m(*t|xA<-{SU_dg-uoBsBiWwmuCT z_HdfJVv8HvA5T*6WLZ}+e+J4DTL(TnsySV7pkDY1Mj^aT^g6n`ipWHK_RfF>N^$36 zU^JnM`u$EeX7+&$#LuSr?2*xH@VSJNGap#@i5k1HOx^gVpi$4lUGQO`n&5(^nHQa zlZ4~839qcekz5O0$`L8^e0^XvP<~V;ss_S>v30JuJvY8#;4E|{@R`x-YM(4G@@xpQ zhs8Bd;5HPD&-0ANwW!wCfWlg7^WZ|}IyU%C$i0Z{kYR!ZZZ@iE$5IAh-aLV=m^qRh zRF}Uko;MIlG1Hve0yNrBejQK#E)R^r2~;6mVDeb_B#Vq+S*+0HDgcN^1rPbsD`K&f zruX@RDiqaXghUVn;r%VZJ#fd_7SRod&Ubz{;p7`QNKY;o;)r1fby{L``u7Gpuc0jMt2E6i-${sSP;0BUarPM-zH1%3n__XgR6j< zXXO(Hj7(-zGw=>yZb$5$3LLAcJg--W!qm2JVhy8Y4KfN4_UiGZNhDme!jSkI3_aIP zRD{@L+rcCcvC=~d41QH&i{#)=-}~j*E+V%#K)hLX#qz#f3+CfV9GmyxUY^4|a>YDT zeu!shz9}YVLZBk>@QE{O#MCDh2#ti zg+$)@dH_r!%OTX~*e84*>f-+}%L+w1M_RbE8mT|M!m$WCExb_i3bj|z5%tlu^_=-a z5j{D7jYDRL#S>@+1R)ib1B3;;Zm(qr8y9;6Q3=_GAVO#LeIb27$ZRsXm{UNr@HUtf z8)c#lB6}Z3@u#m=BH)(5?I(YLgMRD!VGr^T`9mv*V4NSnX4wAn)4#C`?xq?w7aq^{ ze_C_MD}I~XtOni*nMKsH>$m0rV&Mm$xgu;8+0GBaQ~Z;+vBHnc;zAO>pNVD`5VA;= z3bjMR?u=_0tBVoSn*reP5=4{dl0Z&wkOts^7?R??@ZEQUAJ6yR&rc2+_hO|7qHco? z=*T3d#giHLL&=al*paA4+e&W1xG0`a%9z<2PA??6s-&GoMnr%Cy$w zRYtI(4>2k1f)F#M3iPdF-kA#%Q+VN{$0^DqJZg69G=5H;aN3j5^uQ(#Sr?Ep4`=+K zG8NV|Zxg@h4qlqiQK-2v4|@=0L$!l&4OfTabiDwV#YYo)#qLyTTIq40tTk7d?vg!sw&t=ymgB;y0VZClm-4!R4$F+rLrI z7-5ZSVfoZ=iMtzxSn4akdr`XFFnSGiTIjqzv5%^kB$T2Q#f*%D9O|shK4fpGT z##6KDBf_0TC74PUj555Fz|-Cf<~LYTEs$t9&HQ2hNeL6o-OKU;P*^h5c6i9k02RTbNs?ml8LbMCpfS(bA|iHd`DKqB^SPNEa;V@W*$RcdOdM4z28lKKsTYB;}JPoiaBZH1CjO9Xv4$MI+4u>EPS*e_HA1j7& za<0!mm}vsgc+zc7?2>>^J`9fFDN&4RA*0m7x$#(iRSN^7Su9t7v0boZLke;49m}L6 zVmn_yj%Ni^fQ^9=W>&!2)kG?f`}YC0O5A{*ECa>8POZ+JJm_d9npV1q)YBqeXmw*n zN-OP$7Fs`H^%eJVr#Z|aSe$@QL#K($!*iorECJroRxo=&n~A7cSXGTTkC+JET}bw* zvHm(S!Ff0QI5jLAft302ZH3ovSjE2J`|VWznMk;~C_?MC01&tgtvx`Q^p_}DRRV6C z>0O>^1?X1v?1@U#VvQ4;QE%9(F2LJzVe!aj*^?8rj|d5#L;ufJ)KUtg^m z{r5aR*l$h1zx?d41p&x)a09<;7DTIS;>z)y$Pywx(6=isJG`@47cd(}`dAhP?t57E z8xgp&XEdm5v~L1r^u-oGF_F78eLpSW{F*1Yd^Ds$PJZ%RIe+*fvN5wcAS60FB5^?e zK)@v;TlY4s3b0gU0yzUd`JUq>uajWN%e>OIZjLP?RIP~cGqW2u#>i`|{o&pr&aknR z>uZ%K@HGfV-yPwL*<%I#43o0#VTb3GeRpV$XII-gG7(eg0GqIsYK9=3l&!hvaO?(F zo6eDqGPGJ+rz-jQ$z>Y?!3dbvcr*8$RI^#qsaz*Sp|uHo*`z-%5ZR~&t$mRJ`v_#3nzl`!Kb?Gmod^P4%H5aazDEYz{pa6KCaw4$aP-&h` zd4SdsA7J^`0P%&}D=fVk|CHGR)&Vs(E>8+hJviP2oWTHVtsY14$WW2K`d(ohrhrci zh1nz%cb@&9Obh^x0xwrHqsSe^25~~Jnf}$FP{NU@_E_rEAYqVPz6Aq87z#$*8!VeJ zz!QRX^U`B2G7C^d_zTwx)?j`JhEDJ_VOqlOr@$1P_$`@-!QHS8fVHP{{&wXITaYKf z+qly*Td}G-o3gjuIh?vh>l$KT@3KT6VRP4UzpR-xbE{4w!qq%Tth`}1%Qr1}!%lCJ(Hqi-jkrHau)I zY~k}Mz_U@XY8pyrgazT?WB_HJ9gD>iR4VK}vFOy&TA(J6 zFD6Cucfn8RIyNOuf;nL%<1NF29*^f6QDVd!r|}pbB6Ng5q}@UgRM;li5nB620EH+$ z7EB@m`=fKe6V4hGkl(|@6>EqVp&1rU$OIN`I=%&69@DG0P=W|0{Sxw|!fccxGF6LQp(`#PA%0h1O+Vxm} z{krE;0f}W9UBZT-vV34CZWECgaUaS09yJ>XIp$h;Q?NQ5Q3&%_(EtqLC$9}VU)-09 zV)FoRX2X$haauCPqOMcB4#Q)ke&pu%I3AvjM9*4n70}VLw@2}4u4+*;^Y4Nl2SIH}Y&c#;3@$ET)4iUI8ggU^%x0}?kR)nBN$RZr|d_jJ)CDtHmnTtjFAUFAa(uIk;8recIbd2`TMcM zpOOZD&I(-rdFWt8EF6mxxuukd|~-5-27y9?DyB{Yewda@#?Kspd?76EGEei-Rt4O!-Y3UV-WyaK?r zoWRmTT^J%!5>$?O-7+kShX7fW?ZxULgu(||x9q=PGQMH4aPtr$4&rspESN`~;QOnq z?Q{M{ykP};vSrVLA_(P|J4;f`=U<;y+!dH2`c2*qN+8*|^^-CqE@2gbjHhNhoph8{ zac_D&p?be&wZy6(K%#wi!1BfGp4y)QwOHf{x88{2!yP$5vf>IU| zl)(cF^1~WW>kKVNoZXtNH|xY7{|!sD+T2W_D!ExV9m9M5UPXZC8wPn40Otc|%ed9O z>eog{fB1=|=2p}Z>R7jYbeFWmLME;a(^x1%P%-Ueq4d1!b1b zoBZ4rL1NAajZh&35t~cE4XX|8v}m&sa34J{G4&HJP#+M$6-;Hh6Nn^&+weBgFOe61 zrCR70tPgx9Yz>U7E>w%Bh6CIC+BGcm#~no=zznw>5OIh~`m6>XcBq!yLOsG5kj>6E zJv<^bJk^faJeKshMrKP*RGB4&Ji$(4GgKkdxb*@!21#d&1W+tb9(UURZqtYO`3>rwn16dPlpgE*tChy{bgy(zo(QY5 zRS#}$bVGoe)L_jkSZM_UjA1YIDNi?RWN%sNh^bGs5w=e-n=B%1URq+i5CSQIrB(+# zZ&T;26m8B?Zh7?bq6>)~7R6vR7{@tMAm9hc!3`{Jcr>)T*+B#bC;PWjMU8^ItdS*c z!Lm~`>XypY110@bMh;~9BGjV~Pp86y{<7?d!7sv1i82Wc!~U+PvoSEuY<`rmmZY%} zTuzM`LAz|SpKb4I4{aY=g{a>e=@u!xN4`31gdWg`kb;ykEf#p;;<88`9 zJ8htEJW%lD$kP-Vl?UP$38(fu>EJG6f9GYBM20eVyISBfrT|{g#*=Ec8lgS*+a6;5 z9CxxGE5bRd5h3h=<^?XV86GQgOkA^4XvpH(hvoE-DcoflZ+<;kwGn2uWHubI>@Y%8 z;K9x+BG`p^dKy|Dj?X6{{j9&w5aa?|w}%Ik^AXbVL^jnhRx1ptaLLyS%@29B?tNdM zO%b_Z4K2frXDS5#ZbL)#e57P({=}{U2ec7vD$0q>9@Ud2n1>)LAw+@)Zt_?`H|*w^ zjWxo?gIU*Ty~MO#m;a+-27b$4b(^6r&HAfqp*|BbDqY!nJwBfF@+c)8iS0W zbr`VIiG;9{Se*d`SV4@wHEH8PcFw2o1s}q?KZrnBFf#&Tu^K*KSR)a-6(|s^38}I8 zA;xS%Y;_sxAROh*ZDZCyWe?d3mX8YqKBmDi*K`s4urM$a$lH(nJ6IRWEk>I~ai?ZA zi>1rI&L-fkpNa_vZvAVUY*KF*25r=NJnbA+9Q9)w!n?z#ZjixD2F?;EdZZm1&koq% zJCc;f3QSb-RXi;2#RA@Z%F8hkkOnUJq%37!Pv~l8o8rqGjc`_ePt-kdiOEJnZ4=ml zMA$-#umf}gw&GFO@KD3N`b#VW*G@7^T4Y9Z!xjPl2p5s(f>mF+JTL;*xi-WSsRZf8 zFHZfvjAB^evEGnDg!Lt>Vyz>wngKpuBGPzm*Wp?xpQkGlNG!$A7&Of8MSR*|fE`5p z{BYWCEywb+;*myjH>2UZzEv+z$k7`3pP5D;?Fds`Ac8zojdOz&JHP1D=poGw@>|i& z$~}sCJIXU!BxL0lg!g?~Uye*n!Ppd(-2iZglE<@yZ}Q50(^pxE!K+oaDLCoveXS8d{MQp}Ce9*kB|< zw9O5g^Tdvi+vRh5l#1}^Io`0&KyNWwuw88gy%No1e&|U%Ww1X56i9I-4GxT9Uhstm zC#z!S<1Bz>&jZ^e)|5vRgz7z~9NXG%fD(5?fB-n#wmKXX1raWQT?)Fa3tuKz;pT)@ zfL-2W`vSb!Q6}&#_U!awW~+N; zyC-WO(Mr~bZUFMxb;~;(8iND#4pf6x-rwniThJ8iqphji@w9y+*DaGJDpnhuAv8ur zZ#bU=`p2Y2ah{Brf}uwC1waSo6loJBXZWnP81}R6oLtyB%2b4By(j;JZz(FkPJe$tr5gS%bPL4O(5&A_0W|ai9Md> zvLgWLxNgP5>1pdb_X5sUlX}+1;pv}U@8B`0Kdrw#RNhN2^3b519BZ58QSzzlYuQ|$LpT8l(nN~vknDeit$ zD0>}YBN0SIN1Kt)kHu<)_7}Ahto`*62v@FF5JBZEoFKm2|GS+4fPjH*V)kLI3nt+Z z8H?$<_6UjWkGcM2myr6^tVXm)_Nf>r1paYk0Grzqy95Bif1rM!M@rC4i#>`tx|43> zfSa~A6u+hn zc@T)DHP5>cHDIMPVtx+~aX~QOeTF?q1zUG0z}#?}CvT*s*8D*pK*#-+=>vabo>U!5 z*fSM;-G0_9*_a5cR*(}HKxYU((tFqgj>d^WD-*S+>6~WuTQBDvE&TFRDMhJx zBxBM#B;z^~XIk?I_OBNu^?1C=W86-SF? z9aXXr@PLp^W>c)-hm@Y_0nlYW3h`Z>V`8Hm*v4(f0Oq`>-6j!a4v{dk&L~TGd?OU3u$l_PlarJh9krCiK@KAMv~8I zLx>)DE}S9$w8g#sYF7LMsYqn&J3L_v>FuXiP0xPeh2};eN>+?*xcKNc{5+P6gG)=n zHTE@r4pb2wSXM!lpYke%bqEj+Xz`Q7EBIy*&{Jx!>?hvAa#;H%PLJzl5a1)Lr(zxT zkw17T#1?fm5RuITUDo(EHLW&r^iWBC-g&@!+CxAmexPa1b0f=VHfd!cJ8p=nAPrgF zUVgGH#2!z4zU_GJiCK7Si_`2-xQ#|!m!;h-$SvBdb<b42e7S$toP zBC)TBXyW9NSYaJD6xeA<#QLCdh=92FzypcCshiBRq;s8Pa(j5VQM<*=>6!hozHPb? zr*;<7&POHS_Z^}c-evjii|8JW!0vH&O&+XU`H-PC$gl6zivP6+cieyO;rXW)9-H2O zKZ`@I|NSt|(uMnvQ!-otkM@|gcBH0d7O=Zj9gxFrf>8B!MXtx7FkmgjeoN0R#r-XL zM*K+q$#(dR#a-A!07*M{H;WdNf|a~>=+!P_c^4KDG^{ z?g$^TAmsx&(d&FzZ$|G^LPH$*OiTOJ9*fBn?bm@6BWWF}uzm(l!M}2PiZd=_su1|^ zb5w?Xu=yMhnwjClq0ce3iw7L`$v{9SKt%)u{jFc?uDwUir>s8dRVc&qo+gxpz&64_ z|FIMnVG#Szp(hdubOhfWhGD^u6fc>I-xXxEi6z(6+%E??;%LNjU=7rg{>FY0AG^n( zqVN!t*<~%xIf&`BClzSi*=1= z4^c54HRb`AiQC~!9f%e_{DQxh0E461DKo2GY_&BFCKRmB<1To1BxIjC;g4t&bL#B_ zp`p*VJ-v?=xwn-a_`}K(Ar}Y0#+Jj^ElCgErVNGMLYv$3WJ|BZLSDz$e#ukh(?)3T z9PT5tv}2&zQ-hm24a)Syqm=M0z%C%};>@$ayet*{EVt%n5Y!pMOx&~04`J5h{Cb~I zzaOM|B_$pz@p%Cjfyx+RJ1A+NVw8~2d5PgZfaf_mB2c1VZx05ai`XU38{mU1#ltXs z00q30=Mh5?wk;X;XJMR_3>9QypRN6bfaq+S=W6!4taV4FP=vLeDJa0e?Fbgz=`1A5 z-N7uDgz`*@(1fC8*@MYP&nV)}t~mg<*}-Ks3;TAv=8rXe%^5zxh3qlS*IHbgcQJo= zz#9Kpg!l*KxPtjxYzM}9&MSc>IN?6I+3FNRdp>|m({-T`yOSqy_Kdun@HlQz;q7*d z@yw`NDIB57Y>flA_-GeC#_E4D!qz6_g|MJ~)jn8Qg7lo|M%hX|YL{#ZFK=gIyxxTlUuAQ^|6<9Zr4s zXW}`X1J&G3T4Pam)Hu5#+rbGR%2%t&Vm-4gwxf;a*>4tw#=R{Rs)xE;cE6lRg!(?p zb@XT1`)l7Fxcyzp()2US&}eqfJN*>Icvbd=d8oV%?J(H*?RgGJ(ba9>(WjLt->6Rv z@{AP398=*bPT7L)GT|7}f=h_DgD!~$o*-LO)mQ=>NxF0n|6#Mgbz$&qVu6&{CGj}W z*1jt&>PfcaLw?Jr9D0zs9hI;Gf)BY1=wTA}K1yZwWewpE`$xdrN?a_;1|B*}w=DQL zcx|hN{Yu@QPd*RDeyVE-;dpWP0AGO! ze*}7os2)||*VdBFQoie%uWcl=)eg5O-1ct(;uM8Yd6P##%#m^XLGUrJrfufXdr0YeY-U9jl7TK_`}nw&ZLx z=b=eQ;47@NMYbr`U=kJe56w}}ZF|Y`3j12{^okCI&S*Hv>5Dig)M7rT_zceObx{k} z02a6kro<=US>>~tm>}Kmjua&TTJJz`K%wiUSQep<8)oU1rGUvaFkxiaqJ!|v6F105 zPiMZ8B|DeN3(H?{>>uhGZG70L0n|8to9j#@t8<8mvKRMpnqyz_zJ)%3<`K~Uq-O;MZf z-Dgt#Tv##j#9Uu%POAbCv5`8G+g}vf4n49H zwJKfs81J#bAgk9ur(L4W9ff9HZ#hXal=0)BQa_VN11?yVG^|p+2tNHJgNOj2YZA#I zTD68(U2d6)#?ixJmfM>=(~+D_Y&r>2P!{HQJ*t}Z%^zW~S!p88=`w$;?}YsmKrEVz zp64b@6$Y^eeX#i z+E6?{8+8&!Twkqq2Wp{?8Zx)1|%eeLn15`uiy_I737KbnWYp zi#rE!JwH=Lx%_=*%E5No14JU<&J3QgDCd^5Q4(Gnw!$ZGL!uxo@)AHze zBx{gikH}fGET_)wwqZxU`_u>tc(pGgi>Djo?H8ob%tcQsE&E}t^>QY^zlCK(_{?$0 zyt3l~vGmL?b=}Kz5a%j_SR>A>$lM)}wmmX&G@31@(}7a0(?c_{A_Gwsd&Xm0D zhqNQi3HEQ0lqoD(IzGzukvS!hC z&z>Qn(6o%wkXGhIPHD+HPTjeU6XE z7B;###l1My;;oT~!l`7y+4G`I?01srY1?+H{jgOl+P(TF&tyGVM*%q)C0aEQtJ2Ue zo7dwIBHre6U`Y$hM&eZ)Da8&Cg)+E<&%MK6F=0JVw4m@llMk~LbD#OTKj%?40ugXl zzWnVAX`OdZ(^&o%18}rC@xH5nEmn;dsc{QLWMkLQRIM&IDclGcxFdJey%&o%W}d8* zBl*v$k7vOs#TC0`&My`kK*Y*shnA#s5xb?eH-;LnXpt1Ehe%9By={VRHcdP21a1Bd zGlc8($E#76wd>n1btbg_T13-fui$YOH*)1k4z7ez+Lr{evhK4qmV|ZM=iEFSa8_}K0D==LvDhvTrs25Psz~|I z@>Fry_FxR^HtEV5-aAPtB8V!*^8ene%MX z)O2cu^MEVNsi9so{PbH+c|r9xs2{6rXAjQ;!?p?x-R2S8=P}Lxw#PhnSFN8T=u?`| zZP-WtS(D^1I?#JBJ1vnsj%UTxh#QV+M&RdS=YsU^Swr z$oaXiP;77q@YobfVz^??2)JiKdw>!g0hMShS=K)|Ch+H%f3R0UjV%d4=3VGBt2@3D z>~kybu7mxu=Qa*DMoHebt#?QHnFMsa({24I=DIz^S=Pmm3-lUkm@rKQoabj)4q=gp z-;z_O!@(#V&iI@n@8nbhTh049m$Br)`nd~~I*DXritSd!M~8C+KFD8X5gf6^1;9ph zCYVVqlHj7-GE52YFSoyn0vK_cpKjok<^8nfShL%?()-krIykU;h)ZPaC&~9c4svh| z8}Z?j6gm8`U)i`nGJ%`eYEPVM&-unge53N~d{WB*{QVi-$$U=o_P1*wiYzO0{J+Qa zmh1!5l4{!{ks|Lp7auP+GB2XL$gHkb0S z&ZSv%Vu{CKk!OdGEp7v=1$-~(pL*`@uiUbBAQ$K2V`>Pd)o=@V+iP*9?JL1KU$?E& z&YgAWma|FwK!ja{TL-^G{Q}7CfUUmIte*rY@mHAmE8w8YLch(JY(Av%yWvLB+zUL+ zgX@0zD-e*otY~S?zKX-w@GX%9IZ?UKvbVz%pAj-IG|hikfBVJ+#o^u4-k8gg?N4*A ze2VAb7zPN6m`?euJo&;xaXXh<8pM0c&m`0ju2$?n-6?Bp7wRHe>}b^l*6Lgg3rFT@ z4<#r#h|C;@zY2lya1zQ0OYF?ymmU|{csbkUZ{m5ZfI4Bul85MMx9YFHad67>nicx| zg*ufeWpTM3Fo)AW9<;FPcJp4hyF81NPlmr-#%Vs=ssG%07Ji*ua}$pS&!yfeNM)DG6#kaEj(yv<3Pq?P`TG*gMQ3=f&91uZ|8A1ke{ajT1!=fbHesJG zq`U*7a959WBd9#&1QwdG;6Q-YMTk?Y*qjU=UbNC{eT!W$x4$uJI{(tflWpbQJ~;dK z^aj@ZbSHhMB!|_S*@87GGlgz}AQ0y`BI&!DOF1iXP+iO4_B9HzNBv zh0Wnufxm+2OhGz*m(FoF;qDxwdPX`AQNZ3fGl)pyWMQPek!tDNX8U!raW*Ivi`_AH z4-GeH*G{1|G!a^3a|JF5jk+E0B=-5sE}~~(60r50_L1JL@|pf#F?gIK=hWC1G5RaN zqGPW=yDR;DCIv;?Pt`|SD=D)_h`2?yf_m90)}<_-?qY)3;^c6qK08}6UA3pNhG*Cg z$_rsTC(=VQ3$iwMfc|y3OL!dPLgauMl>+T@r230M?Qgf!_u{)*7ulZ!>7A^72RPPk z|F=q|60$-WArwi-s>sU7Dw|}lh_Y9dLPC;|tgI-6tn8M(DI=kbjFge=ct2O&_x-H< zeg6OVINqz{c^-#^>-?SP_xoAj^YQFdm3-j%vxao-ltLsg&sEzj;W?MX^71E%^=T*R zjynFNaQQa3t9bA7DL&IpXKKj&T_;zEjNDh`cgI_7z3zPQ(^R>*gxbo2{pxPRhOJ?x zYZ7eB%tF#UMqhEoz!sC!axz5ggg+0<)57tYZI|SA9f^o|*$DsH;Fc=kf=_OBQc#xN zI=GFTh>zw{P7W;*5et!mjHHI!`^h-ZlT_O8*ExyRib<#?hsm~3o!&J}vuoEKHEZgN zn!XRyuWBCb=2h-unbTDCGbpy*T*|eZCYYC%WMe_*nO$$Mx?bOPAkb)|gEIA*gRC28 zS4_Q+*$aK73uYbrzGTDE66+rNRPL-4Y@+Ay=_Hcha8gjOU{=ub7m-q;GHQ=?n)zZ>3+R&)+ z_YZqPw=q`W_upJ<{{6p1e}3>nbkpz8{Pn>o>F-a_ZEe;yH60phh}u{B`)y5MVITYB z%ju-Kq_Osp24fPlv&o~0$~I6264z`$VM*l6QX91Ua9Mfz!qfn{F8(DKB~h8-sX9?M z3KHUt8=iSKws&>8uCM)^pLeO}W_T0PEn*`3J~@W{qm6IhI`x;iOZ)gt zf0R^HqwtfueEG71vNGeD*mJy5Drx$9dhfftZv+HLxX;S0-KWWAC3!V8Bfh@sC@uXu z@sZE^%0PU4JS{CPFE6j>{G|KR?0yT6@R#_cHzD=U4LW=Hz^`ZjOge9ZNmlA>aLZS5TaTXl7+I2BhHm#69JA|7*NUwezB zrKE;~b$5N~NK-AcANV#hlA3wE@lv^fWwY&v=a+v>yfZg9$1hS_Tf0~zv35;&)5eVx z6B9{ENw;p@5?`6jdzYcz)!A90TgWWo?bY+lyu7^Jv^f9MD2=zc;qyx`dwW;<%RSYs zubmk(RcF74pQ5d;t*@`oeb<@!D(j~&0$h}Q^;{FN@7}$0c6K&+e(74B*^?(vZgWkJ zccl4A8DF^Yq@bW6I$GD>-d<0Sp)=>$rH@w=6BAFeoKzhe9OR;|PnHX9?Qk4zwzjbm zpd*!*mfo{x4@bD}`SWY5OOEa)T5t5UwOvP>qik$!!osd?NwRqsO}B!AI^MlIbnu|ZbyCAzld8gty^)9X{S}pz zl|#zQPXtvxIBFAFROG6uNfV)%s>T(?Yan$!zo^J({pW(l!FP>~jdgY7t%=h7ml+rs zCcY3}$Hc_y@9Xf;hMXGuzEn|B!A@CMRW(u{!7V-Yx?!kM)aK&Fo!beo+3(*=FMaaG7F#uLi9cauZ=ao!5yT>IVlpT^;MMWrL-0{8 zA=_>vOUt4wD=x&6-v%lxu|@`^E>~vjIMd(N){e~#)x^ccjU7m6Nf2|MxUr3DI1pQA zvL`R-)-61O990cNLsgFOTy=f@UWxTpsy#x9_z?x&B*d>$6v9tw)OmV(4h;=qKjI-D zHYlOqyH|;wGPmf`%KX>Z*jW7{yDQ(DgcTGNcF^+FHa5y+C3wvS78VMH*By8K-1tN@ zM2W#-HWp zNfwGgnJ-=0az3l;u8&gg-Miwgx-1p%FE$=z`)U)At?~*Z! zISsxE#P&5A8lN`RdULDg?PGQ3<8D{ulAKpsB-ZzOn4dm<8l|q)WuMAAO2lbN$(Lbl za%6i&jEsy}E?&V2$Cue&ym-QS;_b(eMp%5^JPRC`L@iCtcVlB?*g1HgTdqrTgf}!a zq+b7m&y9_xKYqM3W)8KGj9Gm3owlK_o*u7We)`j=Dr*uSg}qm}f@lvtNl%}ioyE@C zv2*8@<+%fIyN_%RlRxe-d@~>*puGHwt?gvF=fdz5k*D0qL|;T5`Ps0uXU|#*KJfFa z!h<eZN2Nvw1$lZ1D0$>ma)ukUfN?j2C4 zAbu%M#X=&*5nj2zwvv;RgQs@m2Jv_fs)3}WB#!6q!{#dD=byyjL#bXc!xRLeJYqjDx(R^TC@?!ux;u@?{3W6AVX%1^o1%)byomE%=Iyw2dIWDO1soXtn=J-F}xtl|CSy|aZ zZtlk#6R39dq^De6i;gFfl9KA_>!XTe2l%h1C7&Gh1F>z9qvN{f=Z|vPU|t zLs?mQ7jbTW{)bAR^#q@_=$II8)y7*oGiT16=_z(hQ{yT_xk8DW>MIp?qB!sEy(Y0X z6FNC*yJN?WCCBdm{))^@TQt-dp^Mu|NUW@_!z65-oaSC$--=^+!Nvxa*}%YHKx=$g zpbTZ83~QKuOGioKF|tUDgniAw%>tkb!l#MMpTEJ4V$cUX%;oP}%sANwKN>PnNqwhsTtSm3* zbi8~ix$zp`ilk)SO2u;qi zrt_ss6hAM0pBpEvi<3hn>hY~x+vm?QGBRQ#uP=91Qc_W+9=%s(A}c3{%>m?5l$f}I z#tAibm-&O@;wAi$9lsi6<=V21yRRD8Jpc(!ZEe*Up+myL3)rhdLPDE1Z5n&~1V3U< zy6*dE%hoMhT4(6-Cc58b2ycGGFMq&XKmV3EM?)g_#tjTk)ZB3S6TqeCm94s(83FHTCU{9+h zE1R00ezVT3-AeGpiSjIT$^J6>qvc*p{5(7W7uINgR{H}(L)RxVvTrjU`#d@tz#?yD zl^z+{iNjxL*Y_kfb!2R8ab>D9+3^8dOzZOWC+YD@W~T=pl9C(db6G@07O{GEc6Mnh zad)Hh;!s;rgXrjP{^SQh1z4+;>~u%(_VjFUzkKf8-tf9r{4DXM;cy_RxMQwl26ns3 z%WFcJPpcNn$(>nUTbUY@rxq1;8f$H>Jil7@s>O2a)~%D_6nqwmYFvedg*IJJzmAVz zc6AL64UHO`*f->gsxlC%pc2o0VOsJ9XR z&|Nt{!d6bjeip^6s=8Wn-IwL&vu6%K@8{3Q0~3^%uAniG7^Zf@+VI2eESyErs14)avRtk%{i*}nwrt! zx^OBECAx;xof6raA}4_~UI2zTklZ50sFfX1quxvwp{h}90!}m6pEnBvrf?(~&%nZhV zDyX4NwM97qW+(c*uoa4tN*w@%XvO zMpPs0hNh+_B@T6PgeNoiotyVEGWOWIpxNM=tnR6**L`}5UX7jb$0%6Da>}u>CrLKw z`8hi|xvjn|N*n?wPV{wm)7fO2Sy=R!IHMTRN>Zq+cO23$lsR=u++&WUpfEq*%Erbt z>%?|HR@xvO)Da+a8^FKWk%lF&wH#HnGq78i`k@)YqeoK%l)HO-kBNxLH>9pA-RCpz zExb4~GJ=AM!q!q-OGiid;)ZEwrY`V8VP4*8RaHwqIvN_9Jwmo8vqDjt(OFDPlG4&F z&!0apCFL6v6XVnfykN%f4rcNC(J|BNV5~g8@NGxOcP#z3ZQG=?73Afor>E~M?UKj_ zO<7)9X&VA?iH~Qpu9J>g0l4$__TJ5V?y4%ODHWPPdU`sxsG*_ZrcKuYE~rRmzmagN z7H^dO^+#N#Kh4p?$f&im)1QSTTB$H6N5pH9Z<*}^@bLcYFE2SbB(+%`IdTN=%g@hG zr+de4-dG7AK!D(vM#NjTJUv(J0LpOv`gKAJp<&L|$xKTN0OZyOH(nX(wXZbK>tPME zst%_25@)_>RewD>nJwV;%>4X$G`Z@KJ-xlX>t7BXJn(a_L-pvoKlhy1GH_t_M)%PK+Fxgho$8x8m_;xo~EWVzzFuLRN^$Y9T?s0`i6$U zu&}V`=$=-s*`7Sh-8?#KXU{rJcIRGMnYXsGIvK*K4z!f1U-a!mvEw;jSMVlO=cvj} zw$$}BT$F@AM9n=n+d4bFf!2Ll@XcmsX2_YvWhjVOl&%ukiM)zRf1YJ%US3|KS|G5r znYsA^Ztl{OlBA(cZ%lGyk8qn*r9FIjRVA$@?wB@qSwjQ&fdktvIwWd2I)2}OI&!kN zi2SR=9RU?;u{afWN^3zqclV{7-DO@&l#+MW*1RW;#%c{Sw}~XlenutK)6;7mLJ43E zyIEyxWW;yzeLgVJgPE{srg`2l-4bHd$MllKfK;g;B;S-A^+azAi(2^ig zQ*!&MVdZU>n-LKagCpC27dfKQ$?}=S8B?4fkevhSkM2c9C4UuF`jQ)Y>-KFGQ`6+e z#phSAUcGmZ`tadwP_BoLdqwt={Rx-p>FGs9Mcv)qQ4geYi7A zA4Ec$!mK?-fvr9RwA8XCJ|Z%*-6}uqbKbLOv#tYIT-R3JubosgG|c`Dm`8BRjx&YU z3;~nc4^%LoSo;*fe2Uri8c_x>a_qDe2v9hv?i!&{$%9T4Uh=j>2DJenQDagsWqZ`7~Z_j5AK5pr6^LevXq<0 zji6X5rZS0px#64f&^FZ8%CC9dzI}Ub`AarEX*KAC0((0)^FZgG{G6OtaFwnu$OfkT z(PNi^oo~J$037+Tu&_H&Mmh_{08dHdpfj`#(k;oQrF$++-j{_GBP%=7r^5fo$$cT} z*>x{6@+{ZAKq}58k58XIfwZ566x8S2)`jbzZpzEc-wX~$nW|C(-8*>jVE2J*C+YU? zMNuw3j_nx{5OvsaetsT!NGz_xri*uS0l%UCdO%Z*FdK=9jg9AU?Jm*euV22LR#9=e zeEE#JdPaIW$+m4_(at{(LWlc~0|;uIIIz2arG_mS(p61$_44APysD!#Dn?y%vkC}z zu8zjRAAo*p+BGcghWA>F-5^f2?*@~yFgHg@2??s%@VgdOlhjWgAsj?uAt7=1**Cy_ z_4NdjbXrPkqn^Po;(cNAc$<)`pnPm4+p7av>2T%h;Ly-@JzXB!@VYV_?+9*fCnu*h zpj8)F*Y){cdqQ1`ILY#m*$&+v(xjN^7ieKuu3YJMM2GQ)yaW_w&L7>*aPa5;q`W*T zNeBuq-YHX4Hqa+EE|KV=Z{K*s3i~Fypaf z$F>p^%ZFOfwavv|hG^3OnA~c?MF6anL_};q!tU+6-p|`UbP<^IWEf=n1Aoc%%yEwX z-x9a`K(tS3JV~=_b`0D4kvoG50 zwf|03652o+{5PaBAMPGdOItmC7 zB3hgZRPdBly{$wq+$_^W=fpjm!D68ozJ2!&`bu(A5*?|3tmijY5)%`Xy9o(~y1K<+ zs7Gx&8y_6yK6+HM8TjskmDQW->d1Td<`x#n%ehrmTQ+Sa8y+53d;IqP{rew3egr8} zG|agO7}Hz$wXZblR5IfUk9^Rcrf1E6!P7furm^!kZ{51^;|Dfi)BPi+PW{OxCCfRL zrNzZG)YP?^;bcTFa_IK#5k7h}kw1i~HrW{q$~IKcEBw1#;NamGwYCNot{rj6efkJY z6{`mEWKQrGLiK@EI%aKT^l+{}pNWYHm7R)HMMiZ7x}F%QR8kV_cE3R=!1<$@&!3B& zIKg;q=eBLdu2W2f^wUtkaL68OJYg-qU~aCdqr*kL9rz?SG|;Mr-X-MLEk$%=a*5Te zKa;Yu;_lvc7;R?cjUq^18Bd-l)+nT&RgDt^v35ItUPa|LFj+ngr>(JZVxvDNkoe2Y ze@3DlvL9a)r4845JwI3BRqV7&HVZ}HR;UyJ{P5ux2w;;EyJDK+C4AN@*MCkGdA2}) zPOTFsPRz{(9j>?|&yHI0`cV)wt>*-sqoEJ0 z5ci=s^|!Y_gMNdzo6f+zWpV##!3j%fb~p|B?l3hOqmM+1jyo9JE0Y7x7K;lg$U;kaKflm2z`)jg5_+#2Eb_Ug7%~727on z2P%_o6@m_lFKBtl4Cmd7QQ)S1B(n!q?NaX44SOzp+eea=m}qQf#{RWy(1wwSh?#w= zL)3G1F&A$wE9)zYLw!R7h{i7Bm-*x)APnG8pvmn`cKI|hckd34j1-tuZO@OW`*bOX z{N^G7#&hBqe#BYs>Y06eN(C*XN5W=@}U6MU4eG zIY+@e;6Vy9eAVpI(bvy5tc*X&l9HOL;Ny0bpZ|fd{rO%WD=RDTl2;y;_{YHD9qsKE ztFsN<+E4c$cT-!o-zJe6i!Yh{Q2f2i(5I=n`Du1`fZl@fRYQkU6BVew@aRI5Iia0N z^*Y!$h)QRGWeJp&l(Y&Z9!IAj60x+8hW!e=^yWG@-==6&r`kKFmm?sTa*-hmxL-4P=lT2pYbKAg>X?9zV)>;I+)}x0x&GE zE1x+NHs^Ep!i5Q_13y<+Yt0<=^d77l8wLUHn49m9P&6oZ0QZDgXyh zI)@ISIGuU?7F*!tl@%8H!eMl3)dzyxVirwcfKc6%XEzCd2!%V8MII$n$D1|U)5XbY z@7}%l&TN6cA{J){MCYT2^%gjCWc=H=M{QQs)zyK4fwHo)_+U)Cx$6QB#fw_EuQ!Nj zXd+HW@xnK&1=8;5Q0JnCBC1;=+4J^ozHJY`#w-jEH%JtXC6Fh9BJtgAZBb4g$66Cn z`oKREf0auBQAi$)jB(kOYb9A(J5NMLst`*$IXj!0n8>iUy)Upy_0iVUEWbQ-3YI>$ zs72F*DvLZ_p3az&ELgrODrEC5oO$<7!H0v!7c1(R;{@3sn*HR*k0%q=gf70n(R@wT zm_M3_)&}JmWs_Sg^ZDf=|G5u4R&%(}L2;l38E`^)Sy+fx`d+@=@b$-yl4(g_Lx<0e z(QIMz6+h?o1qAZIY~ZffE0Li1LfQg~eVm*;1mg1j`}dkfs>FrZ=xA$u`>DafS0fGq z&7E`e^O5ode}e77?JNivVe+j{pBs8F$y#{qtmxc8G@ZCCKP6y{b3hxkpMnG&OA2w} zY-v@6$0;c?9tK-bHqd*ZB#SD5WgOz>wz0DMIOB%n!OzxYNR#JFXa{u^DZ2xa2(YrX zHOtlEzi3AdsYW`0?A_b9({5$xEaexLI>T6pVo@)1dnO^uPclo%$#L%Ap9(mEx&}ts z(Lq((U0cg_;J{;?04S?Zo*d-mC1bSU{Mj<|B!>${0ur2;cqIh##hD>C#;`5H>gV+x zSlQT?yu?FmPAe(Ne96vFcAOckYHd~HjY6oP6kRAf$8!Mo98(RIZWBqraQ zLWd5NnZ>>i=IUz^UxEFcSW{eF4E3qK^Vb&p7#k9BV}KjkjP6%dL_}VEwRy~3C9^7! zz0GzL5#3spufHQ{zk8ej$-OihV}$LIYyo+`Lj!2<`%7L7l5k5r{ zCVxX|vHOLto|Llk&KQc@b`)epZ04|lak%V}l6d;`c5tu;pU_gn@N;zmR0DN&_1Ldk zk_j(GJ@;E!Sd2xM^N)>>pt@osK@-J}ft=S!CPnBUvJ`J%0ixa% z6ga0_qej7Bhc&jBjFX3lw0BZA-qYK(Rn}@hrGkwOcT@vVUVdKQo&tWS+2K0jJ1S6- z0ddI!*r>O|l1_oghgtz&5waD61>fx}e?~T0gsMb)MLbB3|3ZFc3fDvsOYY? zlbC^prlYN_i6|<`AF_GSGHEb>_H0=HwrqnND1pV#p78_eq8#+~{lq4L66tWt&cuY3 zdOJO-KgelF$j+E1XscWnRq$9d{QXa%hB!J3#56HY#Jc~fi6`nyV7C(larYLsSO1_I zF3rIqApk$4jnU!p@%>P9Ac?lQw_)A+_cYf^W4}RdhRTbQLc`2Ffb@l((5=DGpS9G~ zh`19&JK_a`!Co)FbrfQv*;dK)N&k$MpdN%R-_w>2T7P5slh`-QFYpn=_g{Z%v9|L?uX!@sH8+4R0ZF(cyJ*ZoE`7R*7lsf{vIl- z>rA1(;@7JyT&us4ZGeaa@QY>)tfHp}-wSek=Cy*$L)E~5{L#Vjr(|THC)jTN25WEp z$rs2skYK+axDDr^lb~7B(466-hRTrGtczR}P_zpJC8fhu{|Q@9hgHu=DsJwKSFfZJ z)u8AEJ8L!A4x}U{wZDBk_nKV7s1hP}T1pDU&47jxf_KKhXB}+?Bp!$nVnBE}TLf|ekbS||Ybl|HxXRa@j8pjL$2cIC>6fY;H&_VII@5i_uAm(JU<9(dBU=DFj% zcunjfz5JUEBj}P3HIMvZ0Cb!GG5~h;S@a@<))09J`Yz;nsVvw?*vUFJp;C!BIQ{+S ze+8a2H4D6!90+xfDomdJ+R3YNvu?++DQ&rk)=pGLZlmI`?JdlLC7+yZ3c~}%9UkZF z^P(amH!mFVP-Le>RtOUI#MD%!c?0di2nJ^6Py<^#JMZDzFw{LH(46{8oFVyvN!+KA z$ov%vcmiEfRh1JzkW#_pm_{(d8`cJ=ptX!mP1V>vPfL^2V`(L;efW??LZT9){0q63 z+`y6&4-E|sSPB4d*RH*UADeqtn2G+6M+AN1w_726U_{Paw!WnWoZu56*~l3hj_?Cv z^7oY<`T1>LK3w2Bb-rF!G-5mC`Lt9)n@2+d%V^GqUH(VQS*h@i)b z?E&|Tgyh1mpsMy>?_{x?U*m#(S{6mb#2iHSqK!L$=_EK`P;0syNQiy0B1n=ftgWrV zMx3jGo(&Ol%hs*m-O8{Lv0D)eFj-LG2nW*S7Z89BXE#s*fq>OuY38-K=X@qaXh;%8 zMMWTD85uiPIO%plLqj)^*7t_GZIELmsi07oYg*&qXUX7J`F9qBb!X_|>QdJ7CrqDo z+CH88(-|>b3mbAcNa-)n4yHVtUXL`1Dt~k=#EJE_D^B=Sd~+0OWuU@}q`BId*KGPFN^F^Mm?d@%%Zo5M&oR z>+2Ouw!Q5kyaFGsZBZp=*wNfWcp4H{mZ>H05YmZ@ivi@!tR%iyI8MfJ06IW*fq5Ls zROYAE1~Ci;82-N#j~{ZK0?@t|si~=3$LF8|Le=na#|Z8I#GTac-{_hD;ckekFhADe z`8?wW1qAN~U{c1%)xqI^;)J>WEL*(0yVl-8o+sEIKv{4@#%5ra2Arp-p{W|0QArR# z@fIE#6~ch)#+;c@uh1QF@0A<{%u#;SC8dh>w#lN@#qpLc0QGc zN<_F}m>I)UoxU3F2=so0Ip^o*@Z>(aG3hLX0xhLS+)))@f`OJGmALxV-Y2i1;Dp=s z$5!!ID+^O>B$N~smtbR5X;Qy?5gAE4_q@0`TAuy3lS`G&(AJR6qmz|@(Ema{+n6|% z;69(1lS|9ZJ!3J3RK`g~#amHPUAJhsTSdwCl5Mq79;|_zmH^@o;{=rjRS9i^Hs~F? z>~I|w)-~au9TjZ@(~B38vh&z@?M`GQ$Inkl8CoG*dvP5d7<+P?O%ZHW6n8{Mt^f$& z{D`_uKgF?#qJedSCT%?e}_?bw0YK z4OmB|$iJBE+@|9P&D#5h{)xv*S@@O1@5&4T?hwBCJ_TaMmoL9#P51yZ>9hR&Sn(?* zp`k5EXo&8;9lH=r9@UU~_Az#Oe>V}-5YsEa9ny#CIt8?b-3N|7%>5Xal~ z0YIMu_{TyYQTOqw1gUUQWT8McOpx&5CFH?I2>Ccj%Mi>|(x%=QoNo4vtoifoJ7D{=@GpaiG)}-CDm5~&k&)FVx#=1caBUtw zy)BtinbVjc!7O=aV&Xz{37_o&#+YDr!l_;C{10m?tSCdC#5dERR15aLquj7Yit!SV z4xq8Vrsj{?k<-MIX5!QE)PC{jIU_48kxcQSdv(HE0$y_SAqm=-&;O7itW){jzbq$W z1DZ*K-vqR!H$w4;-vlWUN`&~Ok1u|%EC5FD=HUsd(yR175vKxWwlFtWK~;6?+qbo^ zMFWH!FZZ>Rtze{hwYI*vohnN&Nnv2nhhB!X7`kj$;^G1iC&WESDbTLK6c}BGfkVlW z<`lO3AY$3PcMd{AEQ~pnSY&wwN}NN28iDDQJ;{s4XP%xf zFEOj5WC%eDayJD9%jk7tV&c`GQ$FjkPa$u??!+r~w+SB~-^qZf$^P;ctR_Oxw)VOZ zVbT)3C&tF;sHh0s@4*9x@g0j^NK91#hWJUjmo~)uveu~s;SmB0)66&nyNH2Gz+3HQ z!#I$7Kuv}a=#QxCn>S{bmWCxx3f`LTG+yGk@$_|dwMG5C1CXi-_zYsGP^cse1=TIx6*rPd|VaC>CB|OIRnkFtDg=qj|5L zynoysvf}LE z06hrN2%W@pr7jmCcS=id3ax=tdxySm@yUIf{E6{#1%H+k-}WImn423`WumYkQRm)b zAKWNrsi>$3B`YauWB4}c90((Wc%z&Go2aU)PMY9fAdQ9^0o@FL1HNYKF>doZ3AQy9 z0E7}zE0Mh*XFP3eZhq7$5Exi7+ScpcNed%eLIe4C(tY>(ERNIc(kfIJ+vRB;iw_4V zy-(b&j4UoKJ>!XZvMvivc+S>wV5CMIc}75;0-3O%OSbP z7$&~Sv_p=ytm8(mDe@LquM&;7ktT~)SqOrWpw*MTC;dGVL-#l|g_M5IB`p4T^oxk^ z>^+tpVD@;4i;a@@ZQc2IaWP^M%imw~CtD}N2U9nT3XW6q-M*uHZ5zSTGl~_pBoJwP4hnyZrg6z)d8_F)_kON3ko6{M)0lQ_w{F#A5#1H$@wn;tj2q#;VOR%_KxS4J?C7cfa_-UiQW9SrbP_+Q zE!T~q+#;WCk%wb*OP63=JxNU5wP#Q7hYyVwc>#)vNd4H@*kJCZq)ic381dB7T772b z1mI#l9*`f+%D~=v+VL{Ol|ex){WOC;^)R+q_}KWM}EW+i#|xHL$1^r-pvh zQXxcS=cox5Crl4s40Wq`{Gs(FsJD0=?=fFXHvx4pU4A1PVe**!Y@__g>W zi2kr_AS{H}?Jdz}9nwdF&z|Kh}% zK<$PIUc37I!n-GcK#@^VY$W^|ldYe<)zt1JBn$wLq_`g5Tulby5W#RL$av!TJ-`TS z6#HzVkC;|NE5Y7I)m%a;MnZF8O@ujy^;6e3MAxSWShy&scRBa`VZ@R6-l;Pain$2y zGE6?@3u&|xcss;t9@;O{pI*0z!Fk0&gk^bzE*PT@C_Yz~$J0QDvFGSs7pkLRBFx|y z$9wKML@gtJMbr6hLkzm!((%M2yT)T?ndp{~_p-Fh)L&X(+9@+%3B?M}%x)s7XE)G
    2?@ zR9pcfR?Di^XwgN;thb|W6`5E+NMsqS(%aUt2eX)Zp; zZ{1kmA{llyG<{S_Uw;L)g~S(zLv>A!gx4a4B!$wKUBtUci2p&g=ntYT1pUdix_(DM zb9Hzu36%E$7uUm%ajX+S>fG!s`jb@5x%;=F|H7gxlRV!x?u0Fu+}8T^k#@Otu1@37 z41!OznEB}LzL}~IM1mT?PVnJEYt-RERr{bTD)Z3p27Q7H5);$#CXkty8A3EG^UaKZ%`SkLnEVniJug@%&*Nudkz&?3d6%yAb%tpAogi#0|H_8(C;5i!$u zl3^({;~_Q+0crA!_7sG;dw&{(xc90KhD5ON01uFwaArt+jr2kt<|lR0o?sRWSVt7JxfvGWMqiNtcbF<{Qq)q-@k7)ji!$RScT^cx#{cs0)BV=-=<@zXYo3QY*C697x#)fn2m99yHC&0H`mp@ z6#Hbx@f3p@2r0Eh3v4~13G)sL=;h0~*ROB#lj_O0rV<+>^{X>`rjvb7QWBp)gDItXYbhf!E8}X3P}Hd|5yX2`rHy zK;@XWims5*j3Y`nbS9;%0^n4cIdEEqs`@sVHRg^|b{8U5zs{1^i?LW_X7O3ISpaT~ zV0_%^#cp2vuqbHGO&_08V<#dyb?bjQ}E2T(X%mIwZrcfPz40-=$~T0CN)`ZW@RD7ggC<&wGMdr&9S0vlz}39RdjUd z3X7YLZ8n%a!y1-fSm;pvp|Md3+v*IrH0M6UGd+K10aW8ruf48cMGGOSX1F!>&ZgLD zoU){7&#&CvaC+(vq8Fb3*WBFPcH2et{o&D3Z7nTcog5=1+6h*VvT_7L+eFPq76pnX zGELG`^@!plT7(9paquXVOc4<`1fQl@zj%3hsl*=_HGV}*Z)gee4OSS8Vbm4@i$=P* zg+&YQm7z*Wz)xS_jXH^Y=#FtEY0nzj+J1oXRWInlCpNy3X!`QZ`>!#-1wW|izvlGd zFAX~s>u7i2fZ@2p_VZxawd>{R_uQX$FdB-_@kT}l1jxaHDhv`LOvDhRXblaSL^ZD~ zR|4i^u?5r8(oEC#?c0Z3KzRQ{1%3Tv`}Y%e#5vxD(FC7rA%QUFf3}mZDBbJf!7rW) z87+X>`;LGCTEoO!I0SEoW-t_oA(WIX5o1Jl%;!Vkoc*?sP>CX!cCGKkav|A!R9ILb zx>2}x|3`v0*)-yS4GB5jKuwJgQZiga@q|!2pW7yOcJXm>hbTxOVldFq>@WW`1pOK^ z+In_KddeIOX0L@lR}$Yz3?m*prq3Y?Lu(pO zQNhpU3knXvijhY#=O-xd07p(vf}L|7u2^9{3lt08*Sr5GgkSLY2w#HXe~a+Puv|R6 zyz%%QFbRlR_jHX5US4IZJx7gS-9WxFA}Y$&$q90o1RI+vk`LY75POhurXZP3vH5>7 zhrUY^%pnAmqnT$0jDB%SwSR#4h3&-EB)_doy>gF&xHtodTi0J=Dx^Edc+-V3?Z~sRO&zuIyyJ~$}fF-1yLV0g^mDwXb#*q{AkaCt z-LyHHwSHP})b{)5CX6+|`!#i7 zZ)dlLp+l`qotdW{P;X-Mj4}K3H)AfB0_&X|9A;q`#m2=!$xD4*;Wop{&3*dU9O_YV z@rvSNr0yH&38NC2NgH!o2JgqIDK9I7Kh>(j@^)f)SmWQB#$87U6`JkJtaR4>9?4{<68-Av69ZuwN2iXgqZE^a=~R zDmt8?e8HpuKKn8;0YzQ~#|H23tMM!Gb0$|f|412{K2d8^Qc#$goz=@V*(_Fb?e!<4 zECoX5bTAx1HatB1*8txhI=Whu+>+ALgyZhMdLjQxMTRFu8~zJ*VLm_8fgm}$hw|yu zE3*xU0Y@D$zF@suIm{FGDnI6HX56qtAS20z=7wED&jidcMaGjbwu>A9{PefoGp{g_ zoO-OJb?C8rnn@)!CJhXQF!YDf!!=BGB~~Ka4LcY6aWbz(JR>U$gKFOpc$e!DE%p9c zGS|fQlyp|a(rPCDi0LUB;P2cwa?LGnz}e&@D(lda)^S0!Z#*1sOtIM(Szi8W??95S+#gy=+__K=u%vBtp;(($Sn% zvujA>sB=}shOLx=7}JR4(WOMw3zP4*EkvqKh7}VoQ-THv=!x!$$28A&KYmDWZ?Dfx zPh-yOaaL9pFUiiGILQAo-qRjliQ7RC;m715VP-MoI0ng{6%`@E-!?u6c|_ru4hpyZ z>Iq~64vUKRR8`3;D`#QB5PS4s;yR_FfnlP~P>D5>b|*9<0!1EtvkkvMQVwJm`y3hi zwLOA=H`KEm6%eSjn;Q{}$uD0@Aq%@~c+ZWW3$=k~=-UqBjQ|ROcJJToq0FM8ps2P@ zih2r^OA%NN62rY117QA&4Frh-o8DURL2fPvBTbMK&CNZs9PvGiFzkUu+100aM+%7?)ZBJj1O->myNyIXQ?7Vm#1E43nST)zus@5#Wli@cR-5 zbV1I6H1JAHyvBI?7(I#a*u+Hjn>Q(s9`Q#jS?_GZ9J0NAOMN}GH?Tk0&r+jFw{QNM z3rfJO1V|OxvA8w3H^7Gosl*Cc-abB#e@YuvaOsoO>C+9!7!bzo(M#D%Mll7-tNRS5 z9c=f_5Sx}*7VTS+#%H`;=(%8L`vIaioOd-%&7Zg$2$zf?61=|PvrfQ0k1EriKX(In z!!zW!c?xF$ybG%??zK3L{RQX+B%0jnNN`Y81|itdmt5UZ!T-?f$2)CAx{h5Qys`SF z68h^CL<13B@Oy<(9Mn6)9T2FyI#Y4SfsKf28*I8n!8KuaSDD~$3^*F_Dy<_h936H( z>g8WNN~Im4PPAb_JxocQI_2MJHKSdX`A`#X2tV<-9}~e$-LprRC(>!1${(YIfM8%r zctg;EkqObSyAbW%44wq9RaSQMJo&Zor(t1da4`f>CoEQcEpmcFYNu!mJuR6c)S z`tjojiYv1iYg2vwc71;7k_^)Iof}Q*0eCR4<$arvU zn`Ock3~RIw0rBFthOkEfEnCOguIOy5)J8njUyK#uMN$m9z?6X&Ub~%$hn{4!1RZ+S;05B*)~ z=E>jZ4P#oS<_5OY?JldA^WZFV*`;T0K7s)Rgb(pRA>=}Hf=AduUkoI##%0Dw2l$9> zZY#8cT+;m?>$$GqjFr!Qd6jUfgOzsn>OQIG)+}dku|#Z@l=bu7;IWIuH_12a5^-V7 zqf#!nduK9QoB&&6(_*? zk%Cm%`RCe$XxGjM(MN5{zZi7wmax!FK@SH81dE2E|D;+M3bviyQ!8wE1l&yblwUQK9n{###fU!QVv+ntX4_vsLR z_4r7(Z5!m~u{>;TggJ1J(#=?ePHs6te1Z4iBzA&@#i;BcdpHE?I&k0GXWe)1P-Nm} zDrRR*6&8vcQlIuXkwQa977q8k(9-&oEpt7$M*?vYcVv8yv2W$Zw*_oQCEiU!46CEu zcSr&}1BDxXGg0k+TpU3a%B1GxfdoBmR$y9lduFQ4u1{>cU(%&r68mR5aLF1Mnnhlo zfS8z`JE#9u>o;g3EEL3Bw+agi;?e+u^NpZ2JEiEcW629xMoV+^z^XwYo0_UBV}n)z z^{Seh*xj3bmw&y)zP+OZiVjq>h&nT?ivij68j`5iZ{8658>5p$Z$WLs zfK&dsU-p;jhBLr_0k0)hfr8=KxaONF2c7azRZA^=r8*j&kws)*a9JqI2X}oKuuvde zAxS~a$k_Dh66Vg{r=X%g$6VSVA!;ayvM3}p59$AAJ_wSkD!KDLnY0db{+`y>JN!p7 zShKRT2TGhX{p=7K-YM$**4_FK6USK6om|glE zJxr&Tu!S8Np?J~G?(-!Pjf1#{&7?0e2|pM}*e_`DKwyHFyyXip0;D(X`^&cZn|>yS z^$k`BYoxEa8N~w;Y(z=k)Nv3?%COF0R0onxSJwhAZbLQ31iw!5OT@HJ801-mT)T#w z3f2e@L|sS6%Fd2VvK7jDok=d(L(195=XkYG38cTm4PD@lEF>3fZSN_j!t@NfdGoo` z_+9=IvdX<@9&2RkpG{PI?lxn>AAS4~!}uY;Q^|cm5&*AxTKb>TzBj(px?1$0Sg;ul z0SkUs53C9<($#Y^DcR@CK^aw4R7kfBb?2HQ#B!X8e5WVa5~gNR0%?P2C@C>zSc)sh z-f%?=q691gRq=!RD-o8z?b7vg}XwTiAcxXNBY>lX5K5kd@_4S21n)>Jwk{nlOk7txf zD}P0>0ue^cRKmZMO3Z(RFq&YVgtaM(dN63R3_uzz39By=}TS}xC(?H4@Etx zv@}MBRhbKS3}QLEvJJKQ4|0S*#;n=5Z?_ApIVRa;^(;`s;7-9hsda`C2nz{o$imZP;2R}zAgTs}2_JEMeYEJkQfs_Rmz!K1y$A!5Cad$tayu$@? zi1^F1LnIuzBqEhaK|S6xjD!;fG3eUEtz&oUp7#;?BZeKQ`8I52Q9tmV5UWCd3b)Bal;rOAcQj;dB=IeouaBYB6LFgrafQ z;H0$2tMiEi)7_LY0S9}v`W(mvI}8)FUnGakBaX zpoCE}LN1SmOb?<81ub8|B!A3+8f zV#-T7A`p;R7K-xo;k;l0tv}Y!?H){tz-X1Zc`B01V0=MUh@WpAabF{P&F50H6$g&} zm~jY!xgs01=_Y+@nsN|R+Pw@*Jy*nQ{TX(6;z9yvH@f_o*vgJcX5iba46JJ+V;c;*SO%t(RNDut?1P`xw;2eW~01?gBYPMadP~Si2|3s3OH2^@x0XneA6zV`B-izHe{cg`1mnq zlAVz#HX~;Wlp&;)ZLdGWkQzi5>02EjoxnV|6!FkdoWko;)VT0V;7~x;JH^R11OSJr zMO!yQE4%em=&mG1r93JLcp8H;n%W@jfxJ2Mr_thqgD5>p5Tl z|C5j=3ZWDdl8_Y1ULi?HLe`2b5fvc{?F$vDR79n+B#I&;ZT1q%QlUkqvK3iE^t-<@ zbIzPObI$kk`Tf4X{y4WYGnRV2pReb2U61YBfEt_BG?a|6vbPdUR%Rdl;YnqYY@dS_ z4`QVGDeqrEMnL5LChO|*a+efi-NA>3pqR3h)gQaY_IaA;&;manAC;j)r)*FRH0bJE z#chv~4{!p%de4JV+Y-^$ojR49nQ777U-|BW!ZOo&bJ5+pSr(O*?P^Y&>U`Iwhmow; z;zf&&rMy9wsPuiSj`T-{H`O=qyoBDZiyInlB>B8bWJUU>PxPlraJy}lt!Z z=3l3_wNH4ie=xU0kih`8&>=nc5bwg3m$c|yRFuf&ou#*Lcl4@2gl#(T?XyeCbe*ap z08}48I(QD7Zr(F_cLA9`nUy*<2Lf+bfjAlt*w0^CfAxDlI;;42iMKxS2??g++Di*< zyZdQ!;wDC#&za-;SQ-^GMn10TxH!+7mD?O0qei1*r?1IG`&yz_Rr1o16Vc()l^`7a2HrH`k#B{k8C~$N$$Brp$X>DnKq0O(6 z^?I=K`dl7h=d^or*^oNg1Jhkc%3H{iY?2jxB6+;9cnAlbGcYvJbBVOzD?Q13kOFYUVY+%s7R&FkqNR)yDvp0JVkRFaGlhI z4AZ!3{c+-owQD7~Z!+{nEc*0X-AmDxUuCg~Y?HU3F_@fc?hWxbZ_{uj87!U(#>3nv zkuWcHoxwjkkiZu4k&YSAr`!c7V$_}7b8}j6BK0`OtujJV^ z4~|w;SUNaaiCCP;ObyITQWpM0Y0peAAD2{7^@NVXKl0`GE3J=CHz*@~SN>Q!+__i4%tM&9{R^1lKdXbdcPE;3^Hv-# zdX3^1yM6m)Lc)@aoj*t{ggV&#GEZ*ciuqIHVW#)(g9f78{LntqnGYGc3M0kAEDJ2= z!$D$f4>;h2q5EH)WZ~*|J`HA^m{^DsMsUc$;;(!83%9!)2OS$QYY{dY4C4#328oHN z$DAQYUq-S(qqsqbgz5$=oD=ccZ`lsX47NMa3gMcwZVgd z;1bWAVO-5J>?A4q%PK!_`aBLbF10`d=E?h6Q`&u*Nj0JN6Eoat`_$R9hr@8vz=*n* zb3ZSzYp!|qYLS^)5!!kg2_{N`cnNvofgVp{!*sgf5kC(FT~a4PP7&0gOVA z#;HSh9~>bodJF(_ovV(tg2FRKwL^z4V`yVSK=t+JjbG^sNX(Fsfj#yJp;Zw6o10KC z^`!h~te-OKJchV8Wf^_lqG&IePyI*xS;*q1412Iw@A+tYa|AYzFeO`QJnWi%?`(V& z60_yYMRRD_qp5EoXU@*!OFm{lF#tF^OnBBg4-V9CV%%#J0Xbq~#wc|5)LRIhpmg`Y z&zdvz{#UbRG9)GHVqjsVSB~+w&l4xZ83Lvz^?-RBPML9JmSYW(dSbd_*)3()gw|-% zq%vHZDk>@(8U>FYb$^|9?b)+t@K5SLD0m%V4@3g-In5covk3E=YDfu!*b2K7!nk>T zY}jPWcvmBz$K;4!{YRg3{sX(qa_7yxN|qbx^`hmi`EmjMRlu{G_RBXl}^u zv+;I#_tMhFio4M?#F^AFL{Ten^2Pe;^ET8E*km0+9UznslBhMt8N7Di9-og)2ykY% zZ~JChD2&^ZkdhL7vohL}8FC?&5*^<1vm^|`+Y@o(DmyUfM#65HNN#0Rf++KLUw=Ui z4$ee@A~%68r8R;38in)D!2yP*xTHiid_H3Xk~zk0K@)j-E8Ts)M=DT{MtC#cP-eZT zpRqffoe5qi_rXIjD}Qor+W`%Z@#un)y=KmxtH}cfVj{G7;6Ts2t75vm@Fv7`Uv4$k zh#rbgRV{S(6Q8{r|Lf_~$ANKJT8y5J0{9#UKVvr|J)EdsEH@kt^_mQ&!L_QeaixVU(>!P>@F(##xC-knqewu%Bc;&6Y{s7*W zcYN0pnjizvI&LnC_N}D^#bbi3}dMOI66M^su0;R>G+lKla zc;4Sp#Q0CAIseQO-w529n6-Gu{f?s^(>=5d;RNaH5ScN1C-O!XK70rtMYl`HVZ`}* zw2`_)uQMKkge-Ja^4FiNAc6N3q<0NheF(=w zN;CA z0zH^CqY>#g!w=pXu|-*-v*9s(xA_lZreUoF2*XV!ai_64>;8S|rw=?8h!;Yo^Wnq3 zK-kn=98oQeku6ispxi)W92OqF;L0vD%^`N0)`zw1H>?-V^dUCpaC*t>$9d zn^D6rah^Dd@MWZR*;_P_>=6u6&@yoNaH;ePZdS4ggiK&b$=C-ljMjI&uV-avi(bkg zT6*l*USn>|YI{>RJmtgZ&u2(>;->iYY2{h7%pti~M7=EEywd{WHQ18fYc+2NKNw+! z$Bwm^yX3A&l*OBh9B&`{&omy~QuuWXP;L$FajzsdM$WcJl*vhTNvCbwi0#Z$M-xYN zu#`o}AHNPI8e{k1xl5K99WNwm(QMhW&bn0G#YpT>_ivw!2-bJXZeL#t1uY{m*@-n) zR$`Z%_UI_`My5Ny*K3`=8*dMaGKUxA@y)0I{#{SA@>u5y}MrY06LlKYQg}lg6B^4hdy$# z*IJ34x3skMC$BoQKT7^)lCi*{d=|QoRFP897RnRd9We!8IoYt#{d;jF-j${wx@~2m zBEw(P*}Jf;Y;S;H`JYC{6GZ3ti5W#W3PzITKgLCb;rJfp(kiB6i=-Cg;fKed*$fE_ z^BLMZ%;YGMX}fl{5GL{X`t_CB)gO^J5{p*^9D`kB-w^0d4nFNV(Kql49P@>3_ecYg zS8v3tDMZa+dqF8=F|N5GM+x2i)7;!WSr$dGq#Zg8`P#1)c(&4z3*XR$sVO@7ph)9x zMg@erEkEm9a1jtP((*O3B|Ib~?tWQNWMsysnpp^BJSQwb=7D-0AQj#E2hzIv!BLtl ztQ`ZIH~Bz&F314${(g}wx?F8~v4;RF^IbpYeXTA6IAD0A|HU$fh8PkAC~7;}k`gEH zL{bJtEY=z^M~UfzeCAeDmIYAFM?B%cP!*NTce&+NRbn}IER~2?+4}C;LK72UFj7Ib zZ%@m1Zg_G%;iv;CAPw60FRkSMr8mNhL{=AgZE{LVLVSGomMQcO+^t9~I(lXcsDbT; z9j_f_J`3MAyG|I3)^y_UMz~LQ5wkDab8qhs+xf`e69$Tb4)l!w!sifRv{pB(|mqY;WLJ0rdV)?=csFJ-^s;d)xqD##|LlQ$3DIM z4+IH5S0Y33R*x&a8Op^VnNxdixeS~@xRIC5QA@%;f^M%`ZPe{~jij#S`#Z5?dblYf zA&~WA`-Xa4%}%aJO2n=A+@5rEnge$rJ1U(gU-x{wx-fRNlaXlWRe?RuJ(horFEX$= zWX=Bx>+|@`(s2y1X!Q5VknY&s#a>vm^v|)7k71eoYM;dE`<~Gn_kM{i<@u#egA7QE zi8|^pw*8MKNH4o&YD2WPe%<&L0m=WDUF{;byt&7GSnmb=qk5u0O`ztr3714?92j~# zFHgpI3a=gS@Z8;nrKJ-G4VtDDI8saNT(M0%DRNy-DS0a1BG-lP;PPdsBh6F)ebk%q zgGr7%YHp{vn3zonwLW~<=sU&4*f=UF{P^x|Z5ui_topk4Soo!L=JV!NzkAnNS32mn zsa?1WxJ4P2@^xz2PTHO!!-tcWo}Jb`JVjFU*wp?fPrNowfOKk?m>jsuWBTZ5Tn#4@ z60U5l-VF+iX`wGs3O#xpv#R0}>FVpzn#M)aV#C*F++yuQ1eVVfj;LS1=;Y*}do}l> z?O*;MD9e>hA*?qU|Hzn(AHEoPeYPGW-}Rd7F^yf8m@XhA>vdoc*W_%Val~dfvR9`u{=U8#LQiyfM+XGCIWd<_O~;RS_!Q*dH(9lS zPN=538qEv2#qZAn1hoB%#?KTz$&A!{#!8Y%KGpWc>!+zF*QgZCb=+LguEhAQAy$&-KJPE=<+F-VU6CI1)()BHa9GtObMg9!yVd4I*7BUu zE7P4%o3=??LBi8bYcPBzsJ{2bVy#i5pf#wk!uA@ax369S3{9S(RZ!;;ZbWMtYeYwf zt{rcjYFA(7=A$H>lAAVu@Zj4yIhDvXZM5Xq)t1i~w342ad6>HgW5}j0TV~Ck-4{bo z!>ns7HC%OcW?mRN4zjw5goGnyLXXA$cGRRfiSQp&)$=4S zN8z~2c40f+qB`c7pjhy!2`4YqKOONlCX_&7W zdP6SU2vkMaa1Rda|JzgKkipkk%X0S0m1pZBz z3iA`FpP5-$YvwIpcrq(QULA?hk0CI772E4*vpEK_v5>_h^_r953^!hKW-48?#y~AJ zw|b*#hnXFzs<8Py?;+u%rKy;Y6V1(yjqPA15jil>86R0aZ|jMZI}m(xJ&^V`VZu@s z8IIRbR$RTE;i9Obs)~N84jsI(GOBfXQ5U7Vxw*;6_MCc+pD>}FXcl(NW24PyhTy+< zb!{W=h77mn^=l`5n56nW)IKx@hcu}ARlW@_fv&!!pnSdF(;Ro5O3dqqK;!_{Q`2e{ z#TQ+1Jgc#?VQDp3vX-W1cR1*NHiO zw&Qn$zyk}p7@(`1oW^Z@4#r1Nh+1g>I|eE;JOFIn6LvPsx6o#__rO`!4_(h+6*RH-Pj(9;QwE|4 zM5+QE)u_EU5ww#auA9cd71Z0jec3z$M*>_* zWg>BA!Ii;AwReA?<^=h`h&EGE8ZI&^M*#ZWNp|-39i^mhZfURbwI>HYfJTvQUuFiN z0OKPyQryTw{{BznDy8kh*d>xp;f0Zm`r_gcggy8A~%ZH z)xCX-Lz59n_t=PjuiB5ZIF9w`*ZwpxBLsamMfluOHV+9jAg+)LNP#_9!VUiZ_w6@k zLmv}GbHX%U@9WLb7o^H9S-O;cadvcKYN6|FY{vgQf)tNf11J1si@v0WIn zgaRLb^x~pDJZ964;^HynrRv8(Mhx!TqXRn7s-IM<+S)Z$vg0}_)OGnM9eONyw4(T5 z=1eA_sPXsuTFBmb*A&HC39CT~_5vttWp&L$9Zk=^2jSbYZAw&3Rl9px63@y(m-Rvy zK>D&|j;ZQAp5Q(9A}v|+>>LS}tr$^;^H-+%jh;@+7PN0uI8W$2R-F+zj5p^A$t$e; z$PKIXEt{4C-t^KXxu^N?QVi@iTC}3j_%huoDi%F=brJWVv*X$Q8qb9tKF~F+Bd`jd zX8B0+s;S(BLnQZD=3s7k%U#E};m}U{0N){!M6w+%JB?%I!u0QH(4ffP*x z=jyJOiQ6atqS49TLuTpm@~3>wsWDR~PjizE$k68ci~2eLdb(%EEdGfn}<0zGGCxz0MyGgO*8TN-Hm(vo+Ke^AvgD=S&1xF=6_p7PL={zVTTa)7uO z+}z!7W~@hf*z_9u|5if(#z|QlQe9hml9ITuhpNiTO}My6N#+=Ic*|S1Z|XrTr>rcv z)d{S&$tlzF{v0vAII~2cmEeZv7NeoJR%~O5M zKRd`RrZCqmFF1C~)@+IU>Rk$a^jFcsF01LWl zZ7}y%Js+Z)Hmm#K^7W~KFF$1c-SBxP5_r+gQd?bJdfs&EgP`0sjMIx&rdoSe4l%o9yS*fNQ7;qza( z4;Gl^d3Nhz$tE;dDW$*@3?nc)m8)YHE?RU{zKz8&El(@cFh9Q*h`eXo?utJ8G00xH z3@KHZI09_FQ4?mX`>72%vi9o1E%$fiOHXWWm|UGZu>{t!2?A^G_IK0z?(pcm8Nf`~ zkRzerFW}6fkEj1&Dc?EH)3laz9#o98Niv5XVs59V8|OoI3(t&sudyym81#B_hs$~i z7R*GO!1ps_zQ{3E%X*#tK1x)i@xFGUNJlUhk-}_-H(pKxNXPA3X5B2|@+E>iIbONA zW%{By2Zev{Xf7hJBw8x*J88+kft&pOi{Uj-+V(<-TKQ?r zhO)0C<%O(?G{t5tD2mj~SQiJ8ylMX*xXUAhc6BNC=;2iXN$0AwFEG$@_3F{#=7g@hc>z z)HDhiOQvklQZ->TAXtJqlki3F8{w!;@CNl5YUVO3Uqxm&tswXk+q;+n&Ye4WIFv&= z$4)y&QW#NNhg5dElGn+L4mj(XJpp`@EWqX-2btmsMVi;pu}zrwk2bv2wsY44eeg-+jdY;|s zFIw3j!3qui{zY7?tomnhZLsqhvIrPqS@vbDl9!)fdeJ^+e?2h-GSBvNgRE#Cmq@zQ zm3tHUo*$<6hPssSth`(X{iap1>NQv`a2(tSU9#;ybhQZ^*`@W>c_&vB&Bl$E>eO6q zC;3r)kOxzO@9xvr>uvcjOr>Z}i;{=b#3l>)m&DhjZU(BZfj)?>`tl-!-K5Y6^r*yr z(|n^9lIUzSZ}8xzUb539MplfoVcd+++`4ruIayDhbkH@%o9UfHW3?tnt$+VY4z4pRbARV$EvlE=v zir@B=g~^T%RFAOWR#u2eUI#Dn8ETeP4kAq<<+&iD;)jCN>Q*{NOUtWv`N|`L@$zq^ zv4&SS{hKnjcV6@#%2@s^1Ua?8Npd>JhN00VatYtwXkzf2ular=sQW~d< zqqRX6Cm10;8W-Bf9%`vV81$VPg}uw82WIqaIZQDn@(_tA+g1@nu?Yq}?XkGn@^u!@ zgYSE|$kmOx>`+(0s$004*44>Ai^tjwn-%i_YF$pUJ?nbyDnzw50C=d0jlyeKGz{cNPd2k@$;#4g1(tX^?l74|$OADrZQst~+0V<#MxnDnV{z^r zwX)l*TFzTJv<;vDwTX^7>i*r0_ZCi@)}dr`)r%J}=GiH}si$h*oPKPOIAof3L3p9T zn(hstzTEFqW?~bY$e8gsTq+H5VFuzsQ=) z!ouYA^rhi7rI$95JNVx53S!@tt5?s6GqH(?zk*Uie~~xx2V{Eu2T#Ql85w=o`yIV@ z{W|b5%Gni9_u!y~3>Z^T>%8eOp+X1;e&thhs|lLMu_QBfA~3-Ta#XjTo*LgknNgx{rW&aDVD$_ zo;*2b>pO*l)WmP&_$*m*2z$%5K)GUQ>DKw}5?)>=zG?a^x*&#S5i0A@d&kacN}EPF zuL7yJXwSL+P~9@T^y3J?(Q&=o)(^V!sF!v2Mvvi#t^GZEDM^dbx5FZyII|+e)nLF8 zZTlCzGZM4PLYhlEmSG8K;P@9MxGgbF|HsQ)SAWi35ee8=Fc8p4pDti3ZF7=nQhT`r zHbB9A-n*-LvQl94&0WnMv^Kixzyx*qC^X2#*TXHgDs2Ye@yI!dm4!Hf#p&BeX=I1Yy!vx}TvY$oKKi-mixAfNh;~=A5OIFn+eGuji;Wyte9+M2n~viP=6#dinHJ z;Iah)4RM;s1MjO^fTY^)%UAutr@)inYx{6;V|t=f4FRPqLd%pUpJk2tZHJKHC)O4# zV|BV+4bm!D(i(5@``GqYo^S=^oUF-9ScNj^kFl*scn23jb-#2d+a#GQIO@@@<1Rzn zY-Tf<67%%!?R58Lf9vn6gMdi`)+GubqvZJU3QN5`2mXU0oV(*) zQIQ#LXmr_z#|w|kYys@DoH1x%@zotpXv4`*+!8MDhMeJ3q_FJIO_7NYWuTG?6cqRX zaWQJ4pqh*3&TV_=YXqC716FNhx^2~zoEq%7f3+Fje63x(5X$^br!iO8zOl_j-QIXGOKOn3|D2bJcE1T z{}|Umw8xJh&z=mEPW@3P|30y~De_*?HGoTZ58?7Nj5ARVnjUQoOe6SY$yU!>dr)ve zkUcCwXV((1ev1crAEQ6x4M(+|s4LwuO7mZ#XjsDUhreO`0XIQr^H1*Y>!Y zwJ%alP#!nWzF~XKC23pZDth?eM48-BgtM=yjbZV#&k?Z86?P~f=wThvg+xRscsg-# zq30;*hi65$9O{_i->YMWLdA^Lv->C(k@*dRvQq8)PNd?qc;5)-vVqJTevDz74Q?D+8^HrKwSh0P1bEo#+l zCqFjT&q&$)iX#~)tSs3HJPL+_MY71NGwTeePd}NMXlrea4FF$b;r@pbH^RXOBJU-h zIH5C7GJg{v%IpYjMCvqqbIi?mvC-qrH)YE1UnjL1J37vQG6QrACjI2hM+a`ZV&6V& z(2ZhdU(`)78(6g2ji8sWs|_k{Fkru7T;m!2VS@Xnw{r%@H>t7*M+VLlMw!d=fhMc8- zU%x&yuxN;7$E_B>?APVjEL5JZk#A=+pwm8`)_=Yt_gjylVRr4R5lg(@a#ch`xt;8< zM9C}t9LA=z9JBcoE&9wS>Lbc0SRI(ZPU)($?nZ$MEMKapBC}sv)NGZ=JevWd_6ZB+ zgs;X977=J$TE8mUDe>#eFF9O4Yv#@GZHqi4tG0+VP18XDV;gP{ckiX$cD@B{F6}fU zFW48tal_V}o2n`v5H=I7L#NAi#RdPMv={=d9zA>R{c_qD>0CbA49+imA9QtH-4;8{ z(@9K4ZR(yLyniSy$c}4w@3A&m64D236=(={3cq{) z>9Lz$`t66(Bn^b^vWWLcH3)z81*I2<9YmcA=M!Q|Dh_^T$K=??FJG7rOW3I_^U905 ziwKR|65frvcQ=365+b(bJ+fzaYHqpA!UN*`J$o0m5s3;cbzyfHT|IQc$^8!XF8X6h zp$-o(hUk1vWJTqTPHLfhK0Wts>FJ^`dS#~3tE;zxc*wfPb?6pFzj!`1Rp=|mkzwlu zTFx$>jlH^cBP_@`@;sV#vq@JG4>BvDf|)Xl(9Nj;P_Ot@#vbk?EvaVg+i)0_c$dFFQH7} zmd%@)ccS~q*EysaDWhyMQRY+v-f-u4_no5cFOb=AI4&+CB+7q!HBYpn<@+tF=^9$N$a{rmNh62jb%L`K?@ivdy~C&!|{gQ!GG zg>46aGgtksfQ8tLYZ zOZ`XQH^5}EFMn9;6xP`O_NM^r0iI{3iMlo*mr)5HEu5{n6IP?G`v%6(!mtNx*fOpc zovH3OOp{m=Sn(_iyGzeFL>B#@6ck`XJ&~A5lmsUX-LM(UsZ<5Q^58qKOHKlRtyxiW z{W|kZ$3ai!@0a>{j^T!FwW>7=((iY-@BJTr!K`ek+aeyQ@;qpXa*hM{zA? z<;Q$^$RehV6HXs^IWpC+VB75&$yT`N*w`w@9qr-f3l~!0{Wj$^Jcs$K!B@=q{_-|Y1 z_2<)r>1y3s#6HfDd-7aprH7BOAUj=veWk?^s3RUg3@PTOXu4NNnr@$L5~2cy-h-u) z7C8_YNaW{Y$)a;6^CvlZE*+p84E*$M?_abl3A^g_MNLeX_pH*Es`!U5Z&9l+4{aoJ zLHnO6M#}sL{-$5fyc0kFMi|**|0iMOO(&lmsXbERM$3>z(zHT5f}jLe;;S?cZ+$wP zQaW3z9e=b@Q`nB+ytmynw6%*KJ@Ql_+#i-L#(j!T|GNSDgcf}vIdkUBsbh0nm`4>}LU-e~kR=VxKNuDqg(k+NV#z$InA1L+oHDv9}*FYxN

    L6JwO(RG z5~ag>O5AOJV}4aDzB2U3-un922=1{ zr2TC9R5`Z0jJXz>uJ?Wvmkzeq{3>%vjV?sR$}PrxYhE_xwK+cBK6^JCdntD7Z+X=e zA3Y|YO~q2=##OhBj5xEURW;Pz?iTx}8D`v-y0Y7e8{mV=pvQS~RO+q*BkU#RbUV|9 z5^grQsb@%ihnyI9jRFpkE_TO+FCZjUd+FaXg z?3j`i`oh5-4)u1Ob9!@*E?0K7>5}I`x!C#BS7;ZhvKva0-O3BEt5a${Qhcf5aFaFL zL^vhsN4zhY_*kr z)~37M^_dFYK0>D4dpmcOhT{1BnEQ*=qz-2%tw6Uyxyf78Plr;uC#^RE4`}2^yRwp* zCPT!wr#!JwFyzTi%#8m4bapsj*QS+wzDjEGa0y&ClIhZfpS4fhWnElTjiZen_Eq6^ zuQ5&E@Ap|u+gx!?#zSiHsQLVyL_HAv%V9bEJmk5aOQh z{0;&$#O01&bljWe?J-=C$XhX5VFcw0t$^!wB2oX`0ulCdBuj}Bpig~5Ng5`)AiRYq-1vno3o0f{Kc@}}fFl%`SQ_)jnL zuVhA6wtgk*s%sW=*Q(v@g99rCHW2|Y=rqIl|)3BO`=42{0oFP@;rWjrJ7-O#)-GXV74VXk~oS!S?Npc z-TB8YcPP%09$H6**7K3#>GG!RouAb+i7ZH$HA(QGXFDFIt}(Bytx#?)j_Eh*vaX=> z{AzNo(ww{9Cop3%9SO)8fWiW5h~wuk3+d z+Tj+KtEy)um2N{|{{RYZLVHY@31zgGpgNBRepK`Da$rS{V#AQO^y@uNJgVPmopAPD z;tYQ6F%KgFKyuvls1`dajJ9G)?^9;z@DCslTDsVKK&K#yukr1-RHGp|=khgog=x5Z za$VaDqDm5-IO$)zK;Qr)-y5EnBV&d2dB}K^%_Y@7AZ=AweQ-UYg3PVXK{hlIE`r=>r6X5f3Pjm2Unf~D%@HmfB0V^eV-Q`Z5} zv@iFH@6hj&Ua~s+WT7R*XJPzmZOS`(Q!ZnD$+9##-BlRt(ty``(De)dlu}o*<=nHWR8h;9H$fPDcw%y%J z$LlzHebsBWDQJy%w*aRQ=-1cmjp`km8EyG*+(hXLXkZ`@KPtP~ZsifU^7zPcc<~^Q zUs}2;axLobG2Dj|JPLGX_=|RC6#d&_+IKAQ;xZ->+krZx7vt~J+~o+*LY4GQixMhTYDNju~K`u zFU*f&l9!%G!m=AbuQhE`Uz|*|g|vBtN`DGSQgX^k*nh#Ir#D$}>fd@_2!%Mxvb-LY zoRi>Ao^_3A)Dhr9tAIHL>d2;+=E>GIYBaDp>Clil1ko$hm2(-U-a$%+oafe@Qi;jm zofT)smpohaqUb`nEr8ild1kyM6)k=&8tYJl+3w%Uh~4KOXB6GKA{>_nd^TG`nQQ=^ zKNsJcxy0j2ahUy=dDSx|SyEJg%B{DA$O&#UU`&jL@}(TC;x>TS4skW}A2T`G0G*~_=7w)oLr zb{4kC^)%I*=%$8BREd%P6}6sXp~8tN{^KQKj`!WTxL?c4qN&&7TrG24;jum=d_5}i zP^P4|^N!pyOtuE#C(u$aR{1fm5K_RADkpW}NNFdj^Q()~WGG2W5@0fuvCo|<;RalB zF}D<+;Vv$ndU@$pH3~$8+uAB|x7<+!N|DTOR|xSgk=k}H7a7U%GKUlM9I5ME*zLrV z6oBUsWGN@>Cao~w&&ikIks-+ZM4?~8n~N~Rafu+96XE<0dU_i6lH?br2g-YpNInp8 zns$3`M4xYvfvr(nEx5r~En=mr<0HGX3IZ1&Bz#BGq~0Ml3Q{9Jv^fm3q3jN$?Nz=c zg>a+Ho>M5EpFfpKiD@ttBk6USa@+8_KFBotE=N>JFyol+x1L8mD$U*9j;S*mPZ_6J z{MjQuBT%if;xgK`@mh8{_ilWue5s1z&1OQ7ggTI-;S^*`jUC9-M-7>9{-Uw)taLTp zrmYM`MZaHqbv_h-nX84yBv{iSM^f7=LUg^PeSCRT7^!V;rbZ7913H#ZUzI3Y(F*8T zYrHKbvX8iW)U|NjjI=x$TVGitsPw286(lBn)eScHd^k@+qw7rD&q0 zN1aTWD2Ut-5+8hLjK3t%ZfrC)-x8A^RCAQ5c^@NAK@E5i2B*mIwB1dbBPL`f4-8Fj z;zzCzN{b1GT$HD9q%z+%xP0lCxFX>3kKSK~-pXtB?rKWWttnK1!5>&7%9D3~C$p0F zp63QalpJ^-N1oZP=ImD?y#)&5Ms+-w=j%(9)F&guZ)a|@R-l!+Zns+=nzoxNdDILB)8woczUbqefvGl%q(Q zabbQf*K6V_Yi}I#E0R{ikP0^FY02-#e$xks$7#7QDLg=YlTI|xfUtDB(uN96ajlUg zA(E~elf$ZQAFG`u4xSQNcsf+t^>LN4#!u^~7`66Z>|S}rKJp=6UP?h%Gvq2EyV|Fo z^WhAl{OLa>W}Y!uEw)T{IB01hKn@yu)K=zOu8&BJ(wXmZ<(5j4RjY6*F1=~(5DWa; zA1IlMso;pWN`O|YFs%#j!;rCq#aY z#r^8yT_#;$alaF2j?xmJzbAT9g~@H|S4bT{y;|;9nafB>P9nidR7c)vn9%MIwG4O| z4@Am|^}($BU3?XMOKukb0Jej6%apVwUMX+PiWGkA8g+*6c|wTe4&th7xjgIbhK9Ae z-khth)v%{+v(+J$Dr#l6;|zuL$68x#_NwW^&Qr#=Hlm-A%}jD-;^8gXuvH1yoQ2}w zsos{%PSKw3q=aylXxm8T^{Iv2E(lr~mfXbmdrpOFNzdA;N^NTmIU!D^8S^x>sYN;0 z;s%nG>EH8vrz2L_ z%_3_vBB6vjRD#kGkG~Zz;z8iKc4!iMp%}@ee93LO7w&oFBfdwpp&B+04FW6rozQqu0pP##D=8?IcTr_zcUyC9_wi zCCFqsKMk=F8>vom!1NU+EzrXet`^|TV)BRQQaNMiPPny4ixIS|jlvm5k1C&YyT zim}IkN@Osn5Ol9N^feh!BSd=hXq@ULi60k;^B;9w;>u30@g)jt8QET6s;}0Yh>9J0 z*4xqh(y^-pkg2ZRn@mUoBaEP>c}-bWA)X{xnsEtpZ75QK!9OaJ-MTb(T0@$eeU;~0 zYHa5M9zw2Y*MSS}|U0Dg6IdTU+Y8tvCB94(0u5~Lt$z%obO6U$C2=l!lcY(PVV4)<8V*Hy+cll z(pFr=W;Z|V(@?wb^iK4i@%^0Cwxp&iqADQ_d_3{t(^AuNw7YVUg@FcHjm1Mr@=&O4 zIV#aE8XhHxQ5)bXA22AB11c*1rS%`cP-#mlZEx_Ck>X0c#Wd6?uFHSB_lMBRa&UvX zzX~?|a9k1yQkD+e^%UEyTT=V;f-_CW)vGESa}*G~ASI7tdr zXBg71e7WpuE7BuA*jws#u(rs`PbxB4kre=LrkzrPhw7&oU&PCWwczA!N)*aCYtq3E zI_8~#G+S*d)Y`O=N1Z<7u^&Q1c`I8a3V4{VxR*i{l7Z<-`W&P83gI~0tSNt+;*E_Y z{q&^Lep}J&x0N9B^P(w1T)bSXIMk!?fnG1wOpQBn6W7*?ki7~%EY-a0$TEKJRLph3 zi6tzksfQM&YDqsMLAM3Fy)7I>+t#=7=ZxnWrMBm1tyoI88FTp4uC;Hlu%MEsm|EY| z6HY-LpS2R4DNAj~uygm)+iKfpg!=FTT$1{ApG+ERO01T79q6BW)9vD#wq zw1;1K0r(nR$E`M5g_48el=)I~$nQyM?##d`$lts>x9g-h-MY;tK7}~a6LOM4)6R=4 z?A%R120PQg#*cM@YeZ%Kb%kBu3Qm0K+j;ENKX9!o(J|f4Er{?ULOIU>-5yC-uGEFU zVTmifBZ`NbxH@t^bQ(pHG$#nib=$M8{n->Ql5BBgxQ93eLhkd0B>d` z7iUqYJ$)(ZE4DQyu^CQi{!zN1QP!d$_El`~^4_%FobWH#=jUGL6j2@352Al6T^J{j zI2#{InQoWaC(z(uc74|GD_0}(qzf!Em~q08+Y0SMnpTcyY;vyac}VH+!*Mv_C$z{Le7>zeZo77R_KC$L@m;+kS=2o7 zy*(DoYraBptZ`a${L%sYkHt($zS}!W`d@KPwCZn6xH378TU0nU>wjpYN!EA{i=#tt zB5*dNKJ^E*jzNwBoZ;Og=^kDhV}%E_NpLcb8j~@dw%esQ-dOD+hFjOQ;yK9jIOj#9 z3$zU_nH&`cpKId+gSO+^qi!fEZ9VokE?Z>nQ<=F(?K^W4$>XJKV4Q7J6WMn=S)gfc z_Q8+g`DTQh_wtF!FDET7LYgEGN=qG@d$kCjgz0oc;OS8EIog|-e{Z#_j)y__tudn~ z(KO1Uz1n!wT3GJSu@SJKuS1$~>Cg7D#*#I-dxJWN`GZ~93-VHdrya!~$DJ~k=XRb< zRcCs_C@_N%#P3Se1K;uH9tsY}0J`$*h^ zjX+Da1bBTnUvZOZb7#|ygcy} zAvJW?0Q2;yQZwOg!siMW6i$(xWc8~|$ZBbPNzJTjj?z{EPu9`~H`=Y2C|32)n%rBd zZKiXQMxJ=wj-P#Yid@S=ph;cA5{xV;DJN37YTRb0I=Hi*dNtNE%t_11ZCph7P^0JP zOsY+Q+rk$uDdOuqQH5jU{naf}tS&Xhc(&nrz*_5t0q0gLV||~H+blG*TyS5T%yZau?dQr)?QH;qFjv~;H-%8=BLqM_E-%ZD7P zI0`@d(@lhHTW_3jcO)M%N`oMt^3lRV(n7IFjWMw6J>Ay|6s!V5eCRh1MyOA;_0NYS zsUe~cq=WMmrX)4Q?<#&=Ovxc3gn^Cxx2GaP3Jivj=8~-7k)4lGMwOiXZdIp2LJ_{a z&^g-~1X4A8AdS6q- z)RzT8pTKqbQMFH7NB}m`(q8= zV?zI8_9Zf~yO&Llq>X)UDZs3WCK!q)P5>cfjWn(w7@TbCM0 zPq=j{2u?mDo|MVssl{*xw$!8@AKluP%!22Eg6m0TU@1x+25K{1;pYk;2@Sfmc(&)^ z@~DhFy$!tbTX8`06)q5dQ!JS71fk~|D)|g=gH1;#DOm_%)qQW!SMj39w4`yJYC5E) z)ikv0U&D;`Nvnx!TPpfWv&L`*XEhdlyQ<^Lb?4e`2yvx05|P(FbrsaP3R)h9hZ47( z2vSq!gzZzLTpaGqLP8x!aeXH%)G^Pfs9nzE#oeZ!hWzkiK(T@-%M+V!%L~sl9D=f7^m+S zS*9v1A-DU)H6*yRowNLE&2WPwO|DN9gp`!Dc$x7N=TVUR(v*VskD^L#OQlEeFdero z2x0dmHHXL_2=x?&ARZ%lZ%>%=`Tp8V_E{lOA8At%)#$I70a zY?H1*9m?Tux-fgX{;Kx|~lxh1W5RV}y^*q1H7?qw~u2wQ{doz4c-(|VQ^ z>cSGD6U@}>GZ$8FSNO4=TV>XkojZ7v4vg(V5Sm3{>RAn-(l? zC^8@x0+jCE2GoHb!u%KbguL{Y@E7tF&Fey2?rY){pMhU7@}`@K1&HzDNkhu+=sSdr z57klo;||SYG$~BLj4+%&_f;RWS7)1aw5jVu&m`}<3Dbgpbq$%a9g%MD>@H(K4P^*W zR>#k3V&`t+LrPZXcWFx1p|x$-t|{4!$#GIsm87j&xA|3$4Yj#!yACrfOX45SJe(CA zd1ke&Ms;dmc?Iae=vn8d%8RZNryW^aiuI%AQ)5m-)~NPZ7_yP~u zTo_&YKMG4uF!peHkB6NuYdxlmKe)o~AuO=wLn_-FrkXF@8$)qnlnG8ZoFN@H+?q&_ zITLj!Dk)`X$M>mcuZ#9lmRkW`6iozx750_O6v*e7Q=i6^yr~OP$#JzD)wehv`BlJNNW7{D|7a3czfsI6SIg zS|+_YvK(XC{{Wl_>rHnyW;Ww(@Z|T;h^x+*-r0TD+lsevz&gPkh{b7$)!oM%k&gK} zS;y$qaNx^D^oIwgYIeBYp?wdUb-? z64@d$8j_~_?3co|9-}^W5;B&V8ITgV=@}~Y9(8dk?EU=_Bor$lKm%GTKXIqOho+`BxBqe!0U z?~SERr9837e%f2Y3_CD&ge@@4ekU=p$Q^3)8T)HDMxKTW3nVf!4QkJnJ*v2wLTlJteSa!thx%Y&wl zna4Vs{h`IGBcv}{B_lysT_JmlkZ)7a#A6t?V@oJgZ~XN6R8Qpf#n};tb;3fC!)X47 zzJ{SYDf1naYs-supfjgnNaQn8&>d&m4K&n@wQ(*2qH%=%)ZUe8A8d|W{?FM_;!f=| zXquRTNR-3-DP24@`NX*>WFH5C^#1@Fu|Q+AUd5H6OOnFf(AxY*DOn#1zIAy;t^V9lvD0u8I6f?FvG#=} z6Pin`2(F$1PIW6AXXa_oWVP8W!$ROR%Nhbxlh4yT*A)*eOHs<7La~oQ zLNv#bB`8)~b;idN``qiD5sCpGvi**5r*0Ecc0w zr3-T^@*J^B&Uu`E6|&ZmpQvlZd_1-^+BezM^rdlIQ%Yr8l0vzPw*LUVLmpmr-MieL z?~HIu%G3CMO}4C5hXfX+E%a$asw5Qu0F@#4B1ewmQ>98^d|x_pQz+Kr^tY^ld*BvQ|C`cuTgPrKR!YPLs0UR0$ewhLv~ zF}eBGw&MaIYrCzt)5gJXZ!Wvkh|sL8!g}QD;!AtJIK1`P9$%4&tO$(;QKMR8Cvi@^ zxv%jaUbCessF0XmrXwlBw%?1bF9rRub~I)`A=Xw>5R^w_N>T?P1x$-33l})E+YPV1 zAmMo21CB>MY9uD@BHs!gRMcjp1&p7WujtGF0KlX8(Iz>^tOS4cSBam(3V)4kk;BpD zkeu}%Y4qt|qp;`8$P?w{X!9iQr2Hp8xqr5XONR$yTVk=}KPFhNOaB1FF+(9k=F4f{ z=AKkj<^KR2zi6O0&U60&2mUib*Wt)%mmA_C#j>D+lh@_@D@o3CwmF0Re{FcQnHa`C zq=f$f^;7&K;C-UfQod&bhkYF zuPeg4boDX{e3UxWH|_A+TX4HRw4yYh9)*LjK78xFA$^{C8o~$`Z9Dzujm__1p`kEC zU-MpZw>^zmP?liFa#V%KnEZ5MDo+yuK!0Yh(v;|WMXE?c5s=Tu)~sZoKS~ZZf3}0n z8hqU$mmX5Vk28m?H}_O`J-Z(38&Tmf(>ygd^Aze(fcg^&mexFxpj62UxG`;;Dq5Ok zy4lpcbV(eI68>F0GIez(k*x~Sr6V~M2a6oqQcnK>Dq0=!Xxu2)F--pe=d1mdj+O8$ z@T}&Zk8zUpW}hvR>t$H*oRD<+(N(=_ae&f7m6hXHTx#DH3KhMTyDm($rauhe>*-D+ z_R_oLxZFon;)bqUllRk&Tiy4G)KH!(5|9Th=gynsMPrYSUFnm*<3*VWOL9^?Lm;2k zTwENKN$(vC)lj17{Jq&DDG(}gJYB+sR8Goa@5-zM@%UlDR&Dq zPBR$@I@H_vR^ux5xv9P^6KRgS~lq(+Kid@qP8-(1N|* zS30x=9|n~WKQ7;p=gP6Z2T#e4zm)C1Hlv@(`{_)uRF*y$9!E8LCW2DF57bJMomliw zne#P~E=U~#Vank5_p}|sR8{Tw^roVtillKkI4%VxXvf4p%`vCN^!Jsuc(bR^@>}|j z_Kyi3wI=4S*4?4VRM(p^HK&Gzg%F&5)o+U*wD%b=xb~NLr8)|K4t1XV?s@JLP7yg- zN)Pod{{RY)eRs8QvmxcFG8j}gbfX9RK2>UEyV|Y{IW@K}EXj=@hotA0+30FXX*>sb z>QWqR@;Rcz*=^+RbankS{_$QCG-D(U!1W$zK3yx~#-aYTApXCIRy7^@d**+IA0ET> z*r0WP?<3G!vaLT4!T$i|=klbTUl)=5H~ogU^7}uI-@2{nd6u4Q*=^;urzs;yr)bzv|a8b-!Ht1Mfjwyasu|R6+_3F@z zEy-(Dyg0WXdMHj*SEyib%AQ5WQ*vO=@JeyG=pT;ME;jslhF)nrmMTezSS?GrwG6Pf zGVc@T(xWM-V_XZ*Dk$#1QPIpR)WWq;>acsBmpfhvh@LJt5Z0@NjA@S-O~g zm%~ntvXe<%M?w%*w9Ss=tt-LiD+@?a^{8QOj_w`>#Ocjkd-rsEQz|HSIupxD(wt+@ z9I3QvR~ZiH3FoUj{n)6E$pEn2gsstx?NeS=%`?*EMR!KpjCADeGq*v#9D)z1p;#F; zB4C8M?nS#>+-@sG1-N*h2q(_2w+oGmMV04!FL29J^pbWQzEx$r$b$hZxI@gT4kQ&V zLwZ%sxsjzwW?7RJv}th~Ht?yl$bx@WE&dD`uE)6WIPEo{xRLdC+K{$T7Pe#|?K$Uz znoLWiuFlxo;_Fmm^3veb%O@3Mi)^|zJ*MM`t|6E8f_KM3=R(-)1;jj+cajtV!A70D zjX@FFeWMN_ilXzOTEF8pwx5Xf^sU$EaY00s{{X#AVCo!H@0xTFk~M9C(ye-Nq&9pb zru1?8{{SW{6ntGX%DUH;ZOb*E$=5Z@9V>svgE7>5wsbnBA{E8oo%?B5lU)n z3rUwb=UY?90~*!m=TMPvZO7xaG^x%CG7?7B;|08Zy{X{Ksc`5cz^v357gh@|>DHt^ zjW`?bb5^B7l!e1ZjKJi|By8avf!%%tcYezHs6(`~?C*F_XAAw|OPc#pS||*2(T}yU-`1x+78_BUTdF96pUt?} zp!1}lolz96C@SXKzR9h(>t8AXY5=I=H6zs(Xt>!fA&a-MQ0R$noS>)NDIXS-P@nB1 zmxmvBh#YI$j%f-9!lDnQTvKzK$Bx@5ODU5efRd%-Y-7%?ti1&?mi_a(=vw!2m1i60 z#g9FzTtG1h$yy^JNAqW(`BbUi+O}BWy7E&nPG^XY@r{Z+jw!eQ0F?2rNi$xU+lg-p zb!z9Qu6}hP#y}!9t}2u>^>ik?-Lh{0g_P*inr`KBR<)`~c0#oN>Tg8n47OY=N$#3; z=aNlq4PWObZNd!OLE^@Jr&|qUs5-jTxoPcGyPtN$W&#~X4o(j$b>`Oc(y|vVMF3hs*XG< z!-?5ExzI+nwl`i9dDIPu=K0ab{_Z;^!HY=Pt}@68{`PmrjCnoO7Q4H}5jM-}$n;;jJe6Xs7!hWb?J zcT2)Nek!t5oaZFipXu3nx4`@PI>7)YUa0CEO*%a zBEI&?@)_HF?b5Xg%5xofXf-*vl>Db=6eXm#*69OQP6s}9XF{Z0GjEis5?^8?iOYES zPI+(TQl%{{SOc&aL@@{{SC&sA(Vl+JKM; z=u)otrhH-LE*vEdhW`K&AG)F1l)TKCaN9^3)ARDC<|_f{l0Ztx=zQwi%<8hnAxU0$ z)6>`X(2FHr`yTDijt}EbC9Q6}-$W$iK3SyPFCCv!;mU>|i`{N1O1Wo!k4h*`D<3cuL1;O1ArjBK-!_h(_M2rq?Cl z?}$00Whhf*f63o?g0+XxxVIKrSK^9dE38e z9*UcH$SBaIV?3%J-`2=A-J@Yrl$@zY;cR)DMb=C!o#FYi z)`wAVcTP0@uR~B;VjPGJHtKZ7l5(N7Ejaq$mOx6&Yti9YJgKSkE{b!2?|57!4twpr zNYbq#6Ljt6O-s5$OxVq>NmO}0FtB>lD~QZkn#!`?ybqy2N&ZKu4u2{^x6CTW$@h!pZNApb$C?^Q+r4?-%H-M3LRvQ*v{}Nys~C-kt7u zNpCPtTT8_{>GmB`l&I<)DFc`^rerz7azBk)Q_LT&{6#EkPOcztb>qd;f7ofOHPuED zY6B}~O8iJyfM=H?DPq*}ZZg!Lcf?_)moeo$`_hTlT*pKDy(!N=_THZsD%uv} zO1+5;E5VP6J7?4OQOb_ka49^-`f@#UN!FD->}S?CUIMe{=TPMKVmjx`k7`RYW3@UO9y}Du6M~M6k+OeF zCZKyd1ZZtZZP_jc$ydDP%-0m21Q>;-I{uz#9|-lQj7C0>5nLkTk-N@%A0b4x+el9= zA+fb(MJgUz1#(Bl)v;bCSU)yXM^re6(6F8B{TT;VIrn7Oj@cX^w9wO9*;!O)PCz`< zStkGu&1Gb_4I8WU{{YIf5*ur1cu-ZfMY_w9h)z2rL+DdUC`xtd=jYay8Z0jJ8$fZH z4(J-kn8tRflAN@MTaP6U@Y1~UIj#gaFs%!H{wBBPwucUnLUt7b-tv_wm`z1I2?%v7 z;r+cQ)|+iVnRuxsrF}&T=R^Bg$K6T6KOtG_JgPrWc#?MYqNVMrdIdq!tzSp|^r{qS zenk4wp-SgklyID6lUf@jK?=r^vYtQvGy@E+{ep1P4nAIp_3KJ?5!Sp4DS3QFkA)=juIi}-EqQTiQSrU%PfwLYml>($ab0k{ z$`ZePzKPVHX-!2Tx0%*bryGA($4>nEQCQsz@dNo}0!CDgBCW;O6qcTGOgXfI+3isgC6ZckrWBta&phjoGHtKBx>TTv^H9@(=hV`LrRy`{pAy)Q zb7-qiQ&Th)uS`IbkL^>uhtp$4ELZz$9aqGHL0L_QHFuL1VnQ(c4fRDh;F3jUN3VBqQ(c*1RveFmktn{k~ z1xza2>`$ddY2N_IQOkqYyDD5Rq_qc#+M~KsLba;i+ilq9IV?QXr(7sYfDeaIt4*a>X76(%scT%;mR2;LnvXg+t9^wx8(dkg zr0Sc&Sy!iARnpgN?8?yO1n?!KUYx=XbPjy?tCSnUf}VpPNE}T-0yABnh}wKoV3}^{ z8gsK-;BAHI&+O$>GET&pKz&{jDfv__tren!GlID@4CsX$i0FpsLI zaG^#yIwP=JaVP3RmCfRXj zFk~{@^Agy{mdNQ=d!@y8c^2!kk?tfk=Ml6M>5c2VE(eVx#8UATl43fYL&AI2TrT#d z`#Mw<_on4OvZQn0KRQ&27dQbiL&<^ge%jH)lq}%Pc z7}`Ti+1 zjGq%R)#dh={3vAjRpZp5{{Y4NlSx;zW+J1j#S`_Azpp8_f@SwwM(T`eZ z2lGcL6tb<=#035nr8bRvkHC5wWtf)NU69s>`{Ek_s3)aIk1D{X9d_P`ig|Ftiqr-V zkC>q*Yit)04QAg3YQi=21e#O#D?~l#Aqi>{#<9PPJ}y<+DE8QGNm}MMw_xcSO8l|C zDK}`b<2lFKvxMMO8I~Z+l3biwd^e?eZyjpwAxyU)Ty$j(HV`?AaGYS=7*PBw-QC=3 zU7q6&4=~Hk?Wcx56%SfzGfM3eU2k5QJGajt80GiWd!td|zWYSE9%Dfy?mWk(MILu% zzhS09IK`saKlTM~u1%-^0Gm#ohL@B^dhbyfxywa`h*5i^KF^G|0)QClze;pJ+Q%n0 z`&=#?*7+b~?&nBK18m!2EVUfP3Q2d+1HB=r>Co~Mg(!RsC-?AdhBFq(Tta6!%7=$FCSde@`LtIDzz#*MQM!c+f4?ETgXyT%nRjS*`)%RZ7sibRDaJY-s!RweyuI0o@}5+OEleRx4lHsd zUskUvi3a-;WaWG5k3eMwE^`2=EA3U>-;$(UJBvZ`wt@*7b|=>zYRNT_hosrtNO_^m zr9Tk<;mtJ59`y~Yy~Ta8;%_{`^rDB}#`vN1lymc>fhC;(0B*D1nf~={A+PV&&o%4f zqN4gX@>MMj1Hz7z;`!7vM#_9t=DB7#8LM^P3?}Xp;ymDwv3y`OZ$ZPsIpn&Yd-=5xyx zEe{nptl%jlepL-Ckg%L+K_i`Zkuh6w?Ax&0Ort70jzWNWqQh<6l!L{9mrC=G59peE z4e)a*SZw`YO4pR|)3Wk;8py!wnrAvszD_bdYt=(F8^xcZrj<;YVU-tHOHwg~AKOjbCCXHpD^ss(DFZ7hJD)Q_ z==TE8y!UYvf)(gRF)b06+U77MsQ~;7BlcE_t-JvnI^RP=5>^VQItVNCG~5>gWImVE z;X$XhCrY@SzUmm)&Y&T*NAIl`miDrNvCet(`|9Xh?oK-e88s>fbZ6z2k1>rijrwVX&PiOX1R$kITRniMmUrY%ts3rKL z^iNUgTu#Z}*_-qwCA(bwH79fHv8WcwLVPwKkXn8_!9fZEqxW+Z%CqoY8c_Xoo?Z)G zw5!l)6^wZF=)wCzda?J4RFt1|w=%4a(tM3gZ5IfZsjjIeJjsdh=>+N=j{K^{CgXRr zT{Q8P#n6=d#S8|()3EEGI=Z=UaS|EhsckzQttf3LqDP%H5XoSuYFZWXXV$!2faPEE zUYP_Xl{TI#)OJd8tk-z7N|i0SE$7{(93&so)l=WQ;#=HIE;4X%ocKtmmeMpK%L!OX z=d`RdYWvg-aVSkFJgyKGqr{*K~-AKOhZ!&2ln(k3BI zwuguS=8-G3zTbp}C_Ky7#`1!kb0k%vi&E#x5X+AyeZ=_izGLNAdkRwxn9^TVg->!a zopUOqm##mQx+Z)vZ1(a>qJd&i8jrd!^<&FvOItWH;~y6@Kc!M`Gm5 z>9wUPnFzL|@mmQ4P*)_K_NPUzQWeMdh7+!YJd^2~_rB0@ka@>Suzq#US`REL-A-`a zS`OMm;3|`ejSO=ug1QMr$Xu{;%7CNvyJ1faJc$&pBeC5`0OBU2Ts=HE>0VZT(W`j> z0KEZIf-|RrjB2Z8;oEq-LW?xXR78v`@vBOb&7RL0mwVb;7gPs`S1_+ym)Y5Nwq`Ws zR#KPa$x5&h^|dGE%DXpfW!Jr-Z%b;D%SbBFJo8Qku3n(85`x=g=mDPgGNj+{7h0nT~UW`YNMM3fB*P{yiQZPz5XA>_Fu zizi}r%K>Q8VWx= z_HrBkE2OrvX%kEI-X{LqI~&O19h^uDa%}L?98tE zv>b2HeCk(n?BuZ%fTU(s-NokvAv^NTIcK}}Mp6uKbo4de7Myh)g$r@)vVDu}({An_ z(pG;t#LldF)HqPP6*1>n0jTY@cUH(Bbw#y3+1tU4@EzIVdRGG{au2Ah=dhv2KzV@F z**?=Qd`cNOBhIbQ88KxyHPpD$<0$e66x`cWi+T!VrW=e&0mjs&a!!?g>W}=Y?9yLupm;qBQn9+2JY%?hmvh>V>r$-Jt>IpxnrJ% z#V?@@!^vO`!+AX_N*;@C{&snl%UFwoPMm{Tn z;Jkt5ONh+E^R29>4LVM0PrsVbZc9iYt$k`LB}|gi7KN!nm=Ph4&FzWSTM zbG$}Vr$W{Bg++}4(*@a%21R@13e$t;Dm1H<>5?Q!lpB!|_jM!<@wc66LPAH76rB0~>T$Ir8~8G=bd)a|lhZk< z%GRWWzLFAyja%}hsIN*w$Uan~*1iX*s`9VK^%6yB#odIS$z; z&I(pAbMmOHhO*<%1jUSbCp}f`QtnSU)Y++Tye=A&+lf$0xuIQE>N!$fwnS7+H;J-N zJ}$hr)$3d)k%WpAsucRXt@2O)?Nw^HMw2DgTv|lw2ywxyDjhS@r8gnsw4!5(2t9w! zq9rN+0Hro6dXwQI+p!-yw=Umsn;|n}B4fb1key0E&N);D9gy?RYnIfYwPiaYSj9+F zvlkUn+|z_1Jg|2;Bjr+=ZdiE@q-b>G;>Rth%i}Fl2~L8A9P7^DkCkFRhCx_Dd%_Qh z{_14`z=;H4w0!C2l7uN^Bm$na+HLmqQq#e#T{3;3WY?Qq&Zh{hdB|DlQNoWyb zT+Ec8hh9HjPH;&^w5Aif5|w;Q$0{tP#@GJqcxBFPCS^vmtV=D^G{*YRRUM$~cZKT0==2=gOhYxf`8{**I{%)v&mr zJoX@uzN1NxbiG`p#lt{*5qu&-df`|Z6?B3uLL%j|8(VJiP(aRezpX@4(uUB=nU2e7 ziC3miwce zZ4BpgiweEaNouxza3i1Yt@}fsCpX6#oDkycA1BhCB5kb_) zwjEZOM@B0PgCha(`|&}T~WmM9YDf)eQ&yu^~34XM*2_Y$KBR~8bTXD(Kkf`lUwY_db z=;FrKGpRqNO-Nzu ze0i%}fcF*U5E2Kk(Xik*^|m7r(pl=&Z8d5~04mI9tim#8JrLr9ekX=X^-T8D*W*1K_PeTb`*z(f8Q zG^+p;wt0%ZHi+s4t3{(umlMpWVE(F@rA1nm{JM?7`+Y4}p+#;*svEMnhgcWpZoK>c*IrZcH=zTdPF@bgo7BCL&fcd)rvwiC;6 z0R&dA=CtbU8l)>o9UbRakD|IX8!Ut28x>%Fx(Q52hK}EswBU17c_O1kvVE)QDBL{kvUUN;Pnnc^Y~b@~6JflX7kR%8KS0X(yQdxi#B)E?%2u zbNEIqrLRg{CnHD)*0h%0QbGb!pvX`^g&;;!nue$-Y^RBYm37#9Rj4wJ4SP|QB??c8 z7+;8$br`42Y3$tD)Rwr64QWo?s&kg6+-^^LZN#m%$~Nozsh5^Pxc6^*@^{3J~Ha-f~{={_7Lt~?c?aoS6&Vd4E^ zHvrQdKZvy2H2LjMC7AD})+U(E@X~g0bRM+BrBnDa+I7V>#ZleAh>CH12fMsj=3q;y z#&-iz^BdQ^WyMWigst5>HLv0O$gMrmK`g$gdea+P+;^Fxl_Z1f5xT%hK4-b3YU3_C zB(k;?#E9yQl!dl^MKGe&bu$fX45NeMLRE|>y+oi1Io+%OIwx?cj;SHc}X$CwuoensrQK3IsJnBQ9&XJ}fiXXyxb^Vm5 zqD67`+u6dD>?u#b0!tD3IQrAJ^%)6_@|7(dNZ}~>$C#uixyj3|7um~4#F5O`73h*# zWiBD79aBdDl;lusoD~lZV3FWYj+w?51F7-0yo_du;jO~qz)Dlfr46=9M#IvN&V@E6 z7ZV#-yx|S$mo1Uv$BhxAnF@6SBg<}QHF%SGinYEYeGX%bATjBu*UqI#n{h6>>eP#S z(BBw{MRhrvtWIZiV-FPKVB_ji#=9`~z zi3RBVCRi(6l;kVWb*NY$^j7c(%D4OLprt!-kjp63l%Z-FU!WaoZNbYkHj89@y=;!} zgD;*=tS6ty(6TuNGj0f19rk0LI6(fhBkI?AnuVD0)0rLL8q`Nb`O{8Ae~l7A)XqL(rr-$0U`8fQ1L>Gf&Wh* zOJ$>^IQV&-or1o}{l>fKI#Z~kOKaxZ$j1JZ(lLi1$WeW+pd4@VsaFdXrMdR!q+z)R zQW2?G+zit*Ze5pf)`2nhIxz&wyw>4mr}nnNq}T zyTz){A><_~Y3>3-Q?JsH{np1i6m4O(KK3vw?xdU_b}~GTH!4G-8WKTaVHr}D@~;7OQ3qDtua`?LA9iV?TE7)7+55O z^Ikeq)YoIjX@`7Q(y)93(yXZ#^w~a=@)u^?T2?-{-YR<*#K*=^?4CP>Wjm)&-$9ITHlQ;;sKmgFE7+-)P7^9RbKTcE*_CSgN>AobE^btF36nA9De&1xg{$2ycspwyPG#CaUlP{{VWO)%TV=T3xh^pDc(_U3XHPtDitO|oghVkN>yssb-n}k(#&Q)% z18)yc$R%8!N=t`Cc0RJz{3*$uuz4@WOFFG?DSqNIy+@r)vUW%&;{D4mH5y8_A*}xZ zS)a2>l(`Pic4MJ^qMiL}{$5BO1zQcZp((JnNN+MqT|)l=OCPeFeLy8nsJK#rflB$( zepT02X*8@+Y0;?)8`rb004=5e07Q_#*nMd*rksrZ7FU?^KD6Vi`hz&7Jrxb>8c_Q! z_=xH=n&Y8~8nd0o#+%|aSitv@W8kZN`(U&W9LMcwGLd^QR@tJ*IhFP@eHQATW38I#lOUGSjcJ z(iPEPDw6br`Iq~wQH_)G6q$Ehi#D{%UlEkgjPTq0ys2)t+Dk*hv})4YUHm>8V&4s3 z@JvN&v>?L)M*-ag#0XJ+W6-B&Sw5#Th#D_lkVQ$G_5- zH~S|w5w+V=$Yx5OK#d{5rLA8swRpRx-zzV0+HZ!^hLMk#r6yC+pNjJ?CDuf!NZDqBQ9;(2|~y4b$=z%ySkxgLao~MCs-hbF1bmQahw< z$%h&)ppHe8onL)8=!F;+-J80E>Eu!{Q}Q&nB{01YJmi?@h@Dsjl0g;iLduy+hY7SR zo&`5{ahE&PUehv`h^8!+_xVrjVd7$!7_m{QwM6dqqHhXw>S&X+a*6HK9CWc~D8k=c8a6`UMt zKeD5`EziDQPNlZm4pH-{ugZ>M_m2_~v%UokF935+D7%75Mv^nNFsyE;6Q{$=dLXE$ zbApps5A9;U`uSHnNE?-G0H%;Y?@x|2mHPR8)bv?RCDvX>m8Df~YSZCnrJ;J@l_c~RSCFBmNPoJG_xzkqbQrT0|BS3n1 zz!*m_7W*E&>e+OC$K-IQGTAS-l9tjHz5+lQ#W$ytkQAM%*^{0PG|=09)O>||PID-g zAq_Z-Y#9;#+7Z_T{&9b@qrkSOEz5X?TjPVTU)oXoHQydmrQKG*j%2!X!)$UweJR!* zPNv;Pg#%Jzt2%Y+X-BF$R<4|@W1Z+@%CoCE^r7;j^Q)A=?BK<*EspJLU^x4VUVQl^ zVx5NPdT}i)L2_(v67%w*ZMb-wR?(%Nzdu@4y5OxyUxGZN^@ox5rAJJkg_S#(NJ3Ho z=At>`qcXP`xJH>DR9RNXR8*${@pI|(rlv@_vu$UI-6ILDsZMcxyd&W`9O_I72?S8d_j8bypF@L${OW1$-Gz?n;OIlw z$EC>s0CfK9k)-o{@~?C_iX8%TS#hPM1pH(g@GLLG%vZeJ5O$zYlmK?XH5mpAQqb#* z@1Ql8G1Cg^P4E*jEo#e+NdOb_#UZ$sYlJfBz?6(@SKeuB0zeA96#*#w&xfrK)@fxb zJjfeV6(%qxQ@%E?x>k4lA6Ar?t%XNfFBf}A3z+prY9brEc3n0$+1G7{!eFmRNXk`_ zva7SP?h>tK$sMQf40klMsh!oOC+&>>s<}psHHVyrr8459;{+$lq~0#+hoyJN8fhzO zD{;&L%QVEc^`tuD)Y}O_2upennwa5wavSez{-9~+`zuSG#48>a)JanR018tNv{`+u zaT_%cQ7H}fm<0Uvij{G&yA9N({V&^PwXa?Va-Y%_G9#BQ5AdFRw)0q72|J-aTT&8~ zg}UmFH66Cx=B&l0rVSDU>62=2Tbg{*4_avgUu~PrrRn}{ZPSnA`zZ;KoA6e){CDEs zzlZc`VChliS~!Wv4_7MR>p8NB=qZ&pl?Rkgyz-&sO=X_?4uyX~!B^|)PNfpA-yY&M zoi3l(O~iFGZdRc@UG9ysZ6WN0sW>?JMol|1-D{SgZAW(6fb&Xlk*_#Mt^liriv8KS z6EE{;>+bwCBmk6oui-ha(%%bwJ8Z0w_<7nfpjT_abPK#o*YDK{d8j#VDlafr|}fj{X7$Gkd_-K;dQq^ zcr~R9LRI$4b3vzG;;Zd}ifsti=}U>u6`r-?-C=ua9J5y}^9#YndFGFoS~S~^4NO8$ zcvwDtD{jA&L+DG*o^80Cif(0=rkUShN80FW2WKp{*4Sd-BU7`RlA_X3oryT< zNDQ*mmja)p&r@SsiT#4TKO;934;*Fi-9Msf?g|Rh%UoA+&{IUkR+$8F)u}k&ai1@~ zml{h-ZS;Iino!Rz_pIZ5ZfF+3E;#6E8TF}-UOUT@lkX!gJ7pP5dDMjrj|tm);aRP! zQk;t0uR}tzw(>Vo$@p_!&gB`%%2IhaG5ArnT#ped+9ba}Pn|z+xGB)zvt3JbP=b6v zrHX+XIwd8fA!|vL(N4tl%@E^L)aABGk)|FzdVt3>zA?(KPd1qeYrU^hC5*hrPhT_| zI66`j(Hg=>%j+ZdRB&$AhL0b{hx;O3+w_h%6*(;4Y=jlGI5vL8uR;F+)+l&QN!7S6 zE0s!260Rl?c&H&b8S|9-3`2E$rhO45Qk^5slBpoW}I%g%S8(?c#V;29L-&P2*W za&~4(SAj|K3W7CRmgjx(H0x>(bNcG?um1pMUdx(HMjCZakCOV; zrtKfQw;tx_1=GVin&*$7E|qeO+~y6!(%6?CHitr-7zZGZM}Ab5U;97V`K+xW#Hs0P z;*hPvNX16DE3>_sw8N6$dD4XycvhX12H0I%WTdFP@)fA5S^BD{m4dL`&MMM6q$_%Z zd9~l7LysL{PG!|<8|NSoPL(!8AJNm`)Sg9E2mb)(q5lBGQ5fv>2`lb*j{doKcA4Gvk1c;v znnMojAt8;dwSD2iquspZR$cVMq&7Rs*a^;#WYw;3C zT{*>D+L0pcp&ZLVamI$Qmy@Iv@9_?QeO!g+Jh@kgq%#r=Dub=(5Zgc%ZOb^`yGJHv zoqx&oWLubW5{Vn-INO#pnp3uAv}PVM-Ala|w|0hlw6orqc9&BI5$fX9VDNsMDjeTa^*sEN3*FrBqg@ zq^o@LG+&hKji7T|rvifyTq3xHok0q4SB3b#dpaxV>EdsvQU+;aFA2R;6QrqIo>bt- zQ>6H6=ubW=%|%p|Hij~-OLyVqX)HM|+UsEt2iZUhQkGAH2NahTCej@4?1!+FY0@*S z4_ciyuYzQ=!~Vek0GOb9j8}KP%}T8C@=+m$ks;uDAzcPM>5k60-wG-k(QB3w;86Pt zKX3NZs2@2lpZ%jv#bG__<0oj)!nZ!B$s(>OwaY~BY#mc=kGaEXw?5Nka`p43;}o!| z?;b4qEh8G&`~_szYa*@@)B)ERpoNQ{=;TJ-{f%ek0sO zoOuya{g~TQTY{3x=SvCUDF>ppR}ZxDc;vpm>mj8iB|z`66ql^_D~nJiq)BbWxYvkz zjMUd;vbcA6uHodopmmecR03Lj4tyq$ykz=$pwvw#w&RB>PBdUJ+SB(Bt@5e*obB|6 z_>Cut?C9}W<@J-!q=IqpPsLN)k`h>6jcPCG-=_FADGsd(Y&{AW;WhrrI zRF`BVFMmLJR=Hd-ods_cd$ED?6!!)~OY4o{ZBo>72=deAO|DdF3XsD1sasEg56?9c zJT}~K-Y9$L0r4!-qg^D)enU(t^3yF8qhXwCJn5xJZ-C0~s}08rCv75t&izFb=~nP% zIEMS?>2}l<*x&7aYD<0f_ZsgW1g69qWbgG?&YQI`n6ePVX-Gttvf2^}I*+dPG$Ffl zO-xEqnFcWn@Qe*R>;(mO+VysJN)@FnTcflY3C0KCP_3|T^PG&sDT-rDii99Gk%5gp zYRK6seHnXXJ!NDkgxkad)=DA%FFPcD@%TjVzTj}@IK>y>iFThNt2(IQ3^ zo2rRpA8lCNQ)*xG1^)nktS!ghWDUBHDo1vAv#uwI-rb&zv?O?X>gPjalUOUr(}74* zj>j|`%8Ava6!fB$sOc)ohG`niEjkbM{OJ8%Y0%>~C3wH-w>J>9qA;x3nXQJY9t z5g5++Cp%YW7Y1i$n|>tcTUulk=t>H?sCntmD)%Dmd1e*ei>i|tvpH!cIL=TL%vWez zoVkL@6*z?YZcih^Iel&NDc@r}hZ}K_OknAAZ$%!ohtcpW&YL=ssW-FNe}GbZIOA@n z;PRbYI8T8|PW=8<@>@7OW$r$8YI(I4JfW@NO?hXfE84%&g2CTB>7eRcN;PBK0|CNz~JWp~26cF4=WaQ)wSTx-CNvkFu{NkJnM-LO`8x zks-vh8I=vZN5rooN|zyKO!vlLlCik`6!|1quR~H{8$IemA<6EtCB%qaLRI%rVexcB z`S7&mvB0eSsVWwG`>o?ES+=dPkDjVwv2MCPvl=>1VA9#eN(1%jvMI?R3n~`Q=`4-i(m8xc~elNS1-$xq^ z{c4!v;<)oJ!FDeZ3mM;R$U_N|<+s^uNnt;l#-GOnom*qB zG-rjp79+N(`PiKA_O~?qT9km-gF)K>)tVV&h+(PEh>Uo*rl7Xpc7AQLH2EoxMzsQ- ze~(&6XQUv^X{5UU0Mfu#0B=8zX^C(ZC6zI03P3BuK>1YrrptBv-V5sZvBMjFHLc~h zs5hjjJBo^q;U;PmG0qy%+Jweg$Jj~aYGUMBZcV8!wm7$r+iFkz(#&SD@Oy{pdb2d4+@1%9{Xd&eVRf$Wu={4eI~?SC-rStw9I$iH=}~M-sE_WHW;fT3Wal;ZmWJf z?d#G3Xu36V(>pr=4r;=Y3xm)qJ!&ak#GC1T8V{NJBbTk29m| z4C0$ycNFYnhccytv7bCs5H2BQv^qGcZ$2cG(-j-LTdz$z)2dXZsJp{Z=tiouH(~6= z&M}Po9lAOdPw=wONYSU!kTHBA=SAd8p2vmcCG^!S@XibtKbC+ zM2CAA@NLCuN{n{vNbG~TANOR@U$fP2-)2+*q$|bw#0`xrsZl)Y>b{~%kL#w4_<|hw zTJGM|Vc$Koj)tPSGIFKGlIlI=mpn;Mq0H}AM8vx$N?=M$lGN5*Z$MAl^T``g_GIQ( z^cl@LAiAFJNZ;*aKXo90(=k zWqzQ^z5L}wj{mGMaWqdchp0ObbQIiiSMr7BypN?)M|A@8-$I;PKh!j&fO(RQsTM9+!LJ`R&qL2$VyYD+G4g!1h7cL zMnzgxaa4$^L?<1Nsgn|+qfJ~U%$UP)Twq5-h-W!)5>CnewFk5@C9vhC$PPj;D5b2Z zubzXwTwf`1@$Dj~L(Xi!ksUEkAr|)SE~!7#7Nt7Z``v0IuSbZ8l60yg)`k&>8&~y* zJiw#|{gnQNmfKU#x40*9x#Vi=#)k?thEO#{v#C5J^7shN9yb+-5(90eeNU|^-Y{ix z)D#x2#%WG{4d*rGB3efX{xsovEVYFkHys*M52Y&k6^R!VTP)FSFL!0>(6F45zpXoZ zdP{cnhMz;tKB88i1{3K~VkRr^i57;_-#DP4=cw}(yIN!^rzBrVZLkT-17ojDnk6ZW z)}1=L<3~!f~%4>TvN>ArI?6+fevwU`PJ|qt;fZkWkwXJtj{ts;YO+pUJ! zF}TjU%Go?L_)+PKw!yhD;bN#BnZ4@~BNmr3rq>+Q3RchNA?Mc{t zg*k1xS}!+7Q$M{j<*}@W)sH%ZXBJ-4MPe9n_{}N55j@m)rRxd}qbowbu&6G_H)SyM zz5xN;zo*kXXXi~ehWl>7?+f8@Brx$&>yKJT9j?$dsZF2f>5aOsT{QBepE^i!Kqa%B zR&}FNM%=4qBrli6>rW@a_L98QWgYCOrCt~$YyEWh30Ip(jI7Oqj`K^*Eko!_(aAhR)O zXBgC#`5f~V+36QoEOzLtVZU$-z&pH)p%M z#CbzZ3FSBs0nq-R?XC9t(YPB-GciimsRfaeXsg-KNj-b2DGtrELv?5vNe$`$0O99I zTegO^ww(=>xUqrw(a~qN=wY3;L_s?bTDvgAR+gbQt{U4AP=ZG1nnv8J3K8963!0Q# zLyIGqpU#|V9yPS!)`b0FDmzysyFCy=W-^{v1514qw>){!U$PYFN`_i$anyYV-0I^Q zxNY^UfteAsTbP?W9^|%raSJfgOij zY-J6kU?gLuEi0Kh^%b5Wj?vO`85(hy7t28h33&h;}s~qAPM1j_d>G-D(;+?A4V0X9p)tk4 zTPk3dd&9}bqBh7h@KA}NEow?QxMaD3*UL2U?-0@iO+ZJQwH_~)Gs?1^OG0{)u%=l9 zTHaQKo?FwYl*@}@DN_nK+bR9^;T{xjqgiN$j$|b7O7sBNNhIqic!_etv<<<4=h~SwjX)*+!_1@ zLuZWzD|U2?YF*+V>V`=)%fa|z4_jWj4|(+)IQ&gMwMd40ya@A^rQVL50rRKZGGU(Y za%S6*?=`qHpOB}baR@NvDTO-0Jt!benv9=#Q280Fn{XyO7r=*IaY@#tc(O8m2&)Ws zB-^BS`R}?La@dUwDH|zDC*s)W@T>HQvisav7d{NA#!Io$Az?aFQW4~uyIGmA+gZLw zWzPPya+Q;gelE0@r_S$^IDZ69PH9iBssZ<8wv&xcaBxyORB)dTHPy`j z0Nz#mXdLtDQCKkeoy`ah>Q)9o!6)}rrn{2$yrq`2q<~I0&pgzKWccDgs1MOs`Zs5W?ME?Z1?i*G)(@>99#^576uRhj(7gDzBOfj55~MX6M{#tz#-wA@r}2LQg6cKi+V}NJ^A_af*IGM5hX$#bK3DNAsgfbTq8w0CBxeVr`-yXk1H;$cNsV z9_1@YQ3Eun*86-rFt$T^*O-jjcT#b&$Ihg(<&|#sblXH!qAX-(F6akdzF-b{q^VCx zX`KsOZA94^PfcECwfB3d8a3)OMrtIsBX;HX!CF$}8AvD|dQ&%^VlBO)5DkN*Ix;G~6ry-mi}f{@aZ^9yNM*PMf>R1}^Yycd!KOterF z>4Q?;Wiez&a(ll+eIC6HHNm#MZhh>DC?mp0r64l;kAvbHQm}c93bW=^w1(u7lWGfX zKO^<%sZ;K}2OCgMt13iu{humJeeZ~Ir6_VTP!HeIl7Q^J0PGhX3;pyX#kyQrVLOJA z85pH9yVb=_x{Nr39Va04t7_d}yOLEMYB53pD@g+<=T|7t>06N{sSjz65aKu4L(Z9f zcIY$RM~SJW2q!*!@~5UuSaMXAWq9a3tFufwv$Ah$nuhxD`DUHE&t28VX3RFkM7PK- zj$dA_7dsfA9@#9qltGy8qT0dnW6+vq_Y{OWgq;dfuy>{`ZA+ON;D;TO+fb2|$k&gE ze4395r`N#WgsN0U6`057nBxrFsz~+CpRMm)hwN6RirucrE_=kV;d;RF@Q^_tva)JJi&{dxe+VX|!qEQhZr86%4=K z7=r57iA*#QE4XmeGYDDstxhH!cn|Qsb?*-cW@4`BMr-$N7B@zP#ho9mEm{H(nqC8YD=nRg^VrKxu+j}cVT&dg0+I7 z-v0o7F5?RH>$CV7Z6f8X#qz0WlHps)l9HWE&xd+rJ$QNiY295B@pLtDR(J0w8*R_> z`cr9fSYl}I>-qHkf0iwx6jyGynV*`08AB-_XI%aD0Bu?<7d9-? zBgmKB+I}R37j);D9VzG&Q>mwi;CdR55pq$Hq6wQG771^bPBsd~TCO%Llz^a>y)sgX zUV-bLNj#}`$tW={tS7|M)HJ#3k1_cTF=}MxEPL0$`&EEHRRFG?DOm7edC&(u_cbW@ zWK3pEV;R<#vY!v#NlO;QK~O$5B1+Yt#;yxnkCog|6FBje??A!vdGe;+3v@c%PU43+ zr#viUPs-L8aUH?mWz56oBc;1d7gnRG@w=WD!>kRgNtfcxZ9Mp)VOLkjt<^#x48v3MxP^GwoXYHa8 zmR7l~sfML#+hTSqsg1j6lc91l!D%|vk4#c0cA3#{iqY5I3c-2bKsr=+LPHUnklc8Y z`XRAOoqKBGJ|p)L6`OoVN>RiEI@MNtm7BjaYk9X>k8Yf-mQ<6zJt)bVfZ%v5Sy}je zu~%sqX;Ia1bLg-ed9^sb$JHG^b=o)WNt_&voI~O|unAG}JjOH1o05e#hl-H#ax(s~ zInSZZIP-H|N}IkpJp)(uq;HR%N$z)E=?2#kNP0}UVYb?B?bMI=x27$tx^df8v72+w zLw6`~f#OPY_)_9Bx8As4Cp94;FRMWC{{Y^raJr|pu`Z2VmmzJ4?P(H}oG6U_QYl`_ zwO*A84shI)acv-nPffZ~8F>g#6IO>1ax$J`q~K)t!a06{sNZNO#1GDutCy8`cG6s! zN4jCKPHVhP_xA_3ZI7~jDl8RRWF^%%^zfCS@fD5po|QJ+WsdhAUF9#vf(E7O(Z4R4 zsSZwp+i+6Pd66%?4*Ze9@S3=9{d&J|{LM(tq@0XX zWyNM>al(|J-%;XO;VR>JxVgfM9V#1sk@Tc?g~+bADmgK(ElC+K`JjIqw84Ovghvy` zp4pF{>-MvnV%cksh|yS107&M1&#&Z{8Z>r{+k{pWmlhR_B&$CT=B*4|Bc?g=zS>*P zl_NpH-+C0d@*7L7I54CvREv92dy}q|t-cdQEy?MfzOeG9CopA7SWb&)0Gy%EvU=2; zZQd&2-|b0Hv?DLb@>M@E!{P%=D9^;I7df64PFkEmQoKZYDxVXPpULoFj|s=Bo{um^^dbb zP5@faIC|1bdHBBkRHsnikAzhb^`v2~@uYbggeAY(4Q>ZDH+6*Zbex~gIneoHo@KW` zNeAgXvrqD=6Y|PTQ4w(h$Q;#acGv zvWzVK^i<*y{-tVIe|*-J!-kb;4Tlhes1L@K9T6iu3CVH7t?0ZO4C)>rl6n30i0^7f zM}_YdJ2m7b4m*uWPbw{BEv(~YQr5JnYEGulOjV~$ctuNmG}PdjQm!u49Slv z4u=@IE!hcBKUil5pK-f&uqr|{Jm8Oo5_$EjMEiqjp3DgDp$%vNsIMH)ps2H9H`(Z{ zzK-^W-?=0xY8;J6jXL3MT-eVEF2jK_3R<)FbJC%$<{e;(!+@2)g#?aXAT~5p zyYEybcbAzQ@z$aa;UA`FYb48t!Uy-SJfk2}^ki|ypZFb62GcFD(xgZD?QZ%JPP($7juObH34R0^JHtBm>98ZAnw<_%X2+3*(d%7Wqq%e|H5`VRk zN^VDJu)#TuTDPObpSruP&P!9}m$;hV0V)H?icO$LjMCq0jJMla%5?4k`I=DoiS;J8 zCmwmIxO2dl#=Q03oo#E@r6ojY+NOWEKeoK9o^x6oNmo;W(vs!dfWG*ZpuClm-Z~Ck z{#7dBXX8uOR?G`)BP)CRUu7fA)3>ROw4TwtOScXpwG^?d%fvO0>ixbv^LsY`Gr zZs45gz~lhO{mJkU2CxXepUY zx|s)v7)LY%xcQ8JLTFc&ZRhz4y?-ZP%6Fhh=jls$#6q7QFt75c^Htm1J+4!1tcxSo zWI7O$wimYMp0$qGN0l&1C2%dhm_uz5j(YKtPNa@*m1?eZ5~X;2(_3=D&(5*S9S`I< z&NH<)!)t}1tR4O@T0radt0@^HmT0Uaf2^RIcT%oCV+sMW}Dm>Y&u0(dE zB(0Xl=B;s-#lS9fo-!vtY7vhMmXBTPN?At@Ix&O|>cKMLnRdw1Un)e$qu?|&lzDO{ ztc){rYGToFN}O6AO0{kA91eXbD&2O6Z?`H(0miNXDYw>ss85|l)dt$aoJzvw+LU-s z9-~h}E7`JBr^I*;9!mzDb_4Mn5gP9)W^-k2>*cz7jr!9fG%m+Td2&n{sYSQ*e9nD# z9V$ya1iQml(j$fKpCl@se?p@=w1l$Z+kZTI)2P#@2QuRS0Qr+mISs^d83opJ*UVMX z`Bu3zg|0hkZrvYHQk11`ayKAU3#51s#+50?!^x0TtvZ1?BC(;LFX+zy02)wFhgQWb zM_#3RWb&lQl9cf=?pYk0AI67LdU;d^6LpOl^r=q1nT!Q!8OOU;JSxRoOAc}Paa5l* zd`6E6C3}qRQ#j5c9oplkPo@({vZX>Y#!#8fZ>2b?(4b%Vec?rK}~4tk=q#eL$(5+j#@3 z4#vBb2OdNeWo(FCfN!{=+S~@IR?thoGYE#wCR3I zNA+#|{OUYw5?f+4bm{K@09hyWy?tvxmg64Va+a4QT@bmDTX68yM^c`(Ymqv8?oUML z157-64A&$1R`mB$+j#hXvH4VX;6EQ!?8a(*zs$x+n&ct6Oh@ph$hO|xQ;#KtHr%GN zRCXOGIsB6CAl1G*^jf{eYAx0k($O6<6wIfYT24+elvf=qb#5n0&X;mY&xjQC7^_S0 zB3tG~eF52Tyi!~aXK}e7g!*L z)~soE1VZzL#7t`;J{){oDCU{qjvB<`%bGsVk*PBzGSlcWID8ie#hSWyfpUeeF}gPr z-qPd_q-*^~xI?rk)BL3NW_aoR#+>T>Y5_OY{UKBM*nK+&e>eEoc~$AYDeT$2aQNxR z8&)*_6>-sgX=;w#0@uDq9u!8AT1v89wk5VeZrR=7q)aKv}m&hm80`zI<-~2 z8_PdSlR1_6k+5Sp{A$K~&wEwgCml#_L%^(&ij=#`8+rH)@ngYSc01!I&Z69+!HX7h zT?~#3VLHdl(!TnOVYWr%NPQv47oJGY^&Z_huF<-tBcZM&3xh?zT!6-D+tMue$8HK> zDkAQ)0f9rOr3D{%CB52x9O>k?jYVL0n8%>| zNv`n;^3sYimX(S_Pe^&zl6)w2!bLLMM?#--MzA_mVIX6@xLe@3LDP=8^`bVRM!Q0} zsC`!-W2Ku)+5-t$JCc4>p9*+|YSgTH(wCuQQu4Bw6gQw-<7!FB_v)gozv4UnA#@gw zAtUX&rBZbjg(Z5Dq%Q|G!pr3Zy zIL$}l;iakMlc^rHBmzG&zX|KLNI&+*m6c$QLlw5)lQc9s;|_c&d8J^C^R5$oK>{Z4 zDF-C{hgDNI8#LEAE9K(Di9SmdmagoS9$o2yx85i&B&J>m?5}^r@^*@9Y?7~No~GRk zZ446AnCYyIsfcgIVheWUKO?!e+5*t6v8x|H$;6i_nCTzu7fNo_(S3Y`hjvflMa!)G zHj}zbm@4#0>GP-9itNv3rQoJywp0ecpIlRft#7{SwLKxz;!&YP)KJ(RsMP43M6zAD zLJ3;Iz{2zUseRm)!%RN#my)B5ZuyL&0FvIQL8FB=JOvL{*bS5-ad=Q zb;PWBS7~MZa#snnAR$>&l3WBUA1Y-Q3wyT-O}vGy!$wF)Qhh7A&i30b7nG$os3^c! zTzI)t_bXdBX)0?3vg}j^5$FQ5PO}2taB&e&(_RdCTltf=eJRLqOS`uFM?%x=(a+Px zUHaCUu0vsAcL%4%;AzI=89UV6YbjC#NPV-&j&<3{+HK6-W%kJN^{f{(4Lv$%YK+-L zaFzD%MZH9_j)>UvZ+GB{vV_1~gQzI(W=t;$1Qc)ZR zE;e}D!)Y5WInO#_1rfA6+sP1=cp&VAZB}I@MGA7;cb2Bs*yfFUAH!;Oz?h2Rb`_bK zN=9`l(34lN1a}hE!p#p_8tAm=BAE+nDns45eiBi`Iq)NIx~%IWDs{oUO&Xd%5;bgp z3hYD4RA*N*)QKt#{ZdM~`qE~^aK@I6lKUqLq%Pq#*(*Ts@l{5mOuW8^A}ZM91BiaH z8f9g2mZ@AO^!_{5n%64x9`$mzA;ipmmrBUbAWbBnx`1BC z<7ve6Nv`8RPZTHJtnzU`#+2f9IRV4(6aKH)ng~Ce$U!H@g;C<}>uM~OdE$i-tzLUm ztJwkMAqP^i;pX6w6a^9gv9ol$EEJtaPh%sIH>a{`#@)U$NUL@#jU{)|W^PpK%sdJ%hoX`Svojn8NSM8@{ zt|aMwoipWs-H~DW}pzQ;0ggG+U^oE&IH)|SFHFV7_5#&pBBjXVp#y<)oX?u;# zhtzZVHAir^=6Q~F7JTQLObGdEMXUm)t$6slVwPG8N(%CXlhU~ztM0A5t7@GlXw?i( zireZ+bAjUCuMS$JB}|y>Y8wjiFC4iFlJRC*Q6ml>Dlxyxuu`#;%%s(pRHQy*M7gyh zM-3S`NzT=9zsDLITxIZMKIgnnb^`*hvlE!^cL?#5<%FucOE!B5Os8$lvd;=m3W}BW zL7=|pC-Y$^DM{X#nUzI}+`}$5U($a8U8Hrnh%#n&jauRbq$`D_5PnppJlc@hlATH5 zXKdB+OfAOkbKBPiD^`)q%CxDaxU49+&`v_WbdeehLGX1YMa5x0wBCoDTTDqaWlJs{ z-18L*T&o?8t7`$&o3+_X3ObKK4*91b*xlzxwOMB>d46bRXIF&R7@1`AMLyn=IF9{{X;zOSreGMjLa^s3^I$J}FCx_{d zl@=nxW4|T-9_Ju>{uDSfUVZI)7Kb3MvG|%bBH=9#zKcW!N<=u{oOO8sW9818lRaId zw71_=4ZbYbFyc4P5%qDl^eqN#lNL&w(A%=s;&iJRCpDjKH^fR7!dncTJMGIm&|8-l z-QY377=*8jop8OSrA~|zt8$bDTNbG;Ok~Gj1aL}J4z2PJts!mvaorE34!ESHsU7K% zI8Q^k4Qcs#(@#OPrqOWmDs*<-%TfpE`BP6eJT7m)F<&lJ5WfofrNPZ6j0tH4?}eo( zY~cPih>_+p(}RkJl+JNo&2PFTpZYnDHFD4Fq^divy{XdDbVkpJ44TxZ3YrO9rDhg> z9)GTbPsj``Bpw>$VB@82Ij>x^PX}BMsn@uKfr^>u-qp&aTkUSgh?vm?()`BGf}G&~ zx=y*)`2t ztSj&9LR`6!ko1&^5!rRax(*25iexPXf6W-7io43XIf-8bf0$ZPwh?tN2u_K(@Co zJMsxkx1{_nV4 zuDkW69D#xLjdiZOc~--nW9?F_YSfs|s4H-!v~gF~93`T;anMQI&bUHiu-DZ~nH8lZ zgnAmv)OR0hl&4%#2^q$&v{?&UqqqoDWm{seNk3s4f4xyzX(;1JkcN`A9Akevj}q~2 zn)~ZmWw|U!XF|#4j}0N$?UJqb^kBQ*Tx8{4J`gHTXq~#S%45IXnzxpUl(p@Q^wV4> z?7fg>xcm)L3J*_qfWI{y#$b?0@_F02c;fqFF-@DZ_QM}dBw7XzLK|^ z8B#?f+0y4aw7cSI-0-pHGuFO!F`G2OZd6De>8IR6fKbj32&j!sz57VNCkTrOxvS?= zJ4`RV-QQ@gE}4VAQK!k4 zU1iS+3U9;u_Na2w>nyfy@*I&9P}$WfB>wuC@-Ln7m)zqr@N6FmE07(A;?nOus zuZX6k7WHDHt{8(5dqzU$87Vp>u^ z`!vS?0M@7W)z42gMsY+Fy?^Tu6^-QeoBC(v}J zW4YheIz&{-?=1nsZ&xhRTziDJR-)K-mjbt^1Cdym%ey#-)4$w$QGKR6vw9MQvz+4t z6m}#eYUTM;;?CRFp~!jG-+$zUki^-DTx2_`a~V#LAe8x6Y#nhSiAuP(z8_}52CjaoPyYZFG`3WoO{_1+Oq;)HT8*O$2=2}(qwlEpw(dsm z!dgO0x)cuII`%r@&sEL&+-98AgtP$VxjuAyi||cIrq3 z{HoH#t2cdM8T4ng>r6ptlr1 zXUe(Li@Mz0wMA!0WwRB<@iWw7oSkL7s^uw_a9VHK?t(S!tSYB78XT9@+=jT^7D|da z^QPi6YM8$C%A1U^-+@W{ROW@W*8?R&qDMsNo?e=hm+=EqK7wkAZDf3*w#{%JNTMwH;->4ki?bJY%9+T_xyoY}`w0>oJ_U#0yf%-04@< z#Vkl|wx91Vhg)mm3eyj9|9#d&>FX%^>X2O`FjU5=F?WhcLVs=uO1{{X|T zzoAP10K+Q3pv?aO!zzNil(H4myDGEn`Jeb@R?sGY;mv=e<^KSIU+DQ?;aB=PSNK#S zw*kcjqlAZ59BWE^fu^GS6>(C91uI58Mvu@R{{Y#bQvvn=0BcY2q6NM2k)->?jlUYg z;JTEKsaijcEHiFen)<3+$o@1wf&T!%MSnsXe7njkDuRtYPkK!_-ZyDw3Lh%B%X)g6 zhV{NIa2irF|bBG_|%LPkF*<9&a7~lS5z#6!JyZdNWxk4%>N<1~lZ^U*v z<^kXCtHaHq3@$24khe8p9}bWYFFmLfq9IN^J~K;Z=N>DOOb0Tbi+!m}?DL_81Fdl$ zHyZ;>y*W{OZUo>nJS83U^G68)+Dzc*`FF0(PDy-Tw4zKOGt31O$i{aUM?r+4l_?lNGXNp@>0b?kB(JcppCBdSx&OQXi6t1A3xr6o$rwPj~DALN?PTF&Gj zs41X6v&lcMuF4Flv&3p=Qt(np}D5B4piJ(u-aLR?u*U*VO;eC>rTH2w;}?-Wtn>i5Van(;7e{4GWL_KZngQJO0YZH zXD*isGNbpFBgcFtrjSYV=6xyd(Drc2L_qhmoQrX6aT4j@SYK4>p~E>#Z6&RKcoYHU z)}UMaA!~A7#fYt@M3ybN!?^RuG3Qq2X4vuCB(kL3Uz=*&D|~p5I+*3jh`dR!hS}n_ zo@Za+IaCh#)C9|EF7Vn(XT`A7>HX?{90Hjoa*7!(BmjR3F>TGWI_w4{+?_~L8&{KK z_tKqY&X%0`oGz53_*Z#QoXcaHlJ2y9;)@cRV&8i|(d9HWw)(u3Ix3xr>~~`+PkTK~ zkaZpT;=A-oF2ABshWcfW8iKK%x2sB;z)~&^DIh*h`h`vkQ5t2qa3p?_qoPBj9#aqT ztOdAL*D_6ZS~~RxT7@7s;D7$q{xr7Ql_jLQL3JpWMt*dMP~!65<6HRxp((NMn^{O- zom=3_Q;2QODRV&I025p|w{Fp?F~<)|*47h>{{Tt$i6i?={{RX)Z#|$ZA5}?ap6?eM z>5i{-v<0)j;Xo;OX8x|pMK>LZ_vA9#G`62}%8t9m`JbCCs=dwH^y)G3_hue*9q3_xAI;UdQ(8e{(92Eg zM+_9UHrx&TtA?cu^hLxcbBU}cBxe= zP*sjHmoDnc^&`j(4e7~DG-OEs0Hiop03MXfvg4_y-`TZf9R5hh&{nLRW0q@U_tbw9 zvX@i$?^i^ph(TdMYTluz?K0^6g=--xNXaD8X*b(qoCzw>CL=f;>;C|>c8~mGX_>0N zTZBn27FdzqMwKXZ9<-Q|uJE3aweZl|9p-$#be1j`81bG{d{EMk`h523OKaP46t6*`Zji4!7oBLaIsOWm?$W*4d>;$1|)So?tdr7y0(D-~{^B}J& z`>Is=2EeYmA zh{h?XvEFx$A~}~7ErlnF*yd56i|(m+#j0qx!kOG8FSxmo=5dUC=~B{*sEFLb0oiM| zxDba=LV8r7TDi8&8Hs~7Tabupw-w7KuQA?c;LDacz4licHjt+L(e|o6&4}`&vnd=# zo0!&x>GQ>25^@5hYae|uTewVHEGamSx7DP9)8$u3r)f)1-rHNasBjmwYyxkUICu-H#q!e+ft9!CvmW@@i|Zsm=^~iCf@;$3u)A!iyV!9 zZL&Q0mGHOcy2S*+Q}EpG{n8w4LQ3IJ_W|`3_*LuZX~tS`vu;M2tR=I9o`0y9Nr+;q=1wH0Z3I@9`5m*Z`utkd|JLC`s#U1cz!94sHsI?Xwr4Yhoc!* z6ui@cQK5zBml1W@HqgX%DVQ(nN}Nyw-m8^=M2nyH>#TQ3*z5F#(pqJP7*0;P30W%p zsu~%{4Mce(KkU^@QdREz$Bo4rn2WomcXrjK4Fk{RQU$Ucr=o{F$>H><36PnM+m&ui zi>G@|0%Uapr>@B2dxHz`Y09zlM6*0$Mwi4_>lPx51o&!cQGxfbZsYMKN)5R_$!~i+-tE6kx zWHN~?1~edKl5@)+bwz47X6~*q%1TnU- zRlTCspfcsTJNvW3HD$6q&^D-7^vX#M{{XC58=ozz+T2DU#O%9QR-Tn6-m+w+MPFCT z#m^Nrt6K}Wc8|3&gFH8#$Zf4S)}!?s0@dSEir?Yu~ zx?9(Al7}P=_)i$)m#sju+#^8S!r=U>YuFjSg zjugfbm5-e%rX;$JEpQr2eh@#67Yc%KGNmfD!dppYE(SvM>eR2{Np~Tc2q(mox)v(? zG4!nt#kHMnplr333=#9D)q0-O6D1QSLYA)@+*bGlt~q_w+{h?gR~Q^LNJ-MQ4?U@F zH*$1wT;eN^IG?Q1({u3j%L1)7=6#nY<1wHuQyh=)T1w6br8bMBu|o_??&~(V?Vcmp z=5f}NlDUKXP=yqiWn<5{*XJeU{{S$f?xZ1w_h}LxLfzHFGLy^krKxQMC!2%3BAQD~slrp05$8lS+_a@5k`+=G?CH@QX+G&H zaQKaI9d=xnf_K%prY#EMw&SWRQ;QoV1Dy+$X-bmQDjngck>|E)Df5m^DLWC}eNc~mBE_sNn& z>Mq7{4WQv*V;IMsJmlF-!D<-@b@zwXS0S}TxH{uyxo)Ypke+xQen;{}9(b><<<7LH zBL|w!YC5uVhf}cYyx+iD6-yT8PhW4)*9oQ|G#9iH=YdIVWr^}B2GvdDthI-1C{#Npgj7c~VZ z-VwMape9wli2~Z7s60gHghwn;9#sioV~G==$kw7VlhD%&QUjrj;$AG3{*Ef>(`QQA zLVk{-l0I0esBJh~l9aLnl6L9K(ttdVl}B;+RFuJ**;5H08clYAEujr5n0!WK1fV4& zAB|rvs*R!8*QV50<`fPq!;V<^X&6GBZ7zkpg!3Ymq9f``94c}4z1N4J$6Qd82=O{E zpmce9E}ETee6;!$6rb%5icekXyPK@LadJV?CAG*N5 zY3NlgHT@noJtd}wW5*f)0KF!TBeJhv_L|-^ANaJ_^j3HO0KKNJwly+@Odrlxwz%N& z98biN^G#cA)8ZwT)cN9tIu7Z{#y;w{*^+n`xKfzoIGF({>70*BgKLW+xmL;SC6|gH z3QASJKKhXo3?4su(CSdq)A6gOD%oLWo;$Ex?-RHx7~`*UKi$|-)8KVGEv54Yp!Qk} z2*qw(Tm|=C-%5znkyj4$*=YgS%XX|{n;p7=NjRzJBbSGoS&5@OvYm^VH`A&`V;zv z52G}4KkG@XqBA)=e@Ra|g|6EVUvzA%G17O^EBzY>?~Iz>*#P{b3c0x5wjxyACmcaT zARK{Ody@Qy7An%?mgtLd=q9Bv{{X|O7_`Jm;HwG<+-*$U zBOxkV3MnX8RPrLdp3E^3w+Jt(OVmy&D(aYqoCI#DK%kDB{zFC9B&s=B@dTh|rLNto zLM5RLDaW3`1JqLz2WxF{5|olz;X1v~`?YQn3_FB|Rl-smd3Z+KtHe5*c@ksBmL(C# zj`+ybGlRF4GYVO3w$sJN@X!QpfId`|7I{uLLuJ;IT;N1Z1;OqYVTO>}A8J_qS zhzfZNB%rIss~)vI*^{(5r3@xBV?a(e#(gON0602NPjrgjd!OMZtV}?;t%O9jC70M~ zKh}bBPpPQ3`4<&RoXyEjHuJ6OC~Hr{zpZ~x{{Z`BQ36^H`N@ciNfJ<%`bI`6MN(TE za!gcjU(y-*cSO=LA&pr%J<&AOxU<@3Ln_wOsEPpwn41b)9iQz(SW~YQ1ho48(ofY@ zE=05{ORgNQS9Rj+`{}6>+C){ht{|4|P}YOzsME6-Ih*%uoElrWsl2lr)E}&>dQ0n# zCFQ8N>EQU4=e+{15>?2DLxE%Gj&zrraVdEV%Wfsth6t@**_o7`)F(fR1KZNK8UFxC zJwPh?Bx*aYcQ>(3xjI^TtoOzL0D!9gn4_O27gq@ENpVieh_)I}1zgC* zWGXNCRcnnZTA6w@CfIo;rEF3$S@y$U7LD3pKf;uT?+h(T_=c5#3V%(-wX1@llqD+X ziUl21PWAi^AcArt@4bGAh1ci#0odg^sqQmyW-1~`4F=Z6tnHdhi@CI>GpTrlMpNi> zTvXiSaP?&YP{||9twL^8sIn0;OWBr+NWjfSVW(PQa;7&FKK}r~oo5+7loHRgZVdcL zdOo4G0o?S+N^;?JVLx?3dgi8((k1Uzdx%?{39->_SMN{B^*s5IqEY} zRNB;qrKG7ULBR&Q`>)KnElOU#Ey2X0w5R3N(nMR7^tAH{e}rYM>CZ}SHy5rFns9`8 zC%Bw?`qMquRHhzr!{HYduPEq!DZVV3ueYz>G>Iz(LYFz2GT3vv>Pj5DD5)-eoi+U~ zR(#9qtxx+wsSN23@vb^@KG4rfl8Dk@EFsdC)y5}k?z7Q3Z#k&8{{Vd`N1}do(=c6i zKIAFn89MZ<%QdFy-rZqiPq=?h51k>{YmgjU`cbZ4y-4%hl{LlUt-V8t8&`;rN?po2 zr#19tI1AE~;aK{lSH!FjT2SF!OsM4|K<_93=bDG0r{l$1os7f9DAak1R9lC8YD>x` zp6;}U@s3;dsO-a2xLX`YTYbcpB>mKpaxxPX>`YKKXYa205$Q!`)TORElZB*=d zPS0Xwr4MzbPqH+qE9};g=C*j4;so!Hm3R1eIc~I4zHxDak@dW($q~|2(K&g9{{Zn1 zTC)z&7UnlSYE_{k+*iUHxv2c=;)z!UI=(KTsL+OuJu&xJak*b6z;)u5;pw-GEncUk zKz=3aRHVyN(pz@k0)~^0UR1*}?lNFPabEU8x9TaWH#mKyc3N3&sVPUZwoqRz9<(tf z#Nc>}pqge}PStTc!pm3|oMFH-gXK;&-t}!)u0(oLqDa0+wm5Jj@t`lpqH&6gAYLNPL+`FXh6=_CdDAi8pBgJpxDvSz zLvsiobtZHk*EISWfF}l-Y0wK~^xm-|=f@sSCF4y=iP~FlyeMR}BCO%kGo<>|s7^f% zOOEQAD-5rgr&f#2k~D_3D8+fD>(V)^i(Hhu884y6+ExlxS0%LM1dD7w_}~nz z>h!47VF@pKLvHId;? z4(cB|8@NaqS>Y>s(v51{Kbt(O;$G(;n=~5Y6)SIrCZ@toq(w!w*t#a`R+n`YLTixS6 z!(3^PYUitTkM7Y)8S<=cO&|NIuZw=>PBH6PQ;J&5f~`yFD1`DOsHu^-N(%O)Xj;bl zijqGHakw$s3rk?3E~h&4y$h2Mp)Ru0U0iMu!1K*pT#(*fb;X#@2RR&XR<>?DRYG0O zo-!C9E8^);xEFp803}5ZrCLta!(}W!(ue2cD?h5HxhN>1i<1)|sC|`qO(b%x_%r8< zkA>g|Q>i$qnMg_EB>^L*^u~Yc={%`waS)XgTC#;J-mLy03VaC7#L@suO}Vf2)YU~u z@157^?1`YoDZ{0|Ay0SblH^wxh9VgH{9S2EhY83{>D{HB^ZTfn z31Lc0dY&>8l9Z?KA zl>8*SIVl7uS@NUa#B4ailFFs0Er&X0kse~LE1YD5AK83^c&Nfog)zi!#p>i!R~|D~ zG}+8`80m_ydZiKJ@Y1T#<+3%Ob~N0cmUOx|-{(`@hz9{u6~o0$36F1)b4$o_IG%Cg z>w{2NrZ}I#`d@WfQbErw)Mlq4r&)C>LQ?m_SM_uE(yidf@2FY>QJ7Pn1NFJ|sQgs> zq`Wwz)2&HTQ4%yq+EUZOQ=TMg+x_g)$WnY8;Pt5SeXV6nDOoHEdnQh4Imt6oaSHeE(Pv`NS{rai|lL+*IeQM&2kg~-#y zR-dFR&X~A&M;@4jzPU4d%Kg|M3Qx|LI^QMNB09rwD}yAfQ>1Q@@~QG~5Z`u732E3d zqR(1ZPObj{4XWH>DfPP=LyRrCQq(*c7+2p=ZS#+Ih~&--@)0e6sQK0U$pJGh@vJDz zN?gLA;MI&M^XKJTxII1l)a1yFU*aX=%z17p-AF1{+(hJZ9(6e_NaD1aYfEk_TbUz2 zDs0F%6kI*$c72INwnm?W1a3_?G90;u`7jXjqPnjVPnX;}Q*FK4MOaS=`^VA`6ZAY= zel*-Tk#3Hm>AmgS)6){-URIoJ6S8)rkudpqX$xC#xR9;eS~fI=zR7K-lu6b+O8)>V zC`)BPZSK-AD3r%{Zf8Pk`ZK@bipt|UFn%r-1*NxvJB0=*mfx8%Nnyme*x+My^7+>R z*^+c9>Ln~;T=UMEUw@OSj$cQ{etv&_MYyhNyVHIa9ZOpv5zEk2vu%B~voZ5BTMn?5 zg{bo*JdH|q5;*NlVW`vLtpnk2;m45`?H66uDZm0B2?+|o#)W#|R7J0Gcgic8Fx6!60Q8VKZP`#OMlO9T@h?`$u1}c+gq9w;l>sC)w#gUnp-8#>g1_* z;H8&3_2o_6+LLVIA{r$js8-_HQWw7UAra*F12M$CrN(t?Qhbd{M`-Ry_eoFlllvoy zz7zM<`+QsMSKf2nDG}AULHfe2>YaO!BiWhI%i%aV7~Z2Rzrl>Ck`pB=hovV_uJEqW z+zXi{L)vT8_)z|JUxR&1c}hR7l*FsBd8H$Uq$|pR5|pFU6@>BEzBWmqn1H38Dm3P$ zd8zc!N*92rsY1`CG{k8(sFIs_sqbjC4y5`MPdOB}P!Njykq*97oY2><)l_9~l z)DY-tu!F3I)6CR)K?z?4dbFOj>{j9-q$xZ!66qug1D^X-cF`)@#N|auWtBlHSHt${ z@a{OAWd-TwnnK|*734Jfj+dJYU*S!;H-*P_gsY z8}y|%i)d}&=3AJx`> ziv|R|DmYGhe%hN6uFAh`P1z}UT^BD}TRGc)pDI!pa2kQZ%ciZ8BwX&%j9a`mZi#+cq8eQzQwPVNSCfkHI4q`u=1E#_u6Mz++kgP+|<;WZ(Yw-qfRQV7=e zKDK_b5u z#klxt=GvNNm7-e52uMy7y%eK{f_}rnNrk{AP%`!I$sIt?3ut!{mLyt7M!3S6N zakY1qUM%u-Y__6Y0@a^EN-6i4I8u5MNoWsn`vvwv8>f6?xevm=9Da>_Bk-*%LJLl6 z2gCBBgOx#ZV57c+{3uL?g=A&clgVP9l^q(JaAHhlZNHz+kmE}nPlcD2^}j`4w5>?O zhr4;B%_gkw`*VZsF~et5s~$ZWA3qM&5`D7gDNmb{L>NfcbvhS~dE__qsK3kGRl?$U z`c*DP^W81Zdkj~6g$zJ|s7d1_+yjAVSHppUjj5$9;VsTa>O89J84{ROmE9w)wnKwa z1JaurZMXbL;hbwYsVWJ}igAAzmTD!~l$1?ZjRU0xd5qJoDoc>iqBXqIw3-}iw6&v9 z)UNeSUEtnIr=hU4wyEJ~SIVxE3bi}mQP0a1(dFZAq+kK6QfayJ=LoH2FaTtz=K{Em z++?kwGsI1?%u=;q3Qv)Oy5S=BT~c>CAunn!3-ANWxvqdcpiZuXxh{%Z?F&Ie;!2`9 zTF+>skUIBe(2mb)+DC&z3gIh1jw@T8qKcw-D>2-r+mG6YhX|6A+b(QPcbPq?jOvy) zacsDA0Hi=$zgidCXaPrHo(q|z@Ow>dAq(+%S4nj}q<|LL)P*E3pW#Yp`CSo3!-WjE z6Q|2gD^mMIZ5wWUf5MX%H*76|DDa>-6}R7tFFD$4PPHpqfl~KBg(5ROuC+76dy0S` zP{O|o?-DytYI%A`joC!e2edX+bn!|504fE${gp}TM7k+m{>U@FrAxq~80`g(cpK@P zn!@kaL-Lzi8opT+pm}%X(pT+!GD%O1>B565yV>$T{vetv_Km1#;mq{VD!N)n{{Yse zvenMgbN>K;O=WZKWOc>CHHM|zRC?laC>6=J9Qj;Zr6Dpc#OHtK2EUCVTx)Si-+ArQ z(qu#5%3>%6oPw^o?}($7L&q(rH~eU5Ol5qgH~eU7YCd|AEx+SH2H01h(oy_rAuL7_ zbn8+C=JhZ2>?>TBBNvB-mXRsFC?AhH)FPwqkdNbDIO8Xn<0JUdX_#s!QcgI?0OEzo zHh}1ANqH%CXi^XBsTRfCBSk~$NOhF7m1+4L)1Bf2n`G)*(WPh4o@ppmsl97X&amjp zet9*=ZqX-VKwE21@vb4X%0tCz;-d-ik3XFhn`EL!>2M>Fsqp9ac4U@ZdyE~Aq!O-O zX_rrDWW|jUwIV2SXkR|0Q;dbZ4}m33HiWN9X+(Ix5-GfU?fgfIi-~PPAZ~oUF;)|0L~ii-T3$+0mE5ThG%2)8K_SDlE-tf;8P;_JO z<@qz^MO$$5p-Im4w#W-gw#5eA%HX(gh5e86qcRp2J||iSWr|$L$<~rR{7+xwNqMph zn9|FN0fPHXJ`^ah<9dvqzM>OP1js@iByrDNn=5nsY;1h?JEn2^pZNJ&BcW zksem}i18AwS?RaJYQ%7o<*KHj*xP(!^Ubc zJo1m*L>wJb#tlMoXxA1b{`D=ecTz$|)RAn0n9a2$KwE222S0U8w#O(6k`kmTIMSTg zu%p_d$hkKZ$hc0B5_Oz(#ai!?8;=1~FeOGpwCmO6Dm=NcW85xR=}oh5arLE5vK90F zwLao3@U73;UEufF?}VR2aSKm*apGdSHRil|i?< zr;!~<05IIIIp-jDN)$d24%3^q_~fNCPC(L zO}7OucL|ZqmYPztqtH`#tHcSD7bI(H9JJ}-qt>0dShQM1H4!Z2mN;l9J(N4*?a$s|9E#nsR-zM(2a)mqp}vxe)tN|AJa<--NZ0mWc0or@vo%xslLkVzVm z&YQdThE>JI+zDKG@zijX^B*b_t&^r3RGhR+sydK!%RaR#^>L9W*_z7;Nm{&WrzTln zmV*#+q(^<_D_ea?K6HzGTa)aeUj9S8Rb(~kS}j+bxSzTb;@w`A8a8nz;AJ%|wpvVw zKjRg_xZWTR)iDK4dU=iP$;01;VIcxuMpVwt+#?)QP-8A8HamaQr zlm`1}of~{>Tx(RNgsHa}lIc<#=eDj{uNso_m(5Gx6$QtX5T4?#)yv{E!oQRZ#*-m> zO9ncQlb%$YY=^Zp+}`f%i0UXxg%!waZfA8UQ`*Cc$Hhbqg1XeH6C8138FxGYLw1g)eTrMwT) zsBybNHrHWGQv2GXqATIV9#|(&l|{B&*44%$AqZhjrFm^B_;qSX%_ZoPTa4*ek`$~A z{{RY>7{A*t5z#R^?eb#}i_BwsvWILI18+?AG`WnU-UN8Hb2|`CT~p;Xb+E-+m8_%V z)AOqun{|IrZKvdC9ckn(l(?UZO7|6>=NmqJ5nk!EH~oa-qn}iM+HZbRv4CpZDTR2O zxN!?-;ZOiw$rTtOTf^I#P%ZT@FOtmc`N=K5j zQ5}95wc727DYwAlYf&fbEcw(sY`)H_^vPrCFQ*(<);SdBnzvePl5Mb5;C6J|i`j8s zqLI>-{M8oqZh1Oiw#g@NRP@a+`8i{`MU+xmZ@v_US_jJ=Dm2#8iBgbMxhQd14r=&? zE<~QnToZ7>C75eSX-gcAY3uyP+l?*P5U8<}xM>OGGuPIeX8mDxr{X6=Gc70M&z@=% zZNo7v*3wlS53epQN6x2PuJ*-U>~ekNWi~ar9bSXVpM_wyzZr|35iV@8rw#c5=Tp1H zM`y&586&zhe!50E8sgcRzk5Ix2=P^x+eWT?`BZztN?yB1l5P$Ktl>&L(_Cw%vV3X$ zFoi{q(OOCLH70bnJEH{LM$Y z#bdpoM(%SX=XD_U9<(L!?h>bGiXbJ01#vnIo&VmxK}O4Xj_bA=DlRu7I&!8~e|1V#fl9f|klLG$3J-wt$tJ9q^vCbU-m+%K`(a$^ z>HYFUTHQmQ&1sAsx5wjCZmR{iB)As_I@OZeQ_8JT?{Zv(yL%~ObVa8Gy)T>R3L$hDGJUH{wkQ+et^Q)6rSi0@^mXONk zAsJIx7~j*CNs(^43xugyOD#q_2Fo3w^eA#kQDbmrCYfUn<9-O+c85FZkutsWts zVyDkYQ&E=Khih$rWPD2d_NX?wi)PU}-yga?sUbR(jCJQxEcTa|DkOOvX|jOA6i+0N zI=S|9v|mOhO5C?gS@0(~+tAdAR_EEd%aRLmQs0Rw2dcj64_>07+g-{!VoQ=5%9_WQ zp*7z7+a18I&KogY3UDVr559+K+Q^sfH7`LF=`!o8;f*h~~cM;nadb?Y4V=}yQ< z`W3#%C6-A~#=a7=YRzkTn69@HJ4$MBk_xe-ksgMvoMkjy{!lPA7YB_YUR8aC5@c(1 zcsk~~>+BWk@#9FvCNw)`mFRyIWxWLfYHOi8^RDZ4Rle77nmDohox4cD^EvaUJ3bPH zvWu5~?;UfePAOL9K14{5s#+Qd&xqh3*eK6-N;t@E4eN2C@ae$Y&hwE zFWXVL@)4rl9EjOS!ayZk{q+&`CAQjIz2UwZ0&;WdQDy}++po*wjydoGeElhe$e(C@ zccMgVct%Ft_O0=Oy*ut3lNv&FKN;Rf{OM(g?JGVXioABR;#*cs6!% zj~5ZjciQlpjF3IqLh3Q|SQz{1oPSv}Calrla5BQBsL6jg2~W(^E!z;xwJXEknVM2Sn#ZX&p5vY)BUH)^|JF|^yukfW4J$AcXWbtOo19F^a3H7H0<_FGg5by>Qa* zb{mYzjKp_L$Wu9i=kum5a34%ooM*gCmgn_t{{R|PYhCtqi<4@X;#5!&YE)Z+DQiDw1pEFPYopcbrmk%F+oaUJVqZ+*GHWu@qfFyY=*h%+i2rodL8G?7s4R)O#4 zZ^U0DC(PHl?J{NEG?T+|fEy|t$DJ*p$YN7(cSXlZ`uwUqo8M@W3Uq`e)}b>TC?Fn+ zH1(!<1=P32VoQGui1Vaf<=t4fS)3n5SZ`X^uic7e*B(W}*DcN)b5NWVtMsXM>ss22 z8Y5g;_X!EgTOYjB(qP?VUJ}9!vLf&_D^FZh7&ex8(=HBhm}vC*{HZQI+AC77Wxgt* z@Nfb9Ij7@3*9KfQ@Lq59s9$c^TVhY}=NE#<{0p`ik3ZCcT;EU5ng1A?R~FA6oj zE_F(IoqDm)0-17ZTa|BpehIPT=+b>@7Mn^MedV{rb;bM$Pnn}Fr>%3Iir%R#M{7dJ z^!2R~87?jBfGA+|R@|wnlOvRDS>W@&N`>3 zDp>Q!l^bosGYvZC9f=|-_e;e{MY23)v|aj2|m32d&M!leI*3>5%(CfG=rx2*3Ecjtf zy%ppICj?;p!4&JZ-p%%XxM(;sq`^WGepPFQc(Pg|$Xv8=B}Ryx9r_-IyG}%D64|+f z83<)*2}<>!5znPDFgI!NMoeU-R~C`sNZYSEw%qPZiNd!t-PIl(rq-;Vk){bD71#{bbO7La+b=lKb6`VJT6`LZqEwe6v?64%i|lOeHef8!xywTCsy%cKaU@ zwj5rBE7~Ut`DD`&Z@r(74HMn&?EO5cM`Cg@S2QAHF_?!jF_`ZPJC$bx^;4H=P55M8 z)e#J8@spf>8K)k&*k(dYE{2>`q~SR{hG|I*^G-t3AnB&&n9i8WSZKOc%QZPx_)krj z4FXG&F|tm2)$G4!w!d#&l%hk4U%g6|&}XGI%6kNd?oC`9S)AJQr8!C&O|?y(z-EYtEpxrMaJosOWT6;d#!8b_u z&|R4^mPUd0P!C#e&gJGkvf~t&5W~!jEQ6kAu8|dXk3N0n&KnUTB`U{FN<9WD_RQI_ zU?y#~4We9xZjzkxH6C(qaSgf>RuH5*l@*0~4>M73mp&c3J9AfZQ*Vr?9RZ_0*LJq5 zBl=w8hji#j^UXS#&v&R6_=%3(#51Ri@7KZVI#sc&HOdfr3^ezZP6u24~Lj`^14wXb3gGjT&q#f+=4c?&?|{ z%7VP`vMtJs#cew+XZO{jJY+TAQx`7BT7Xi1@11`ql$5RclaS(5ozR4w=N&7w ztw?>Qu2Nha6uj;=Cv0Mzxk=y%z1d9`wRo4GFIu%+q@}2kmmTGB)8B^Yj&cjd75O(j`T0n|hQt zR>XeV5>XHa<2t_Z`^xYsIK@D^T~gEwX}j@?5%47AZhb0S@K?v;G#3%n@13^AJo*{o zyvr@cn6#^Pav#R64ZxDy$xJ|l!y!6S2U>w`Ipar=Tw@&vtxH;-M}C8# zXo}XTlVTY7QOVbyp0uUiRN4o!u@P@`*Xip^o5C)8@{iAR9ZB@4lQa}toxOz~BDThP z{IgM^L31q#!-L(|;Pu{}R8X|Zi3@`#*UNu8vV^Nsh-f`6rcQ7)_51T(n;BC`m3w6| z(7%fvOv39M*=V@Ih95 zfH|XeD@$A}p|`Fv8=R+AIWg!QRwRWo%9Ni8B9_u3Nkol2UJ60f1~qc|Z%<2pGw!jT z3fxZKBg=Y^9aibS_s1WIcOdPzokF+8j<%f-@Dv=|#7-(4b{>2^9S~bd_=|-%snSy4{-MCdarbX^!4gmVnseyH?~kRw0*Q zHl;bsj8rrF>em6w&AqnUx4SV4T9lkS%#8WhW@E#W>ydloiA$(TRam(&+CQ z*-6d~O^GpWEJnE{)*TF_DLPKVkj2L2`{rUeiVrUc3Qt^ClRi1y9E~lHYb{K;2BxvL zdQ@v;R-`FS48HJ@g6jH}Rq?3t(+S&z#w4{7y~f$esf|2%J>z6+`o^DAO&3t>Y27|_ z^adlWHtO}ST7@MgtZ7a@F-|l>TjC(2R}gJWQt!|1ax&nf7?46nw=-;vaTq9RsZ;xeqIZprX?Ou&NG1x1jixt}Dznxb*X-GEo&J zD#1yD-|(X%JP3|9yp4Uut?PjFSZuk>wx|t%3JkLj6Yde6DYv)$D8Buo8wd)=5q8$; z4VZSdl^g-==IKtJ&%n}wuUf5W+JY4h*Z%0j#VMuP ztjh#oj_3Y#mp_tl3P+73+YZ#=HcL6jLlpl28VgTltyYh$Y^!>MLE&eR-tvX;hFp@f&Ctu-4xn6$X*J{&f(bNJAl=VYzJhqn=N+!(DZ z?9HJ=I3vgWXeE7+gtl?v8ePQ})7hJQc<^lM=MV)kgy8VyJDM_G?87`oY^A#MyV6pw&M-WF5Q&awG!-YaCEgLpF3WV|@t}|7(o>O* zLVD;%W3$ra0pLq5&UB1YqdVEMg&^oC@5PmhO(@^-_=WY1FCHm8jem znhR>tF^3z-92=U)?4-++W}5q>ZEjo?PwS+w*tZ7UN5JD(91%!Ft7YNlTk$0hT+~HA zf0MUG>%mZJ;x_fhDYPaBX-qvn=pya6?4qSu?_J=xrwX{IEB^pGad6naMajdA`$P9r zk{y9}apnAO87V6KO*HIQ@6lG)bm)|tOqr>^NK<>EE0BUIBr$z$ZJecPkbp&Zc~16? z#(JR4PV^b>x3Gb*30riitxoL_V58yVr)qQkIHwkMxaOA0KRS20P3;3sx_>v|)A&>y z+tP1SB}8{9GIX3*YvtZvY8jIN7|uNJiCI}XK_hoB$xRam0tZIRnjkOO$ zP#?HmSsQUZ#`4+s-U#!8~q;~`E&P3fh+y@}yyFnkc zk{1Png7t|}SW=Xi99b#^{Ea}93gqR%_Gh7Om9yYVMtu46tA7^ZCJg&}oKh6bU0bN< zAk%Fw=&mwRp;F8hYgeiDtBhB#3olQ3nFY?^uZgv4-xa}j^%g@w5HoFXVxY^oU1BU+ z-c*>Vjc-~GN^y$qF?tGTIGGFp{y+&JeIJ{Rv3py&{?IZ=Oyt2z2P=2?TDh0|sT@S+5 zC2C5^3&wUnl&|LNSa>02v?ZkK8S7FYp|+OVB&`8lL!1vfgwSV-m!-DLt)#0Y6TUv` zZOBPdBRmqg@2#~GLFj#JGi31_E&H}O8VNr<(`zLuOV4o7%J)#DxPYD;i3(4Km7E_e zwkfTKID?S&s7S!w<4H=76A8jUMxrf-kf|*;kA`AADIT2-Ow@wn;DOWv>OLAje2g|-Tf#pguW(HnmTvW$d zAubNJ7BslM-7SN`QFmEZeQC4_MjF(u34CW`_fc*{ZXhKMy)iO1CvQ%atvtyX`O%3c zYcj5Fw5OPd-p;Ml$zFR?+4zdL6x+|wX`6hcDYQp&UW4-$B)Sj|gy;xXd^p>BGVSu4 zh}=?zOXEMGDjrn>NlT3>ZYVq@aWZ<3l|yD4_;R(L3z^0b&ZeQ|wIV}tgEC8V5~P8j ziym~KIrOAPoa=75pqFB{C7>%9*(7;XjwlIQq^nrz&V#J1dokT@@c5|*K4U&ql)T=M zh^b3ts1l*(aYc5#1-95**-!&ceQGFml_y-SYf{#Oq~qZj=}|;q&#CYl{?|&A-=l|R zA|s8sTT@z3>3!9YN{s=?q62GWX_Ie~gsnr=Q|u{3mgOjFbDagmi^qbeu`p>t-|wic zt(7(t7aUg`N=o(4jD?m`kUUW6U4Xwvp)_6Zd24Tk2t2XpQ4CnnrOD!ZmI>FW{JPTQ%C*OhaD9f6 z!4U!jPW@xA%Cn*&-=vBvx!GBS`wB_cw_U@HV|5Q&meh9G?&*}YvzNEiovASHHl`nK z?OJB>RfMY>1BygjbaoJd6(&ea`)lir)VQ}Z5+4>4gcqNY;12rJYqrKJTQgA@%Ho|5 zcrr-OtpzS4JZ(WCTvX#tL9uaep`{@xT--OHof+_qa?N+?64flU>Qv)y1OOMPry4-W z#Tgft8D$b=cSx^sUCCdT2GpreDElp|x}g}Ps3@c$tM*rMx4OxQ8!0PN|kP$7SdEXi~G) zRpEseGB`l+*pbE`JkY~v&!TAU`51b7;+J&Edl*93j3WaFuR4(>mf^PCspU~4qeyk6 zZ_lpukTa|68Y_n0bZDeQA(x6Q%;x)3FQjR`imdAHY$mPt9OTndRwzSgz5O|er zLK{MKD@wGFt%|rQ3OrUOwcU^vgSt*>_)biLZdY~;#qUpRQk*>Y@~Ia)wAc^brb*I= znD>FEIV;1^;)IrGB3PX2%G}}UR{P`dt1HbVl{%Z7I#VF{ej(4&pX~Q%I5Ms|4Ld26 z1?W9=RkeNUd&y}D@5_-FPKEW~%Ab=ZZOfYTt+Nfc20|M@8Tr?QojP=(zJijS`SVR7 zU-qMKzMyB~ar4%fx#x;v+rza-aziO4u`OtEdGjDs$-o*OAb@jGqA4li#ZgieIqzNJ z#H9yFY$ZJasV%BVVQ)e#HLXA-ljli?8E9vM*YC7Dy3!AR9mll_GX;+nL%Q~82PbZE%IUK0FaK1&5zCH!MBZVm)oj}Oe^p5mW*##a#f)L!D4@0T8V zVaJ)(!NBG7Rce&#R_Tm_P=ti&+u_Ar-DL@oq(L7;Qgg)KqOI96+|wwb=2(xTOPWF7 z&lM3#b*73RNe(^mr|0Qc2Fn)aZT-Q=MA%+1uRZ!^x@?-Z_vbC<@*^X;rE*j{cru!l z9>I6KtB9oX&S_)@oJX`Xg#(mfKNi&qSw{uP+M}R0P(pLmA6k;cSDImJx4Jlv@*jOD z%eX+2r{8U*vcthdB?H1mM`gTgT%>8w&ZWJ@34|Yz=e|$qbLa5+Qq(b>Uk)nR^Kwyd zhUJxJTgYgXBge2GrB@{>=3Q41YRi5eLzP}*Kn)cbEVFMq7w0At5?X!g*I{{h-KGb0i@kN^q-4&UIt;SHlmVM(R8ggvn^|Uus4G zKx8K+9J-2{@|lQ*IW22abexUryg^~PHubH>ySoY8Ij3b*VLm)zA(#k4)~~F2=8-aW zstv)UwZaXaY4sWFQCx*R=Ma~-wM%14TJ;)sHI4*K`S_|cik$EeQdy4UP7 zxr8>-maMNm2Txi>@wqz7Y$i_$XjU+-wmx-W21cK51?eFt!KD8Hm0N=D1WC9o061$Y z>(|d}lLf1S^u|gSc#&2-N+f<8QxOuet~~I=nmrUL3IO@mkU7!WTo)dG!=U0 zopLi}rWCTK+dTz7#m(T(C5Z}2Xq{;Tkf@E@JV<4oF1y0hsMDSKL8hIIwo>weAu2qL zISw04s!KdHwK29xE`6g+snPDz@=L$j&h;_K_PH*(Aqs5@abrOB1Ent;WYSrQK1&T} z^Jrew^Uf`9t!l|zha}Nur`&C~91bZ6Rx(E_`c#KxX-<@vR!PM+`wLr6pydl~STwOB zbeE9k>upY)eiffyRKrTRNGOhVGveh+QqEMPl1&zxlJjk=#8BI$3M*QawH|6&&2eKq z;|_t1Z7T+ean-LY)~#wMe?Q3U4M};nUGTWlTslbkQ2A3SR4aS(Vzt4IJ=SvKM@lX^ z;#-OJ+*0pY>sN|Zs#az)5YLvr@)hCDUhIJ9^P+N^VzdBOk zd16lxV?H02)e~F&+$k<4E|ux=X*l2KQdxT7^S6lXw)4sMhPKqDE07&_{DDiKBw?`F zOKy~m4Y>+AB;@3iUKGom;%2WgB2Nq!#s=rlG+Sx+Uev-IT3&yMq$}*_)AD@3B7v-c zO9ba`Xg-v+Hsj5$T+1H{y?%AaW<-{rAcEo>!Q5cbP?aPp3l61RJ;H}A=uCb2GUN>4 zxSpo6oat&m32RRxNvzk5YHIX-x4n7)HfQIFfr2ukY+NW*e0+9RBd!p7}_h4r^3G=88 z%D1XS%RqD4uc6!|YWqT?w%xiy)+8oGfaIM?1asm2oYbih8k`-JS|w8C>)9$KkC6tE zF@{vjFU?D#wH)Irq&700wot5kVA3|^ur{WHGE&cV1fgUd>pswLC$EZ}SAIwc=bcm) z?O5R_;5yY`=_WkCIIrPB9@1lRka&vz6cDStFyT4^7Wo_g6tAZ;ohrubKf;I8qwoI! zYyMTIzedWw5yt-jDhIu><@xH~OB3r=KlaJ5jh(hW^);R&7*^xn zrm?B73BmEEiAiB&(P^aY&V03^yiK$LI0sT|^KEVVNUzK=b?xz@zoRv%bSh1MLPq|n zp_mE#ifdPLPCjX&^dX-kiqEt5<&Xs*=IvNG*b3Awrx@}TtJ&Lm;86Z-SBsZ|jDKhQ zWS&2MOP{3BWRJHO$YKg{m!{{UpF{{Tb1{t~Nu zJKLOoX;d?3f91keEBT%5fs^jdCpKrdn>*uw^=hH~&nNsPQ3BB*pY}?i4W>>> z<-=8E+9ePDS*(jpta>)8$Fiko9Z;(ATI7%YS*`7oK3p?LO|n73-@s^;K$L=Z@M;uF zt@1OS$p*K#N#(bI(H_r{{o2p7-~R1?qvQ{oYsGJogU`WO7U@6kgFqM(e*<68Wd6Dz zL6iFHN+7Xz+ES3xxRfa-)I{#1j-P|&VGbEIK-9LUp2+Jt&<>>P$)u-m zcsDq#=WsdVm*f24$?(#3FIgOvQ%V~1;`=q7T>5FD;`q)9(^?7m74~ULD=JV|GCFxu z2p|pi2&CyK;hl?oAjuSp1{-9HZ32n6zry+#W5?f(Q{@i@azwEO)FG5hfA3Likj2iV)%X&-IGKr93zgRB5Bjy zq2z84bxkr%IC&|`zKWXD++pJ%^;FhG7=JG6nsfQS5b3mJ(9OOX@b!!-vMunwAfM>M zhHbF7PEXN=f1{yiInQ?#r5k)QO0qtVHlzI851xNBNBOoV_%)GkiVv7-yfC8bN!fe> zT=CPDY_E%-hLhstud`qO!~iA`0RRF50s;a80|5a6000000RRypF+ovbae;PWsfty@>_9^5!_=QB1u?Wf#X zSC*SPgJ_f{0>Xm<5IR*ZQW_LdB+8Ts7{3PuK(c{e%w$9969^wQN<*rh;8c-v1zKX3 z(J6hrrynOO?}%B19|N|{r4Rx~@gMlfUPV#Ke%Q07&NzS;02?mu5a|Ib5LAu#hT4K> zveNGUO&A0c~M0JD5oiK@7&D6#oE3!Yd`zV}Vvx=jK$pIXXUc=0vRlcrSl2 zjzX{XtC{}M0o)OyTX6R`>9&uXfT=HoFLx;>((e1rX-F$QS@SpHymTvb0*24*CMy2` zPy^NCTCa6We`s|LDSk67tJdvYUDUYYH?Q1H<8Sb}WU#0i@mZMGT;YD@d-Ma2yN82h zDfY~#X4eG##;U6^A$4OOYniYdyXsLPznk9WCdLYd@zlOlqOXd+zUDa0l;AE9tz4E< z@x-+GyLB=oTS#I&7KFEQ_ILx!(HA^MYk&gL4TQf}EgQBE()iA?%r*3^K3R zS*_&Vd5lDAk-&}oR3P-5=3FI+@)p#q!Pm-w1u*7m^L7QkA%T9qeaJgY~FdK=m`xP383*DaD)F+i)>gJKUxr-1d(aeTVT>OvI1OfXj+Ke}={)Be)|clmPw zoO*xunoy(b!zzq<*139x+o4`h5pESmv$#gK&R@pm%jn^V+$b80_9QVVL!_CVwATGRUwK(*?yvQ zWrOA}5F3LYErX0TIsh~cKGnIJTDqRLgJ4O?fGA1}HAPDpl(wmfIOp5~drMpTRYjP5 zfy)M=TWSr}I*^quC#d3lg><~9nwL8S7guZV5H?pi%!$rKT>KvQ2~HR*=y@QoV|YB) z1}+h@9ty_Xj#FB`X(eK17g8hg@?won7&XP;ks&&WuV)^PMsBavvz(`DmcUb_VylqV zl>3yr7y)t~qA925M>*_~)C4NPg66oy5h`(Gz$s|Xdzj^SDG@J>E@N%^3gDqdi)>X} z;VF@%JbLyW+SMpp_>{w|3%0I}H^deLj5DpdB@Th~LqKQ5?kl4t{b@h$MqBbV4CSp}akg164OOPEI6%-My5ql@ zo06MDd*W(by1Qg(|CqJn%o^BK$FM?0oJE5mgIrEiQ2{_U zy&}3JHF)$nOu0L4xbl|^i|{pQWZ@omaPnKVJ3s#bqE$L3SxzMeUG(Aq04OMB#6f27 z5yjMFgRBFHax%7AiB2%og~&Ps#Mqp7DyQZ(Pex4(*<-v;qT+O8Mxzqc;r9&u%Zv-+ zpuhsN5csbR!N|Fu0&`1CiSS;Rp!n|MbE;c~%Oc4QP1G}*Z4Zd_xj5d>WZ{Y-@_ zBZw2f45|*8y=UnunPx9!WKRgfi}SdOGg!NcE2R_!PO_F2a3M;qqxORoOqnWT{-&N? z2D0%HS7X4X@{r0YL)W^x`Hm&F0>5&!y@aB2wnamnh$lkt7>yO++1tKuCYIaA#`}T* zRmE65(~0DYU-m<$CGI&h8Oko@=(va1R$%bekgs^yk0wajJDW> zV0~B1`r%!adGn|er!s%07iY`=0E98;Z}`ECFJJSE(GNL45c0@;Kg6j3(YO3cnR?s* z08u4BC-uq~cwgwmpXxkJg|hSg6F}A7{ZEiIOnpyRB2SYOUGG@6i=AF$QN0GG_qEXUCl49 zT7?5oIE{6~KR-~q@fP25^`}aOd6d~Kim3KwO9~^V*;<(e z^F_$MC2=)yHf|3rfyr%PN~&*5J;s_ zVy&2}mio-BE>)#cVxE$*3 z9!7O)Rfgj$cZp?<^^X+{z5?$vg>Q<7XaG5CjGpE|T!3V^lBFgcue~W@+_VsHSoj84 z-wlH#Z1!XO2_q2I^)uKY>6)RJiCYRkTFyVNCy`S;jQ1<2x-X$bL)}W~7T#HwkO^xo zOOFR5xsayO_BmA)^z{Y@EQan8FQ1OhNcdvoTu6uuO@zWzv=1ihcM^}Ii$!zHQ&n!> z2MN2dglE8~8olacvm)7U?F@Gf+VH!c53*&$&Ev9~rYco-HIE#daOM(Hd+QD@xlthL zp{rNs$rqT_jCo&I2IqEk18dodSle{H#tU2|Rk4oHU0Df&4HDg~2v7GWvgmNQ^#VZ0 zCsy-CN1IdIZ6eGn*c%#Sl|?H?>T(}ZMmp3nRjXrat*t{vczA;MT?O9+7!tvJaPI4p zW5O90tQ{g@ILlNmhJee$5&&?)LvA!-vdlp0c4RSkKFR10hi8?!m7 zNaA};vxE6u^G-6{mTa=E(u(W2z_&F+DmaLpi3Oln3w^={0XroDtgK-w4(=3nUr@m| zz#YgS#};G_t%r+;fS@Jwby~h zrp9TTqPL#Al*IHdGZ+*u*2*}}BLP5Q0`PGTuT2?~XLS{{xLa43n8c*SXh+LjBcB_7 zpbIs#{{X1L!7Mk#Oi_Bot`m?^e3vOcg=x|dPCN!8t@i{#T61B`2?{Kc3qZ$cek`e5 zSxYfc1}T{?yD-Ey!dNwPmU6-T2Zk{oYb!P)XWq4+@ zITSCpXDm~~HCcmV1K)CszK}VSN67${s92|3e3ATmYijm2oI~fQ+`$P#Q-%D!t-w;b zkXBa&!SI7eOqBlsfe2xfMzD@vp*rr;?wH9be83@+mf7F~P~?z30Y!D4>k_93>IRjL z3t%o>Gkv$ob>b!;np785k6O5~OKHN(g;$w^K~J}LDx2vk=StzjoP0}o&~8y_xnpvJ z^;2@5LEJx^0vOp~CD!A1z}6y}p1@SR)y#50=og4OZX7y6D!e?(nmz^SEaJlR5kvDt z7IFpRn~A(WUedc`67q2#m%GHXBU)nzhBxgUo}x&utyr!=3k=g66M%zoWoqsL`7w*T z!4q6cu-z?R3o1rNDKB;FGJ!A{5N^7|GRaSKMQgCSfRS7U0xajsWhbX(qCFtQYZ#?k zU4eAe!=FJ~1%({<0Nve=;aroi;vP1KM2a~qf~BRHvVX)y`L~g zb$f42uG1vMwh-=I%lUdG!RXlqgYyg6>f%SVb4JsMkvgz zgOA)_Fz{i9zGlH6L>iY(qA`D&kESjipK-5i9Z@V*g>Daa(XZmU$A4|6FN=xLEDxyp zX*vypfn2O-iD^%5m8v~%9Up1-=!a8VyHQ6D55#(fTfYRqZoM*qJ1=ClEo<39yOu(T zy+wNLklgPBf!MObafrl zVaJ(`29rl4@Wx3|vc)%YpiwBeC%U)+u%f+d3d#~2;rHk#Q9wT|3*U@2fvS;;cpPHl zHzbPYE|McS)~0}Fyv8^vwDs~B9)>d^!`5pOganFH1ZD#_U)9%mvk|n9aL^w4>d)YbevqG;wS!myp&qDupIv8@49K zZ?uMp>ds^9P2GvJHD_=QNLR4t%-(3!0Hr7{yg@F37{h0*kY`f>mDGKR0;u)QzhJ~N z4(^49-_%NKL{pXDsI|(#&!bzM9}-Uz&~pBzZBR?IvX?elOjP4QD`3D8a?!+`hD-Cg zl6j`5V*%Vom$yW*qjgcCu+^hqRDD_lk%oVG!lMBEMKIY#1=PegyhXRS<}jv9 zGE2rQA(M{kS;sAbsD`^t$o^O%dvui(^w0|7i{fNJ<;*A;;1DQqb%kZTkSD_$9B^i_ zb3h_WP{qSEuNiWZ*Fokc`a02LkdZ?!uo6=udCKA)?iEX22oZ-7E8g~DD1e}f<$Z_G zP_?QKi83%;#X`-rKcH$GQRr8M`x}GywYn-h;x?d2p|zdXu3eZw2hib5JeVMI#k%z^ zjQmX(dlU65@sVmN@IMV8SD6l|S&D^(Z@BsCYq&dDreDK<%)8N^0KmjJ z>0ftVW%HSEygbtcDKWBQP99HE{+n^fVtTyF8tL|-4sU-mk*`LEW3UtIg$LysXUyFJ zGMr;Vxz2KU22y-ABaE>Nc%E_!)Y;Aho4Ji2#K1h{Ii4Vj>(H_f?O9hi_Jr z&N1ax1Xb}sC=C{TqpxXHeFKXCWy(aM!7DCCIh+;4@Ig7f;v*>g(KtuS*ERTtyR5^+ zb9GfQg3FomuQu^AZ@|rM&MO<3m?bMu*zOpJNy<0q?hi+jinVi8x}I=e?Qj{7y%nUraZ0H9qXDonmD<`p$FiT?nRML`td3$11o z);ccAL&3llvy_GlBWTW7fx3|G!~l0dh`&~ydyg#Qu$6OX7?uTPze%xqLZ~eU669JI zpsP7MekL3)xWsa_9XIExYlJYiZj&)u?7EGoQom&7BG7|KMd{@ZYL9~A8-HVLe47$j(Qt!4nw*5$=3Iw;knTA_OP#cY6iUBLFewtpBJ^V z>6t(UFj1#2pg#j3;XJM;4Y~K9`8Y>25Q~ISVz<;?n|;OE=^fcF4spn|>uRGv>vA!3 z0$=Kj01UnpGT*D7q+6Wjm&T?Ek>?kTOBCWf-dVOosG!DxG^64+EC~^8ZnR-3i&E78 z0PP9#iz9#otald`$Q!1SlT?=$KG9M&R&6!jN5C7RdmrG9z0s5NdHM`XPXu#IquwL6I9b$v@w9P8#}O4nA(WIV;( zJbtl_+w~44)$&zI$ZB9(w1lk9`H>-g(ic}V;Out%N`>7a!z`XR;g4zrcu9f*CL50g?Kw8Z!Rm?Rvh+UFtofoYngV*m@G#v z?kz(EqR=tX>J6C{U@dOJJ3kFpSZ!lqw2mAH*}(AN54fy`jSd(# zDqnW4Wa9$k1=6^N?S{~bTd1~w+3f@Qp*5uyuys@xNaDE zmW&Dmc@AEqVPwJvOD@M;OvhJp=I!$+t9lp4w!zkWmr_JP?OiyFnWNJ3T)M0(6wCF% z5urkyKonNiZ^I2{eC-0#1-C9>D5Zs2v^!lj;#GMuWR7-F7=uAZZ8$xVN@(#Gk3Rac zWM@RNygmog7+%#IkT?Z5b6zoi^RO2V{{ZAij>cJi%KaVHufU6fUq#s&RBbT6B@D=M z^>!@Jti*1&Y#S;08&MiHoOX%DHB}Jks|X&Xpm5r@ULlS|Z#FPJ1&~`j%k!R^RzmF6 z7@0$ILINCx*An#gE5AxwIvA2PXT;Rz$EX@S;w#&(2>y5VYNR7g`I~UE{ns2#ei-Eq zgJN2@M%}X+>*6mu9at%$j=9vo>Vuino@;Y(Mb<@wOTZHr0`~CiJToD%n{SAxUbWU{ zIOD;V)r09>-6M6gJ61e>!uA*(WUO&go%0-FtBUTM6*^`I0${!(DWaU7C4n;5+baH{ z5_C9Nyvs6LxZzp$Tbw8tVeP2G*Z73agZ9(%#fryn?v~K*c8gpa z)6+5(JsSoapqvmXhHm&s)4=&ye|&uyg^vYCFy`y(qa2btH1$<5{w?# z7p+RnCNa{5^#1^WYq*N2rWqD1BpVdGlERNrEatyZ>xy1?hgz8mydlaq4hizqwB)m< zWyKQIXsxZ=EstI%LVczA{34#)VW?QG>GG=7tZY=RSuydCydAM!J||?1VmAIdm)Ngs zVIxLw;$qvWxu#`@OV5O4n7*+)dw~KtFaqIY^EMWk=pdROGK!*r?`!f8m>YW9B9n(^ zBPSfVh>e-2nAi6$kaenF0<{#m&nOhq6A6+A;;bsN6a=ihvo&K>bc_+%7iGDC*8I|K zC5}{9_K!pAYqv9D3+=qXE!tjKA7H*cFq<=JDCr#+)C(nIS3fe{k%RuY3kLgtnNZT< z@v2O{u-!f;WrV`GE*BlU1$AWOA>@czwIi1Enwo$+7Vmw+TB%82 z<_B*S#qsm*A2V|4Dd^f3vc#cQGWR(Sc@u3+WQrock)G1++^;}-8*j$=LQQ)1P>^vP zPA@Gv%)Ds!roT=uR`@^E{$+r+eUrmc(QC7KOcNFjbyUj@fuJ8~)zu0LFBV6zMQ$&} zN0GJQ=3+dqa9)uy4}y_1l-P4GqJp22en~+HW|MVX4Zyn+b^$4;E4iD8*a#VR{mRHt zriVKIutto>j!fDkwB?6_jrxWL+_{&@A2DdU$WrXu*-8Lnk)XSBYFBiltlhPB95P+= z1Rf*}FS)(7!X9OaD7O64H_;m6D)KRl6=l_vH{jIZJMJ_)09Ix}(#Fw7v3X!Q$Xhrd zcgZWIqjfWF>oVRu)WeryaGWBIEUfcg$}g&IwjdIl+0~qZy;tVo4;aXPcl%O zVWk+dEvhvf9vNLlQoR&+Dlj8GW|7`9L%R%YLScGM9Bjaqh4;T2&A!oXTG=~4Q&P%# zstE2T-T*l+3=G``MTaRQo6$mMRT`C9HH$yj&laHZ33v7mNub2mx2H3u+|4W7Az)AW zKh#-c4GaA+4*e}^Ij0fY&m;p0QDfQV{u*VPp=-v!M|?I#@CSj5JVL;v8>4tq^%eO; zJqoQN`2> zTCl$aJ&_s_I zTB4Z0O@A{@qX2G>GZz*BGS(NpA_`AN-^egxp(G2%?qz|3Iu_zJ;RM2flsWM{I|xQV zm%07|O z9iTSCJ3%j^edrr|IO=0}7*m}d)r&`_V7mqfMKaJKl;JPUMx66Z^A}=gYv*)wDRtodyQ)h)K4PrL2 zDOH(JGNO+_q3TdQazXQ0#4MDd`MF59>>ugtT)Ma=$7V??q$@)sI4xMM)CsYnf`Hurw|lX z!SD^cWb-R>I%wEA##1m&+RY;vu3OcyL0Q}k^!Q{5HB8W@vAH%gfBCMh(kFOEFBzMn}v<*>cM97|C2VlBH>O9Oup)V36pYS}8LK#6k}3UvMwG#EM% zxeS~}cepKD{F&xj6u@%3Vfi}WKuZZ!qcd+*8Waj!7R;DumyWuKYNHsM{&EuJDTKi{+C#yV#3cOz8}hafOWLf~rfH=s@>( zvtt%2<=PG+(XU54DZ@I8I4A`RX0>h=u%x1^rm^NVS%I8kYaweois;j{{F0uYD*-46 zpM?=pEEyP`$M-R{ER+>n_frbSJ?-OBtW+DpCOOX%uC9}4JR3x??u+M@YMaEZk-v$h zi1u2Q167xJONncPO52#-A~u+3F>8>oMEUA78uDr?{PRe>sQkrHS6F7()N(5Qv!K1y zwVB>!t!_CPvY6Yb+le_KRaW%KQ-2=n zpgKjeDUVaD!Rrx)A)p$;{4vY^;9N`MH-i9ELbT>o^@H7#+yLRzDO+o)te5U9s>?_$ z*CV(-sICW4V4PU2PD9xJOi7T^6+@E+$p-8o$ua%L&<{bFJT=vuy)dQMop$)RV`{Ri3|1-M#`0{1-VSV zeGen~PUEK9rUPixoyU@nW?G8aI-Wyuo}teHP!T>EqrBHujv~ZlFI!I4_%k07;P~WE znSwDa6PSSo0NAw8qv~#Q8DGS}qo3fDEnR>y@K2uY52$1DxTlhL>mxSe<pv4#uFwon(wORa33vGo+ zzGa%U#ON&#xZ);aPk8h036VhylpUZzWI60#517RkX?$Z3(o-{MB>*NJ>h+Wc)+xVd z4K%TcprMp+5m{610P%#OOG94DU*Nb2(rGc7dTfrLLJB%s8)E}6jLtFTfN%&1#TY0( z#IW?sV$+?B-8r#;;CLz7;KFJ6d5X%C>-(+Ie9WSUDo98!pn` zLfZ)0lHz2gnsBUJdS>LBiwkSlsY(H)rVhqg-4$8c*idr=Bnp^VF>Q*MPM9lFm@)W? zR0fOv!^qq&V3VxPF_&QIl)_W(0s&(@%LvN+;xCDVaoG!t;$YLfpcEjY#U&yZR#0&( z2Fj+2wS2*%epp@l&q&zPs8*&~-XM!x3RKP2P+nV#j=C`P;sgCr=3dlpXm7*Qt7iCK zR}4AeI2fG%X6B;ZvR?xd-K?xRuW=zMZw`O?`k*|HCyD%Ba)BwDKN^nuqN-zU<%nCb z??$o~?HR_P2p1Sl7c)M4*rOn*4fYiiq-2~6 z<=)w$-nRC#F`XQ23*?S@hg{X-ry$1_41NSN+oTu^EsAzP{5q~?T({T@6LesTqDmoi z(a)Kha1j=}eM37F6V2EVy}*nc7|S>X_(BLd!$q62e&c936EW7nHF<(X1r0@sa|Ktm zTqfeE0d>IO{L3$RLDU{{S$EyJ6ehdyE7^C6l>z1qot3?)*b5fENmv zoBNgff>m3I$kezi9nz16cXcfnQtb*EY}*BC53GY1njFlWO}>GO97H2YmO_u=1?VEU zSr~4+W+)tNxergNZH~OWhg>v!{EBf& zLpOO2<#!2ztYx2@3KIJ7{{Wh)+lS1>4>1Zu)Wuh4Fu=IDc}F8Q^lF$rw~|9%xI1 zXenxopHcIuJZk}Uz{N97?wNOT+67egV7OLlQp*yoTE#GJI!dXmS=So+xchl#H*Q#C zw@_!)!8$a|Pl}r)h^TgvhPE(PZvfjNs=lFmqh&v%7WO)ma`VQ%;JDo#S}uStp5v+6 zU{>SAa5JPT zQ(Q)m1OUQX4r*MP=p$yAM9cG)g4sc>*O*%i)w1}0E*PdV!6^2`b`1@3?Ny~Fl$H8~ zCbAh(L2u?@l!Rx1SD`JD{v%F$jE5Vj6cKFkG)}IeR<=F(JkD=(a_CKwCp~rFnc@U& zg}{#MvzLf=o>|+I*@I2(FE=ZS;|N;VKvPe+lv<9L)xi*%ETrL8ScP0>p&?UoQowOl zG|np$yqb3r&|q0w_?E0LC>5}nm_s;?$_$I*qb4krTKA|8*?RSyTEN!Gw>5#c5s50qV$XSN!aw?RaR?D&E+HyXQ^es?a<_Zz+|?x5jw zOXE6Y6ERBG$1&`@t{~ldUg(jU`o!D+0Avy0RtcK+&R~vO$egRo9iZoAu(q(YG3P|x zT#bo`q(K%4S0Pb@&_t#OZth+sLBdBl2qIc5b9n%B4UiT2S3Rw%#QF2g{7Wfupcj_P z#49safs(_z{{U#KgwF_~!AgjggST}f{ejx)JlDDYkj(TRMIU3ASpONeVvXG-! z29jmEv2@PJ$~o-zrpWAeq~VVcR1C3Hah+VepCAi<2~60LAZOksn{!AE?CE?(fgO*) zO>4qeh&Y2+Q{rHo*9I6P@eHC9fC9mx21k?@rxk)X6^(9OqYmlp-RgRJGg8Eae4=@y|xx+z!bCoYS_cvy) zSjNz{6d%l}hzs_$eHYYZFGujL%uebY4@2~UF%E~$Z&}<|7;2@vjm9*_*iAjzJi&JavW91;;&0GJ z+>}p-UGJHy)0rZXXGCRdmqrBjORL4WZkrviXzLX-kNW^wK2=b5bMUWcrX|RjHqY2* zQ_BEVZ$?mOV-VGAX(1^I%K<&&e7Lw3Y3NL~U?&>^3@Zb8m7`3CPXjD5Y4L-ux8hQd z-Q|&<2!E+1i;*Y=R|w5yRB^j!#{xsu@TV&P>8TL0K9fjAi2{C5u(tWk!y75;iM@gN zfW`Z)emt$OxTIGzwOayip+6;<@UA`qmzZg^0cqV_$&w7SnHjG%?+Yc6YUaFA?nDorm^md|=c#9L_H`9}Iot zIvJ3C13@@9{s$P;cBPtrTn3fUt{db6Fvo0F>7@Sr&2=o{<#i?#mZDJ)N#$tju zkLDn9s?UL_;|#`y_Hm~fVvtfh&Y@*22(nxtPbxPpnDhp7v`UABb}2nr)8wc%b&-oO zS{Fb15mw(!6)Ujr2zOCh`s=oC9-1B-Nr$mN#k7kJt<2V}EGy&rA!&LrJ_u;{Vzb=z zRmi>`qnR8O;MjB2A<(7)T8Jy72rHG3qV^>nrPwU1m8)PlfZV=^H*33>h|{LuARg-N zDAWchjq}xEW2VaST+G(leI@m3w!{OTMmC&!LN&nDjjF~^=3RNVUox~FXju&hmAOpi z)UAX9jAS7b7<`hm9p|WZQ-~kB)HDLUYJjTJ?kdn?pzP)xCf8gmLsn`y6O&_+HdJ3M zu<96110EgCRLVwJ5?uqclW9V&o3v2po4Hwt?cjqvRI05B&ds;OG8fTDRXK`r4WSEw z^9sV~J(e_P;TAHKu~z;kSAsMT@{~OlV&o05g&9eK1mFZWRsnL?^75;M&t;j+2pmD= z$4T1BQt*5cCOpVJW-9NvkVe>)a`#5f{ED_(5WlpnLdHR{hDy4qfUY5$dVj+m@A6T; z3wt0Ihf5}E^sCZl3HuN-4EpgHR;)ZgT(z{{^-iQ0SK6leEi;#9CUitH#L=>HFur~) z3Ql7(F0`w-;&B8?)F2c~fTralRYG4N=u}f^B-$1)LooqrgIjI#4vr&O5|Y$#+t6>8 zbt{WFGm`5xHbjTSvfB$}0i0@xYU?lLf@$Q&o4OyCH4gR?!I3q@$S!bAvEy}MavS1_ zS0hL_0ei~vFB-Sx>)aBgC}dbK%&}|Pd3}Z-sqVybLEwnYl9l0HT4o`I63K6}QpK@e z50)ffAPGn2H%j(`-LO(s#sn}{&eLs-Vh0Glon~YG1u5d6|rahqLQ!O`c*bdR6$G!mkzyMm|lTx+t!3JHmqgw`? z$J(N;)KQnrZK7PBOcm}~ZUT?Au9quzkimCJ5BL0t0s)~caZV~`S%t?^_@6NgFe+q+ zJyjkBjms(T0^SEr{E+}!m~l@drY_2#d7yj4iLJW#6bMv>%2dNlEVV~gJMc`2GR54m zNA~ua*AAw36JWx&YG7pt!&o&1Y3B!#>_Lcph^kmy_ruv=NRy#tN73^JO60C7bb0qO z9d)dSzbcjTUJQBr#}kjeU6}`_CEU{$s{l^uzUeaoO)zCRn2ex=b!7EaZT|oSw?u_^ zVhz-_(bl&G7=))vyJLvLf?4~1MJ?^l5w!*Ig3hbt;<_uT+aJU1xvx>zNz7{vK($Ij znN(Mbcm2ztEnYybJ0g|0ybTB2#X@Okp#(!`m@K?H=ZR=yjm0J}?6hCZKveAw5uRXF z%`F7|KA{1S>kZ2I&V#IhIg$@h#?ar5Jpxsd*CJ&6^XEgIg)woN`A@BmVd! z?|^9k0N4r->TM!{w<(PidV>nC3#~p5PgDiCe_5&9}clGLWlA(yEnXm~BQL zxs1;vQJ^VktjZt6?F*3=?v@MWU0lSS;sO;&xh^iE@Nk|&Rz)+H+IWZxdc*^w$&wC2^pb*r!QIea;9-O3`I<&iy$gO) z%KZ0D?+zg{)R^D3GXSA|7_ev5X7EMfQ)Jv+JCDpxP!BK1b5X7yB*n?W46vM0^i7t) zDyK}h5{4V9neXA8yhkqu4BK9UH6{f%lIeEOqXog2;X+J4UDcqA1qiU*J6%xNDgZ@l zrmE{|xHJyqU2+|?Rg=uMtGpRws6nYm!G|l1ac65e`o@Gv4sknegAjeRJk)YjHlIr|UR4b2w zxG}XXi$?=-7I-|3H9|3dfkasp4OUT*1m7C8u~nL8F7J^l`{d(jF)(t!=^oNQ9oSz# zf?tS?ev>A1atB-)n+vjgexs90Mfk*^Mym&?mb#Ql$CxP74Y`23v$6Mc6NgB{LfB-L zlSrDp+roYZc^q%zXVF>YcbNs#h0RUl1$wI8$0>pFTFrY#@~C|{=2xuDK*~pSWN~Y| zW?11V^c9h7p*??3W(^Hg3zkP%W2B_Ui$}G6%%-cR-<{d|u#y+^DK#P{M47TEY3^J+<6Sj;YB}ku!m{Uxdu(BC z74UIVo4FW(G42{u!(YNOpdY&x2k3{EWt9hA3-cYSA<24*!i@fo3Ao51rWOF)roTf1 z=0_K>#t0fIEY(L*b}I>HMe__!fh=@CdH93kg-d+uJxbuQ_+^R@A<1j3*U-aU_I|{I zdwvMl`KN6ZTdxy;p_E6MT*nmqEHSL>nN0rxlu?4;P%;{J5tziW&k)V|jW!jfnm5Gq zN?0q~c7%x18 zW*a=vSWcc(Ckg67$mQ+Kpr>))oV3EI08;ax>JFD%g6}-Klu;LDX>7li#% zt`Pf|uBAhX(17tf3u-D2hP=`;@v1^}G(5n4yP4%q>u!*PB|kV>OHxpVxX%Yj)v=q7 zFKA%(DM(w1VGbOTS!ld@foTWSS^0&hx~RGlF)~Mmo7FbU0qP`MO0_YWhpe{ZKIU0E zsuE5r5%N;8?pBMf_b@pv&(4}9#a`6M*8Wn~RD3#JG-jUWpeXirUZrX?c58G94`8yx z8-S6?8!^Jsgks)RMmae_inNXt^i+@MU>kbvh7;eqDY>2HQs zS4anezveQGuWz(&r;{t-ng_|sl%8-t?m%;1im7C#uQwo*4w&Cf@wXnT8<`=4{cxC< zdV)o?9#f+FGO6-1IuoF-ZvaCC$mr2EnhN|vqpr7?S(eC(ZB!O=%e7Fr$%D8$m*y4X z16+KFj(MocBiJL^qUV~4w0*X{h&6u_xqgT!5{CNN%#8=DX2yIXdKn9Ai1L!EjUku| z=na##Blx{N%tKlm3c|5&%%GN+i+Xi1#tHt(l5bRFcW|eOqk;U!bffJ76mYWH7`LC0 zaE)v69WZkZEQ`#Z7(C^+FeQgE3?)$H$Xd%9QoFjA!a6c2YVU(SE>Vz|gR1RkTlBmaXNlF|7X&o}VfT&Z$0gpKLOK%yQW(F5}7XJW1m|W?7k z&85_=#a|eAFIviWadE^1OjTNZRBg(9 z)nbsrfvc&joN_kzBM;Eoh5#d^@cwQ_rU+|JYzlRl`m3jsWY-k3xCm;f_zCg^S1z^HY)3c{woGX-OCL)gd{W~e40yl4oW?p4yl z6kL{X@o2?n5B_jWt+E%`I22}IL_oqiH`Jw2+$OzPKs`E?6)dOD6yL-qZR2K&!{8i2 zdK{8)!(tV(fm$-_-e7LWh>&wzfho;0w0=;<(58Ic!NnIno7i7%kah^ zYyk{&4@$V8Mpxj>v(XPIjT%-&g?wEi3ZY5BLh#we>KiR`nB@#jn>71^eA$Qrse{{$ zMu_(IACmAAoI?mI>P5>z4jH!q$8qs#T2I+1yS$K3D1dr~wziwM?jLJYbTjt>QCgm7 z-QrVxnC7L&Q)I1d5g(^bB~aXellAY$*SEiG1nalYH4j_65TZU%BmoyyZHw( z(abJ0h46y`HOo%`gKFh5gx+-3khhVvJ2X7v&v%X_mS8` zG&o>JiO#uTZU~Vrv0hBf;Y&%&Q)lX46j)K2Gu=#O>3z2lr=;K!z#C1VuE~PB>^<#I zSh)?OZIaL~{{V2g1n0Ma{^fz9*uy-&r4Vy&7Zs?-@kj!`6$~DJ8Orr2B0EzI5Qj4| z$4iB*U)>ARnc$&Xz|T_m_xCv1Y+&3=D%xu>F#&2iGM0^KZqW4yqx=jNS|Dg)nWfhk zEw#nEtxQe-0MP#c<08LTsn#^Yw!fHM0|pWOmZ6v6j>?F~@Qn&(brw73BYIzU&#u=>LKM~?lMlq}v#wDu25AcB~ zk$mYe=r{Z1tfi|sjLog?FcD>6`jqRjzW9Bk!iU9ptR-*` zahD<$e6jxL2_hG8+Tps>!v6qp(JZgMQIPIb{$f{2(uKXSyDtLET9u{nmWGMHQB_n0 zkTr_msZ9tPB^HYa)LiVIRC37G#;2Cc;A&9jGYcMHWbTN@_Z&u-8Nw37=hqNZajU4J z+~|7Z3sk9Ux^)c;2Gl&l@pTAY<0e?I=IUH&D)C-mDcIbeoN+Gey~SMbaiM_&NTlc{LFwUI;{q5Ne%=v(#|FSjkIo1DJ`uQnx9Y0_W~312XSwnc&P4vF9Xe)6`-f zLOisT^5Sd71w06>%$pI(v*OM}aMr zFNBt=Y3ft?kC~O!L?LRak|F?BS)7=Zr?}MnPpz~0zy)RjOU5axX@Ohlgu#Dcmr04j4M9(Ss|`5mMlg zfk+akV=B{34rLJC)y7zSt?(uxeCdycK7Qjn_-5RxYT!uuz(F zxO70yOF-PWgD?zdWCU&%mnn&73D-l4?o?fC8*bs>K(gF7cQ!0#b_?S*xJA+f6#fmD z@g6w$iY=|3LEqhzO1BR-<3$F`aOasqIu~|YEOtv?hytk1`MH#P6eTHI<>uu`chbrOt}fzJO;}$Leqya5s_sAahTO~E zD&`#ZE(Dv(9(Ba3mR`&nBE>iaHDlc?iBWV8s zI4rF7DN^ndFu0^dNDZN`qf-n~ReW$XS9xohc;g!J36qz@p!}*Zte9NY7}G>MN12?8 z(MI5n06iO2C!Id9;G@685*;yY#cAw98HuQMM)B;Xx|yE+ML4m^QEaeHb(R5m0O2Q1JXE1E-IhJ6gi8`2dUfXm!&geK zQ{;@x)IYlInby%QjssuuGvc^$y z;g@4T5Zf-r^ux>U@iHRIsa6k!RMkrZk|7tCSgb2XX$L4xof55Yx$aoajj%AUEs-NJ z4Woggydc9dU&@VS1}>jtM{8=nYI3JTY*%pHd*+pe0v9*L>!4GN77fcc-RZ{FY+Ur@ zjR|>o3dwtEfb-lB!w3v7>RWMv+*fuIm#EnvqY}YRlA_`{4ft+TTS=t^TKJWaK}nY! zBHSVasqYgdZ6gu1@2~_i#AN z#D@=2mgW0?A(!E(N~N6X4=r2Q{UUCx6a2;oecwSa7|;}RQ7(r+q8d)|DcCXgo(mY{ zfekY$af@-TU=K5qe0n7LF9T0N?@-E1RV`io4N9UYob-IJ2MxmFBe%@lrg1nbSmBd7 zvSKy0#vUg4W@9cUab3{DAW8vgTZi~uV2RXT>vpydT>uxL_sl%Yc8j7aPvy~p)r&Z% z4>4kb`+ndYi@hZ}L33?D(A$u__>@H#o=N7DW@Vc(=97Sn$*5>gd*={WsQ^o7t9?BUaUWA@hp8eK>4Q*moB;5 znE}92y-K$O=8aUs*NJ4t$S#;u7V7x|9j zldCMGM(@%GQU_#3Fi*%?hb1#()CP(e{d9txMKC7|_2G?M43pY9+M3 z(USqn3}el-HnqVLwY@_Ha`GNUL;|o!h1mAte;4%~o(za>wkS)P*h7;#c2VT5{6rUH z+(MVLBsTa0DPlhOC%2f)NKl~gLMe(?^eD^WP3jOLk!s!tmIE;o zSwO;knCUsAyt`9O2Ktu{#HV`l43fZU8mpX!yCq6y%ej}a>af332t$jmFD`~X#?GuboV^ZMOu}y7*0!wtu$x6Tu}jQd6ZHw!3>P% zVbzoR$DF4aNncy6V}wS#QHZ)lrBWESR%WuH8Oertlw^TW8qfzy=ctx9##3iT$(@r5 zsi#9tK~7|Y+L-~Uib|pQ?Y%EiGBh7cX+qracwTET#d_=778nWq@3H|58 z4l5CP$CE(uS$UT4nlgYFe7l%o=I&YNcY<>)cCBvrT|uOz(Sl=lfM!gE*AO}z`Gh|s z1UW}Nrz6@`9a74O?bTd2x=xc}MFeom>JSqe0^HPL#^FOAVKq^?lyQz+-NJ$4GkXSO zPNRQ)16W~Vz_~>Sm_dB3B*b2j;M|Ttg#!2(!sIqjeo~EyilSW1MvY`87HG+|N zBo4~Emk=P8!h{&oP`5JSSTs4F!jb}zGlz7$4&c^FXOP4fSk0esV1X}Lmcx^RI1Jpp zoiJ!{Q=0*OCgm-^vu&d}CCAHrOLFd5)*qdx#0x86RQIt2b%;A`5mS{#W}%h(aGaiB zHd*7`RhLa7`ZmUl?CM_|KQh8X=@Rh;8Kn{RgT#!9fR{5qdrQdbH-=5j1YOw2F=q@) zaaQI=20||wB~uKq4P1y{fR&|UILf!gOD-#N?pm7L#ji8YP(^If_Z!a7&S6Xf?bNUl zP)!r!tPY{DnBmWDFr61_B?XTXlcp+@VhlW(kebBWwC~g`2bapDp5~+2$N=Ivr%@s^ zpqOUZSa30kX{#EFd^Hg=kvO5hhq!v~_S-C_@5HWhRA&L{p5TG7i(J5T^)I>-!cvEC z!Eow5wo!@D*pg2LjK+j!eunfNTD%KFgH@4lprAx zGzJdzf~@21h@k37U=4)j3spXVooVSYOWU$F>E(BX33a=4XOE8lS{ec`v8q6T-P$&j&Dy7E^*t=4$ zDh#-`wqEivIdmb4=Hb? zHq>yqk~0cM$7rU20c=2HP=hmEu??W2wwq$Cy$!SUw*o2P`$;cHZ(CG6XWf-%yGH zb4>B~SXuY_%Zs^CQrLZFZ1Y#a^2T?~+S#WTFhI8<<{h)Vy-MCfU}rBLd`DLV!Dg>( zXEztXe4&UW3s$9g{)s?}hF(px8W{{+6FKgtAX>7ksDlv~d zUPy{!D8RdsBA^~foEtQ~q~1}jTC{Q&_=8o44K%wo%+%M!qG$=XBIo4^UfmRTGiFq6 zVyJY&uwg>#8O}e_HMHBE%WCDsP3{g@7BrI5{KI@~?7pKDu%4Q~;w7t9g;%)It!sw_ zqzVTP*h`aR{H3)$$71eP-I9-8N{Gc~^AqXB3(Z8B&St)4weXL^d1&&cY0SAibFr)5 zeI@ojs<Qf@<1C%jr$4#>`P)SIt z&L~+hC4PG#ETY;sWk0C8{l2 z%(RxmhA0~}h->P&Za{gp&9IC{`UB`rWOpjK| z=&J^Y5hF#KJB76jZq(Usaoi{n`76a#}n{UXxxV0garmxgLslv}mj z#f>wG&LF$Rugz0v9^mM0-V{S9sf`z(ECH6<12DL5%F2to%}d{Fc)N!gSJrO2H}F0En3K|hvEd>z((ygh%YFUt9`;AXQ&!M?dgEO#JSoH zp`N1TKsm$;DbLqh;%sc`%`M&U0{nPwX2G9s9(msOXIS6363 zY0S>Q;X7!VplvdBFRcwvU*_Q_MitR)Th42g{{Rw+*9p`H2*Iia@=wKgBUjvJ0CQk8 zbzrisH1)Jp3p**kaDjQu6gUVfc+6fn4$68RmP=|Q6Qx^V&+*iB4mm_TJbZw zj9Ds{gnpb7U`Jg?{GQJ3G5%IylwJ_e^ zCq^&K%0|~E)-y6MjN@IjdOC${vrikRv7l~<=zF|_%k;}m^h)Di|S+FDawmhlsSp) zX9^nx^s0+AQn`M9b5KSYTTsJPVmUp}ebkATfotxxHHH=wQ#X{cTK3p6m_Iv~iPZ!1 z1e1q+6PCl$ccvkIJJ=}t=I*8d7Zk{`xXvtQwF(j*v2Ql5VZE!nFxM8i+t{kLkkd0J z!1B%?QdGL*Ze&IoLv@KfSS1#A?ILQ7)R=~FK?za-19AT3L zpi=dQF4_aoLLZu@l2LJHjodzUnA)dMP(cOQB`T{t;)!fncS`w*DNTpmbuA3dIQ1ca zFq<~5x58TxcOkLFH+l5%MSr4K!E?d*lt%C2t!J^6{pV1`HaNuZOiq7 zpm3GOAJ=q1kfx#>D$VffUyHeo^60K6gMJvoQZdfl0o1k=oMhnki$kKW<@bZbn2#9H z{{SEbn#3Ir^NvOvR(o9a-jUv52i7Ncc^wVKk0>mb27DPlk;Zh>{)H>tG)B|$n#saJ zutA10>w2l6yu~b%PCiIlcLuIC3@dW7UO9{%EM;}w{iZo_3*BJm zt%`_lSa(l}U<(JfZoFb$IoWXC`haokEdVTTDw)c{#s2_a4nH*;@Pe6fgj_Ea(INJc z)k9m15CX>2>M5-pO!byA$c6zqwf#z4%UAN5N*EYOvfHxMUSZaNd`s7iJ>qJF|tDOxpPYuO>c>7V^b|$|&mXlGqCSv(MHl5q1uGg`GXh78hCY7duE7RNY54@TyjT z8gfG-EZ|Y4LCwzYBCP-*uy&dym@TX?xU!7X0eiAsP0Y|hH|euJX2dC}(=HE*w}H^K zxQUWsuD-AaID;EdYYlpIEsT6y7y&F@ApT$)%{PA<^)0Y(dL71^17j2oe8k>4c=CXj z9761zSX8QxJEw`8)J=)ZvLLaj9!>`&;LK9j(Ji6-1l3-@XxCLcmJ8uj^#-9ueR7zk z8)IuW`8Z#nB3g<9m>LF3Fic~2NKC<|>heWZhfD48Et0dasf_Of>~6;m!7X5JPD;lI z3WFn_v^OM9!6F?g;p0Z18I+$XwZcgm%tIO<4_4g zoC3u7jpmkL*-#nA7~5_1rmUw(j~z?(^f4Z}p9y%*X92$s9QQJzgatCZp5>*l$^QVX zGQf}UxIrF(!>~HUx#pE^nc?1WbJnuY@`%{nJi?hvHXKPx+IWr%BO^7M`H2=-63CY% zeKQmTmcv)h`@#zPY}rcecGF(y)#84Dzw1t@Urg^x1!iG8i2 z2s+qqeq})i+jFfJYvD8o@0XZGNn7p%AH=jUT{h+5cN#GEIzEbSiPIjR)u4!-{KP@> z1hn(CmLI(?6*#k`maYLtG_KTct?ie>I0XLyGS|ettRa%YFYreGn57D)r$FLbqHC>J zqm8#*#v_s8ZT8m?XHJeePF?A@Qx9E8Nr#hqDhrrw%rExwF=Z>{{$dV$J1 zXrGx%5x}SJ4$y9q{lR@3{{V3+(Ek8=mRuBDXBfC7Q+8W6NEtiq}n26=HLIA4OhgB4~2vF{X4}HP9b?#Wxwc=#Pgn7>8sYyP3 zD*CaTqC_`nYpE{!o>iGW5|dR_Ozq`W0VHj}`wFvAtibD2<3e0i8y zuZYM%&2;J;LD2_Xofay z`I%~OD#}z|U_yP^TVWkHBJRGYaT3jR~XbsnggrYmuO)~~qS=jN4 zj2A#)Du*I@1fYm~SLOh@v^fx^Y(M(*GIWOp1I96O69_MAjBz2cl( z3iX~E>fsQ5Y~8yTJBtMe2fO${lqC^;oPpl z1#+cgreQ|m(FTIuGaNMQl_axLZ4ohT!f)c}8J|pb%I#vcST)|O?)ysv{G1+lA%_nm0w|FIF0FX6JE=!nM zaWJ-p-CyDk_^sx=VOhCa^y@o`HtsZ54cY?|0uTW>5N!ghbVDuFdR$t9Zzqyi zRk~h&<8y&uiPUtf%9h~9J$Afyuy_up9K>>ma-E;K`U#}W2L|C+@S^HL=@U05>XG5} zGRuR}4;hV13_%fvtH?j*VZwU;$=MB`?SNV4f8GlJ055YYMB(4exQeDf_JD8+OvdY3 zpA0Q!8c{IpBQT*QG=reBakt`TK9JPf1jYG1yaX=n`G}3{q$+@8=4ar`+6oxTYLZ|i zHt`%jc#1OYNIwaKiBnxRt`8)~P;cl41~qWf7s5-J@QH~-5B?DdK;tvP1s4hp@Bd7&K{M4aP09LI4o3v;a=#Ub@SHMFs9HChv{GAa)5a&EQq?4gCWJ}7miNqJ7t@a_ z-NOuX2t5j@8l6C8Rg96RM90%DS3brIOa(zPOY=lR?jlCVVnfo}B46_^1y0VtsfSS1 zUx@uG7_I?$OTxF!tO|LDZcX7_8qDL|)qfBdbOd9%*`z4s@`No?VvF#mz1q7+Ha50|fgzZpgTb za1PBc#I9zOvALx>oTU`#3+XY6Fwel0WI2PRO;4B!M)tRg1{cdKysl&g_Zpc4fJR0r ze_l~`>OG}C9m9K!B4r9yuWz|Xr2ha9Gdi{IRJY7=G7(*C<2NmxU^0dK9^ulhjozKq z&4P`|6D!~*Eh3D|pcK?s-@+~k_9FQ*gw^%)WAMgPxZ*`l z8`&!Bc_9SZ;*)<(Y+c!B54ijZnPgI%JhLD$DQlz5L>DqWNH(qwO2X(z?Uap*%%^K- z%ZZ!anl8zIiF-?qkZ)YHLOnBuXcE-R3(uj57J>I3$l*%b7u-jD0*+K_SN7B_6f^O1 z=up-^nb{%}P_i>y3KXujh>npgjA+Lx$Bs(#$q;hVg6IZ0l|q!!n@!pVk64fKG%>&9 zQzpbKjh#f9PrCpb}*rAidxT<~1=oga+ihy2uniCkl;}Mde z(iOv#a^}4pOv6yFIe%$)1Rj7xnP_e;FX92sorRelKBL_TYNFic%|q}}Gk_`Nxadq$ zSwOvl=T4$i^($G zh^S~O3`)6Pzn3e@DHz7abK0Pm=&5m&PU*qKUKtU37@Z+ipEpm^3gnsWqoWq@0MNkM zE20|Sn_QNzS+6r7src7iIuhkI%uTVt#7x7qjBK^3pZr^MbvE54fgMX@cEDfE9>){? zSzJ`*Z*%ILMU$omt5@O_E$Uq}pwzi^x3??8wjQHo+mg%9O`={lbykwLvfias49gue zm8ng3=UT4TX)`g5Ru(>^IJ?IcrhCIfEVxoFX=;$4l^ko^oQjxeS@igrAOdFdHcc|w znCYZmn}%UTsJH=UU4LnM8Cm!!Rj|h$LPNXn26>plV4vL^*DX0ex-nywiU>L>{elaM zeHRO8(K(CgMWjkB7r9L9F&Rp6HzDX_F`lgy>@&2&g6j3sv^T#HlgcW=MeG_CUV;qpK{vA z139T#v|N{>;G`6}q(mUL#*lFfR+B8i>0(|%&PyD17~NTy^5#~EE!14_ChKnSzG6@w zLk;7hs%E=L&{;qROxMhEc=#gGaq4CSJ>bQi&d=jb(m^fY#3&vEi0p}1JB1Kv0(*Hc za_u%$5i-lDX@QIUK~p>kIyhzl;@Y5Hn6T;u{{V;sU5Iwtg3h+^dX9S*>g>0OYn=H3 zx|Fp|?B585l}**6y`TColaUueDg=B%2irj4mB=)u#>DkUd;!XA4dqh)Qq`N6|U*%R5tj_B(rJ)gYQL!6>Md@fuXo zR{Z*wFsym%)MJ^ip=X)7SunD_1Ixkw@nC|EJM$|=sej6){{W>n5@jV;L5m?sGJHT3&rSu#_59Wy zzcSke-aG17o+Qo8gv%RHzX^N)0GN`Oi>_$-D&<%u8g?SXJ9`z|_P}u~1es~r$mm!6 z%LOsTM(*PH#j(Z~;IoELC1}LEM-K6aS6EWNQs-XCr`k?9asL2>q_r7D{gVQ?M1U2j zdHi~uPAz4Wf4ovv5nIIu&Ui>BES!>5bnMlW%D0gg|_!aXp+r|vQd zc@G~f14@zvTXLVwKXVIX4h}{bR>_C-5DRkK{vR(WGL;s_IvYGiBaO5W?&pOlM8w!w zv3#FOt2V?dTNeT{D#?j+(9Aajjid24*(&R)tp6d|VxB zL*f;~Y?0)et4CY_(`Ay4jAKk;G^*){Rny5FlzeV7WLr3mCdw^eOeGjyky+*z3ZlYt zPK<3S{{ZF(h?hiduq@#jGox}=&7n>i&S91iNQQ;Eys|NgU{?sBrudw)Q`sIp;yBB! zf;OfP%&Jq{4{qE#V1V{=Jl$k^tLVXZ?lx{x@O3M^8IqW*;d*hAHL@^=?i{A6UB>qc z*s0jyZp}EnOX3(9qrY_@TG3v{f#HLl(iO7fj7)b^_qADdH6Mt$gXGJ7N{4{!k4HIy zCr;IxDPPsfc!fm>ptZ{8zfIo+PJE2ka(i)2ExL*t0wJXfe&X(GGI$?+LSI?nat{C@ zV+ICVy7Mn$^?rLL@?s}N>G;D_4(0Ug>n%6^lHxaF@>~`+ToT>?aYxXS?EisEpsm2+TdMpMi@<_?4E7AiTdxF{Et!b$%CHmY@R?i1*y;bEUF z(DAepywfhFig!7#iER9a!mS=N7ILe92TRG~4e2cioHI?q++1BEX>%8YClO?N)^;vb zzNOT1>THE=tFc;d1Xa>7z!}ebiGM6ZzQA`5c6YQX%P7H*FBwX*^X^%`C0_$ABQPTw zQ-gr#C|f%Nc|#3M&8jL_N2_iGsm%5o&0-u>-GmkAfhDqvY~%}e$#V)QQZ@Gk9041|$Exb^y0w^6?dJsU zj8vuZaWVAHgaREy5z-8ArZ$}j`VaI+>Ncv#5`=n<%mq_^YHg9XGf#R%Rug!n#FD<4 zP|S7lXK}hw5r-=WSE*jWGV5l<62rHcq5pO$!yqdu(zY4ZIVrQGO z*vK!V{UT7LGD&D!vB=HG<~?2kxgsq1*iXqXK!}qGd}6YA3XLnrL>6=gkp6S`1t^vrJ5dzN{81v2;! zNRZTDiexJJWttv}DDQwOD=izeIFUM+Pm{A}p`8#bn#k@w1~a*UWHexe^KpDP>i+-- zd;66b&{WZct^7mY4;kTp=AzJ^nmVXevzZ<*mWB5yVCONatrf%OTFUGS#0#sV#0)~3 zEWBF|*>cz7Rk+ol{KUXWtWFDCXdp%2%+o@gFlI-0Z;bF9MPvvJN&{{2h`TQQl&Wyh zL)QxU0!#qa*)tmq{2nWJ5%qm=LihxC+@*P($1Gy9#NMGRW9WcoR?d&cggIj4xnSHc zFy}gyL0)@Nmb6y9M*NDyLgMNkAbXJzxajdOq$^8cRqhk`-8a!S-%(i4+d=5HV>_y@ zmwOoTH!E6-yd6Z+6g0hHw$GwojPZc+wWakJYVK)U1dv8`iVVu^U<6D?lL08&r zwBWE{UCrkFuNP9~U=?m*C~ose*omO?8Vh(VBVGl&mI{BVP;*&9vaRIp8#vD2v&=bn zl}2;GEN3@yaVbdNt{GX=E#X6wp;(o?#^r}PI4uRTvaAJs8cPmr&gqW# zkZFrt2Bpk77==LNdVLyf6AOUXML zosCdBJj&L(?+*rqFE_cKiKwa$OX*F-Kqh*4Sp3;aAS0gUgPE>?;hY(C zA(J$4MQZUbjCp}>i8|UgbqW$}RNAqa1I#&k1Ae9&POiYSc_NB`_%94M9|Xflzr<~I z1&i02Sm$K3M~WS*2-v~v2n06=!7#<%kj*(Cn4DUULj-%23dew}6=|2a>UOwz+FHcV zM(EP+1;n+TT@ES6rc7tm&Cb~GEZQ!vPbovmEj0WQZmL1rYqX|ps~+mn=Tkq}ZWF4; zV#@Mim>elA8B}?P=rUV2m~mw&8?}?Ec$@rC5?k6^#cs^PA>WL{JS=6!STt^&!V`;~kkp^|ME^!xq z`cZS+*5|WK3`>N>u)qYX-JnHF5k@AGis!g5R1AAT`$y!fmng2muHt2m+*moR&4^j$ z9=oVT8{2VZ4r!U+QZ!h6m3T8KZLKUTxy`{M8g&;-y6xPk$JTKKYq-^?a5ObaW2JF@ zEPc`_zdBZ6NL9=z<+#}<<%=xwQjC}Ft1{x!!2bZ!7br%#=emj?D$k0K^aYBv zN?_)V#b7Ybvk>T9R)B4je8C8#@+!ZTFp%VP0+<@DN(9>jKzxxIHBc81Wm}1ctTL5N zEULIUqmHp*zVJYS5&Oh}SB5q^JI00h?plzxGW#{Eq$LhrRxS$8Al?E6IRe161?|lt zHy~TNy(LIHHL|z`aMjt)O#6)L7>_c7)Fxm-NwZ{XiwsFai8x+yH{Iw-OE!}fUcfbV z@u_M_xP==i+T6sQI8%S31l4&>pyjO*qhsBDOXY(>`g)}YP}4ZthBKRnuL@_P=x=FQ z1JK+HZm=u}bwdk#vMdA3Yxxq?BN9^sp}?Z$oaPK~JP1Z8((p;%uzsN+fIb);x`CKo zjQH(qh`$Lol^{B}Rsye~9&EM2F|5a9&RbJ#arSem+lkqI_xmuS;io@x6GOV8h zw76qPgBM|=++G8tCT(6+nLyY;$mFDk7lkjm4rs=F!8L&Qk5x8Xq*OM3SRyw?G(wVQt7S;=KkAYg z`9yf?C)v1)P&dlO+VEN!+WL8m9Z$}IfmhDuyl16izvg1TH!8MHI@1Z#C=%C8;!ype zrxYdcnU(a)Kq$^zxIfv9DJ#X*pECsuQ?hecprF&#EeG{FS-ztaH8t{$TblC#fg#KF110LO$qlkZ@%M7kza*;n zqA9^8ml!Kf;*br7L15~6N5#((M=Olk8Wx}m3?z3C%T%d77bZ^;YsQzx)KzL}t7mak~9ykVU1_b)rB z2j;}1mI^pkN*0e9onaF*d`dMP z5#tKVz9qsAc3Z+`>}O>H!OnA2JkG$xV4jE+_SrS%=2~6vs?0Lz`QW?62W0KiLi^18o zt8*EAyQ_U$#G$L)Ty&cKAu8QU8FsKPj8s71w)M!!wjE67ggqt7t&*y{xI(`->{r;^ z8Q>RN5G`=TECU870lYv+Mp4WSOLR=)rY>y5VL&?frSTlb*xRQ8%Kw${nb!1hs`Gv6@?c6;4(VL%M1+vLS{03}=k zWos{}rB!knS48zRd;nT|$57@n>{(NR6P*`jvIrg*BSTlz!~kwO*VGYY4-QGMm@@Ad zWov#XqtZwRvlFY`uN3Ebh18%^=?-(!k?1LvL2aool2mF0?ffmubtl2gJerF$$2MU; za~S}q-Kr44>S%72^(*v=4azaW&GiW@xQDsPGb>y(ZxI@`lY?wa0Cgwi`9t#T@BM|W zT6Yslu)U=wDgOX?BFq^}o{mQ>ZZ5nKW!W=hf?k?1xQKOmh7`+ix8{-3nez$bMP?LN zaLr1we!;VKiPRFD(InWyswLS~@G@<(GUeq!s-9w@o@h4;L3stS;xTh)O>ZEQPL!WE$v3gCW3)JLe=zP56y%Q5-0+>8OT4 z0s{Ra$Miq~@3xwhoRqRkY2xCfY5L=+#}j-QYkNXa$hxbx)#aQ(8CJ3i1O?wW9>5qB z#Hxckiy3%t&G;Pk6SeVNO)%79)CgmRqjbzCrqBWnH*Y5d47o6>3Bg6gV-uPwYNv5b zD;qrD6&DU!){AjQ<|PJX+!a#TFoO$O>sV91GLa6=z!*KTnwm%Yq0{vsZ)?+69t0xUohX^)Xp*r^>M>t zkQD0O!>UIS3h9Zsb5K#Z*&UAHB7ABAo;w9q^d%4`d~99#mHFPuv@jV ztx8u2{F|9m6AfjPL4;of2QaJ!&nth4-GsK*?>NGFjIdO z&mI&AgNS*z`GS-z5ev2WDlQfwJVvT3Z8mg)jN#5Dq%G`#C2SCz&l8pIkSFjybs$bo z4M(Uum;eL`@ca!=QoI6P+o&i z#8Jd`HHp-mMV+s0VejHy+#{-BxQrkTzQqW2iF?8HEqwNr0+?(naAC~3UqUG3W_8VI&zi;+#eLt8GYt8YmIF5?u-eE1PT;#L)nbjZ7 z0vMlwD9>a{!DlKdtvc#ogTAHyxGHjaMct#RWD}%^#7YEKjK0>$GiLn~F9{K3s2aQ{?Xhcz z#O>zAFrqH*k(J)0;qhLhe@lw1aLdC)YhSRlUY!iSSRc8Td`Q1M?0A~h2!R>tXo1IH7q%O-=47X$f$q)2Q<|Jw|^SH9Lsc&8cTx#{1OoVRvwPrI1{+LYg3@&1MX0KWTWzUh0s`S7!vs%kvbN*Oaug}lf(ke^^A$w5S0m@ z;>B7D+#C_K`m*wz_*j`<%3dZ;0j-t}$+!f$D>Rpgsc{&zsHOY3mIkh5rZ8kvFu3_g zwH?OavS&RU+@GnGJ6z+l8i>PFfNxw&cIMG-(_s)}vY1wk!DYdwSE#UB^R?U?+?|w* z3;VTWXT&MFNE<6%PD8H0(E*iOC*+om*e33UtW(V7M5ut6Yq#?=sV0RabOQF;fU+A7pP#;lwUpK2R$iKmMvXyrEKutLC86;PYP-yG zna(1igQF}LK;q`Zf_zw6keORGB^rsb(PrY|?5Wn{)#q4wXKhT1#I-rQrHDBCMC@m2bqL0ZXjh$GT$EyST%;Ik*MKn-0tNAaG|!2rX#VU!OhHw^sb&gMh*jY0z~A6(A=eD*|@Va zA$TRH%Q>?!6LcDN5QsLu5Mx~(8S>8u6{6>u6-_HLpCQ=XWdM+2{K~)r!nOR)ee;jz zWThfoPimeK{{{Xo_018C0%pjvuof_G@JkUpb zJVDtdiViu2L|Mq4p-#zzySSFNZlg+I$`z!n9|Ggk#883f!SgU6ZNeP*wGZMA7b)V2 zs_4LPvNS^mIbaAFB4a71vM1G$}=3AgJc?32>$@pIJ;R>t<1HGgN5<9w=pZH=3oM; z?E98Ob4$5HS8_PVh<}GLyaGS}+5ij#0RRF30{{R35b_8ntoc<$1AS8Rt!e2MU&#^z zAH@{N#*JSmbm$C=jNPE|q)22^c8&O9PiW0`;X`+QqFKs>0&1<1Io_ZZ1Q2QuV zQF+0~q$z30lBnYyK^Fze^paIIfY~$Vy^qp(DhPyc@*2?dfPUpc#xxLUS=F-p<~PMEp*(8%#VvG9agbU9v1}IK z(Q!4F&AOv@$)JX86);9+HD4cKgm~m0b7GeaxO6Z%J*~&MuRr(nqs`LnCHKIW;qQ4L z@~1=^Z-iiML|j4%;74wiCxYw~nBpY(`%3P4`8ny|&KddBBg2R}L4eAqgbq0T!VBejlr~+dwdFM-~>X{lToXV?R;+z1YgDlyp3*j=TkYt2tfcniN4ni zSl+JK%)DATxZFOc_)*wsZm-d?^!c&QJ7AfJe_L_a=FFs-q}V9d3i64)85mGLLX)7v zVeHXd%6mN+X>(tYzs+S`&UPh~q24Wk5y%;s1m7pf_v9`h-kvkEnY=Lj@%2Q3E{R;U zO%%^eCt>9*(gvb-4uDSI)2$t-y{Tu*Hj6S!8H4?wt?s{DRBo{Ms^RvbX~SQ2Atow+ zzQPmp_?y9Ho1z26!vury0lTJ*bvFK1tf&`f!cU0*0A9AOHGD3?cIkxa6?)(3uCLl7 za10{0kV-NEOiOgnZ$D8l_y#31D?~&00@n2DdbbP$r#IImaH^}HzcuoyOMNWCX9sLe z1KZlkRe=&@=>6$7{(WSV>nsQHEa<$U9(DL(IXXV@iQTx`V^ita-B&=(0)nGoFNN)AL_U0=``?1Z+3cDUo1QlQJe2q0@{{YJ3 zihT2EDpC^f*E30=J}8H{s+OKtpO*0^c>e%m$m!3`+9_V{PvnY`ogXtpl%xWy;9`{i z@4WyT72I>H&D#CK@NNgRx3PG3Iu@=3t{05^wAb zs~PNK5A}~mF=-zM@9}vR!qOVy_#ol-pCJ)GL@GL|rn3s=1W?A7m~Ys5^Hr$4ehyqm z&pXKUC=Tr9`iIGXy8Nz9SU_W>a_vD8>6WtCp+83(D%vNfLj5uDnk?9r5`X11!p+c$ z(CWJ15H*XBjOj%{*Bph!M-Pk2;6r<*OipuvNwcPErk9j&3EUv2fy+7I_pzLte&UB% znoAx-C3^2K%?BAJnJ4^UR8h73B;JE=WWEG4LQ=#rr(%8o!~iA{0RaF40R#d90|5a6 z000000TBQpF+ovbaegB;a{Bz@>vZG4^Mp~e{p*}5`S|mZf06y+t>Es&0Q=Y-H}}Dh$nki} z0eAlZMgb{**Gz7i{{S7aObbf3CUy_`Yz>zxCfKzwx}`tZn}QT;zH`*L*q&{yXF{_ro`7@WRL1KfG?I z?8AgU%pfE7Tpj@X&Jbf~3-2L0Il*&jmI0b@M8U@(2?0f_)_vrV>HxE2LybJCg93yd zF%^`Xy6K$ZLNNyDN&1*zUAU+%=;$Nw9zg>|5TKL7J)UtAjDl`Ele#a59;S-{4SfYW z%-k+$1*M@pPi}0&!IV(en>yoTG7uHS9b{#NMX-=9x98!lM6xXSFV1eCfNHklce0suYLqO+;dOh7{ zy}!%-;7FUze|WNskMVGA7y9py4gUaL@s?>mZu`ZD`P^>@O8)@YTvbVxkTxp~H=7#C zcruv-YS7q$oJr3b^P8Ows*xUAp7Hi&mK$-kAG%;FHj z^p(FDlh@V|4DC>C?%?eMY*eg1sUs(G*-a=QjA>%Eu7G4A3T4LsRQK%W{ zr_a3I5Dofhpf5Vcb>peJ+n@-2;?oT}wnx+|JrHq#5fr0hd5f24JrKmWUU)I145++| z;;RQpV%OC@)S=ZVcULAX)pusz&%YQoHxp@b?C9-Wb10KjVG-PCt{7nsTZ0gFsrq1I za>+n|a_mFUTme$36mvtElJsE{2sE*K@J;KLXcVTXyLC7GbBD#~eK=@7F~})|AVURI z;}jO?K5||`9FI)}z&X0igT1VRulBH0x<5zv#De6+Ykmvmb0~zz4HVAU_H9s!K}NK; zVjPG;AS#C@=NUW3R0@gVjh#;SEnp;|1q;tA?JgpMb4n{6X%BLttN_j1AVT&XmOSB8 zV%7v5j*`t3;|pp5aw}YDJ3Bt}T6h&j?KvVRUYRL#jCv)_SPgCCA+YB#ZK)AA!~PktVv1t{k!8% z09sHZ&ymv>vT&1TJMX8~aix@iwHbf&0Lp~Xy@|vG^_wP8O@yny6P$OT0o4<)R{i3O zk)R!c<;7iLcIVeamg?(^?^5E?ynQEZ$;6El9MIHE$Z&;iv9k7DImyQ6h z9gIy*%xgfCk={-qX%V8x@8=%Y`Kc3iH=_dri6kX2UO%i%jDw|roE{GZ;JbL<{bM5L zu8Y6TxgIE^xF`L}$|I;qud$h50UfJn@&5qJM@T$lpv1pK0Dz8KC=0$WT;Q58XxptP z?<<5gP*#St7@EaMOtcgMbaB6VCTnVlu@g0a7^Jb14jHa>K5$if8xSbGf@4gA5u}8> zA zylBs6ZZuPpfexJ$#tFi>jE4_X7tR=NGQ6TV=UWMwunM$=0q`Pw>z6F}Ezl!RW$a;x znzqGPUQs<@9aRoNdcn)i457v4Fgr`Tjr#^BteEps7-<^9?Tycv`|x5C8WIW1oz??8 zgMtrAkEbNT6R5OTw0p!H64!i>tQxC(=?ZqQ>mRW9p|O5kAWK2U#l5sHAEnEpG5~w3 zX4Y+hP&yXsN++di;!He}p)x~K2HaS9XEZ|6>R4qW7)_OQ7m!SBHT0GUE8 z4Hn*TsZAfF7XbjjLGoNu4*1?xzX}+x>^H3tFBF5zmf$;ny0B`FX-A#7GCq6~ttUgN zgE$B(L<7t|@s%FLLcZ9)ST^QGO@KGuGnm}Rh7rC|JLc&46)=e1OY?(;O19Ab&x``Z zSpW$;BY!z3wbz)QPC3APC7N%M*>d^ERsbof5amc70|ekfQFWgZv(9QZr$}2bb{|t2 zumhk7x73JYg*mWzCuft(AAteMNlj>_J`)8<_h2tzB4SVlT|kgNTkVUnKy;WsRYb(@ zVh;Yr=mG@eC_bXP3AaTR_TyL2C{m}$zZpQHqn^i)7~kHwgeIp&Ti+Z3;yT6T?_BoE zA@W_d7jB#5c!2la(bapL_QPVjSfvIN&NAwDf~X_Wp^Y?fA}DFjh4ACYIjD{3@_!oR z6voUzh&QaChB|@Z`vK^@;|?MqLdEIM1SfAJ)*Ud!4%GPg#E~)D#c%1sc8?v-D4}6o zpap(#N|6moy{6f3?yIGA*GPfeE<}bf4z9KO7}b@d?%09y!!Z8<(qSSC?tq^g>xqck ziBX~9M~v4J4yXtolo{E^SXxs|K@Ignp3F^3$j3=%+oQb4tO5qE#eEb$aA^R7a=HhN zy69lEH987GI&Fu}15jaF4I5s)ad3@^n%YO!r@RYpc{D}#7#-AmAPm4aHZ5>Udj$1~ zYY#hU1NW4qYT3qz=6z*t+uPs^Uam1!DeZwf@pGm{e;?Z^bC=j|z7rEEORnudBNZN8 z?r`{{_l{6c$NZ4M+7iDbUs!z>PhV#^Z#3iSvh>2dj+eU`_m`b1J32q4$ij+@y;r$# z;(|T}{9(EsfP!rgX7#Ky!br;Hoo_w>!9YI0@FN<|fqT3K2i?R1Ne6a7@#7-<0tm4p z_wecKH>NSz#`NwvD(cgP7|)Xs?GSt29>~hl3`$t9;}(t<9ig^-Zv{@({b1oUkDQh` zXYp`_cz6-d7^=Xh*IaKnQkp17h$8gJ7Ci#FY1f=s&XY)}o_Z&iV-|LTBuZFam|XsP z8g(@i(;Bs&0v@k;j#l*s>PbHeL* zS~eZ!a3^bhIB_-=1sIpA%4ZIXvQSl9&xY|ptp)0!Zs;fA#PR?x3KBgzA2$`hqf*U* zHw$;6FoelAr3s_cj&+omTh2$dv#hvT0*vcdxgX~UVNw&Lvi1J}nXHQsWW3Vcg$g?a zdZTpUv23W&I+3LJhyFrI6(~oPM|fLAPECXKn)8nc(1CNw(5I|$8wfT81Fp%(Oz-Z7 z0u5*dd=6ZHj~mWx{eeXxRnQCT6YV}=bSt)Nsn!ZYgP=D<*u7slH!3U0nn}$ldw}N% zP$slAQG1A_$ymxDB`v+F^Ts_9A$jGe*IX$14jPL6?~ZVf7PV+9b~zmbhj^3#2ns%S zNz}Mhx6ha={Fyw%0?H{{xW~o>G6C3w2e)UbFf|#r9Bv}swED)4B!DTZ@a>0PNN|{!%8Uyo2W#d6~?N#iU9+Y zM*N0r5Hv_=uBfFtV)DoW8>D$@PRWK`VFTAd_agJrF*XOCXvef~)>))06GAq1Abe$W z03A@XUn2bFvP*C!Z6_%Yj8bW4jk_+ia(LHV17va9uH8;|>~Y(3hJo3xt>wJ{!m62i zBOfKjX#;%dlftC%Tw)<1Hb6fie)7CTy*0zyUl%TAYhXcnvtzsC5^)+O)%LB%1O-*G zE8QXc!T^}7x}A26wj+5$nhDr?cg6EvS|JI=WPRWtu{lm9uEgvwFopwTC~BjtV75Es8j-SivIx2c&Cb~8~Ve-;uO;pj|%U&Weve!Yg`n1mtz9`TnZU=cDm(( zv;zVvcUp1h20AdE>U*2ckHNL5K1jLB5LHw%ri326$0R#2T<~f3zTt=ps6n7A^VIi| zMRsWrMmPvPum#ZaJ@2;oyg5_}UqD}#A9w??Eofr*bbOBZ!Z zupaQ1#Oq>5U@qt3kyP6-6brhWmQ#!&FBr#3)hOC|T!>MAtPu7lx}?n^who4y5_ab& z$OsT=k>R$SVNr^=8ypDG= zUShS73Vr3F{?Oa6BWw!+_)~JYAm;9voa~6Xk3B&C|E{gSdz1co|e0 zO$XPWNj&45N2h@gyqS8<0EDa9(}Tf^1g*)f1if+DBz&R$-<)l#+6Pfrn;H&%F=A^V z8GrJG$&C<12+tYZQ_pM?iYk0d;V6%MJf#h#0dICy?~0^>8%g;CT{C1p@-9QSNb{`f zkFv17`fbe(RWo>t!*aVyFeLvpb#uh|*vF=0DUl*=Y0T1Buhbo5~UHfz150L_x@``sI^KkJNoAQ5IFlm#i z;|8*7al;&?S54Hwaj{)&Gf}yG#q05jEGQ(4ybkZT88iSaWQS1KrYm>`kyLsyye~dA z`hRD`i%?ON_55K`(9YBxio5>#N#lhQ&;7x0pj$Slw3}Vf$R($&P)F1Eh4`@SXscd& z)1+k*6<4GPKcvS9m1vIxzXf^bWmY+KB(*xQelm_#$w4O>(xCpl1hhZaRjywBwUE+k ze40NzzHoch<(IS5j1XbXeHe!S0P|Q6fFbV4d@sw}Cp*?`)Sr1K4d@|Lq3UfsEgEn% z#a~eW0Js85Z4XLy)yceF2bWrQn3J8r*zbdUWAB2Z4IPh3FgV~RrfT=(do_p+ruf{` z%8y??I%fwp+qVE~)4z>xdhFq;q$At=b?@YnN(w=tfjb3BXtBZq%elO<$CzVRd<4+FrVM6D)d~-3{;5H+RT@T9z2LAw^U>dp$ zyOW;SQ^=wc@Z9g`wo8Oi1&F%mMrZ|rT#heuw93T+I*rNrd&@mC5_x4f<2;-pb>EJ^ zcu@R&YbfPT4wH|4@*Sva*EweJ4q26Z_500*ZI4$__20nbS;`&fSMxot3Q9U(=O2i5 zZ>NK$R37nR;FEf>JB~*p0F-YG{bPAT7d`Z1n9=m?#X(I`EHv(MXJzk+4_38D>6g6A zC|8sQDDHh%F{{V8ENxqy+8FY+1sBh~VYE7lp5$P7Mji19UZ>|KZs!eG4vgpWU??32pR52R z=PIs;_k&j#__@Sx zN?36PhUT)Z3svO)7Y_gv3T}UAyynQ4(B&Pdog(zSf^uWk8qlV&2a~Uy-%%RP)l1Jq zJ{wND!j2lz{JD$skT{51I)Lyq7gRbmXjl}nu&rWzm!02#KC~zr*N=NmS zp~?Bf2jt(zC~Di+_G5X=ae5z$_#6TUFm%^1=U;dbH~eI2>HT5*u8i9HQKMR>ZENru z%cOmf0_yXRk1W7ZizQ*j9Q-`pQkBaH1ZKul{2bU-=*Nwsa(UO9YSAr(tmHgrI^qci&H(5{FJr zIq6WRagS+9Ixwl!9ScVRxij9@CI+^8`?>Hs=jbw z^#d2sk}p$pxJYlM$tdl%1S996^Ni{{S*3f1F^6 zA^!lFOJ(it;|e4S4cA{nJ~iEpWJDc@A3go!CBNtKh2dQz#-IDgj3m-R{gdqb%M20? z-h^~;_uC73F;;?arCH+WV*TPY z10qrYI(2Vcn`9r6fMWjuGYY4mI{}WlDs}DPK>5I!k7;8aUW)E>k(oe~fH$C*o^yqQ z{#d`xZE9bwVv(&}6!prl=fRyVFp75cgD1KP?fl7bZ4G}8;0L()dU-^~zVXYJPN!$aC2aoy81D_heG?Q6IeA`RcSo-5jw2ywe?5nOa*c%| zF+tuBy=66%W4kUTG)0};aGIs%+eR2euTQ*Q$O(p$0-TjPW|>9=A;3FCkmCRd2o8r7 z#Og3Tc(?4sOi)*m9#4{vXbdgG!~B?=fTJPcZ`wXM!U`*TvlaJavb$r#l5Ult^jBRm zCt44{!d)qUAJ##%n*12kaxbE*))Y*l$EFRedZ-V%mExjXN)KPe!O9Z$e-7P}wDu`4CgO#pYb3ccKL@Br(Db#yeZvKJrB(A^h+)74gG+2)=7-h8 zGsYgY{kTaV@?j4HA~sJ?8h$mrLlBC(fptaJ{{R_xK;VfYj+}M7xkqwocOQgDdAy^} zuKxfequ|6mQBI0L>B)HSo1{6*r#W%f>V3!T@0Ry+ZJS;!Pg%JNM=CJs4qqo2mk0Gf z)>-F;y=}|JkcVe4bPDeBeq2cjn)K;@2R7hstN;UW(VSv8L^!$@?45Z780I333m(DE z>obbM2mlkpH|6b%9)DnCXc6O1*>B`}#+dk8*ZSubC29LVjG%c?h*0{j!_G?qb2gg~ zhT@Xrqkp+L%JxY*f^+28?-IpR%Btjw<2t`3GSPMMgHrFW*@*1O4B zd~}#o(y??9KF9CR=up^5w>D4z4cz^nE=t{jUe>{yff^AxshRgWZY2WQTlfzaP2#Q0;#X03nJe%tWMDjE*Rlj=TkLaV>;)@TcjL`Dys4T* zhpc3Zl6N#V?uH!mV20ov)9vE{#haSl9!T)@#DqSOa1)I=JH7HfAh7j1-$fH#m?qg< zj?TvJ+^#_yhXZNAE{{0Hq@5aQ`G0J6&>H}X-$yvS%Z|sR%)kdIemp29j|)%W`I)YQ z=5Pn;9M&C+2k3D1Fo4CX3=lYkz@5Py9{Rs!h6BESOcNcq_`yzy$7~nc1d>p47>o_th>aB0(#L7^ra-D!FG~@a&9MTWfm%3!5?X=Jf%wxd4vz-B7 zl)@#q{lG;Z)B`_Zh{ga%l(#lg73&&0`}oF$+ekOL*7b)xAi?C@pm9@%Og%Qy5gp#X z5$}gpNH~B@@HggSl_EmY1@F}ycROQZ9k~AhJX{5p+?~(ipE&;4Pm{~txmBWH!}TT` zn!R1@ea&Xe1|EO6vDW}F8aAk1b6=NiP39>gHQx@-4ltwLK~6wa+MPI;8uOcg07lb6 z9^Kptj*`G8E5HE4tG-5?I_nhmFfPEylXMdKPTV-ZMinelHS>dpAOxXd@O6>jif9T; z(VRJ5CDI79cgoZ0j))Z)Kmi@=9~f=V04PGbcnCXJrYOAK=EssA$e*c=NH&{nBj6X4 zAP^cgKd?S9iV`K}U^kB0(sVx;_`_nA{!L*#j#uj!{{T@12}A6Ddt<2>-MiQt9p(-I zKr{h~9^6Q16VBayWk<$cB zHgo~s+{O7L`FKGp8v1Z>%e(n9Mch9V`TXXY0zMf+=*r6j@}H(@@j5oj)5gGd3>f6R z3$ed=^OPO8O1kmN9WYu7W~p6zG9*aDg$VN=dLw}B0%&5@@$rKA zLXaefUPjLcT#cT%>$mG(_tC+y*pg{co_BoT{t2&mPC`O-dgD{t{1`=F=L%YA+N$j0 z^?Su>VBLxMtR0sW8fd=n{$qRzM1Ub4zsocOR1oXa2;efQ7e`1Y{o+0qK~|B*(75!e z6NCVs&0HKjKz;#zZ1mtx5c5NWd(7jg&fU5i!OG>B{{WnS%%{u!{NVe4&3{HVdJ+DA zZ{BkpG)e{hn3c-{3h`cnSH@Z}BR{(nT2_!V;V7Q&2veR@2h}FIGlny8U|YvK)lQkw zCrBXBby49l>~*r6lz~wB_mzRS{d>bJ)BOJcTy&TJ0Dra|+{EG)3*%GkA^YqlMm5hb zIN`J~4>8)mSXf$fG}(U;%AV!W{ul=3X!nR{sFpYJCUn{_)+46WERq`(7ch znmX`e;Wv%~yDmULPNN%maECo2dgJEw#xFBT+;#k+?rZ3g>t=Z!fc3nA0SDie&S zSpa&!-^N0QGJ{tWdwx82%R+<>X+JWbc`6%05D)#pVX}Aw2ci#05^(@KrvCul@lFst ztAcV%RE3s1nox4Rq4KI5a)q$o~Ks86Wab=N(;W z>$S;CqP@%)f&Ty-nGCiG+E?+H zN3ZO?$!OA$-8EmbhvC;ubzi`Wb6E&x1$f^M>z=zKiRpyo0VU z#JMhpGo%4}??mX%*uvN!b_e2X6Bcg0%j{s%_#b?KIAvzKUcc#yy9(DkB(|NhI_{eCm*9K5BE$Vh9x_fr8<6ai?q1{ z6~s5k2S$LA3vc6$5{!p&HXgkWoMK35{LjW9H(%ZLl6XJQ>neu{hC9fEhxRxrHShZ{ z^im%q7vRCcf+6T1N)O0y*^LFSv`c;`=Kw5?IwTHU-3Pm%537l`i+Ha*c))-du!F(X z{Q|h0MB0wa)bTtbbDeOV9Psx0!G+{e;6{$BtJt0K6!8Zm4|_Uz+{QJP&S(cdS>W%S zz67K?u82d*IM{+?r*>DP5xo}<+?+ZlyySQ5jDh3)V4C9p0L(4z;~4p50{;NG#s?r$ z#kWzv&-0FeM4JH)WFB3sh9&?S_aFB1(9S$R>zve?1HcLo6MpfbNC;0Owl{ui1f{)! zzYIUn9Eq;oUoIUU^YxIH;KeYr3%-x9IeP^Yl~6_TrSplU^x}vk;GuWl2n19Tw(-&4 zKm0ZuoFDTO@+n%AUyL0na--ff1Lni9*dY-~+rx{!xYRaOA!-S+J%bg(=* z1019dvzgS7E&PTau35j$8K{=Sx)JM}@qpcTKHdH>O2ATTvzk9jaV8@~OC4-j2LXkg z$XyD%_18B%mhebRH0x$3_1c01djU?+G4$Bo=tHYqiOJ_TF6#sZ31h~oNr&y#an?Qr zPh{h?wH*+jl$R>;C|7ns5oRzQlYa@0#HV z2BN$V8}FYnmTVW*#;?a;7_N$oZi(q-@rD6e)2Mv*6?@`GAH#YBUAX%^<36Y8!l+_$BNG})K%%2>N)CGB$P$TKm$wC%1rXOmXgyxt zvJoU_zL+)0fn!F4uQS-^a@&2p{{YOBJR+MxJ-@s|$Dkq#pBYTZDXI>lZe^RKosHBx z1{>>%`V|P?!Q+O!0N`u66;>7BoHlU5>;UHI`4A9D`SpTHBYKX#Tv zsp)#5{5h_?VJ{%rhLMXQW3ThZFr2!5{{YjrYX?*Tjb9Je=A#@OW#_7i&T&YOLopQD zk7O108~ilC7}mS72GQLJdPXYc%1}_d_kPT84I2G^aZ_d^cX(EzzNN-9y#PS#xuEY{ zrhA1%p*Z4n%E!Hq)Dh*+?qY-py$*UbC+7$k831rf1vVPqxS66gNeDi_IaODarrP^3 z$D~u^0AMO5)MlHiJ;dvPYq&wm^t%MTvXxI7;X>{7>4HHT+>z34*BG!MS+LYLG8(|5 zvS{~DG;1|{W73nj?)APkh*+f*=c3K?(T^@-rua`pVfBzXuyx>zuO~P`3<9WtCsEbM zlZ?n!TI=L_XCDYD!-J0%54YY9tcH?5DhI0B7aT<2F1*jC65^ ztjy~GeG~JQ&j31d zr)ux-9v1qwV3j%24~z_nP%k%c!}!FxDrdls=2DKk+wTz9kLSnge~h3duI?h2apUg_ z0YLQx@73o5Lp?FE+Vd_BfJdJ`@p;(*I=lzo8rq=wyFZDO=hXP>`kyy7qjz{x8B>&z zk434R+D5jEF@#;Z)H=^aUMIM4o$KZwd<~ z5V+?Trt3|kuS6;LfmMwfd^)G(!(qZIjz8uj!?#cOoF}R2kWU?85Y`e#_n+m-2u4UJ zY7RrKc*4V^wtF~k)4s6uNC>0^M;vq82Qo1P5ErdmyjjA?VzGzYUs&sQ*7iFb5c&*K z#nlU-@7pzSBJ8Hs^iPali~ga47XJXH#4X-?KNx|ifH8p&JS*m4B&Y$7K=yNhz*lib zHgtz>*oe4tg!Sb7;|Bi#9N3zO>{to-$Qn~nj@ta+#u||{aP_h6_5Cnhn!(YwHxlJ& z6<~zqKPAYHJ$Gda%>%b>x3?hgdtrDr_WuA0hxt@Ft|ywgMq3YgH}YWCID8KA$4>q5 zs>{Mq08TjH)-I$nZ2~>Gf&nBk1s^u+J3CMXhMrd38m}F zinj?5ckzb!`TlSPJ^m;3Wp@Oxg#2j2ln;$b>+aWqfYc!aX;qJPikr^_{{Rv`@Hmkz zIUuiUkBmrMKdb!AjAEsP&7%ID6z@09So8r2>atkv%LIIFZ{hSV8(i-=}yG z2Uvg@jdcjmAw}umt~x$#c@q2|&S{|T8kcLw2C#zQeFFYD&HxxbD+kw4lLK^eETQZO zA0@#_=q=elyf;8f^az~!xT~|2L?+Ky2mB z3|t_bEYmifv37Q%D|Fo8zl;Gvfm6}KbIF3Y{X zyy0w`S~fMkcKqWEdk}?ZwX3zjlOvLl@+!=ZLkE}XEHkRjdlnn?1j6FO9G5pXa_9Ah zB8x_-PCIR8Y^al|(Lp$;&K*>SmhI!STwKWwE~16rk?RX@hkpBi7~^?0(9q$akuk6Y z9^x;1nowZ!egb%liM$IDK(n39NP!U}hfYu`bz_X==9Rn&KRLzhCj!~4;}(KY-qU72 zm!Cp6uJx%Y(in}zP=;(iqo%K=e{koZjSvwIYa<^qfb}w7mLJ(8Xji;E-=;5 zT~#0rjtc8$FvlGL`FHc1Ch?aRVAh^+=if{O!VN5MM<0`xNdjWgJk6KitdIk>bft)R zaBWw}05Q~}d}9fF%&Q&2Hjj4_98sVoPh-ck2}Z;a5Yyr(mgej2H>pnilcs3!VOuCy z?et(9rby}eCGqLTS>3LpoAW;yiRdR3zTF2sb6?emqJ9APa*-t)po7A*YzI8ttvV)LaxXbrgSr;#I!5tC&vz9qMp$Y62 z`oLNW`a7@50^`8KHo?!Ry}NnCP8h1fc_J^BW9P;csT8^c=mfoJVF!?-k}um&Kn`<8 zanF2C=xcu;&Nc!_d&EFcn21wvUrCp@zCT!jA(YoWemTj02yEgA8+L}x;HEwd*1P02 zh|;)&vlE61Z)0x1=NO2!@>Mg4qkR@<2kbbFL))&qVkT;@Cq(QBuXuyc?a(iVf!jc* z85G&5ARA6cN_TQjzR|}!D3RQ7*VID8(A)q%4LafIX4gQz7&FRa?M!yIYvl9T;%HFB zNnfNeYf036~?tM?Uf zBLo`nn+}k%^PM@0Z<63Ch{V(Nzr5}T^@y6x+5y$wU^`oyo+z44Pmvd`_mi2nyRReW z^MS*iAe}h?*OR5e0l0Dqqo4w>;{e4Voe=X+xXt*oO{nVUdZ&2w%3P>I`uz3Icrbzl zI}U~tX;5Z?+2Jyc@&esD)@n|TGq%T2o9saAkVi}r0_}OjT|5}8iBv8^n?OO{rVLSv za*aC%y=2TP4V4;vCRan(Xz z_3@e~{{Vo=Og)3!V;mpm{QO? zlKcQ=>f(5%I{-C0BSYM{BZ49~oP5Wq-l{wKb%&HSKm)qxQ|A%nhu|Gl&ii+&%omjI z@l4`4j2nTSJeV8d>f+~h5q@9Agv9DFr^qJqQIFeiXCbu&8woFK*A^`RywRs5Pv?G5+D zI&H6m@o4&RyM0c8Tj>YRIXdEX9Vf-YTms3u2faCTOj2}btbYIm^@RqSco0M2a;XcN z+XZ3QT{4no^eR2X#j{nbKoQg$c9#wtHI3{qbAug-tEfPKP8bS@>;C}2fJRJp2HCOt z&nRR((rqG#MS3^JI0(Y&N>5k>1JLw2x<47D4ZR}=e>kei2-u8}=VQ(qo^9r9=Ldo2 zH?|#Ac&pz6y2Zqa<(JMnR3Yf3{&>Oub53A2^PQo}-O&q*qHW@cvwfAnmmhGIX1Yz{ z$|)wU-}8AVM=obUeT+fn)=Hpy?gZ|y*PIEsBv)_^=yJsiuy=DG&+UdJ6JqqL?Z&(O zU~uf>y|3%+b9<0)K@s((dO1lUCfF6(Nw+FodYHB7dR`AXZM`)j&ef~d8NCup0q$N( z=&wLA(J@j^cq6BF^?}n7^(Az)BdMW!DT2tpLJ)j`I!q;b9+Cn+6IrPB!R#T{#6!kh z%7C^BIpz<%H0sT%Sf6({?o!t(WJzxh;E$eW6%(-0uAx0|-U@&!sz)i;m##pAIB*F7 z;WnOJCCA!W179bM0Rc2du=+4KpGUc&&vBCFg+E!O$NZ)dCy(nmN1PC>gz!beEj`Y@ z{9y*hGf)RU6PyET7gdT`^}VSU9<-JN46TJpqqo_ zH9KULqo?ZoO8LF&x6>SSI60^^`%s?;$|H#&P%%7|J%X>!$UQ8r3X~pbclYTH> zRe=H)&tj*XTO~uYyzQ<&Klv+jj3&RA5^xgTclsO>G&V9<^MWWq^sCA4Vrgs7AvXEd zJz3iuigXI#&m#;C-PiCRQxh{m2;n*LQSjxUn<9-kPT?jZ<&Wk6G`0D^`r~zK4<}p2Z9W@ zrYuM-qQl>F3e|}hs8765QSjo*IfqhDruH-hRm&I-H zBl!GaP|*6}_tPnXHk8rz(mAmVEIJ4L$7?pMU_a*-B`=ms6Fq<28jU;(esBP)?a3waU zmsjWi0Et9%hv)X=#3B(Muf&7zCD%|Xym({fSe(-o_1m`?-8)x3j|a34uKQ%%Y?}@l z#8v6SfAKA%{kj35jpJSMNdb0&X{iAZMe&HJ6gNiD>qNasG9kR|)4td7j?%rJBCB}l z_kytOj<-g;PtF@?s2riLQftNnVuwyJbl>kH^bZA4HTivFV^9TQ(K03=XaTG>&M?yr zTU688ahrrbwMo94%7$~6S*Fs!zO{?9756y$YR+ddbHiVU7nB0*V6seTrC%pY?;lF| zZjv9n>&^g#AbKGQ@sO`?(;PRO9@F=q+GVH=B$%&UIlxphEO1fDX^7kq-U^H)31+w~ z!vw+6#Gl=qVhs0&)3f`_m1&9yBT2@MnG1%}OGdoKchtv6fl2^9O!eO8$h>vjP}@z< zK;w)%oNNR@hZU*Rd*>J;7%V=-@iN`V*J_(EY9-f}k>>IF!|Os&PC<{T(YkM+nTRfd z0|EhOY-{4{g7JgZB8N?Lag1B({bYl}$t9n;olR_h&*u}nWkyt+!ZtYqK_xx*d(Jul zDB9Z+%?GRvTCHgbZK7=UxXMh2G!#srxtQ)V8Hvg9rI8?DsjI_{mxbxupRTx#(c$mG zg{9xFoaB2@e4dk>TslL^!`plK$r5shCv=|Tdox>4lb+uH0J9Dh+%)q=eI`GEA>+)z zYAI(G58g<2#PO5*$k4P(^l^;2)So+F8gh)I{Jc7RqQ93F6eRQ-zc(OyGgF8UMa$Ut zfY41VAfA=W(bfQ1)#3jDI28v#qUb&OZ+w#HtZKLXFY#!%fgQrc48GlYLsa~ZKhv_<8bq~lYpA;@G@v1RY6?AvX zH)~xlC({Rq2M)nH3cmagZdGZU_jB^iEAvPg&Q$6_%`p?JgO98f81ab+9Vi)x^>xX% z&2`!Hlv)Joz!qza7^pP?Q*(g;d&^!@ya?Zye_gQK26~ZeUoI7)3cT`D(0%5C0tyC8 z#@aj+47l>W0hRU68JaaT+~XcR64L$P2R;Yq2au$J?TQ6av1oxkxaLgflaW3Wp793P z{cx11rr#Ru0=^7>;C9^>KV+n)=*~#a;sC&qUaNHI)Hyu?Yq0$=d#^*W8 z;zy1>etqM$w>>!<+rAhjG(1-R^LZ49gq!!qE3Lsg6?Hv^0DK|(GK-D=m}JePO*-C> z)*~xGRH)m%sP{YPO&EIcFLGDt?}>|txd&c>A>lmZ7(~}$9P7Fmku|Ze8^H_Pbh=>i zCg}RiQ`O+Eh&Q?|?O{07amv-2MK{D>j3rC+;|- z>HZ&h-|%;fKs8DtPsCgg;W$q{Fo{zaOR4p&96asiF~5<|l7H4jnLmyR$jF{>Qq)b6 z!PqqNVMN$6ufnzo=M6}vkO!APmMU?&0ynkm!Hjtk2Pj8@wZWsL1sDeONrBTq3YIsP zHRl9m=@8ta(`Zi^b7D;B;kqbw(ZGSQxn_d#_?f?gT1CA1(H~|;#54i#D5ESHzuYOuP;;0x!o}vLN6<4Yl+33bl8uu|8S`^?zjeFeXqq(vuj-mk-oCyD$1aiPSSxx^~b{bEe3fbNPC)j66A zmaf}Uu+x|?s>F7f1whui0XO4VZr%kU7n_lt%Pec>u|wl4r9|lPJYD!>asiCncgO@E zBZ{9%2;~EY0Zy(Mc-<}1@Tgo-9<(Bq*MydM#qiR30P+>S+RAx%DR4gSwbvm*zJWR2 z8)tV}784wObI{Wqs3L8{FKbUG5TZ_4h4@Fr!i{tOK>l}nwcYG1Uv<|}Bw@)yj zddAWm>3>)@Fw*y%{b56=q6DXBZu{Upcp$oT_Ja3J5Ze?fb$ksU`<&qq(SNhi!t7B6 zz2G~r_%h<#D5WZ+!c8Y89K5~=C4r&^d*?5iOQ02cr{Toh5lV){al})|FiSPuKb(GK zghywu8LT{>+|R*>Gy-x>jvWS$aRSxU0u-EgK73)n(Nqh_b!<-=2H1);1Rd9&mR&kN zg!zt$P04&AG4%u`+H80ZpE%%!K)NODo5T)--fOOiZ0>l+*BJE$PkKw&B{l?QFW?VG z41m(=3xlB&ddphMD6m~srXp-BH05u3{AE~5k%~Kx48Fj-HE2BAJPvU?5c3Cw2D=-; z+MqcQ5z^J~h`0jKszT1%K{k>@0AI@MUIo5NK>#KPl9>FoFUq3QZ%* z#|#`I?*?JU~6?6jO53$qubjGmp5Q{A14o-bp&PCO^4Y>*?}n!9JtL#_+g}q z_}chJ9DQ(nC~wax;O~|9&n-22>{RzKLe&XX+eg;lelq+X2yCDy&LEv|L~Z(yq{Gcs z{9NZ6Y~)zwCr)|ZO`$X*E6CIme3%9Rg$Mw*uC;S^DFjdO zbMJTz<)J8Fd~X4`VABq*c*M#k%{p`2t^q_?Z_>C}Si0}y9BnZAPrx2*k)#o{gALbX|13_t=N!#sNIcg$^2n#h-I#Iiw=)o-Yk2bTDaDO;CL^ z?DvSR5!tYluTgyr-%$#n=s@%xUM>Oz_c9>Y`uq<#`Zv49x?UJ8}( zelmiaoq(sT8{-&NQLfZt_%7>88hnl%2H}S!E7^pgG{%HnFf{~&q6Yj1B9&vUV?Q}& z#CANI?WOT@Oe*282yt3pyaA9NDI<+iC#)EfNveY5o<}GT8%+YM&h}=^Ro$eB-+2zn zsaLW+CL`%mt4O@^^YetoD-MRA87D_V>j>SH#ShGoS$MrfxHQmJE1~DE{&2RDRTu(% z;L;MUa|geCEb&K5llENog>#Zgqx3Xo0Wv0N>eqj~K_On$e{b&?ds7N3o@7kgZtb)u z@A6_+_25Z%U#OVJbhm&MdqrGiDU4k^XzdB!J@A|M9R)4dsrY7%TtwtugTiK#1D-1^ z)xXXMBvT{b>JFQOtN>=5hl~;+AFl;paZTua;Rdnj&;hMrZ%j*0ZMXtny0hMKs@Ek) zFHSF~H@-l(Pre`S z$C(yzsZ-1+vjsZb6M9C@$~abIp%bfpPZ&W#IP^RJ0J>$R&+FwWojgCh7iz6^EY|mC z2JNskIiHNSO}Wf(J}zohyyu?8jkrD15RD{066>ZS<^n;ukH5xn$~AQh1%7i<0V$~B z`*H}fUfQptjMTA*xUbL!#^!c=2X=T#igz<`k1u3$pdft6QQV?nS_(VRp*tnT4lI|D z6WOC3`P6!n{qN&0j6kQ)(qSRAhA!X3^yPwWRsod3t%ZuA7k*4_0+D(Eb}lhl0;*`y zdSJyZOQ%|VJYo$m4x@^8T{sAEw^~BJpk+}Vgi}Y@-Ykw8r*QTa9&pb%w#8}jI%k$c z0LS1d?-~h8tJ;) zYoGD5hh7?&a+Ro-^f7BjuW*t2#DwNQhu#v++!5;C&@mT@+6OqZ>hvOBqQ*bF~P$s+K&=qeI1?;ibAq3&6O}(~< z&I4q?JloFXE%k&D97ffcde!63Y$OVrg>PhukFe2cK~M8N!KYr(Nj&FbhJz z3H$5g4g*8txAcB+L6ish9bAIMa`?7no9f=WSIG3ounB!8kJcw=d}#wo*fB0+RbGe2 zj9Gn&)C29-8fSh^FY?wW07ZBzJL$md8H5nw4je|c6SIas_P|XN&PJl27p@Z0FHl7L zB+A%;KnntVI1v*d>@7NZaXySg#FkBg`NF<1jS?9pPP!~$yLHjN^4g%G$k+Ax!j1sa z>WTNp2tD57a`sT_7aHZrw9|*3`NH5$%p$tH1{^Qqflj3@m>3NJG(la5TzWuJ6jW*F zSh5N?y#(`Lc}Gk|v!ibIIJdoI=nmJ`bFo8hp|GB@7^D_45PCovhTfW! zBS7P(01%3+R>pyS<9e!sp2U{+J7jU~pqN0Vk4)=&@FY$sc;gwij!hR`(Cd!Cj=mZz z!}o%iN(~{Ydqcihm((!jHq5^{p3MR--MwAM5CtWL^#RmCqp*fp%CSjU+~{dN$m`eFG3GQVzpAJyGW;H*NorN z#-02aoFjV?c1v=#kJY4>BxJ{uV#s-T>%Hx!P0gpKvtY-QSM+Tq~?HJ&P6?k2N0_p z1Q(Z6`?T$G$pVEmIUKQ{7io5BXA=}BK_gb zP@Gj+uA@v>V-Tn!*L{z9euN6xjr5d!n5!!kBM3VIK5{>_K1E`wA zA9~5Lg*%f30xVK*y>)cR$!r7=K}c&~)fpUs*pPstP9uE~DkkfS^d*`vGsp5xj|L1f2^* z&ON&9$qF~UwBZ5VR>Y!fP(<((2-Bxch!22{`?op3(1;rsVIJ@nfa!s-I=5~MERQBf ziBa(}@ig>_spR3^OmGmjX$j~>aqpup(g)yQrXV%Sk}leG?Z%|>FxY$nxgPC9pio}B zanfM5R_R`-U2DgjA`@fg9)d5n@J15txdFZM{DuN!wCX8-%qa<=;S_k;eHh2m;sqp~ zm!EsWeY(2~$opO3gg!B5n)QHB4jUenoGg7Hd%#p=TLS~+hY5JtOUHN5895jm3Pelt zV4MjnT|wJ)KAhe}YLKBg;Qlae61LKG@<_xKL))+!o?F+9V%|6T3uS^*O!qkf&8%Z|%dZFs+3YFx?#a06suZypt3i7oT$OadJ{yrD(G2 zjsE}`xz>d)gL4v!5~W<8oRfks7~|Nh&wSk<>#<6_emKTJK-Z~gs|(WwFld*8XyDN? zacC29M$ad9bijvZDg*xjxLc(r(|v37{J7jpP6cw=&Y96Gk>ilrIj@5fk42u%T$j)q z`0txnEF2iXW-WNp$IGM60GlRB?zqgWVT~mFgX<%#f^4jeEWDG zpgi%}Nb~XI4blGqtHuLO&-KO#LH@g9fCu{NfTQ0(#KF+tkb%=Qn~2)E z3Fu}JDB=V2fP>00(*fUa>jW199dAGX!~iA{0RRF40|WvC z0RaI3000000RRypF+ovbaeR`u7I)rD2I_105IF?J3-w#8TMYNaO5JXl?fR7H z6~_Mn-%;*IFAM_3Y3RxgJpgz>hrc*sT2|vnPx4zA;LFYjv(uyyKL_;?>Ya7zE;aG$ z4uBs$B04sd3T)y0Zxxt(h`Jc-5@TZWbh&J!?q9L<+EZzK#CSgAz;)g!N%!g!SBJzj zhys$APxs7y)T*@91ImP`Mb<1{qq>xHEAT)z+z9rj7P5X?)_KkkH+>HOKJ{_*%uz3uv!iU_@cntGy_!!x#n1j3JC?`IKT5T9tiX zF#)8}eTvT+gGCV%lP!IWEoRzBO8vwPDO8J+%a?}&w7qLi^IKthUNyu^>}KfeiCyY# zx;2S_-K_>S&BroZRdv@}s;t9LY6HHRxC%jL`}cw%Y5o~m+G+2T+@b@WU-zq-_ZO1( z1@tfx#9nLMvIC)fP0E0~TA~!R>kP^0l+mtLh%iv~5u-X>`b+O*6c(2%dbrBQifG?CU6kz89|BA-)S=O}nt(Nh(;5|$EEu-1;SMJj;{ zfPB})Fosx-EDo1J@dR+NwMkfSp~lR2m=`9cKsDID9Kw@UE{j9 z7MiJpdei12MJrnf50=>2LRNGMe#(~TTz545iixG`X}fxMb2azeL#2mpCL)Fxu*Q4W z%m$Kz6|TGR!;0Rf^)2e*&0%x_N2sEMN^8*R?lhv%JK74aG|U`H5WJa39m~G3G!3^} zlx2uuU6rqOj=pX8&3tOIp0v#e+;X#g63ViSj1Nm*`p#X-okmQ(bXJ*NhVM2?bGkBuQ?Uq4sN4M77S$yHS774zB~5kxa%g|@4=%oQqGy%{rHr9L9fLs;P4d8>OuHfbBNtEM!U zos~;gd1nTg9*zg|E~;L!hCvrUH(XOK4ymY^jNp9xe-83RF1Qzr8+7EA4kL!$@L6(ig6 z20f_uqKVal^jk+&Hn&)vZ5m*Y7P@#8GHhvT`UcDG2&5JP*a^-c(0gsR)Bal z&Rh^jF1fS!0k8~RmY6r$UvQSw%FDnS&q7o+wVXN+&SnwCW}Rui+UOm^^lLfNU-6HLZ5M#-pVbPR+}Pu5KnXg3%+zXNjzJ$-?ME*co)|QMk##?M)vN z*!0D=y&!n4MdDnLF8FI-BoeB|YY1GXmxb-0!M{%FyoU3me#@)?B4%FE;w4>w*>Z`EwlmzJq$N9eHa!fxnh5tntwAsv`O zpqAZ;`9W?L9s%r+sQd#{qKC;(68V(Viq1RyqWWzIEy>pn#qptU5od*f+mT(xo~~0y z%`P#=R$d@zD(aXZsJu29N9GhbTTlUx#rVu+!LMxTPt2w_@R~p)I6DaZu>QrXyZ-P{kUaF0~EFKw-04FzWyanG< z1&tBhDizKgR)EVIYAxV2JFS(>A(e)3AYJ5+A~8)BYNFmJ|#YBx?5d`wfT;6grrj7+x3%A(9!iys-YL6v8V=I7CI+U63dFeB51-Dv5S3SB`OK;K(ViO-^EJV0K!8JDoOjfMx2Wq1}&aM7IgCJL8vTBhSQ zfch9SWhMoyy9gd*fh<}nxGohCsk?y2e2&G(43u|5&3zD$SOB=St)d>P{Y6bt3yUp} zC0AH)OI&a$UqUaafa+6~QgS*5y2`AeWY-GQi)#@hH4V}7sK6=189-lC1hVwtYL%k) zjY-k#OSKI->G;y5{kyx!^uyXhV z%s?~^mtkyO$;21@Cra}or1sKL$s z_LeI=fj|Rok4Wxql4!a+){j}VgDV(7Pj=IYn$#$8yLi$&+JIQHIXbfZ2qu*&(~20g z!x56~xX{GOv}BP?GafFWW>EtX^1k~^vCWNz%NQ6Q5`Rc#VxUV!P+|m zOh29AfLBw^ALO&qvv}IJ)?%$u_>?#+uKPf@!at6zw3S9`$>)#%MZ?<_gyby_22ggfa8^#0evX4V&oH5g_OF*-T92`mdhvs zleks#Ll6koTeNib?38d4vt5OGzjF2DjzZ~*>soRVBvdCrvlrZJ)UT$kMLRjreK86I z!d}Y%0DtUFr;&H1r{9@L{g~GEs1~SH%dc+vA*E8$T>k)mpuUk}wJl6(2t|Q;1sqGo zik%ei)ng)Ph7Glz`ar#PD_T~!#Hl*(EZ)B^Wr>P1ZgF+XEW>JFTw|l8Nu_J$D%9(t zqV6Eel|e<}yZk`V16=n$p%E^xJ9d0&2XGNLjAP~{OfBPVn1l=|e&M9wZ5xDkidGuu z{2&S@j2M5mnHPmRXK#3hDKE$Ihn)-~wD9^OV^J-Nj;HUrlz?7`OQQK&DhN?CF1Mco z_p%*{uPR(7`sINwrC{oo$`&)E-L?xap!<#9U|F;fdkcW(iw)fug1CvrV6RsuI2-au z?wYQ3O4W9&oqYxD1S^$T_0|AvqkfRBvT-he6;_vFuH`XthVo*HnXYpZ9SJ(x0QB3$ zqsAT$(~gVg7H0_7@K(KQz8l1Cz)`DW)AE0?OlU`ArVdy^sD|BJX)j8v?^hLY#d}j} z=Fd=#U_LP zgpuD*L>_iR@D%`pTyHjRCu=@6X7=1G(6YiX{FLHKxpi1q0BbmtoMam$aIVN0^y}`K*Uu*XSEMosMyBM z*v(gc;Mkd0;>$dJGKBF#aZ<5_gO_U`TpeswtY&gxs?YhJ?MJIBYrT8!`@jaTND`q+R7j8t{sxVZE5*jD1hp83eo1G z^r$VhRJQrVYOX^9yfou3fc7uT2`gY|x-Slzc!VSo)B*_g#q9yZO{vHIU|L`-x@Nq` z2*}?zm)>iwM9?niL7*7yg#?Sr{X(d7`}_WBF;bLoW7U3p?-ksCo+cpsUza`j^DO&K z`Tp=kGTS~ef2VG-lXzWSxAV7h4VD4cjJZ57q&iBSb?w9hc07}Klc}V&syZ7k{{V4h za|%N9U$`o&@EBUpYw-}&2PSJzPuvPRF*v8{8Lk7WfTMg?kPLMn$KnHMsrC^g%%m96 zekVmq(%05z{Alb9o|#Mmhcx$pv}1!qvBo3Is54b`U%%8LCQXmIOx`~D>PgUj&s-ZpU&FNanI5yyWO(! z*B>3`j~M~J1+L*v(*zCcyP1Xqa$i&CyUKuXBiCQac#Q}ECW9gcCYSZ|w#^`Yd>U>Fl7dT9Qo4j2$t z_f=`{?gv{kzH{h=jcszO{{SP3wJF^KdLM~GP|B6;`i84d`3TqkLQw{(1>NFdbkU9g zF}Ind+2R(k$7P-au=X+MgsWZ$ZhNkL!L*haeEmX&V9U@9zpk)fx2nE?$>4xoB9u@C zd=YBgEHRii)n|#2EgW)-@h#UkXH=uMvYQH|+k-kp_h*@F;vLMVsWjYj~_Gc%gUR5%5(c+_B*ZqL?-ToovP80jF2xxX=jXOdiHqq^m^z@2) zXGcGxQ((ta`$%_4tE zQ{1wVb@c>_b#3=6uk3-60S;&#fkD*FM!8MqkHmP2(U7h#htxJ(1$ZJTFS8$UMJlSc z#yywuD8~YxhfO*c(gs_-af2mh88?U0R7_vs=fqWBe^V+hr_4|iEI6pjkQsX6^RI~K zvRHavJZl1hvClNW6C2$nb2kAob6g7$63z#_o5}-Yb-$M}PGqZRC0s<&@Q335U{y0i zeq#=R6%a9%FSOVKB@r%wb+A6>6BAh1QOwC!V~W9;*W^~6pgWmeHU9u*ZD=MI>nX$< zdC~ZlQ0Wo2W~*^L4?d>s(w$oibhhDFl&ET*_kP37H+G~QLs*7bw0#8K>z=Et?+0#D z-US*s9{fJ#DA;XFW8OP3H~_DLana$>_pCr|6nN4IF0Q*KpO`QZMQYl(<2TwRwE+{O zophGTaBGgNce#jwY0{slp>tm5-ZYFDKjz9&ZJ$gn@yWvKK!_DTZ>y(O#LRImW|wO5 z(iCbk*BB3$E_S!(ZgF($p=aDDsc615^@Q3fdH!YShrkbILjz$R;s@lK%Gugig2zZ3 zS3M!kD(9CFn;Ss1Ra(c^AXu}DcPxK!+h{4TSQO14dqOEn_>H-Y4&%^tMM^OkKbu6l zWo19meoxo)89v>rS|^=(B@UGUEWonu!?ds@N70?rUkvxDXxKB_wE7P%qC5?3?h=qX z3(n)m#HgigWJ)f$YW(z=%r|w-A4H&+v*?Jnnhy`m61TdvugeUEHCeEh}lTE+0 zYdT~**-Npk1x9-cN;c74;m^!;K~TNwo+xGr!0pa{Vm@1EI-gqmjolY5%ewHzwT_H+ zSVaPiXmrgTS07r;hj5c&~*ZZU)b`QB^UnC-i?)vY{ z$TKXx)K;875ORX6)V><=FT$q{DMtJLpntEf z!qeSD(UkE_OoZd{iAGh~>1PZM%~8-oCp!Ex!nL)2L+?JgmsVCDrT#4Q6D+JCH1u`Q z*SuF18gxFRrR(H1Z}%&3uo^D1e&uumxFvpWBcWMSQ8FGWg1QBlz_s=B50b3O#s2`P zZqd+OX_z3$dB5~WD2-G#GR_`n719AFvjB>Q`!N&; zZL|Kvbr3wbL=otxLj`_gd#0U?f0BS|(*h+?eq)ShcSTT&@+;yHtx%$hYv$?z&Eg}- zi7CN#@teb(ky5C&g4%n{Bye&TJeWCgHT(el6@$Ie=R#bW zCDmKjw+A=}&sjtmx9$PC2Dzkv@4ce^LI+Cp0`7Xu0LVu(hvMG_>t5iR_ zQ9lyo1OtpuqNRWd3SO4u`-qnt1>W!V>JYp@0<6PMYM%2JLaWy&-!jn*^>V=|k7;1` zc|X=Bk$FM0aO=WiB{T%SGB3i%oo^=qak`$7IMHUgs8$M=9TJaAeN-7>VDY1#xQ_ax z3(6xux=gquJX1bpa1>7e0OnMPqO~7{uecu2Zr*er^k0a#Tr?S~3at577nS^YnwT95 z%TApA#OWyty?XgRMgq@N9%>xG%f(#LsAbdmmx-wM59IeKVMab8B>^7BhPL_qXWXKQ zgUN**vH`wwbw?00z`ZoQg6Dc|0*W(6>My~`(wV7pz%Ei<(?WR?Sx0y71*xvw^dMZ5 z)=P5jyr3+v9<2kZh3F$?#)AD2JDT8efvz;PO;UlJbDZWOS0elxZl1c| z+%-f^Jy+BbRyA9%65356%Yf`<_bCAy*()O>cp4rLYZKc%oYzQZ3J$myqkf@etPJ#* zLox=G((hJwfyt(V%M2&AODzLV>>wA6f5_~OUyF#SU*$k}3aOnCd<*GwD@EDG0G|F! zh{@Fsm%w`+Kk#%ansVg$N4!eX0JYf)sq;h1aU1(;YhV`dPxMOZX(M-HS3nr&Gf^PW zKeUKdBV-K(9+~^L@f(3K`K-OM=2vPkhPAWd$D7(wTHVf0K2!8cl%N8r0<><{9+kuz z3f^S7a0M90*oL{v9;Z~a##)8mj8`|S%mRKOcSQ4)^C+02!=pf&>whyI#cqHtd-#l| zL;d$2+N>k9_K0n8H@#y0KM+yOGkjATZLCFfmgQmr~eRQZ=gysQF&?X>~?ybL#WzfuwpjS53JQ&BoKNl|; z85;(BZ?eZrpcK}ZMf7a#C~AwuN%NM);SDW`-OMD@) zUe|8CmO`*%=!=0+=2kk5_^421rkdd~*p0M=znBF+4tLf7AiiVMGpIdW0%WBR35jiK%s9*lU3%Br7e%LDT(7^!+}FYkyDw=<$2AYYHvVyn-q zhQW*a->^#x#ygWkl_~6N*AkepDZ(TCn`_L&o!e?3aq}FlRdU(juVjoF7a;UU$~_%s zi-|KM(lkBJ&l*!)e^S1fkX;)S<1gp2d zxXCwd^x7CO08|x0gIjaH{kDU8@St~1BaZQ=>~^TbL>0YglIrRKdfk86U2O%LMz(Wu z_?JK;t|$X|wE?I6V%f}L0@19v)>p6>_XS$eXtvg4#uyNeI|W;>UW}-so@K(NJ)S|) z&-g)BxI=#HOuJJ8WSHD zdnBPXR1BzS`OG~v4wABmI+xm7R1AfIPqZ*2YP}A=qQ1(j>N@;Epu|osZy!pEz7XyH z>h*&F6r$HOk&R?Rv!J&0p_uy=&gy9O8wp#c>Duwvc%`%lmLGQXBC4Tc_iToU;dO6+ z?0W3Ddwj!|lqGL;$;}aYSnywXmOvvJHe>S=jpt9YQipfyQp2@NiZOcs0G=2)JdK{Q zvm~r|M(^_F<}O26p{q~O#9?)!YgT=0G};H!H9-aXwf5mDWeyHwzY5KQ?d4!3Ol&IaT{(5tv|S$gIjkv>}wlDS$j28j^O*1DHCg;pjPWg zrA!@&Y^IJ5{K`YuU@k<%(X__H6_3-du@oE*eM_F8E8{kMqufhyE7;dwkG>`iO`~wN zs*c=CFj#Uq-?dkq!d~8wX)mUXd!qhhg}}zU=AT%DePZW|#1iz2cX0W{^?Mr7`PLcj zP!N@+uWTK2DONO^b<+=Brac7*y{pB-*9S~M&=hnsXVpWv?P;u4c!?lUOKvLiXj}oB zIuP(hDur)f>_%~>xOLyB5Xg9pni%&w`h96DBK~#r?{ufcb`aC@a;z z;~FpdOH+ASIgt{Vu2p$nkpsFTS7kNh{DQ6;uh9Sv>6k9oxbE-Z%M}`^a>K8C2fHc? zv$KHGeol!kmM}gb(CR4n!|x=a5V~|}U2Vi333c+f+Q?0k*dN_zIpk7PR3%rOx3x-%O^&H~?218e0h$30G=5{|ap$7rC z-(4X(Vk%r%M0zB0EBx?r2(7BR)d+US%*1wz&fsIp)&O1AVf8CB>U1z17EFF75rBH3 z6)Px#wSxUh&Vn2{2hkm=l^rZUFa`(vKZsXU>soa`agYw0nT&GfMQM&tABdW9n$u6t zu(;;R7c}&#X|dJNtj#;(I@Bu}jLlhGd98C&_JUB|RATh6Hxxq(IT{a}U?jB1-YlB- zS9A9KMGOGW>z`P7fEGt8)xq9e=$2czW!Au6$a0*0m~7KehtaP@OGN{-`fPYcJlqJb zusvJ+5YU3Eo7f+uXRM3WhtxU@GW7)dvirtCEPaZ7qr)*EeN)${`*uqEdx^XvTFS@pbNZvpn~It+MsgYwWalqdXuj^`h;Q}2=9%jGnCHn{4b3l zqM9YIW4BYZHWIB}I^&74=q;MlUQCv80$DD+)C=V+8t)K#Ui>JpFg%cI8zHxBL5B5H zc%dDi>VcN{_xs9}Gmp>e6`9xP_JG@*c&IBVtGyq;f@y{rHQ#APL4fI~^@zs+F0pnY z;Y)*2Y3?uGN~}nQhzM5q#IMMfcu?mi66j!nQCFbvDZHi#pw?nuFxTRblwda5F&r*U z!mQ^};wVKBry>KTx#(11_f|H_-mc)gm%bafgnl6C7P~k69%3BDBTNqzLj%qK022Z* zCnA1OTI5!(Kz-3M6W%n-Z`!@s1lf3Tq_Dt6?uotk*Su^NF^npz`Q_+CgwWQO!qc(O zxI8!60j&YoUNfDe7^X9?=Y|5=-K=KQJ~;6zMw(smRbQE?!*P`ZYrp1RVZ{b&xi23R zAJa=~ZTY>br8I0ln03FzG-|bZptIhvm2ir=F{<3C5$hNB+hKz!$)U`5rGC9szTL<1!}kSCY~?s2#8+MPNfN58;6zwbYXvM?Jt_Op|l>YrPl*! ztAF@BMq5;a_~@`MQwQN^Wh+iD{W*(``zGG;5_OMsA7baMC|$m8Tn2GarLF$}hU(GF zguZR&rXB~Z-A8*Aw{^~&>RZVyN*K})#vE=!Hk%gdzj%=fR>z1^g`K@hDk=W}kyKcX zg&fyTU(Mm3T5qZ~UgfRWRaP;TzY);3BiL8YvD_0@hT89txkx61qJDKWO+Kd=(khvf zYHbFy1){2h*>BgV=Z%r)!}Ak4fyOZP((IMB)HR9=dbM>3=*dT8@FCs|>ifg@66gZa zgD37HLfq-e27p?-TL)@_@fONE>nW@s>;UsM7-bI<>EqZ^TpFC~rz> z{gEF{XyMedw5r}FQ=#>Tx>?+hGcpk~p1Oaq8#bP3^F`M&K-e95eCrIt1`SHi;dJS2lZpUlCg?gH^$@kUPfx&11wKxMYVH&+UZi7*$11`Skj4D8x@V*X`P4S zFAd@3L##N|g^r*u?#UZ&`9tlsU=1g8u*$EYP*6vs``0 z1uZwo)hBSzh{OtC#i!Bn6C$L&1N782>ssmo@R7qVS9`6~*~2K{g*eWW8h=B-hdq`q zv{X&DxKnVad}&-{^D*X;ViIo2|)9LkLgS4MH) zL)^)j3SDVc;Q@#?Wr5i6A zwyC7kcV5|)lr?r#R~s3aK?fK#KPi8qr+i?4H3_SYbJheZ^RCqY03TCu{{S!ta8X^) z*Xno-J8qZ9Nb>N9l#iBDwv#!#J|;zgtJ;s{JWA)qy+*$$)UTlh;=)ozEj|dJz?gnw zbaS!$GwuzRb#*sAO5he@S^V8y_=DF-UoJi7;04j>j#jOGnnYR6M>UlAi2a3Kg$K4H z*3}qht-m)fn$~ArSME?8L)p?RTkY-wnuJtnf8@$yD!Nj{uMGH(y3P2_h?xfVKT8%1(5-1JCz#36^NxBpRBE&+uP0ub%>e-MS_2!Xco5X2P4S0M zBl82R@1y%4Qp2}`&59Lx!{;!%I9|OM`{EEdhilRLf7nXJS5;O0!o8(wda61ZeKr8s zobMcF6J7VWOzSRF-MUeaGv={FRP=FIH>jgJwqfp4c#0lX{{VL;Bu1K2_2i_2-qzC_ ziodcu0P~HiBz0T1^vrI_(C9(&=F2;2(j&|Qvfi2Z4NB=&)?*zQc7;d}T7oSKClt~C z#umkE5jC?K8VSZ$(->bqRn@Xnka_FnoN2eQ7?k z(rb=#-doci50Mao0l$GoD(<8P(n~iTJ8^@h}|=AI%r0&z8M4sP|!X90LU;;A2EQz zg?iy0RKcz+8kZ%tffFWl9fb=7sU$Fj;olV*qwS!SEW2s+y z^p=9K4vCi@pbkJWt_8mg3&yRtck?Kimpfo|jAbvENBn~opJ=;mU@5A7>FS~?%3k?4 zc@27vJ*9X+=eSH<54#D1fI5sR=AxiOXG{IcUsAW$8`i>A`eKc0X1qdb8bd>B;BjMB z*l`pkN$^^8QhuU%c%+wTNF6H)2C)tTeVyU$ljDXsx7Ew5Zl6C~VLjt39QhVzXkCGlKOtUum~kMbz2% z71s$+t`S>#RBQvxSmhxhOXTOE<{{XSFvcu2pvFp_A+C`cks6g&w7G|?UXL>ikX2gZzUoncXj6PM#nCm0cd4iB^&G$fi`dSk81AgJ z*72o7ci0%nW6UVTMHDEjS)ziCvdc!A^=14;H5P1}4}{Y~N4g6GyqVRF(eDs=D70~_ z=1?}2{Xorx8@Sn6^{oDO?Je4h7pghmq{!e4o7*gz*G5Jh5atb;fLQ8HkG5Xw1Rk!R zGWafC1y2b_afXIS&44S|`I;6s9Z`8y?xV_bzXy5N#d(7T*{`$at|T_Qzydmvk9bWm zO!4s0J=nl6QMG+GuYw=sVy_B$iAAT}m5+ptOcHOL_9J|&F(#kwtg!HW8Fpl8ka zj5bb9^d9JWjI|+LXo0WVqL$+|F>;w43$CaA><4 z(gd<(hMYbiqLzZ5)zz7#iJ)gJ8PRmLs3QqkapK|nt{`33U}(CpTE(wC+n+E}wcuiP zZtlY@(g*?0A{o0=)XDIEVYFx+SSZ9*nb_+wC6P9u6~4q^GK23k774P|^!#?!KSUTbvdob9X z8nU{sni}&Lvlm8|0P^HuI+m^+X5U0L?xvb86UJ^bVxcQaPsG8YU3$7oQnZwpQ0SIH3Mvjv%DGu z0gj*cVrLKB#n%{%#s2_!WMGXYwwh-3Kr|6mkX2Dzq6oH;MR1RiGC=_H4L#5HTa__b z=uC}?u8_Jp>WKG3?T!Bcuql>jCccb5k9|?dtutvuq0OlQ>|?9@m)&6G;NNf5|~A zoL^pLMWe&VNZ}Pk2+}t$7m?4|HIY*A7TqZ*=@+Pp+_X{EKB27|8vWWruI_%Z-i`tf}Zji&SwH>vuJ#hIci4qq|=|j%Vk7;pQ z1Xm4V<8F)qr%-vay`M~>Ua+=`W9J^AMWE!aY0%I9PcHSH#q? zPo;f6J%Z_o*n(yxp=@?j&!_swj;91r&B|_ zv>9euRI9AQ#EGs-(_LWHOvDcl<}pMJQ+>QuOE3T^vzm<~9r{l&Jy zxyE|_BMi{@br!(x!T{X*X;&m-RKurwZP;T2<_KihZ>}>yTqaRsykzwjUJx~MVz&YS z_H46d;Ok?opxM$gtgi2HxF;DirIfzgz=+}2wS7Bc1SK>#IOClC%xy8Fs+Jtwcz_fw z=B9+<(KRo!u8^;hMm#KXs>nyiC5#9lwUHA%)xoE9a_*SVdY49rmG7U4ern>cK&?KA z97=>!cx%Iq^A!3tIu&at1jLw@)1TmJ7a^G!)(K zf7pc-CztgRMZ?bU2e}yS)?Dtf#cf#Z@eJS`n$0C13kcv1wZi@%gqt*j9aO^(6cFch#hETP{dpd=b@yB~JTC{d$&e@IM7 zlxUY@=Gj=L-a`X^GYoiG+}%DYzKH^oQBIBHyd_(-#nlMQ?Rtc`f2Ng;|Ux zx}8aXC|qlRFY_E=5iy!eeAHmiBI>Ndc{3O#k)vAVb8`3Q8)=;9$IQ5EX;p^|-pMbC zXcJ^H{njC&VdYGEzSRV=RP1jl)oqDsCf?v*%U$4zl*U68_umhhb(bmFH2OfO1!(BV z?j}g~pq3fzS7}*|(@|La&r$@opjlYm&mWjpmE3;e2w^tlEPltl2}3a*x)=2nHn%~> zewf!Qb9FZN@(63l>$7^iW?S8Xz}E0DY|PmMi>un=NfZ~{t(2E;l&8!)ieSqSC{4x1 zLI(h7Dl*o`SSsK{q5h#NdR>2nL(67rk#mT?_+1?r9b&mJH=OC1LqJ`YSZZ}IXoxYY zcN656*NUBQF#u#CdAuedf(oL`@#jb=WHi`bmb|ksG)$F%9|X{8aM@KK7`(PoR7BS) z!b2#C^)G3H%Yoir%2wOP==;hVqxBSRkh^-zk+(>}Rc8*Vm%)R~42n)7MNw^MeIF6N z>^W#@=T9WLn&`>3J9U{XorglJ_2L*>08%9?=R*X$w-{rhO56|^rifIxO0!+I>U61h3PU!D1FsVQ z0BLQ}!Q%~ANY0Cx>rnP#?WbY_Jm7klFMoCFt@J)-EgG?mATMfLmwbzA)EAd2QBEv3 zSM;%cBQrzl^}zHMomra7LCq{Z9|?MnqMZcEM@p|TQU%?GKOxP;sX-+)(67E>UJ41l zGsNDkf9#tGz(a;u;tVL{s6|w;xDc^~0J%QYg zv}eph>OnJY)sCgv8i?4v`gjA(*Fd3Lu&=iFWEUpbWyBG6t$h9Y|iU zBXa8R+#U@C9ZSS!GQ>M+p5z?CU5pw0!02sfZ5(oA#6W_YP{r+VnW(`GjnaDhh6ke# zoLw({=Fq?}$jZ+0`GXa{5^7sc#CMhzb>xMhrCJM7=~(kE?{xuMo|IQ;1aFkX&5nYv zatV7#C}_xb0`!MCP8~l;Q?vnm&^1rG{vmqka)9kigouc`79RtP?@+8Mo=l$gm#!gY z2Mx|q>cdNIlWXU(EQ}YpXr+HDTaYK-^J{wxI*5R{?cj zFH)*+t&?oM9`Se=pu4Iz`*tEjBVct2^nA<)a~1iuT5tKw*aq{K9&z zZZZuvqE(!gmE7mF9V)-!e&C0FSAXn68xwW(rekg}#XOQJI4wdfGlP zEJbwkjbIq+Yup&b0mu{+I~gTP0BkhUV~y%#L3Ql|Q&Ua%K&cx!~i z&6$)jj9(AoaRmjS^3kVjzPW?|Mn$HLW2>KtBnr~%DzfyFtgC@*Pz&Hy_?7a33(=+r zhQxZzvK0Zcs>FK_s2tJ4X6+$;63+}Pa008bbSrJ^v_+=S&EQ!I<7d3K3s**{*{%6w zSXPv)V7~-UNK#WvOV^>SZ9u(+Lc5fCiXv-wswsXcA;O7@>TG<{cbbKWXs{aX2l;C@ z*47Q`5f-3RMoLec5<6Pdswe=GBB&Hx8dKEmx{EO%UA^OueoTIox)n5 z6;RV$ZoosuqbExPrK256_>Joz4$BWqzfn+@tAxI>?sqes6vu&lO819-jqhl8V{*w( z)PEGcDmuk)3NP}g>llF0y0lJ+e9TnJY8m7WPUPE%Mzvt}GDTJt^N@Y!Vw9Zq7uiH_ zrULqk&q;~ifa$}Y`Guefd|OSQF+D9x9W=}>VhYhXZ!RNYjw%I7v;i9js##Duj(y`d zQS?fAm0XSU(xOl#n_&ieTFmeuZR(#_8q`}_!K>;mTM6wOF*+SW`9=0^gCR*?VgSkLu*w=q?Im=rc`-U)Tt+A4zaSY{eYpaVILalLpe-iq###L8F z+{DXci&odSxxOF<4;w?E)tc%$b2YACQ=2Pqh-ACn6^$Tzu8o+0B3T?6#8Bi0Yi%vw zQick=U2%H+#z{-14PTi2BrFFYQ?C-i7E)+S!&g|-q)kOBG$t^bRH<3jVfPeB87i5? zTUz%Pd&}Z0D_se{Vm?amfxFrN0A=R~&P%`N^aD8p0jS(KJfVnoWTeb449xEwEIRiB zB_);)bVPzirL`i%4<-`hxnk|Ua(1%F+^J4NP+fD6ae*ro^0p#sTZr$Nc8G{WM^ioK zvlI(wF6~tIBc$14io!bYgloKg*J3og3hJasxhu?v1*S^AmObKz%YnT$rS3HNg}6EC zwrsA~3RQiqX=hQ=c5(F*rntP zJwE1r1k1Ctj=yk?XcMK=>t7QcKo$m7R!mfYFqN&B)}cWG-O$6uHbYPf7PEWf(+zUk zYgFE%yCk$PN&wgwCb}HMK()mI!G8YWl$8~H8+?64Q(&7K3hT((Qh{nwu|{gEU7%#Y z#4d{qeaV3`61ZGGwd)sPIR)a#rF3%%RVj5|;t*^HKhmMsSS;rS7twQ3B?wv@=R>?} zkSw)(a9kp_OMK(vA3+X=D5c*A+$rILP;(WsUv@ZET~_*TEYEoB9Pf-|mvWM2h0P3z z*6NG2b7});EM5xj8kC^AT88^Cxk*>T=+ONTO({yMGGiP!8RIJ}&9B94DA=)F-3>fs zxMB<6Im?GO9?)rGBYFmg`5=XAS<_Ju6wQA-9?z(A78P9MM)J)Dh3TRdFG_2S>%<{CF0^f3vX%oOZEY!SY#Yh87e z)y&WLXg10gQFT}S)&wKa$d+fd3|4x|WLc}8ogjwfXcG4S0D3`8Qsbr5P43I*FlA6~ z+VXoUSCTE~Co0_LC@3zrX?Nc9EsLQ1!#HY0k+;N6P#W%U9C3Jw+@)Uc_9h$zR`?o$ z;h=b5u-rnLwR+v9x7IjTl=l>iVO0>*zf8)stw#&6v_}GTmK_~yZ&5l2AhexgY81d1 zU|dbiml(wmRcMs;LGv1>s5Awjdt2%*L3~*X{{W4XU}VsVtbEN7mz*H5h^8al2O*hwVeSmni|-K_Td&EEHcQJ5ms>zm zyq^h)a4XN>;A#^PyI%Hwpn-$?5p4E-BpG558r5G;lEAG$$S}~0k+_sH+ z>qvt1W8F$1KZ=IXMxM)u`}ZtCHHy*N-~ml)I#qZ0(peR*J+~~VVg@Y7^DHtTx>x$8 zD{hW))z+J04&&&H3Bnq7e=t0c8g_}P)O7P5VIIUSp^Dh)EwK9S70cBE{X`H`x8fGm z1quGCTTk%@sIxlGC2DV0^#mv<|HJ?#5CH)I0|5a600IL60RaI4009vIAu&NwVR3K*G9_sM^Vrvj7`Cbf5>@}C}&BqWhc$;p|_nM7!6hG+1 zQ)fw3yw_JKtkukJuhua20Iy@e!OSuG9`Z%lF}0(5uYZr@1S}zle&Lf&x*wP8+#M5~ zho|wmk_i@}hf@SG=``$Wuj3C3I797hV2Idp2=%WsYLp}U6{q{irSL=3gse*G^b@R_ zgqai+;(68pY(qA9)@(Ovi8i}zeY*iF+_ zDF@sGjr<13Nq75-N$_$g*r}v{oCbx|GC#8fY`4k7{5X6aAL&0T&htgzydl zgZEj`;)`w9<^;Bxp%fHd^8_s`v8OE9I?aFZ2t5eiMFdsY2Sa1`0_|hX5ICH`9*Xh< z;QUP3M$*On^)Qwp0KeNc$H#bV6xwt52QJG$t-$ATpakSUkeBx!)T=X2hxlWHqqG;C z*Yk(^s~k3$YPb21M$}7hc_z7!5ri5aPfwV3W`__ko+-JVYR(br5n>hQLKR$!z5KtN zBZM>ujXHC>N+kIO8BFSpuEW_sF|5{jhf%X}nxfeurf6k@TVS}FC;sKsE%H}eJd^xm z38AQ%` zUCJ5j@%IkL%OZSSJvjscPqoHTQxwG{e*1{XKZ=FBXWVeubOT5R>F#MjsV~8civXwC zY1|KGa{wCNKy!kM-_-vASuB`YvK^=K{;{-a1zo$uDER6jL=>w>xH(`RsGT4q@y5?F zB%lG=;k2VN;}r3H0oKd}itdtPQVmW_GlH0UNw1@X3oMjqpt?b~8nA!n zp>~hB8{)H zH;uAIMMQVvV1-~`x(FgvE*6&n&;(Ku8aUI;e5H!2{+JKe8o4NhW_b=E} zyA`Tx;_7Bv1;&67&-vu2RYdHdCWx+~@}YlplG9*+HuyN=kjt?#n1N|0D`K(F@fv5c^VJ6B`mFR{mdaNd|(aHH*xv8 zB>GV_j*}Aw11MTWDNo!fiFgVq3{GX0HG5@^BT0 zqDMd;sI+kq6b}CY7eEhg4wfl$8Va8^h-i935DTpjxEm<>%`+HVw_226umU(i~oyecf=IOB_Sc16U3m(C$H; zjevWL3Xf~qf=jUk$6as|C1QZ9ns?l7$`uXo$%uPvDyb_6NqxZ?nm>&(9kvMLbF&Be z*1HpvJz>=+PD&6u+1a2~@-nJ_WT>6rS_9T|dELeV;A^C8Skz~s#bZU@If_@R-Z zv&LOq>6qiIaWDwXImp89>WH|7#O7RBN(5f%$`&;*gJ{x4m{pJpk6Vf`4wsw%0P`+s z^YL-H0w8UnJBDDhh1kAn5!sl$(te?oR9H~#hV&s+xxs|7MKN9$OTRiZWuf*bOR=o z2~ck~OZs^Ef&7U2D*FCiV;dS}25Y{p%Q6`#*Y8}v@$Q=xaYlv#0JnPS_Dmfp?O~%q zL*?E8Ikemj71KWOeZ>@r;Y%6mg#_Az;1D<8@|~!RQg+RgUAzDxy!LbYJO>a`OQU_vl5W3%6UqLLRgmAT0^{;(1Vbgqtq-W~zAQFjPYuG-)yv4KfRU zOd+W`<$!MJ)~*n}0KL=r<#Hu4_UuGO(i83)BvMRtkdbxw6{2Khkm+m!HyA>*rFf0n zs4+0B`O!KXDcW*eqW*xeeMtR-=0Bo~ghqdPJlDwtHX$JUibH%CNL}t^!c3OZKDLVr z9Klmu=(hJtW*{{VVN=lQ*z>sKj{v&+G>8eTBkDGk`z>OAm{I(_WM}{aHFb;tu-P>V zOf)+CiY;jp>jdf9<}wm=Kpw04l5_st6bMRECLJP^eJS*w3Q_ZJDKvcwwb zJZFEeKMVR1{_^osc_0Ve==rSMV>FH;K$l^=miO2S0%&*546vnr*v7(hq{1gT#V!a3 z#@)Tc-~#TY0J4#eFPVh^Mnt6sJaF3T6Lg>F3<{K8+=({U_*96o>wV$mS_ zK*ks+vIu-9Pc?)(ImxP2pfH1tP|#@QPoaOj5x@;l+x-D@M|nrH$F3gm35aI=E4;5r zl9VM-DMHL2>^Y=12t6AR#jBxA(L_X7vBj9|{XdzPZ+CM9bi|-t&C8Se!I&d*Xyw_9$*@!HiS}=*woezTn1CBC(Yp4 zjn+~!uE}1^2tzw1U?6V*(YEGxPCkrzhR5N6E|IWpdxv8t>iQFFG$y-LI%)v^v27_O zw@#qn>kwjv#Y8P1dw{^(Aw9!Ns(eecpSl8z}GPGKYIszgfiJjAIZW<_^cw&20D+Wc_8tI6@APZffC=c@D zqaq#NwEln0mcT^|yhwxxjHx}{-mv$V4GHYURt5Rb<2V93J97zN=tg@e{{UGF42#O> zqYSHDgF%~ln?>`3qP2mN?SQDC)22P}0Rg9DxF}{_vlCFF&4KBgO$|yG1JYlHG6FHZhD6EZm`RlFKpI<@zjCkAdIJg`qY%n&wG`u};*F;Mn9@7MBKtGdX?k3RpQwF+qVqjvj3eMkMxt9cR zj*CG$gkRh4NyaRGqFJ?R6E6+6%)@hVe_215$cdyyIUGMueai*FyQG-!0(uG0LhSb= zmMgs%!l)Y&nWlRN3cCTX=Lnry!OaY+5_dL*g}wO*LVdYO_qpK!J<$edUi4_Qgl3Y2?~ea;Bf z!0tNa!Jnl=DG=akG3(NePYonp{K6syPl$mOQp#szBPduKc%!3$!EfNC7jfClGgl!| zV8sFYV$|E)&~%cB_X>h^6&>hA9ckuW9hb2}TP=L1J>z7`0d*BVKbRe%A>~q#5B$c| zV~iK=U<=m~3rPE3$8MkAS3Yo9CKPa-$cuz8uXV*b0fv_*A^ZY`09hN24n%Dn1vTF? z+vx@b2{irtoiwcH3_LzaV_ytC{&YN1k_!WDCr zl{0h9-lf3e`=n3IDB03RhLz~$n)`qaO0?*v50B$I`&1%0y)HuJLAO@~k{+Oy4w`cb z;pzq-H~#?fjF8_=K##9Ak$)y2p+!KA!HYH8Fato*d@$9B0K;bX8h7q!9V!yGnyRaY zbgba3w`;%W1nqUBNvJ2myhvIF#=sY9e_1ZV1cRvUA%Gh10Jj(nyPc(#059BD`b3FD zePO&NkY@nfeT&j_1hf(0C;%Wq-tjFqxNi_8JjTyN=-Ge)quf#FEbD`z`a8HNY!_wv z_Y%!gf;xXNLAFH>M_Gb=5oenZSdnUn#_xAKb7!94@0`&+OrLDv9h6Qjw8H3(02g6Z zRheS{0Ic%B2fa1YmPk73nfGh|RYye>+kGs== z0}<*$A*>$#CqtoEk3h?|&(vtN+|-`9bYFNy{nO9u0kKa!HB~^hd4m7*jPnpWuhX4z)QOFVV4&tfE zf!l@1w;NgG9r_f8!>cmIK*nHJ$n39}FdPNK=FC#?#d$RFV8DS52aRHJ?B(mfWm+rw zjmbz!2t*A#02wNBq`kMK1zTB_kFGSL??}i+mla{?>Lnp=WcEVGS|c9FmbReeBR{K36Nr9xmP*j7S9c0c=+xIG;N zUO)GSDk|t#-|+4~X6v8@bC30bo&i=>y?mZx*F`#!sIz7TCfc#PJa+B{Rsc{4Gwrtv zkp|TqV5P8^rrmJAm;$DRt(#Sc}B}3j2bh@S%oHkVRc%Z4Cp-T3}J5a>G0gK%OMu%ax#nwU7ii!Q4QNp3Ji8wO{4H zHhN+*jS_+1%Y^zt2&mTRg)Vnv$|OLq6??pD#FbPANC>0T%t{FujS?z$iQ}v-CQ<7n zxlr5g49tdwq{?WXIB;>r^r36uTqTKy z(vm_{S8gdrcyDYrlWAJVrtAu5H~9ouOjDxdRGHxxOeh>lCxcrhfXtI9Ey*);N}R^Y zK16=m+~oB$VeKgCJ9=mBu>qnp)VAKIPhg!@IZ#j)|MQ z9+Mi7$d1^_1f(Dzwg`O1rXu8^n=Fz4067HWmJ2tYB922r6-r6~y97yyiDtH&s@2+; z0msr{qev7Mvqi;tQSGFO)A;i>nR#pN6TQ?o<~6V;shWsxXP7n-prRm+S`gpgZKBq;}S*SM{uUk!SLlo^N#;WdcNqr&~fOR##JMOJ@|Ci4uEXbBltAU7a4h7GO8jIj9r69dVD`WGU^vOks)@(50@ zkhQ|=x$NzE`lWEzgI5cYZp5Hx*A)J+X-LhMbq!Nv443wcumsxlj=yntb_Y=u0;hK_ z#t0p#gPA(=X1FJ0j*XBgO<+XS4vch-39jJncv8TiC$U^lHIpq_g>IVs#8^q+QJWe; zS%oX44koL8$A7t2pPK<-)z{8o)^~pT1S$n{&0z*EF_~x9PSHS^6Dx-)i)4A(geqMe zE5;-bHE<3?zyoH$8+PMyE6*#bWT$RkeH17ZFt9%PoI%5-BwMw?fXe)bkpBRNbO9i< zk|qA}*j;k11%JaYz>A2gtk_H-k_+fAPv-@~Pz4#FdN?$@vQxDUyfeBFe02$P<7nP_0 zcy1t>ny#jGL_}rY8+QKy-i9bxrjM8uDu`aZ(jXgS9youXIdmi?64w<8)Lb>N(x5xY zrDx0&qAPdYaEUH&m_ZPzirIo-lUj#kVvUQw;L!L+nL6Ko8FD{VfefYK9RlDgGgHFJ z!$%W)j1g|7C?!}A^225&X@g-HN!J}4rcy~@#M3y!@a=Me9JK07k7CYGT}lZ)9_uJP z8>IOFABl@yY{pSk19zOBz@rse(C%#cfh3}|bc@*?F8hvzt}8Z>HYGM<{GmYTFf5N| z6|$vD5=0hyIbNa=l)s!*gPW&B$OX4eP_mg8-jpGzgwwycu~K19@PSsrvv^QV;L$WS zFh86coG@o#L1E~#CNr7_7(~1(1As;=+ju{`IApeX5Ai$saC>Sh+gAr8c&lz5loDc^ zd7h#%hwMbQ_2yFyvlb);3cg8;@NGB?EtEVc{KtY93}p@8L1yM8G!E)oMH`^H<^n;} zruhLQV#l}-ys17bm})w9AlDzPSM;Znc-CJB+eI z-_enRZ7{M7Dp%a2Sk2r4@vy`bE+7KI8!#Y4fdRAT{{Yq|ylfW!w}E5SVtZE!EO%b^ zQf1Nd5XOA|FdRVCHWQQN!y#yCYhGky3fx~#^*yb|aQkr2J@#7#^IUq(;>6kW$=@%I3MHY>B=v~6@u(1KBCn{1zp853>j!ktM2)TKfj9@ykt#x1cD4k&)lUW(ZwY4 zk*Ge@D#T?eC>WQ$9l%DirPZY_qG{ty^AH&O!y&|4CDsnf^YLv(tsdMpyfmq6K;jd5 zL59mAs)w~hF=xwE#xBplR~vCy`1o-JA2HL;%UUH!N+u{BEJ4-gz#B03ZtDn91!D#* zMA}7klviWSBV8MfvUroMITKou3rfwQ97AEUCYcCoZv?=URG~E+FK#b8280EU#W&;Il4rB=Fz4yZdc}ibJjSX zU^`FufKZ7L_?S!uYIziZy%UXYVN#R*7;5;6>Nnl3B0O2$=8+K`*(7E3qt7YSmb$kk5@tOVdrxH0E*HyQvr0nnD629P57V{ z7&vy8*r0CEoqQ9yx)4+k@rpErmdL7sO?!r(eh<=7A-6UP??`wtZSw&)x-|rrZ0{j} zLimmIW~vpu9kM+kF}?jq2x(ym+*b~5B9ool!{0Pt@Rt^DWT{JI#scbiF6NqUC&Th) z%6vXz`cHa#HAg z&5!1J14Wd5U}hb*l!Xr3R~**Z z3qDe@%kL6w0GAy^^E8l1%rpa*oLVZ8Z>(A61AyfhCLvB?kF5Tp)$II+9~ch3&bFmG23NtHtl9GD3CPJjvC?* zBwt!P3L5t)n-Fa?jk-C2ELO&)R{;{2xm!lT(6Drl$e!W^lq*M8W+Z2hKm1^k0KoqMjQNXK zx}W3CVm(^v>cr!5!_Sh6J6W{Q@x)0PVp(`-2KRbq(=T2&tHOZ3-0EzX8Gr^A6EY6T zmeBPwH?m{tYD;i|HVMppD~5nn0VFi2;kKp@K}1Nr_ZBJ&j|NVoTQ=?yUQlMMYeY3m zh=H<|Dk1e>^OnFMk$;RERgsF>B$2c|W6~y)2979AHwQNqcvfngayQS$1PMhajAvF8 z`vM3NU*i)OvPD4A28dHAzKEjL2FQljMXUZD;(*4$Wrm#; z-FH}uk0rtx5Tg0!3v#n41R{prG0hlax=rLIjo1DipSeSNf%^#ferEIl6#zJXVuDZ5 zNCa!t(|HFa!0;m9-Df?bK_zHGE&j3=ENhv*kONzW^dLW@=db266hTEmnD0=+T+(wT z!!!Ch4B7KLaIGo6CQ8wsy8;$7jc?2m0Bi+%#i+WuLmv#C1p+|`J;oi=!y`6r5Z*zf zCTMQ}KF(wm3Q2n~%mbgHxB)Ngjwp$g96BU=SRz>QU-6I_-$E@6l>i9M!gtzWkB35U03M`(%r4ieXK|$X z&{V${739Y_pGiELtqnBdQHErzwO~HrDxL1tg><)}b&)DAA+#XyjKevF{Ro2& zStlbDi4-c_y~H3=kt7r-AD9pfvqCvFIU8J1q(K=cH3NJvTt>JI;N;Xafa@KciX0li zP#LT!AnH{T2mm(evmiC#lSCST(o1)j-dfZsQb?Uw95pvrm7^9$!eDX{h&)58UHt*4 z9&cy?0R=cmT*9Rst8EiO55!D&G!}hpp$(KV@*xm#+9?+pEw6&+>tuny^Mbeukh(C7 zXne?0-u-BFV)1SbxU;$yHk17f*wL;F?*$d|WTueuIT+~Jhb*cDhZO^|@_o(o>=}nX zr(%K3E2%Vo1rX4hb~5tdZZL|WLTs=!!E*xY5em}}5VnRuiINWRf2oAQ0E8~p!T^x; zKS%L_B)+OYeUJ5;&<6cOJH=Jbl;Cpjcp_l@UbrMn1*e!ILjqX(c7K=(u_ysw+Hl5` zad##E0G4>VR#VH<`Tqc!*ipS|eOJuAt@B;-JJ*ao?gR&L_m+Rz)cnDLrUG0bD;E9t z1LEA|4rxkR{&C%-a)%Q!B8@Q03y$Yy^D&#dZydJ~wh;Y>S1<1|HA|qu_+fx71-#%& z0zDF#sJe!?2AYMl`N7ruK0!mIfp^H*nw7*gRwH}E(*Dv)ASyPdPQ0>OX*vyhiG(!F z)}|z*>t}HhVBSD!!v@ISIcsweixhrq6@?1MQ1m_g{lN_q4g9Hr5=x~x3EToSKU4x= z<$c8VpwISwceu90NMG>(0NeysL?3}c_~sh9wxupJ0zemc&0{qZlbr4guwUXfHqb_$ z8^veje?e)7-sF_C^1&#U=tOXeWd$Kpjc&Io-`ayA^&|Y?lm06akS9>!UZE=;8cs|_ z3J3Ddq*21JL>UM)WNAQmk?dv&T?u%Q=*S~>f}m7r(k3R#ril?fjVl$%@Lse<6eymV zh6tc+PdbQs<|fpx&+zY%`Ra320J%z$Bc8x10wR&o$e8OiI zsYMHF+3oDd5YN;~hz{37ym|5Uu-i>{UvprQwVV0IH=^=|er4#o(9;5qc#3xJ0Lia@ zH1$J0AC_nbNrDOfANGSbOB-#rD4MmYtS}UYM8!d&3Mc5fdBSKPNvQ|BrfF$PyQ$!g z8evHlgM#oVuUu(g*SKNoK)u9>_RbTrfYjzK?MY{CQEyWVK}xzI$F3geC`rCu@?~4r z06OQmpa^R{5cnI8%m}uKTd3?HVWM`u1F|h5p2>?Qvol>Ufuv?k+PadJ8am_V8_}5) z5L6IH;`xIF*%9BQndpu@^P54l%>b0{3c)!XT|pcf6Ez7+kFmTju0QV_rHziv+eodq z2zatJSFKvWnRe+E#YzB04%cgD@iGcLYq3Ah1(=IiRHsjuBx?Nv-i0xOflzsfFcR=) zqdCZ2OImmRa~TtWQsLvxWu&DEc}@Q7CoaKE1Q8%CkK74m5Sy@`V7qb>xxyO3GZi*r zu+r#tDnK9$TaN`7qQVPMcM;xs`RXmxST}sbNZ15K;^RF_8WIW(mHjE3JL4;27UFjk z0H=}Aec-1iuzI^A6vb?Th7HNJYbLz|H2!15!XgZx!fOG8K*kR-sd^%Pj#YyA@D_WE zVCA4_i`|v^gOQymC@11z8e7odu8OI)j3t4zhjTU+5Y={N8?r`10KWeKc~{_QPf+F{ z{ZNg?TOpv=`ODx83xohW^yUEvjR}wG>5Z?Rs=i5)qbO=}VmEw8*6RXnimiEdIE@>5 zjeco3ecC^ZgO4lJfdjh~!bPXCB9Un9Oib1Xq$?@c>49SabOUtexreu$xC^&Tz^aIz zmJgpfk6LjDQ0#P%$%FL1hZ91^y56;i*aSPwCA13|9bdA4v%w;`$pVpH=wo?bwYKsI zue!yBXocc8?*9N8zUoeyS4-eYmax(SaN~c3Dip^IN~%3Kv-CwI#DT~JgAos*%xUHn zstDKjddN1EU;7zcrZT7sZWLusQt8;4b}u90kmK5iy+_FU+|h<1HoCt}zh~u}$4mm0 z7NfVl$LZC6(~K?IZF?=!NqXA!H_UxP5j`Nc}D66nSS8&3{O_+KH_kr1T7!S_XVNr2mJo= znHV&N>;B@5PIer)Ho=c_Yn0glALthiue`i`?jH(60pm=82Ce6_| zycF#U_b4?Zb(@ToN`4>F?j)tCgy0&3c2DOOn`Ww!4hBOtS3ix#eqd%?tVP)TS6jm1 z00e+aKb^z|bn73s`2m$D%dW2YDhvFx?AUZhJ6} z60EU`aGDSY4{K;G?#CH3(!OFbI%U6slv$&t2O~jUHy?@sz{nIaEG5&tH&bbbtms}H zKI2+TfDrJU5f+9_8RB|(%i1o7H01Zd1}ZP$#i_~eMM?BDTdrjcisOK);ulO82;l;h z!v-ZD;t-@UU%c49Pnb$>zyNlu-^`&}8lw64cpWkZ%{^8+z=pPtzTYt;QCkR9`bWRc zaC}e*i4JoUF4|Z(-Qp^WvSUW0g@n~eT7KtPjSKl7&)f(hN;~by)2IXg0PBCo4uHgk zzi>=N*~hrGZVRF*6Z?J2X0%0g4Y&6S(*FR;g87YDSe>sqsAh|%d zRxw1y=MoWb)k8p-f~i`7JpoBIybZK4;C}*U?cp7a5j(RKE1F|4HFvjJ1>r13*`h?( z+1$QjHMZn@G9VbHa)^F#KY9MUO!MSb#@<2ISG~fRKOb5WD2PsXT`J1XwQ=$OHPy2)8 zZCs|J8MF&GiAh&fgCUq&0Or)7k48wMoI5bJ`)sS%d+XtXH6)!${NMyha39<2W*-#- zE8rfi;f$Dwj%h*5omO8G9{&I;Cz&FMYJO-KA+P5QL!DFF=!(*)gN!WfR)MpM5CS&f zPBJG)7r;*@ZK@_5nN>~qIZPgI56OtYBS-`$6A1OX6Yoy2i9DehQTiAJ17S!oeiml4 zEAZLKLQ5Ltf=0J$x1+R*DRnsZ*+giY#|uF%)9A zTpi*paONvYIx`S;2*68&V92TIh{Opo14l4Ze^!?h(@L{^!%7GA;bOJf*{3*2Wjq3& zH*4GyagtsTdjMKM!+H$SmNym8W@z4PXdadoj?5Qx&~~AbW}S4$)y!0|6TvC`da%#yS9`z*e*l6-m>{n{&mrET^92 z%o}-+{{S&k=;(eixh_gLYZ8SI+!M6u^AO)Iqg5`}P+BM?EexvNDf);xuC zuDm+A3Lc~$jGD;cr%b5cllj67uc4T6^fVk;_-pLzLEztT%mUyNA?`6k42g$As3Y?n z;4K;uWEvab5eo8 zAX3MoM^D@NS<5VV%9E4cJWy**DS-F=dw%2uLWWVeIxr>Gn0L`~ipNm}?AA{yF*?4B z5O%@9Q?B{DJO@o68NAn#Y!jb@`7Tx(3cLUjZ+*x7c?5v95-@sX*3pIs2tfR3#xm>P z&_MG-^Ay1~6GR|Xzq>K5YJR((!=K|7+m()BK#m&V{{V+#@vE?J3?8S47$WeaSi z<~8n=Q<|+1RQ~3(UEpFGc5%aJAR#%hF-*EZns)Rz{p5h6K7;*XWCT4N&=teF%BHc1 z*j&=YD}qX;6%`&}_p#wQZAn1$86G7XK|yHK?h_UiGzZL3_W*}Vj@TvraZo0tvnB*M zgQw-ioWhX-3c@}V*@tu_wHk7TRES}T7QNx3jfw`ZaUT!+dw`Z`>U7{>$aoF`;;_zH z{i=_o9B-cDm?rQ^B@|E!8o`nAh#gdFjY9)nWjX}@{{S%r>RoFD)d#Ifjcq|duPg2c z^}jv*=DuM5jJhA#clRO=3b|@l;h)wWt5@!z#H;H{;-*Gk54h>qURF1l02!l(3X}oi zJjHEeuMf=FRVWKx`>YVaI3~;>1%P)50BLkd_w#@25P)n@1FF7fs-Oo#DWLvghzCSq zrH<5W-cl0Ew}+SwDcOi|@*`Y>Uh8e*peQUFjxcYdqY#3OCNp>orU;Qn+}!D?BI@vm zI&r=+Mv)O(so|Ubr>S%eJvD*^BWQKNVvECqK}Uk*W<4}XY3BEV+?=gI>cIsZ%@`=C z04Ft+&vgI*B%)m>vjlD>^kkJ@X4|*GuV5WLG4Kw^`Y3U?`pGWOGdihlIt#461!5&z=td5j{xOn8il+`>%r%i6|S$qQ8 zt}&ZhJHinG!cnkEMk#Uoy!S8-f{=J&8TnNDla&EPCYy`|lCivjLqqT}5B0nesPKKj zQS#=+K)_Y?hGLD{?L}DokMTgD>gqI0t<0@sDs3P7#C02bokqhYuCULF05T=e-|Lvc zm?YeQdA0s-a>Y+BO8YR{mrTOVFGp=;B%(bmym~6-wNOLQo2n$BY2{0Ar zI9Gba%+NS(tDB=Yj{x-w@{0gOJ=SfGxanA8CA%m2DO_lzh)@1!o^q&nZ>#{&vA)Bt z3bEsn^_OQM$?#Cu<^miHZ|c~^B{Am9PlqwjgA8k~K225brStx?m3g!Pv~2yz-Qo?P z0<0j`BPNTT1%Q){Z2B26_SWeDr(D4K#E7P$Evb7PfpsKpd92ZgY#Tr0L`K(6(#>8K^qd0JJ zpaLh{I+U)eJi&Y#&^w37xK)McNooH84jBOsk>|!mZF$ zwAjM`0C@iZhqWTe2555)DJh|_X&V-`+!z_4%0NYr=-iQgRs@n`zg32F99TXLmw(AK zZi%9y_v;+j8I6E$28N>!;$e_Ns>-69aGqje!mFqO=zf^=gK>2Q#vq3UoLzS1P#}Pn zRQ~`|7nXjR4FGz~(u!M`i6H{dQsA5Bz~vBVP}7#zC?$XmyJ~+K!m8w2&WqIZHqsV> zIN2?iPBXS07D(_P9W{lPvW&M^U)&uhF~HI^G-LA|aCs41`f=qdTJMK}p^U7D(a>~6 z8=IJ-%hdI&ujd}~d$xa*2S!wyuqMcuOwMa!>p#3@(xhrS39C{VhoL~#ZP+N=mJ z748=VSAqF-{ZfLa6dDRiU3nXt-i0pnr8##VRlY>2qdGIM2*Z$;%ZkLT~ zjGb?0J(Hg@id;u`1>vSx5hJjxwmEhPN?ldB+;ULZhbeoUD7pn(9_N@A8W7_>ffUzyzdM&Hx!Bft3n0MK%lo%AaFruBiE$f56!^zQITm@G9i zLk;jbKt>^cWl#xM@BaWX{biX<6&)O&;7V8lPlNXagDDLH>kg?1jmI{ANQ+{BoW2-L z9LIo^e=>kqd2~jGThu>h`00PdJVPaTDn zN*?UMP~vr>3Y(|i)3gk7UN6Ktv?LRbNg8N}Uixrq9ENuU?A`l^(@p&CU722nMIliM&NRnIrAdRdxEp zNDh5Am|GzyLj?H!^CFB9#?enWVCV?|3Aabj>kPJ7a@~wUWnLK7rq3a5>JeHykYelM zI&_MCGv~3?ASf=SMR6u_LfEMw!K6UV6y)@b>dSVV%k}YU0RH5ybFfs$*D%i_r_En9 z%x6F)2q?T+?y#f4;K+}ylL>i+VQRm*G4;U7C5F+kC1$d69K-E%2sNgzSJZw!O#n27 zF7k}keF*J=usS@(POCJ*&`3|GIq*W(*$YSO00K-3pf)7WZw$Tpggw&NQl8-!(kw$X z1LhH$>2Uq|mDJ$2j^dyNAQ{XEJcCxh{L3oV0|U+P6_f~qo*0ahIl5{6>+QljUajAl zThx`{mmZNQFR)!L-i967JwDn&A|8>BQzHE+lBFyZ)x%3nfW=m>E6w553uPe$iTz*} zYPG5q=^|o*p1~{vu0CYqb3H4V2J!rcGbG_z_!<$dYgnEQG(uf}+!(_2D0sNy)j~$Z zTW|!Ccp5Qh^MYb)(ONakFUZypw>m-~7yQ5TBW+MMfe0)`E)_y0ola< zhVhcCR5We}Z%Ch9jhWhCl0g=LG3hRQ097R8^8h}|DmRp`Wd4rF~-S6!^@1DU=xNQI~`zh zK+m~Taa;fzm}>y^Z<(t4S}K{00$C8TxQG?s?Wrgh8!(hpL_rv)ikn;vzE`FdVaOGD z9^x^UF<@m{fK6AqCkuETS?fWeGVYfm0uiJEJFVM|EFeY@8v(E`ml0bpNfs3>Jbc9k zK!FlOugiaOrYbcC<&DHNa{!9(WrA!rm+!d!O-bi)5IxS~s5BLN-_ws7Vzy&=DPJjp z%w5vDe-D#;jRcP?o7w_riM-SWInddKN1$SP!R$Mc#an1wg+Nm5L<*Xp+?N0-)QG(c z{o_-r0@ty4T#;KzR;NI&{KO`upiPM=Bo6ltp^m#^PkT93i!c5w%bz zU3nOe=zNc6zGT(*@{ga^9f;f_FpSo1JlIsCJ5VmK8T@+Y9)d7DdxcsNQRN{r8s-M% zpzu5^0UUKR?si%ss{{VoeGZ&a)KX6H%5B(!N@}d#^gB(je5Bs~ zO_APKY1pR6kKSTU2V6V<0Qtm|x2!(|ElRfv6NA=;R0o?DOs=QS(1Mr&X7g$&_eeE$ zb^F}c6K$4A95qnOa+GtFSt=S)W<69?(11x4(XCwVXYBBA&>6Vl(jGKM3Y0Y@U|zwt zMJaV!r7kr$)5Ye67=bSEX|^Si_H_pl#h6dy6c(Gnr7j||^@L57q`f9=c3qxAv!tjv zn>VH!D)4oD#B}1YVFOhJ*SI~;Fl_J|Ygf$B*fxNY6!H9JlE{-30KgUexSC#9$}B=5 zcXf-Gu8^JF4}HQQND2$}uP}wPodm7?f1E2KR*mXV`;Gys6OaDkprYB1jyM3095}+o zwcDVIz7+Ef0nA#JP$*PT#TzeIQ(A(cU^2yS)`-l~B)1sD4PH9X2Y2{uFAtsI{uswR z^lxJ;(4I2o<-DcmCQa1Z>mcP|Ne(sq?8p8k4FDIL#9$j{fyDl^LIR}9FoJGhpW_x> z$7ufm=0zadPn+%_VD(;RgX)6%!Pn{V3<{tU76d>hw8X-#Mbl2PGE5W>vxxK8H4*$_ z^Jyp)R~PW~9bBai$IRF@jsjY88w%Vz7Gj_UkspF!fS~O2Mz%_&aW=)7@OCZWWByiR zZR`S`N|4Wm1CjNaAQVgnyK``(u|FDn!85OZCPumgrIwk(@&&I;D!nO;Y}z$)E0=Z4AOpK3l9e7qUp*7Y0V8M zudnL~kU?Pq1}sn`ac4_~*moj4lyEu3eV~J2Q^W3Glv$lAT(sDXV1Y@321ib=Apuw> zBTbN(Ukd<3U&c30H`W0v9vjGanH~U$pa7eQU_Cj_1PKPmF?KNNm zy#v)buS8VT!2lYL<^aAJR22$Dw{&7qq_q~X^m>bV8P>D)Qd#=6rPxQhEjI`gg|Z7N;}?9O9JCbSpi4{F~dVxCXj0JTqBew!7;EZ zB_P4fI-}k@*ryoS-N3}o+FBLk&?XJ9mkSC@x8ydMON|4jQE?PUJu-qHTt1vwe;Luf z?J5EoS%{UTxD!iX&10yR3Y+y`JSdZ4}M7 zMKabzc228;ff_EA{&J?kL_HAi!}-FHASkFXc41@^1aJJ{VFBX=f_%puHF%8&q9OT> z*uDU>Kuo{D7-8W}V1x(yW2h|%pIH1GM=b+f$SGv?ouOT{8XJYY0>CJLiA_fK4|8Fpp$ZRH_7mSE%CZ@Ii9xBEbxK9w-5Y zU@I${aR)@-YyNRBG?XF)Q2lAGm=3e{b)nIM+&?)2saq!`0@;B>)dk@8I#OVn**S?- zbVu&-&=*O2Fr{grK;k}$e+hsn4p?m-{{VR~%Len_z-R@g^GHvk>am|8;6);@mX zcvfdas(}d=od{$n7ln499j>Y4JOjQf~GhQi6Tr5pJO64Xc&MuPoPjJhi zu=+GcAb+e(rsoUWQUa1(W+a<$*Bhh92YpTc4DaL90poGJ7wby&pqs*HkkR!if^|ER zJN0^r+udf5nA0iL_~0(Se8GiWR^Sb^_PWDB+K76j01o!xW~d^Srhs9r2B>?ALC`?g za&=C6GOJKP+UiUxLMb|nx`hMoXrX3<`ydXm>o_Xs1Vlf}icACwIYvbY2gk8=xAPdo z6&Ap$wt@jMLVXYH0EKlO<5ML%5rEitaYFs80Am&?Zgysh7y#s}4ko4ZD5D=#H``2; z%wVKR1*i?(zPMfJcBWP?`aHQfr~#|4LW0xz#$EK@G7Z~z-TRB+Lbi+~M1obAF;S}s z$u<#!6~R^@taLD{m=5=Z$+=a4tWE1*-X`XTL+8NRKH~HkS;paD%|X1&VKn}Ve-sfh z1=%ykZI!8F^6w$f7~q^bfTTII6X7A9?pTR7X@HBuf$!Zo{_OTeGQ6F zb90p0gCGHKZOxvx)F8%?D)|2Z7)$j|4#vqKqtK+sn#R~sR?-$?4%ov41MnC6!oAl6 zgrq7JbbZR|6@$VJfK6$*RjPv{6;DgJDPWgS3$J zEq`uPWNep~27z2FikMpjY^IT$fFOlZYhsNNQ>bER*Co^uU!tc;$Ud+*a0k2HHC-tFe1(k(@y8Ah#9mC#WTr>o50jv5S6o6 z0^$M2p4Y|GmrslhBoGzb_a-|q2PFa!M;7JH;|7NLRn>mwg<#})P)*uV^9>xpR7H3# z;aJvy92EW$9~!)c%2J+1@(lB9FgKrhCeeC9<4Bi4s$O|nwiMz`Vh6c+;77V5kiX{ zf2jcn1}5OCrb=X?$fR3foHGim#8DnK=xI70^ziBZeQKaXSJ5h!LIP?t?0R zrlBZ9nyo%BmdAgJ4acy{2;wD;^tMG>RMyP13L+M3}ApTJcZ;7#Hlv40%vkR3AS> z&1CKPw!=UGtKct~6kM&U6(Hd*vH9zYs67&it>cM&3b7jjwFFS!Cgv+r0F6sZ0cESi`g??=SFI*nx4_t(W)Rb3mM~8`IyBuoU%`oDdk;u-Bnw}dhNL8f zwo6i$F{T*?y(v@zl(KgWMff(3Y;Xt%R^rHnkS%8=ZvJE@8uA93f~2oZDhM2a14L*8 zH>m^9h=0Qb{{XI(fc6DE11l^fcF$Ng(`3TYF^-2FQ@)~Gn3JNYQoirN2rbSM#_5o% zF##Ge!CZM(s0M&uU?Dxlf>;T*bf5PkN~-Atq-L&M0VDUI(Ez zDXB1@6(IKk-{@s(!qV090~Jf)%uOvFSKGFGkR$6yGevl(EV zD&HYAsy7Md0Vt0`s6TQA+yJRq6>DZT=~Eer$|X&Q^Bn_V1ql&0_aBPl&RPl-L}>jFn7T8YQ1h$W{{T28S##mQhLH|e%)J1FNrhGugM_;S zMc*B&I@%cuAR+tcq!G~K;=!RJnWE6_Y{HW|E97Nx^LQ!{Tft4RfY;nUo}p*JI|okw z-ffFF!SI~pDS@W*KetpI(m z`@yU)He*kqC)|NJRLno^0@h+BzyefT#}M=h4eN3Voo{~t2h3!h7InM^i4P~ScrS( zFd*Ou2VZ9W^dgUja}y+TvSN5eMDo76#HEk-LvB>3T+} z6tGWI7tfYJqoLLP9^s_Os3)TV;#(skz<%k@dfKRu$iL@UnxT4SA_1jG_{MWO%EKGv za;-t&oSiSX3UxzL43Y=Io5ej1;OLMzuerR#a!DJl?rQ)K;3rc7lCE*G;hq<^6$^~hNBv*SzU1Asp zW-wRse5s97SZ}z02inc{(1Kx%dXDSS4tLo zV?yR|VG}G<3S!Ady|2qlbG9@T&;gKTNb%h7zXV z$ZzH)BS;{imMZ*khF%d$G;DpR=LZf25F*Mq{ox1+CC$hdOa}?oeSr~encpNSl@Gdh z;H?`0AZYU#il~)M%- zO9Ie2X)%bA?BbIN5gLh9RZ8(H5-^dWF7XB!EkPO}9pDE?U7`lhr0sc(hpS^xO=J0( zORdm&+ueg=H#-bRY)QmDTrMPRqW8uWT^@jS$w&ZbeZ)3IPp(1A4^^}I{{UQ`UTHU& z{syB)fjrvaP_fRVz%o~X=K z+8v#aAP50UL9k*E>mYerFZvvv%y6b}S}^ED>| zs)&0-tPY*VumQMgOghaeuLos~NE$RkX8K9f`M)Ty>o;hilqif$Quv+burFy9w8Rzn zVgk^*_$*R7aX~>6n=(i3$7!)1oz!I>Ig#k*&J7?wdrvX#mL<3o{IB(r<&Gp(pyR_8 zY9yXOMu_<2DO#_{9}R!U1XwbY3ZukAer3scPk2#N{P0DfUS*^q^Y^%k%0qhRh&0^O zlu&mCQce*TwoF4ai?Rhmx¥G^yl5LxT|><3dJ038a&2*K%uhw9xWE?9J}N?Ad9Y z1mE1$m~fP9z24970ElYqWO)nxWW0L%61{cqQJko~3-`FhKpGzk<A*GxVE{Ax!5eW)A+aU+#v6k8y=#V76dE_gg+mk!r$e>`71>X z#sx8QkKq6Y6BodOu9W@;)%C(UayokCKv9p((1_?{Yo!%5U}yn^LJe>AlWxcU%F1%u zHfE-v%BIvvZ~)PRA&hKTq#u_RhCYHHbiZZRHQ%IqRTc^yHJ%*8CmIGTY5o|-jY+`} z1rN;kSVt~Mta`pbxWyz+qNc9rZi`QDIIP$E})-kgMGwKl)%4Vuj`GtP!F?r@rxzzCwm*AU7q1P z&))!r$3O^g1lDp88=|21SOKB%MJZ5zwY;Fz($BfQ85LBs5Zg>vktKSRdM~)bsZ7Aj z0wb(u4K{+6!VeV_N^F7D&1)3^BGlXe02v^LPO{qjulba0nF{baJ|19b&2#Xy4U^(v zMiDz$@db$<(>+m=e(IX75yK<4IsW;_3HzJgjnW;}hxLpTrO+y4{>Aq;4q)7iM1Z0TiENlfg(~Pja-iO72@sThNrTXM zAcQoa&3wS{9l-`Un1;m8IJKQ<0EDiF`*1+NqT-nKbkJ4Bh~?J+fVqI|tz57GFgSjn zxxA+9^VO)DZ+yp0-k>JPQwVPq)4U~!tnKDCAb{{84GOe?JIfuWye*wyOk=d)9D$-B z-^{WiAc&-f>T4)GBK5M-y@3-bD$Ot+&_3hb9EBSe--8oMHrNp552e9_q~b8NC*Z!| zMO)?p-Km(GWVNFBqtZg(_V8^C>%cle5sP z{o=kK6rKnJ)2^^WC+()7{{VQcq)-e&ANFHELZM`B6wcgh>J|pQCvca zj20aqxYc&STiCjD#DB4QIG=7z;;(ZV%S+DLEoEEE##H zapdVzGSN#F7GfzwTV|Wg?Q?9P7R~7X z@)798fiBqF0ru%Ja^sFHR3oBmO zHGd9kEEG{kSHQVuXarWIm0cM}3^#5uONvrf*E!OEDKO0Sv|o_-m9A`!xFr6}5N@H=^vAeT#Vu`UT1Y#xZ4%8$uz+F#>QPw4eiVZ#gaD-4%Xgx;9gNtfW5olq3qQO9I0vU< zD>%#Cx3N~?5Za*+Q!A!AUQa31)WcqwS~;HDHLpw##g1jLvuzq)=R`vNF}lP39%FBe zl?^He=DC%I|_71|V>Z*;>%Xu>Of>lnLFgbV)waQEWyw19qT_uLiQ@Sdlj^W}*aCEJXQ zh(BEzLBPD2bAhg5rF;%xjxrR8;-UUSBMJZkPgfkgLP5=o*yy`(;3W(rvk;nut+{uv z?H-4!PE4V%ZVqo#T`jnl+Dz~Tf%ws3!((l(6>gT!C9W6HJngKJc8^vVlibq12IsXk_I${G_bsVGSHD|~#5!dWQSzvau0^umn~?#n2=>gSL(_he z0u5b;WgspQx)Ddxyv8Y=11jA^ar2p78=~SUUi@=;rH^|hbOHWl)(EsEd8R!Ch@HeD z!3_Pd65g1kMx*e$JehoIg<_N1uJ9m;kd-j~Lg)|kiZeu^>6ISP4qe<}U=;0&pU3Vg zRa%zsl%#G1JBp^gcB?bshAFC&a8Nu%vZk&zc3vMMj;9gk%=DXi6`)^nSt}L)xLZxIU-(Z=zcRyZtxs%3S>NjS?m zDkqE5U^Fhow(CbnZnBC5{cf=VMA6)5gw0wd*z^xIg7Mkz%3x&BKKAOgO9fB#C=u8Y z&7EWPDFF2cV(p&ea$VBa2Bp^=48lZy)J$G}jx&$mbd9*Lm?pb;S zVJint1RnE0uU#MoM;O-ZF!(qC5C}u}B#{c!Q*&Dfo0wPTY>*VnzCO2j$!$p?B^{x% zrOr%pwRtr|SK*E?@ycx$-l3^TOzLFA9aS=cFIpiyJq);>%GDeO& zFb)AF2S2U5mqh{tNlx5hcSmUGUysaMlKu;EQLK-?n6|W|NZMvYXp}C~B-Ox{3ES9(SY$Jlr7A2AA2Xcuw3b>< z!-69f(1t$M;~>3K4RaqTE!WFnlz`haPuy4KadaN^!Z`YBn#KXNV)ZP6jrS4eqIKky?6ZNe6tZ$v<0JePcSrCHt|3**mg3(4JyA!wg;h`ju|uM&~mtvp#YVF zY5AJzwA=#2v`^en@5;tE1#h90*;dNhiV-?*E&fc1M3K09dxH=(lJz@gZwt%x0W>6^ ziHV`^h_?`}I}o^xo=7@~1077|jJ*nD1H-=3$=qIpcF-FFr_69>PYOP zr+C53mL>s;-qYTNjjhvLalU7zi6kL^m!y1m^n`w_rI z&D>mRIN%UQstT!>Y(Wf&}#tarEn+FYnBVG^d5C#!P(Nnse$7IvG z73h;*Zz>3$oofz^XM?PiqJdtIOqsMXMSqY67>A>xI9?C=#GsP;9%Cb0g~O__XAxNW zND>LG)J@1S6elKuY?fl$o3&vO!vHh^g}5I%SmE3N{#Yp-@-N53+c$|5^j$uNPW0gZ z*;6#fkp=qJ0My@1ovm6Or#PN6z&S^3BcT3aWWXGbAwx%oLf-rVtbZr>f(-|IZ~e&8 z#Y&my_k!U`6W@2dA>=93%dh_caOZ(FtvdtmJ^1qI==T8~u17plOCQK)>5@qsfdOsW z7)&qc6RW}An|^-hN*@~#V{2I78tl82Cv>~+EyzC9=_7%?qw^C1=UC2z0ExxT4qR5M zgZ|-x?wX0*EV088!@yc~ChVy^-UK88ZjTE$Yxk_&Q(h1&jXvW*^z~58 z4UHzuuQy(T7@`++%4iU{PRjwaceradF+e7V>T5f;TuRsok6?9jK{HgN=tOtHFmOb0 z(K~#nTjn1W2Pc+PdpKO3C;=9}m(RG7EY&=G^CK&TA}Xz~8*VeOEX>z!62cJaZi7Mw z+AM!lbZ96;BcO57#`fno71HkUJX5gF*>t@Bua~#*?au^H4C8zTlTkY(Cx%x-2=e3EMz3 zswx=R!P>M^k)22~;P;R8`q0RGc4FnqsB1w2K6{-iG6>PsBwk#vliey3eRuO1>P!K< zZ>DGniMIp4=N{D18Zd#)Ei#Hha#LR&tRG_E@lXS5NCV7x#J}h95FR?hB(*lN9Vq*S zOc2om>D}Q-cN{_=!xCFgzduZX%RB>3i4pmYvTcM9n1l=qk6!JDj0CYf7UW+<19W^f z+|o}&xY;d_u-+;{Ak=^Su|r4!ra08P)@VrCF_Jk;TA+N6EgRt?LO&M%jyeV#qsp{C z;CkTd)0>wsC-B%wRbqk?5=9X%GGL?`&ccq;uiSx0Yz$qR$tmGTI%{}#N!>{mtzaa$ zj>9xDW{6>*qr`STXRfmI5K1FaAZ^3D2J_;Gb1V{T8^Ci1s4tBQTtGJv5QIIB-@_i@ zM;BE23`jFsx^Y=5J7b;32#j5T-Eh=MRvmmlat_BPj5yYu-BEUbIZv^rF>6G?)e6Ji zW97pj8|~g$S(VP`m`n!31+7!_Sq|++k$^)eMEu752n2>`D6$Pbz^*!oB1%V&rVILi zI4O%n;KxO?iKuRS%yN#yMT{rne?H;i!A`^_1us71 z8Gv+Y)ORu;o5nt@B-5fu16|vUg|h*5fX2>_CO6o&-w=1c;A~|Li3QsNZ)SdCl-77w z+e3zkPgEMU1RTP>8SuIQi$S@GeZ`|ur$9m}xq%r4;99Y`gq_EX?@|UN84Z6+jMnHv zp{%YL#%ehbgjj|@^hUCyCpiuGABb9$1gSj=+GVz;4$uLguTvo<1t20s48L7DZ^o+} zwX83|xI{o5vx1Nt@3RE*^ZVGG;(&KCeLhoO8wH|l)(hFNGk#~OmDgb)54QLIGJXt3 zxvAYR?kWJ}%Fr}Jc%+!X^h5?G(Qyb@04$sc7{22s_7Tt-SAE%uX~$P)F^itJ&#~Le2ck(AOj2I~FnCOCm^>TnG>og3Lq#+VlSam`*8O9Mdw@ zdPcW5aGPdSfXNK23?NOm0_CBEX4a7NqZ>PY67oz~5RfE&UX#UJwSVCz*K^(h5JxtO4f%UGEY) z3q$F=L1Ti=Pqz)S6J|fd_qbt{L2wVZAmWJ{;j6E>C8CfzIO>~A=Xg%zaf$up#03RI z`1oTTF-Aa7`+#gZ3q#WzD8;Q7y_7g4W!W|9;1p14f$(ygZrPXW=CC5w+XaCC0G3b^ z>sQb37C;`I6gGYC0&XY5DE|OB0Ya=S{l9WRh@)aRr_N;&pe;W)$1DaNK#E`stsgQb zt_YiT6KOL^qr#Wk^X4N6o|v0_`IX*>@Gw0803Nu@H7+jMQT~Px_fzMRRi8+3J^ak< zL{bK}`-TB(h*rSCHFVxjD&r~Q3#?MOrePMsMPQv5oxuyEwSiy=LFxy%Py*cZc@!27 z&v4V2O$vjXEVpa!8`wu3BWR0vho zRQDQkIz9s+H8woNdS7yyz=CWw3y}1R)LZ#NNL~v4y$`J2`a{a&;{{S(NzHcE6fISb37Pc7-cEKR(6DXcHlobRv z_XHKBbgY;Xh_zS<3fg`cTrUNX4FpuML3xhZ(Ix?F8ro~~7^Z3lC=7johjF}`>>rd= zuj3sH00MYZ>xN*EI4eN#WNDC#Sq|Kyu~&7mwWXBw)YG zIv(EO#A>;VTOxo(Tpln=*&{)NgG^?tbvB>9;x5zS;t1OfzTt~mI}5PDEZS_q{_?u$ z!D?SIfs6YCadeL5`mXN7Oqd?t;dQ3ixwhdT3y0nL3XdHGD~iKiW>^F0B|jJhut2hC zk^^`UqpC_`fK+FgqiNAs8>!@dNj*9y@fihR0j>mM4XQ`TTm~9 z_cW+}XN-3JylI6WU?M{RU}7)k5ma8N;DUptrL3T608UEhr$z23lYMs>MZ~&vD8gxG$6r z_ILLh?!3vN7yvHv#2Us%I2hk>toyv}Tp=_B$fSXQE}Pvpe8wx&6p4T!+M+P8UT$7e z6z~L0(Ht)*DIf}}`-sLeTtF@V0Ds;|g@H9Bc-(Fz5ki!;9f5cS%l(0&#ZJXX+|#F3 zJ5+_YpL0+^xr(0)%ZuaqU;^Mv$}lR_yC%M3Hbtuc0NhiKkmvFJ;5LQA(uF)jYMH5l z^L@0Pw>JuunF?31`-YR#a&3AB|wZ`W|o3VEcf-f zeE~gT0I$as=H?wxd3AFA2JAWnomt!>S}tNkn4*0R7w#7E1FgtU&846G5;=5|>;y>l<0+ z3`wkG(Iar!l)s5BVQBtR@ zCP=s86sPcK6?zGG$?q2t=#Qxi=+V$SZW(H={6Snkrc{zU!ae|K44`Nq;fM=FU$r%i zU67idf0Gde5g;S?cnBFf5?Nm2l7LMOIwwut;{O1rRXiIYCmiDl9p%MhwFpaGD}BQLt*m;B&?K)sSR)+xIG)t%uN**wXB70(eS-DaDj4W;GT3S9+7&t5OAIb z?>ipbB3uf9Lpn0HdU0s(C%KSIp@PDw9n7pFfCqJ3kn7RJ3G72&1~A4Q$hT>rz>|I# zPOP@*)QJ%5aH8ob;WZ{e;7y(AHcRb>^TeZjFa`>e?_obEW~lz9|_ z8wy@#+7!`&7Antjgp(8x)p_X?SyZY~7!jCsf;5h4BtxRI7qCt>F6UFu42eC`*esa40}XZp+L9phSoo@7(S` zS@!Nmez81NprxOfwG(un2P&^N1ui$^=B+{I5EHw}&;k`uC?fnfk20Ic7Yfr?Ls&+X z283g~&xzc;A3k8a)7-9tvXn1A^AWt*NS%KZAe5Q(O^K_Moi{c7EleqiYB?*6WeT;R zY3ScfN}^IPTHu7Z6)nxTF2y><8a5g?11zU+@jr}r80Ebh{_Qh*sBGvhKmjR9fE321 z91qWZaVR5l{u8o)S!%8i&zE`gC^W$YJQQd5f;b9fm7B8hFs#1wg_L$P18xDVh6(}$ zQR}&Ys?=Sv4NIGCAcO9VKAeXHA!3TCX}O_JO~SMZTK>2moI+JX-GUirVF*=kkKrgIgo&|kIBCah#ySVmy%Ekjv0B{YJgRhvB5anu>K}!x;>nU z4icvFx@k%tU}S8rIRj8hqi%vfm{F+EJviiKf%}4YlviU5X>50q7SWeYBUvfCF@NBr zp%j~PRW2a?d25hK>PI9xrhu9vON)g;PKHc$z2V^9;1^J8H{imTHOq)PLH_`OgHyxj z(yhWMPjK$M0hwVmfR=9~jSZkp0i8F6g0gfEaidY`lH%`!pwNd=d>Y)}`GVAqfFIY) z9XW$F>1f0Yg2zC0A*CNa^toDT1_svS#NCuIJe6uZtFPONR#MV?jkH+Z*SMP;VoOq+ z_!e&^ZGie`xWYcgaNu$aG%!~dsS(4zxhyh3bF_+6WH1w%aoFxw=?PP_%uhe2Qj1`b zt>VOXMcWA;YWtUe(BP`jI6TI>wX(H`QGCUs-6R;YG=(D(J5~PxK!aso!PYn`2-Pb& z!hcu+$6et60PZSO%mL5kO?!%F<2)odf>YN9MW!m3@+U>+GZ!&PtP1E`&0upIVw1eI ze8+J3euIbEHAe$4*vg`|P;h`$&|mw^fE!Nk*7Z!?Na=tk@0iM-fTT&VMxN^&BeC!x zkTED}O!t`#SB2*BU*wnpU_h;4z7E8@a5VzN*|O)6M?wY-#jTci2Nu5S&^7(`mw>JU9W%$K?NTRk7T3ZRVYn^i^FaTj~nh7*$Uqu+yoUIL*{NR0|q1=1Iz}b zc&m$`4Zf}wn}ND)W-WthtCN|FfB*g}bYQwvnY9OwaBbOElX(sTia$;1)>fyQzoPQy`Rm&q zY=6dUM)aVD(fnl=3E+l>60Wh~g?}Ux5gT7uD8XJtv+_SfZamW?%}8vUQNuz9)^x9{ zH{2q2EJ|f|+kxD2GCL>|o{f}p;VIbCA3rK1d2PRA;Xdj87_#DeEyjQ;KUt`ZE!~zf z4L5m^1F?45)x+q-GNR?sIUW#jJXa{64;k9p_?*YgDqUVMIyM`LR)U_R;k=(zjT?cg ze|@lp6bOyMvUfKyT5j@ciqV zyO!H})!$!SVdAZoZVE^wFn*4TRsaz-S+`iIFOp3P2L=WT=8@(hp?61dN*1%Htsw(& z9KQLG*kMNRHG=QMB)~$7{fr}$9M^#qA_R@Y^JxD#d3aUnN)T@x5=)}7AS_|cF=P|} z0Py$#!@EqHez~@h><`S+heUUPHkvE;jE0V<%o@==BL(WutOUKWp(%NA3mQ<>J_cV7 zDMX^%VXzp}$$|77;zX7Q%y!&on_@~S=jK@2Odi=^@%Co_0RHXaHW4J49q@)=S){GP zo0S@J7MkDe!-+xm!>u6&5bGb@F0|Y9jc+Gzs8mgD+F*u~#8>z`o4Z7T2?5}8D=XUl zFs;sMFp$bXRDYM;kZo8S&KAl=bYk=}+53z^y$k(6jDYqGGjJmU;PH@D_5@b(^Ea0B z+eE#GpKMttkC*b+w#T~5O#^!*t^;4g$Tv5$cdb2WNx_fC2b=;J!Js|rkCZUo*K{4Ute z7&H(k_k^;+KMw(JL>yxV00nK>g_1Ri24Nz{%K{AqJC=X$Fo_LS*eJsj;0EFl6jvwB z&KD9$gfi)@HF?Fg7!d*kRCk61!1#iANFcfIh+J*Z8cFc^i4JuI3ZO!WlbB@?PKdgm$C;0+kF@`DHP zV&;tzgZ<*6W4j;v;-op{Dg5szqYI#i<Ij|lE=kOuu*+@SSDY%<~V zpo|w@54bi8(2{I)UmV^H{{W}E3}A@b;iNRi&;dXA$AtKUtM$$={ly#l4DCYg4>Efq zLJBCI*yOGGtp1(anj++BBq=bOZ}Sq+A{sY>pdVkEvjQChE{xj!&7uxkwYi!NvrG31 z(hE^DcnOgTqgFv3yMyF-n$0kwBEst{nAo0%hVXm!d`5-@U7N;>i0OWfp{+l1x+9cn z>=CwWSUvs>=^`(L67MdXKvgd7K)W@}4IwgEZ&6Y^o8CsZe*q|3el;79qy!!hSd9$} zS|8uJp!4d)RVqP-&oMC;g%)~8u+rhtxG<(BEK~w}hCAkGg=Qe22CxHL4d2oxwF!P^ ztRhfBtVlz0=HMw~b|%5IcoS+8e%K^2?+CFlw4QIw-IgGS7f`i~h<4n5=F3TS{H%m;WSYT6 z8OK5;Vuj|*gW55=goFj!dgo(KH%{;Cd!Fy(Okz76Q^l%wpXOxWxS>$^wm-v;5I7G5 zL{)!rr2r_YQaX+x2IgZ%!CG7x#%Nam0E~dF6}ES7%%gzRU;hBMq`=M8C`u3b!i8nI zb-VM^7En5UM}T&ZFiCQ54nd2oH0e6}Gp(`XGDht|^qp7q!cH(w=pHL5w3!j=A457_h$G~_hHAH#dsU+7k0 zQY11B=e%hve|}?)d(z%aZ9L<_JHU5SSM&b><_ZcinXcOxlbn3I$e?4^tC943<9ID5 zYy;HDzBr6PZyG1~$KgJ$DJWq?Lhk{1%<_P`fa_tyv>9Xqx-WBYmBPqKYF4}y^<~CJ z^GJ2N>Zb=?A>91b|9rhZ>n&dim7dWt!Xydtb0{bvGf%17?#~$4}ZpF z%^2!hX?D7ZR!!#c!A#4*Ku(GGHJ2h8HHie87%q_!5ZTtbhYcoaNh8<#!x8|MW(W(Y zOlJyJgFqKR6Bb?~K{a$&@yr$H7-U!;5c1`aW%E%9Ej#_ec?FT0j>8!F6R^sBZmTBS#~N}HUN(yH>N%}#g#g~sqwn*LD_l_6kAJLGr!MeR`}ZOGElay_ z{Ksb=o0>o84V_*806dD43#G~)AxZHB98(za94K9w0g7Tp^c~X?bUw^F!y1mDU7byn z6m9|2Cc>NzW-tIQyl){9sK)?l3h!ISEW2;>#;Otq&)Mnx;^q&Sj!)+cU_0Y~vkxNr z>a0#9K4L``&HKmr#3Q&J!-Db!DyyIk*A%37#HO@S9FA+styS1TZ8wWk{aypu#1Pql z>>B5INa%0o4Z;_aEd=}z+#@AeLXIHx&hJ=9rb)pCfRuw7lV_Z%3JwD{_0zMk!MSUuhtU zQBl!Kwsh4b}`S=0H@JBZ1?=-%NFPs^3ukgZj`0bkRI zq0Bg;@gw+P(KBG%8IWuyhdczSDH4auUF!>!^L}YpS%}Gn0i(wqvIm9T;DDJ(Y#dxk zf{$D#ok@Sj8m=v)0F?)@Q{3X7GIT~;Vf%rkK7T+6UgAUOrx)sGgs+Z3q9U8y5CAON z%xwXGII6=Z2C9z59^<{ROu_`p)e$kJGh!_abot<5CK3)l{H`OLaYR*$615AoYQ;I| zB7lp;ytsQi1by@m7xxe(>KY)4i$APA*@J~6#-za06W1824ef3&U7bD@1TB<5uCS)W zVkYZILGJR^`xKy;)!tq*-@J?ZxC<#+M2r~seqsLNKN)AgxPr5)upkr{PH(#2wI;d& z$2d-q5YTsRP&{2SAWWQxF|NzjeP23P;dY{{`0Y>XtVv}MjjBGt_bpUb4xtv z>rpgHZdyDP;`{{@d{zpgkRPthL(V z2sPoxtM?l_)2YUG(5ZO|l}Gj6q!)?KixYQT55 zGDC?%JwXW36quD(K_F^RF0c%Dvdz;+@%w=cjZkTzk(7mMU$TP1i1EZ!LdR4>Ka8H6 zADn4N`|cxRk?3K?n0~dmZnmpLi=4$G{m4vm$8W>s#MDy+#R--M~_`*_=qRvwZBJ9=1Gg54$SU^K_9vxrI zs0|mS1;T1bK;o2w-8czu)f~#o1pAVj8n|h|G$?H`)2`CNUQH2Ogt)HekPu}Pm5O%) zmNj%iIFGq(GjJ=ti$hM}8*&HJ?Ukh}t~fO)sU>D?%J(%^MB^bdju(B(&?hPpOR6Xi4mYvHo;wp1EIxoqlEnfg=Vhj>yaYc zfe|xGB1Ij{8`suq>t!kI-uOg*0o_ z5RD2V^L}8&s_Yjx{oyi0HmB+C5CCO?O-qAZc*GCkL%6XSAPV1~=OMj&IsX8-8J=;s{l?LkWPkgW zObV>O?ivk<@#>B>MCE+=2qm&B@3^=dHy8f#;2+Vx5bFlSjip@#bi)+b95cVN@isMh zGUZbegfjd5Vim{|=(dt6_qZM<#Z3i8SnpF8a#Rqvp`}PE99*qxuRqpHy;Xn|iO6&F zl|7t_P=Oj8dSP4IyA>VUhABjsbe7NbX0p`)tH1DOmTkp2pZwStj@=S>cpafWduNu@ zy)H53p!)<11;EDw^P8t&ZmX8%e>K(kbHIw%{4P!!F=liclwukiw;Dmd2T|s0AuSkx zddfz!VLQ`~3KtF|^Ra$25JF#=NUDnN;7m;q>*qdV@+L^SMw$)(05~VGN~d5=G4T|0 zQ*%;wm#&Bvea{drPRnM2waN-Y^?;XArt^ObfiA$2ABH~{{i#W4%OBh)#wf!`h~Tz? znngWh2Pi~*`-o-&Z~?U)oL`kx5W;ZcUgbRvsi0$Ylf0G57jN^5Qg@w@n41T9?G~NO$J=S08qEg>}phh zkYQ{2nJlo-lf=XU{{TJ<`yS3Y~Q< z@d5sG?9u&s4`#p4LMqUCeq|^G^-csiCM2T68XCz^FrE#9fRTgWO?MHDheWap2}YcS zzaR#M;~Mi75X6N&g4w+|wQvDC3aukQad??`)#zPozcS(PKYBbUx+|-km^q>+nc0Q_ zTK>G5KI^LPjbI|l9IK@>_vT=jerG+gPA&)wB|*8D+`B5_5*cY647kDWa{v&)hh9 zXPF?3RCh60Z0pEYijwG%A}(~1lGK8h~OHe5NPdii-AQbuVlMZF6SG9WFmC5_X)?lA&e1Dw{XCT zVBn)m7Eg-porAcR^CT8r{$IoE(^!LWwyga=tbYS zUwJ03$P(47fa4TB>taBC8A#~J`;sk0j<+yV#x8*OxxR=L!<3?WFg*q;cV`z)de{^5 z?K44x!hZsLgh~shm+$>#xF}GVH{{VK1Ft|s;%rJE20xjOv#J3G&~9-IQ#{b`Vh{n$JA$U73Q;rAeaY$<0^wEg;~ZBE4A?yr`NJz0 z3M8HJ$5GD2kaz-jSYW{$@21`3q7Y&`mEt!WNJ|AW$^QWRkh4)wLbJo}c}1Y%Cz6QS zfrzERfJ_nf^I++DR(S_34pUU{=acQ7V zCR!ajg}~@`k^V9Zs7C>G1BYY_v(L;+y(sM_C|gM}Q!zA`D%toriZduuQ~3t-7C&TA z>5}XXWmxCv*aB2)^7kE;m}{XZ$bgzK7`Mc$2HjBn$ei6n1W>778B_IVT3k!psK_W_ zX)R_72c=WcJBlg%Z za=;$GlHFh?lM#k%@(_B1XUu49G-?V$lSnu^OM0rY2FL^ngb*yu{m}maW+qp!K&pxr z154bp2TKqT)|t1>bm!#!yja#F4mLh09X9tn<<}gbfix9|6uV z_p+?bB7i}>P9j0ERSIoQZy)kJMBoZ^4KiysH!g=VD85>)nP0dQ4?-q^$Hn8GY!J{V8t?z;4xtxt`oU=IDE#bDS(#1QD>QW zu*+N1^->DjkW*X0VqmV&fdHoG?kAZ7K+~`CAKckeaC3U1mVOTLi$xoS;!w$_Ai{tn zte}`+34^Jj-4Tfebro@e7P`U*Y*1tZhhRT3Y$47#ah-3kxB_kJ$Rxap<;4##dB9C_ zKhE-EP3uO*(ir@~YzPEs3~WFEAI1ff2-@wgoxgFCIGxCV1|TAAK`@haM$_{dK!P&0 z8rCPbPZ2eLyaA=cSTI@3?gA;k>S$7;_+qhrWK@e3h7xz!>@W@4u4UsKBM)O%{$wLZ zwgr{20ua_cZNx$%Q)ZWE=0SzykXoVGjT*=}Ci{2y4{YOP0fhd6W;9F~FF??EF>_~J zy4Q!=a1_JINNE2${ zS!#0>VUC8XTqWsf6?8&2YgEcxbxfcxlJ55k4nteS!4R7;C6ofFdq8)#K{Vj?YEKv3TyH}@^_`A{erW9wSUHXNX_8^C^Q6!6H0OUGELR=7Ux6Qu-Myk{QMHI6p9e zM7AI>yJkYQCSCtU<+MY6oy(B1kW#7fbv&Zax6wkS;8%h6779bwaD7<`cEo zK(V~|E_+jeqII9}(|}6j6DSb}t~|V_!Y~s1JRlXw%@K)@m( z=j6poqo(U^f6T;`19~s@mu3&-8hThq%Y=#ykqJiY>&!~S>P=`gPd?!`Q+iqtcjWEL z%xa)E7eU1CQ1u(uX;V?y@ig}o!s=0QJbl7^V6y>g!bQeikvO3_X-=BX;9t^fAY#jb zBBDTnhK%u1npcJkz0BXNg6Yx=sA~?kxG{x>!3X1Tyi{IPZue=L;wrlJ7m4lynJ79Y zzt&ikMRiVK@yGZHl1QyJ>2*m0E+(!w|7r2|j(t%|Uf&)M%-OPbq8T z)WJvfkLy5OUZDvz{{Sy?643^0wJ=Cx{{X*?z5{eJ`{M@?O(g;RcbiDG%CL?;WLg?} zM`qiKF#;@(&S~Z%5+m=u^~7~H?;6woFbU3pFYY17OO^S}HE=y2N*~;IN%;InOM6#b z$*PF0z;$(Ba`ZSkPt0wA;W#{T!Olp?txaF!C7(+r4VTb8#GzK++A%@Vqh4j1lmcMD zPyqtuO~G;VR9o4%2coe)(m@2j(~E@8#sw!tPjkk;it>t0e~gHEcpU&Qh}m+Nst5}z zDkL#m5H$|R$?Ff8VF{}XNTa&tA`1tA_*LE&d)kBzx1zmTV1A{X1V{jY`+dZ*PdE^p z9`&~3kdgbg>JklrcMkO?NfAR4&@hF>H``*0Ad~xb%d`z%y z`{4n=C4zLroF+ZwJ|wt>?ApD!`qcjL%7VoW?cHJF+ff9GQ)W=5MxFu#-4_7=04#CM zEba{V$glEe?UD@vauPB+T_02K3v%Yl2VJ2bF~Qsz>Uts&+5F<-v*zP!0-HON!)*45 z6lf9@xlMRkYnY`(ePfiAZ2;W0C(Hvh3mX9@5|IGj27y#hmeccf1>*hJ<^M zGPS$@AsW3LJLBs?!dkay%!<+NSe>vOOUX+O#+~HiV^Dg|~jl zah+OtS!0lk^@Ejz7YL#KM2V-k({(D1GMf*|;a2K`lnqQ!L%1TFFl+9_x=H3-5Y7-l zt0qZG&bc$@UXO?0WU5)2vqdiYNy<@JC?+O4Ku!O>dNr2zh0(`=dm2BCML3Od#@YMP=6QG6EHE;+QApo7- zC>_3H$cR7!k`$Bn10lKxu$@Fzd`+l4t;(E9$VGX0>A$ z!;J+%@-jUOlq%F32>!gojTMkbK!Q;_tSs5uSTtBY2o1^pHE5yi_N}LTiEOM5&|nJv zE)9bw6`qBEjB!k%0Gwz;$9RZ-O@+ndd!FKLe%28v!2uMxry!nctwB1sIqgzhD6)=HrIZ1ctlW{d8ecavrda3M~}CXel*BqO_U-`-Vwh ztkd#hIMT9s`^aXGc<6Jomz)Jbphey=F-yKzN8=Bq*tD`o#ya1J`Hr<#o9X5azY=?AH zS1nPo6J!2a<;ptO58^a5iu}m%T#Bk-uq;13!~C{+&6I%Xr%XsDtedxNO6^mbdc#v* z-~ke%#~tcAvj7sNL8WFW7P+ZRbmhKeb3odN1azW>hFi5NdzH~IznIVaNq|!a9TSc^ zTn(j*X5A)$-fOa#8&QK?c-?SPNMUp4$*l7XL5;#Jl!dB_V~dzd%~goHe++Gtc|9F= zQy$D<5Y2^0IssP?%7#w8(Kt15!d(&4VL5gtlM#-fZDKZkimQMfjppW{XnI3=2A5Hv zh$JZ%RsDiE)tV z3r$?%#=4}>0ccpOp=ja4ysyGSVIyW3YEjaq$tAAtv5M&=Wp0FufrY0|l1lXtV%2rH znS_&I5hkn$-eF3(nH3P7prmC%#k05s6QSkSU&e2H7E$fPEDh^`(uG617=z?nP_X@G z7zdN+dz^!K;h^Q~WrF!R;3zqyCV;->@7oHZd;-AuW8^SvrY3pmJB!=~oaRiZ5Mkax z6!(ItDOU+HKRn2yN=gpDEyoa{AyC4TeVfhxY>B7<1J2hZWMuBpw?zU&^Abu{jtDK_ zEUTn}+Z+BfbyXk~`WWPU4GFM%9M14u${0n_ZWP2a9>2kZ9tlH*>VIYc>4vef1Te*)c_gIsycpw850aLFA)f!mb{ax|iV_X_uJ;S=0q-)ocF%xENMr z5C;lCv;1KyLBv9BhE4s%&d#>6M098a9yMl%U_)MpS~mkij^;qb;IQ-q2kH+qqTn49 zW)K@R3>HwT%@zJJNBFx)QUGo&!r)0@S~LnF<-?bM!)bz`p?+j11cc4QuBqk5UICu} z07#G%k8@HvmSS237?Whc71K80H#WW)H7uY=c9pCgHHMDt6y~=9b?b_h&|~AeQ3kxT zCaUKW2)gVK?o^x=B}TFk1ii*v;{cQu@Y&`@Sl^aeK}}mxh9RVN>j|J7ZR2N0gINL5jkZ!1@!Aqzrs?W#3-waRaH%@$^T}R_CcAJDci1>3t zHZ;W}snY-h!+k0H$o!bqc&2YaD!99notbV?R@H2{zbMD@*${On(=1lku>e%4c*0Un z_Mp>6O6KPG$23wKLFug1#kyG^%CK#zIQ81QL+`u7Tn^V zBjRj&Wbg3Pcr2I^F7fY`U@<5%?e0vG1g~AeZ$d)MxA%A`8VRT_&@K@dqLDhlil&zZ zr-Fp+4W$pWHA)_e1Ezp0WXA5p839wWU#6}W*p;VZ5LF{kG9dn|vPcd54g$@Ew@I-M zmrFFvlG7Rz2z6Wv(lTjHWUuASPW+VT+fdiV-Wh6EM2HatiP4$89Xi$_5~$-J(niwJ zC0_J3fj-p+iA0iL8pOH)_zr+IKS99KBvjdARYd!nnQO6xFl=PHz_7YPHrEPm1q^%s z&BINMp(&SSZ*iK@AVD<1Y*6YMr^i@3o-7ko_On<OdHexPuF_!SxxxzhwIJkFf8a1W4$-i(@V%wWutqZ`M4qdX&$ za!abrsL|ojDq!@}^B{pRyf(W975+1Kv%~TEpi+Zw*4R-?0A>59HSnZ6(dcCxiMKKzf z)w3ATV`OaDQ@MNDUV~*-!L@OnSxCPb1F2IQEtiftKnI#Dg>y=gQMFpTW{e4jpWx$I z0?UK?_(ot+>69E!nJQ_tA)wyJSWvNxVomfx{{ZG1SMB@0GH{y0D`1{%r5N9aFwOEv zFQ&t?SGdCm;S-b=uckbAQGss_OemVxG1x2IQ+83@Oz-9t9o4tn54a_}iWKpILA~G- zn$d6+e1~<2Sl1)7I|4_W#W}EP0z>*gH;jOoO|w&3x4z?=RapEE`;tEQ)k*>jj*E-OOe%%e5*NC25GpEwh6B@U+)mBu%CU zF5Ui8tqK>WDfFwS*F3!hhFB?r5-bTN9{SFuPgv6c$R2a-XubA?9g7I&LgVbc!%ODtm z2B|GF0Qxw{9}ae!YXWm7pr-pFJ~^xN;x*|yqmW~uE_>$%2%~G8`79*Ew9|Lfix_RS zAQPx=oXX%=u_t9deaHG+T4D%=vrOFkRhk3=>0M88RAp@v(sIL{U`;I00v1=a&1G={ znU&Q^ComY;_3SHMyC@pwA(Q~Xh=PMb@t16BtAGu|0QrSnl8|x!5@PpY2}#1>TQoeN z55JhH&`rEX`dWV(y0o7we8U>Dk|xFon#mc@so)0Idy1eziaV96{61pbE4EMx{B(vP z9Z(*EcI&UX{Q3MAX>CEfgF;GNb~gV2&hfdid(;DM>zLw25l;*jB^OtxzBSA&zk(F$ zKi>?u9R>g%mGka3OH?FLQ&8R}i(dZ#Hw?aa0BEPClMEOaK(Rdk0QVa5frX?ETn08L zT~A4^;;<`n6JQBRjHSfIU6Lik>_RTJ8MVS40|^QZ@)tGC+N5bmkIW@=7^FgzU(Otc zOr`cE?>})O`o?aOoqfY>7IJ+_4}tD(7v#XSVQ0rCAA0W zgkYf(h>lanv8x}65CDUleqs)$o+i?^+;IA;PMSC#VGZAw;vD{SQoFUtwWSAfsnP*2 z!5`LG1eOP09`^ojXOtdSDhMDkvgSWsuU*tFR^?gax8`jBe0B8q9GaT~N+MzB9|95RswzYBNRvJ;uBv39@_Q0)9Y!oa(Z1FGukvr&D+4up(HP5asA6I^#T&?>WesetcMuFoJ-BEdCLu~}Q_kdqVCf#sPTR3w=3 z$T*m)iWPohE>Uthsb1(r$HSPVcLG&f9c9poAfJ{nAg&_!en@4d9v6*W2WE&>)7z8g zCW6bprC=Mx_mv22ON9?T!U>GON=QB%3^u*w2T1885! z%v_P=`UEtt^31p1sh)>R`(pHD)?R_HFkr}G0O6x9kdr%Z__;GdQSX;tVZj{z+rPwS1UchhM zB9~5g!-1FU52Cvo=NH~NvNq}=O6ctg7q5Ux_T`TAgl@+8KqBwyTYQ2g$f{#fmNuOYV(9fP@m zX+Uqk?-`mbD(7f4b-aq=-1^Fdqq7ansByf9W97v@my|#vez6GEYXy#pa_Mt%20ZP^ z3hvy+yiJr|wym1Nf*RMh^Z}|iV`J$nVHs9sHLRr3^_lt1wy0o!;HB9D30}5bqy3+T z%j)zDvj+D$y=d0U-*G+>sqf2ZEN<%!2sLxymY`*Ia&+yX-_|cDnGSLw@5qO6c;OXN z-Yj71kCzcZS_E4I`z=gUp-CX%2@V4Nq?*}tt*Jmr=!Oasai1N5Zg}5WwXqju=H1b39L~M zMvuf=4MNOA&X8-{lcQYtG}iwf`fv+kbEmL>HR8E8v!MD;NA~1 zoHZ}K!9asqV!JFhy>JZ<0g~smeK54Z)36QU(rbFcW8PH~y=mL5wqC7CIRuiM#o~h} zvrqp3-*C@>PZL!Q1x{iRpqP%5={>+7l)l9Q8KCny#SPwj_&^%S&gz*$$^@loe8p*4 z$u+A-!R7%(k6S?wnQrjURtlMhY@=?t29em6u+3KplYWSTULmKMPyLw+UzWj67kev0 zR7F*p8T7AOpAvJ!1HdRf347c5k44NQ5$R`bj!@bX_7KXx*ri;R)+>w# zM2Kwwp&Y$9_ya_C-CuB>8A=9319J*Q+DL&~lME*zItZt9J^aO8FTv64R+O5`f>;Z% zGXDS$C23^e0g@ApQ!DH0fVc5%#amvPCo*8(xD7RMfkNb~@IBU&H;*aNf|Tg6-rDN>baF8hyw zYJ+sp<%&&VyrgQ2t0)bI+)Twu1tK##oeVbu$2o>3a{X+Ti!+3ohB8}vRW!rJ9 zj)$=bcUK_!U_%!fDZ4M+>Jt18%mb}sGzaTzr$#huVZlj@Roe{ga-^Ix`$DtsAkaBbqgEhU@rH=lvudi5VeMfo8KD|I5HFV-577Ny z1XGm6PoXDFCYjUZfM~kkafUBM4OM!o-~qMR6F{MrLliavR;|^3`<5qzsJ94oxWE}# zbFTX1fCaIQRS`OVAIwAjI!69)Op(e@cUu4r^SC(pNPwrNe>ie6hkDk9E8I>&?er%? z1^br^R3Jsv7CvhUi0P;L!1X!--lO4ni;lbL(O+5p45Ajgig4c^+%$yvNBYGF1*f?K zpi235hyf!`S%Qqw_ZFo9m%0)nZl+#UwTJ#>HnRBuME$w515^a}Hxnp^z(3u@Y7LfA zA40cz8;6G`4X~z6eUL?|>cit$<><2&nD5in!ry}QDOD;sa{;n`k}kpr##Xg1F9-C8 z_ZN1APyojC$`y+fQU{;7_z}RYk1{1xCCG!@M-&(er=tG9_X>^d4PmL@zvCBHs#=6U zZV0c9V*B_oYV%Zsd+^DWQnp$V#wa-!ct(P^)l8eu$djJy29?x~CFgk2V zPX7SBjZs&W+cz>K1QRQ&7B~E28>F-}(4P)$ncFXej7fhHs5_qVNPq+(^8T=G3oY36 zeSbMu*EP%W73K{nv}peTv#hwmwq03;)W|FZDmqPN_`ndp&v^1j(3I(1{@9%=l%BPW znYTvZlJ1bFy2cqD)C0{J8z8j}eeOu4XvNog{_=V}6<7MWvP3|n{{XnpZA=qi6XnK@ z{8I93{<0k9(|Lxw`HV^dK>7HXb|f&-^%F)6kgx#$NPnz%&@WARe<==IzNtb-#0ZkB(*Xx1}C^ysDGF-N7{{Tyy?2SbK0K8vF)0;DSxZtPyz?-0K+2$aJ z0JfL<#)#gwzs5VnhKt2rar}HgD~d(ON5Iu(JL`Q;jOGYq(wZ6X<^fTn8Vxsj^vRZ_kUy1z zz(B1JcB{;GV3^P&se-0Vi(#N>?<@el4-=Dp!Qoi(Ngsc4Wu+U=qwL;3<~+lc?EJ~3 zXC)ME)IrDtWe~9x@lN0dPW?vZ`o)+CYDGo&+;y2*&j{_Tzar5hLXI}~>5d$<$OA>g zPx;HZ7WM~RFC-}xZFl>W6cJj$BX)Tnea9*f{5VGHVJ_amx|NT0guy-KYk%7pyTI)C zDN*B=gHU3on|*!92DBCQ&5^vB)Reo`Y$<%?)?K>&5fK@7)owvau8X&R<3vIdRGNPn z2(17)1HBJ&f+~;R{;*9TU>8O1K!O~@;A^Z;*Tzs9d#Cio4-^eaqR0KR_|W9KFzqtX z-mFr^(G!#0BB0JaooOaeCrE|yP3^4z`o)`NrqXmtK|G_ZL&C(hWqM zm?r{jHGhYh-%O@0HTO395%lLeuMBzMP3=2>Rq}anj z$fX*za$RBw)@c4y2!Y%3^&A=STk;38pK)wQ4-gF-)) Date: Wed, 16 Sep 2020 19:16:04 +0200 Subject: [PATCH 091/313] add the micro:bit architecture to the list of rustup targets --- ci/install.sh | 1 + 1 file changed, 1 insertion(+) diff --git a/ci/install.sh b/ci/install.sh index f5596e4ef..15606dd38 100644 --- a/ci/install.sh +++ b/ci/install.sh @@ -15,6 +15,7 @@ main() { --tag $tag rustup target add thumbv7em-none-eabihf + rustup target add thumbv6m-none-eabi pip install linkchecker --user } From a3b07eb6862f2a8f5a15478f2016fbe109322432 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Henrik=20B=C3=B6ving?= Date: Sat, 19 Sep 2020 13:47:17 +0200 Subject: [PATCH 092/313] rewrite ch04 --- src/04-meet-your-hardware/README.md | 146 +++++++++++++--------------- 1 file changed, 66 insertions(+), 80 deletions(-) diff --git a/src/04-meet-your-hardware/README.md b/src/04-meet-your-hardware/README.md index e96846da3..6b8d9c9bb 100644 --- a/src/04-meet-your-hardware/README.md +++ b/src/04-meet-your-hardware/README.md @@ -2,22 +2,19 @@ Let's get familiar with the hardware we'll be working with. -## STM32F3DISCOVERY (the "F3") +## micro:bit

    =q17i47LU#I~7A@ zu`Da_fSQ0n>=giuSnAiP6qQo68ZK16AKASi`B0}9&RR?e^R@t2I^Iesd=$ArAPHjx zM1dWZo(Vujo#&tMZd8(E>C@pr}xCl^*ur7HJXCB6&;_bzSw9kTC zBRCKgN{c#uT&xuaFBb2%A+i`{YPli z&^H2>Uxd9|-b>zF8yCiV9Y9u0!pX12>n@6-j$8tS%em$>%9~H1{{ZkDW)TG#XesL+ zKpG7@5*&{Y!E)G|i3YuZRDrNHK#Zl+dHa`5#r!;l;Vr9`uvN0)whU~hT0dS)65@y` zz}!^VW~zs*sMJv!Z50CoRaU%!Sw2uesNx?HimQ);A$F_$h}|qVW$wrO0qXBR5LdB_ z0bDPps1qX2QL#xLW|w+gC~3m;q(A`IleZ246u)SJg<-l(Sb{9}Jmu(^KmyYKVkrlM zurx9ct=vR+11_hzXRSYY1t49!gFS+v519VYyy%oZAw&QPwH92xfJoLyPx zj>{EXc`D#uktDOX5m<6`v)B?`D9SnzLnSH_tAwEFFwxZ`DCfCy+0iR5?jpHZl?@L5 zU|-DIvGYWst(&%Zw&{ zv@(IXZU~oW8n9MokSaMiclG!-VV^Rx=khTla6Z)qN++t;1H=O%vIbix$@M5utGElr z{{R@xYaL$wx7koyaj^D@*YwqfE5jd{Azmfi64NM|5+Wy(TZlwzvZgAJj>3+_g*6mv zzp{z~VY?_~XkzQgA+|9RvWNm$rvtN-CH32$de*B@UBspFl^J?IC4mjfI};h(mp|ZP z8beY78J{qhZB4k#R6g(v1&w~$gffc7YRCN^>}WfGv^;q=sCy7pY>VN}!VCvo{QBG+&1 z9KYV+JYoFnv6~J1J{%gE~R5Kj$XlL5kHdi?r}MmjA~LGtUAA#D7;V;8B^cFm9mDTWs1o(U)O3|b8CN5 zb?4!C`j|_P66?c}7nVWw5_c`p^EId7yd}oYM*v>rO=AkRU2PFpbyhJoXf;p)_$B>7 zi9}SgA$O!mvgr^u^|k`EHF;2<*OHTpye?qI}(R3TPfm1nz9+d3!mH%2YpMr3Wf z*cBTk*>zBdpyZ%0vZ!>7)8=GjN@|eO7$|!XpOA*2ZS_I9~zqpRXTwh z&=q_V%Y9lwCHpYHGzBFtRMq@1vReDKN=+&@ZGD*tT&ON~5dA_Rb~cKXKBB;v#ufDd zPkA1482OJ3RbjVJvR$!T1LkBHX~jiVqOK}X6Jow8XeohEq`@|&a^Uz_-aHB#_6ysH zy@)A@O#BFnlmQmDg9WGvP`CRKOK0yETF9V6kR4jsg8};jAZlp(shqF0@&}bx`TfLW zE~Q(e<}{_Da|7D^b7Wl;HImg1q4*B#Fnu=qh7}#N-Kjy{!lSffFK4ND67D0pLjta{ z<3UBZ>#?u}P6AjD!h zgmB#4zWhRgP@|A9;TN;GJ;2-Lk+B!Tx-go%S!mdbr}-$MEA7KB1rZ_*-avm3mMKVv zeeub&9fj9rOY2Bh^{Y7&yKPsf5Hu{0O-uNvd#juM)4jNM~r2`pgv+-b?E>e|#6D~?3kCL~U9!LSwG;S%6PHq7%0y9_OL$k=W z`1+YKO+T9jr=U=Af+~7GA~%;%tNp+m<#X@~{W}l(LAPHq*QlR0!2?)Qpm|FAgvpmN zxUTDHe&K7kws9GzqJ}6xpMn)W!^;iEc`?&)dLz2;1tbr$2ERXko?nD2=o$ zA8-IFdKqdl`Emxhgi;ZS*>fo5p%MLgP@(q_5$y~vA^`y9Lvknlu)>u`@-Z?Ss}R0Y zg*;1bAQI`z=0Ow}?4*;_Kdi7dAh!V{S8&nA#<#$PyCdOIi%F)9fJa8F zH?z=hAv>qqHE_$0!t%_Suwk?vVv>STC2P46djkIexB+k;#t7HMOc2;m3_=js2zW{j zRn;~a>4F^WS%H0H4npoMNKo6DwzCL^0Iil)!oHYPBBHan&k9Lb;wCV^_>1_0KT@F1 zlAQpGfazbTIP)OfW8#O3zQ)8FEm%m zlh%h48~*^dK2_Mmu)7bGv5j4pvcuTGtZt_x64Em1w_zXf5w4Q7IPvYMy{VvIUMU13AUb8>Dz88!CYkv3HGT* z?`lyUJfB=aR}(cygEoynF?z%Yw_q(1z1WI|p3Zd@ufKmKK}6B{jDY!s471IEmZqv9 zLvTx%OCS6969thK`d|heXxSu&Am6>HrO>!jf`at*yyhFf?Yz>w!k?x}__Z1X57I31Rk3MY4I>jk zQ)y-DVZn15`M<=aL%gtUSwwyXxd19(64aJPD-%;rji{6?SUXV*MgIU16@6Mrvm&A& zfmscy>aI-%WfpVqY71Xg61A2~0~nS!C`3R}cMBPUs-x4<3+6Si=>Eag6=^OlN|rqj z#0G&u;gG_$Yb8=^^iDJTnqzZnvVDXG?5*+$Xdi4KmY-1;DX>5btEr<>!ki!}z_`eO z?#v|BHvOYlG}U7LOh1ersq_nm421-<@jBQCpE8cJ=5(~SEE-p8HZ=aA2+p5VJwLJw zO4OhHh+17ru0`+`C}@N|k8=a&5~D?ba%g0$nQEz_4L>X}@Yi80*tt{S1+{QHXl4Aw zQX;2i#rT$8&_vjKeTXJ|4zjo4X)$Lei9s{Mb|Gf=E>d5Rn?==Zw&z`84~*QUMwqwm z75?B`>QuI`$#H5Rk4s$1iiI#2aU`y9RLT|G=g6ATX$+uP0NaK-R7F9KRASg8HsQSF zQqYqfReLXTmuIru_LkuwZ+MAeib-VX`q<;6i^3k{z!IV{_?;of6&K5HL54Ah9bhu9AA?a5QX10s;Rq_jo};UAfy&SPGu#2}CITWs zwaRL*(J70*DY$18yBNX`FYHXAj(zRiwHfnNL4^{DaWsj6a;xfTdlKtH>J|$6Wz1;q z#)f#7KA4GuuUl`+WkTbC9T1M=|O@KdB29P zFyR9&UnEOJS}K|Ft$>-42}%);Vi4RlRzM0lYl`(&j^5(sCejDK+-bJn%Y2}&e8&UN zumbi&b^44{OCqwEC^;+0v!! zh|`WU$BO{C{-8%9z-YPEZX3?*=&kD57`dDei0QRhmvMIYe@R&KX27R^?jqnkW2Rt_ zGT&f^BE(*oI3cK5p-Ui3qXe)D1 zkHRn(6nu?+ELg=Nm*xNuf>pWs3Q8IUh+O$RL966Wcqh`fiR{{XbOK`8GbeKke4!QK~CDmwUTXwv{UX-yN>*y)t}iEARu*tHg-;L%^mwk9#&x4x!mZXuCS}OHR8Ux3YmX)OD{u|b~sK| z;c<|ro?{CpAd713uyeS&m=uiyjuM9 z(3wZnueKC?P7%XfF4(5TPhjE56fT)ZVMBeTfh~ksVuTCWhK%(_0U!A$)Y7G7_>CR& z@GFs)h13QETK?ye23ZmXgbU^)eDNBMW7fS~Qc}MYD(jgI_P?n?gYB?7hr;euJ@I2$ zIn~0pu9mL<08yA~p}vrnQ^Jh69DQ4kOSo25#+RFA%rBwvLP}TSQ52tK@>NR@z}fEh zIuF7Oxl017T8^AR8if7JJVunUH2|Lm!VdOr`jiTR!n-YNuY_8ckv2Q{bpHTw*xCd7 zgb0akdei_=TYjKXXWh!YfRz|iwmp1IIw60Ii#3=&qAltZl`P`|{GLXUXzVTbU1i1W zzpSR1w)&N_%yv=$nLbFAF@+emFjr1l7}Esi#@n z3HH>uWc)yZLjCep>nVGi5ix!GgFfD`^di-0McI=oyDG1_1T_A_8z2Po1n9-oqK8-i`gm>tmg_#tILZ&*V_Xk6J`ssDks% zzuYKkrd}~ryh7RLOFkHWzZWQ$))wC;N>leMYHw8Bor~T?A@D-K8F9ggys%wToAA~$ zofmX0HHYJq%7BnzD7tH&!-ud00*!a-3Xb`JMW{S1)FK%6L+qP>Njdv!8Ir)QB37A; zUL)Mi#x*;)l*GGGU8NI%yfoaoT{6l&UB?}|*6N`K@!f7HoAF(VE@)KNz?X`?2n2w@ zuQ>?ZJ`OMzl`G0742g2CH~joUD0@cl47qCJ{ge-20-&9qP8iza?BO9EjEm9PK%WGq z39%W}W!isiVLxN;VsB5V){a0(-{dl)O136FpD|f%<0($=oa{k#E?T!e{3XKjD*ZFM zi2(Sjmc8w@_Tf^5!j3^dkv|I}4`@s8BDU*g9rXaE%8EA*{wU21fQ%-Rwdqmx-NjVC zOJF4gUc~z@S}Cr!8eX~cE58l5vhMgz(taSMQ=oeU2C4z_7ArkHWycSZHfE0A@~Ts5 z<NAmzn}%6`#W zot_6dD2fAzP!q!P;zhnD1T_|2N2mab{_We;*u4Y;Y{-eO50QU_^YEft$3}K^4KMo} z{H_iVpfEI5Fi}O znin_vMJ&8FI=M&i3cEj2z}YnQY*&Op5M9bt8B29<$XY(BMxW^}wMWFyA9LQZTB2Y+ z&6N#5Z~Y;YFJRZ0#nWvJh+S5&r;4Tk>f`30NSXk=$)ADTpq8 z2dk={_2V z)Fk!o0$UZKxR1b3BWIIpAA(>ly|@9av#<-+ord4Gi_J$Hxj?!L7TqhH2ror;W$YT0 z<&|lAX#}#qP3s+EsmOmK6eMw4BCG)L!k*1*;w_S|*5c{?`2=eTVXF4YjWh8sQ-u9W z{M>X8vr9fUFNWD`)VWe$6)GQzh?guyK4!lP&0!yz^pub>byLX6Yi3%PGG#F2sY0)a zg*|0!owF7>SVs@irP^OApya!RSg8n7xlz|GiSaKVt75`|t(aZOWutIe(~&iE0utTW zMjK@>Sld?^_Fo1<65yZNe2h<$T@<*jhe*=6eH%RI-a!1o=o(nF=7s+Ncpl5g7sIhh ze)gK4CUwPJZ9scg$7pG}Uoliwxf+607wV>=!eFf|IcPDhz=d^o^cJ1z%z>HyW{G{)urjKPLed4*HLoun@aF zeZ-6MuO_iaikTh`0@YjUFgLB2@T6jeYx$TXu6s89OWoYKr*T>Vz%ZY(M8=tPt&CK! z#cXW}`5cV2GA7k(Cu+@%x5y(HY`-#u=>Xb!T8iQ|aoxR?;vb!s^qs!MHXCcC@^q9O zUCCiib}X0ps9bwd$Xc-r5G}_|XiZ@VeQ3+k>H&F+vL8QHBABDfb#ysnaxrSM}9~AfKUu; zkivsaLs^hGTp105pVlo(GqkIfcaBR#x^`eYDiLe;IjK}z&_O~ zId76uZNG#C`315uW~y48R#Z&Wi+chtTliqw1=FeU{{UsETF!iaC~=qU1~u%V#=r3t zqes}70yL>s3}3inRUeE)NxgxzrBG<+%w(p@xzRUC=@aA~f*$AKJ&f|+z|(9n$yP>? zN@5={ZJ($IR-Veio^Q^i!+lfL%5OOlVjJBqDK1dHC7lE|%FInGYIslGL_X5YlvoiU zABLaB?6`~N#@w;5)PCBJl>=*Iml*gSvk}-4ex)6iCLtPM*v~71*H(d!F>5&9FFD7WrrmHr=5TqlzDTv@wZ&6l89 zP1a8gjS9Hm&dh=dEZKToP0ai( zpY4{nkYiKgGOfFhh`I))#idjkzk^edRnSJE&+7eujZ(UQ274So0%Jp3qE_0qfo77K zkd#s}f;dIkMpB@%R2ac*4QsrFTiTSQR7{vy(jD0RG)G1rdgwU&qve)py6279oWoKY# zVr&nh#fb!9`m>*n zzi<(el%Ux|6(7XlHU7quFV*A%BL?~G2q=w1qbPv|jl|va$d$G7u0;H)WTh_6GPYAw zVYpVlFR@MG#9Rz=y+WY6y&UQxwI5 zukD*XB{X2zC&U}`@pIX)q(3BFZweeG+$!)JydOxPx7Z{GH!(D)LYjO)6%vb95v~wZ ze~~aVUAcP~Q=$I=WgxNLeO^F(q7vvl6%I?hfdZJ}cgPhk`Sk>AA%BT}lo`Vfeql#+m;B`sS=9wGyjk$_y`fl`dUD z&c%(VjQW~>0{3DSmpIvLh>b|x6>|x+!Yj2Np%Ga*#{~-{^7~ zYgyXzeW;@8l&4E7RHhNm30txio29#4nrL(Th3MbhtC)WsSxXnN4IDNes@MO5gSK_5Xdc;bG!YmI=Y#{+T*+GQ*e-O-!36$^P1F@G-tBknRMh5W!iQ}`_ zQtPAS7z9%K_5`LI3zc7%Ek|j?=`?4`+y`swF9ZM|KZ_8v@Rck$1uI5=lFw@B6fT$I zqc8Y`NvGkYW8k?;BMKk80?jNY3|y;?sb+E$No{@z826x#Yn?kV~4dV$2W5mkW3BRm#7(a;{!c zEbTze8>;yiFb#aYxUy903tfy;D8WIzU{rpiv)d-h`ut0>_XV5m82+WR7WGjFTo3hd z&$26{kfi*1QA+;+$Pd`$IW`0QN+tkld`qIfH59pWirCC~91+snJo|{0_sN$ZXtU}I z3QO#0OE1X5$pLwx2}3#p+_p>*Wz6I-orJE};-|AET%>)#ga~Iu$R99zajfd8SyCZq9$(1PvX{R;-anzZGZA|Z6}XH zF4KuT=D`L2TssI1gcI12ip!L~eiZf;B7Pr)q?K@Q;YI!`=TW+W7^nP=N#qk-R=*@u zRV6%tEp%=31rW4LWDJ!UgBUR9A`En8*EUhp2p_$cs#u{<#vF+TrI4BctSe(s{lmS0 zoBK*H@i`5P*zU&}H|!sn3u}0MM#B|OI7&bkyn={bu^?=emV|PmtKsC?N^yspZWz!% zhM$Db{{UrEE;7^cSt~YEUyEujq^*e8$&9G7<;#Q%Cf%0MWe52O(p83rYbq4Y*|ba= zs~*K=0R9*?=zUZXZy$?PuS6N7>;gx@c2EUVs`!+e@!Lsif^jjqRD4!HFzjo{!;;$# zCpO;1@H2Jgj*EZzLupy$Bj+PQ6rDdj36m#gemI)}hhtGWDkP~z=i%4`#$c(J_`M^^ zbJPUb9xA9$e=WrUxn_(RQ4(WtTaRm=~Y!efU1`#P(iuWVvAVp{*u5|VL1<( zr951~xpgk0!7GuqEJN`uHs2*cDNn$ikVsD=)JrL#3O0EHv3j&rz7vuRyP5bUON(>M z{6GE|lGtxpVq(249vLF5wfnq6k5R4gS#a8{K12L&%oR3x4h;tZhma?~sO{hHj$v`6vlZPZ;>wMC(OSrJU$O1MrWCuO}DOutkF( zpZO_5nrsFN8F@P$Z71lnm-ei&cbilh{U(j00oq$ zqEQGq>_7@y*k~oC%c;Tq9FKMylGufXJW0Hwe#w6ghvS~X{4eu+6J@Mpij83wxUorO zzsEmOq!D9p#=_vm$%ITScI;}*^o4`_nLd9TE?qM!JcgOm*`0t(Rvj{`ri@&$M6)7( zBsy789J+egc1K3a&y0X72r_hBdoE&IQmi#A1~b-1F%N#PgdYrl23wNqTDz?5wNDyY zM&MnEgDxpAUrCg-XOij$^%$IlCd;EG{w|{#Z8W4mdLWJx-&;{Y`WTE|kKoo1@Pp(cOK-RIhR25YgX``b zaP@$tL8*5t;g_{@dSa?)^reODK>E|^v7)^Aix1b~T(&IApAy0)!T>`CjS8ai;FUBn;?MrR9vbXtiF(zTgn6kgtqyU47(>~KnNV0EPgv0#7LUia_6!9 zbcT}u0Q@qH5{MZnAYWz_b>zt9j7sjWAv7?P_xK~V`iRKKv0Q78zM6=QZv~@}tN#Es z=~9_R0D*qYJU-xQtGRHqLBBYe=QdTJ5b0IvvbCfy@UP)h=O##i9ICL`w{ zNE`N}(6D+{2m{I@Q!ZW1{20b9wGQ@FCuAeFV4ja0C(RH}vhO$n6A zVw>RwH+k{+y^Oskq40Clu_A`XUneH5AKxZU`dlqS{{R~K`^YuyyUBCevaj%2IP7fwur9r+ zl2bM<4+^crRp~G5z?dc6YWX|ly+eehj3K=U-yZmE`JvWXgc)RCz~N$OS`J}zvH};& zRcePW4Uwyd*jBltzMOyrn%{#XZt0^vmn&bW@?J%_qH+m39E7b;78`{ss7x27feYt} z$@XjTT#A|HL@fG(?)Cc(xnjrb^oa+JikA<_65^-`;w{T3Sj*X?CZj{7yz;uHXYr8&R$I6AAf*T4DGY7x@=utXlel)3+E^EvbKiink!$ z{6oYVVs;OiViiiFptnaaGRzq#K9;&u7w}7Nf;8SXk zh7;`aY;}tAFRA;M%wdK80I5$U-{F@Yr|N+GSVN@DC&sbL+4UHw7h#xY2l{sltT{u1ROlLWipB7$|VB1oZ^_Y{@U zU)O{JFjF2)PF>5IQ^>Szyr63md47YN{{UqKlU`K}0qewZ)LC)ZL%CI6HvNIbb=L#r zXVmgxU&8+YG>u2KIry#FapEkvt#W)!{B!Ya5NhN5gt)mZ{z@^Ji$C;BZM#`77I!|< zfW7RgUJ|sHGqg;U{UUKcQy><9l5r5ZWMH>XB|0IbYUPs8VoPO{P{q6Ky78${Dp`y{ zYQm!rijCLd1;}jqOKV#b;)0fE>UufqO$5Aufk^{#!}3w$>pDeE?7#kRIiCpB}XNGN9&MS)xjU= zV--`DBw4W7Wn{((FPJ4toW>^Mxl?)A6gbRMR?lzQ(mQi1{y6}81pfeLrcg)XtSExU zE2cIRPfYCSwMHMiiV$%A#L&)Vh|8z&E&CAo99p>OO^i^hiFL+BL>reJWV;1_@Jc2h zL{hsI0AiX7AU!eU$)AVD{w#h56-~<{R|m58au09tRC#0)vRr>H-hcQTgMWwANYw1T zisIlf5C;!PL1$>3kc*l*E;P8i3uFypa_5fv+v+Pz$Q4LvGZ1MEOG3_tcj6$9@&F)& zB2Z^i+k#_Zm_>9UZE;EU1EdT?D4i1gW<8imXtA`U|2$xEKf`~`lY*>IIRaA|5S&an1* z$(J%I4#bP(>_|mpK{h6?Hyw}>Rc#BLejoH(a;i07GKGUL@b18sH7aj*7YM$#BPp{6 z@xOy9RF#1i7Juqtclg0kO8birt{0WqmL{e6Y;^mArp7YzG3Ve9FjeeEL!(@%5?3!K ziAs#AZ1*a=!tNx~K}s~On2@Ol-pz|E4*m%}ehZOWCCjM6_z@!hEm$eR8r90+GNLM7PSpKNB)X^fFd|(8q{F=#N=$(oof*SjmmS&Psqhswdw;1y z%}-)!_yA0(G0cP)F`WjYBcHid14?h)-{H3amUoaxe7TYB;rocR)Y(b?`#B(a0(F=~ zDPBu?u+$+pLxcryZW;&I;>OIHZWJXq2h4v^oOwQ@$wa18Qoje%RzHWq`De1>3?~_2 zfK~FQ);MI@-O)ZJfYbi~BGj+lPCpBxT)c*%H(S0{#EP_kPQ+J!Q#FTWPC62h`-ZS$~UZf&}|UnRoblkIWpLCW?al3aNQ6TF%B^MY<*V5Fdr& zehxn|soy2W6082kbe5;HroqT(vgwVt@kF_>ykQwi!)Jz10$|^&x%m>imtugR zay6(-AXI?a}#-VnNuy zo7$T@7*UMTDQ5|IOi0v!D5<$_9?Px8+ppx`!6<%UNGK)jxuPJeq!8|jE`Fk9G=v23XwxGdVC#(a|-=IM%;!O3a_}|%o>+TT8$os zt;81S%c%pf_BD`)V&es`&0MNR%-D$*4~@&0ZB2>68-D-?@lnP+h9=~uFh3jkQ8@t~ zN3yYjk^Fc>DtRi|!cp-9Q>%$rhQ^M{T?IhH!3Bh==OsY7bOR9CFJ?v@S~|)o+eD&A z@F{$iXC_9tM_G=m_2b96vIaRK5cnKR-Ls1fA&iDVDJMA*AK@D(?V3tTW?iHv-qBgtsp=`{r{+KvL~Nqy<4#XJy({@=OC(o42-GULC5 zgcW-PmQN*Y;WXT;o)bxB&5Shc!(RRmV|N(bsAWfBPIoF$>_nG3o~c5G4*81`eN-Yh z0*TJYjBPO;=8=yU!HizjG}p-q@tm1jJ|#-IN@e9R10XD=qU;;Xm4HvZrSM0B1}ei*1T9L7=A4Pim*`!kulCuJM(uN|gMQhtK2mgf=m- zh0Ti8(sV3r`gyLP8Kl2#n6=&DXHEPQ)Dt^3|e}qmYnGh|Cn6#?+LMJZr^tG?< zVa8ql7NfEy9|T8tYwXCIsVV8y>FaHq*AVOpw|$+$Le(JI5S z#M0$d^YD+_FEsg$lm7XpdbwHLvtExi>+-Q7{FS#s9;QU;9?(hWT?lPR79GM8-SgCM4Wz7{{Rmnvmx?KGNnfs>;})7dnT1Ac>d*y6|rQr zsX-R#u&=;P+j^XZw!di5MTWfgqgMUdhw6QZOfrycs0i&1d`3WBqM2@huV|k$GgWdL z2)pL;41E#OGSzP*Y?0S@tMto*=(akfx^2!fTRNznxRJKriJlLKx0gJ8F z_I8Q-$O&`yp(Ez$F% znSd$s1x%@N=@UN!^Ia3i%~=G#1rF>{vATUh`(Dqu*WoUFM(P*w_`Qv?===O~XX5E1 z+-G0G*=Y~MP~>q8CZyPtX9Y(>GVV~LT&bFh**x|bC9E$002?^uRSZh7$eKLj1F2Id z%&e99CX27Q6{QZq^)cU?m-B?!hvV3deg^dG&zQc@GvmvKkXq0{->b6+wJn}6vg620 z+#~3gXt+_Rw;dS*zU8K~n7dwn6=I;EeU~n%qY#1ikbsybOD11t9?Qrw+x%$QE}+Y) zi!a1ppZ!I)7EAnWw&Kd!qxCKLgHtzSr&*QRa|iY$QcAP|hJsbzTMQ5wMwjimU~NDx z_6rPgh>IKFi9~-=w*1Fe3ZF8_sW?oF8S;tNJ_?1`3ZAZ5%l`nV^5w-EnJ#nng%8BG z%cO&P;mFW8vCDfdI`(Y(B}kpWkV#dZG?}(r*;bPXLY5=|`8hP|2}Y6*gQ0G*SUsQe zG(Q(CPNnSL%a=S$@?60!(7(BI>MXyQGWH~vCD_ZLKNnC(32a&}5U4DrN(U*FveRs` zGr@iYtl<9us+alFQuh-liC}(+UPU0Xdc7wZg^9T%)sN^{{S|0GV%I_4W%vneim2Yeh3;({{a5yvg$Qz8!Es1Gx1h^ z#Z)0w1ZBB56&F^jD{lJ1758vi`XiSQ(LI%Vlj;PfQ&WEjCjL8hSA5t|l@GE zLuj&%d6MIUNVbPcfIn*i4VO3Yb^4b1iwzFbXA!8je$; z(zl285}&2duRk8g55~(F4aX8jNu+$%w7Z2t)RlGNsUR*eupqVXF?7cXhdWF!zu9Dtu8N*W!$01W~}|HZILK zcM0YOJc`76TL-YTVix<9s*acHD;1&2lv<+O!dvfKmX!rxhDw(|u~FbV`i?ri5edNe z=3%Fj&t>e+T=dw~E?0WAvIw|X-y_k1pm{KCwlIWCnLQbNi%eN<%VO-cgHu1L>l`9` zQmG5eDU1?5LCJrXSqr;^4SwggHHA^dndjuBTNt9P`H8gvpK3+`-nDm2J1D>P=iykt zL`?C<@^iY_mwrkBqMXuE3j$qiBHXH`QFrX(Rl6)1HQo}BM7v_5+FJms_h#Vvm43tX zbF;6A403;e4D7Xb5tQEZ@o;{n9;|-{;;FyGvGZp?b0u0z0%*_S4{$$ncJ>*R$~-`r zJ1%RCVMehm$4QMLqGO>iXb?oh+TzR)%loN$_Z|NL5TW_IIY+G$z{K(hx|v^w<3i;W z@T)4mg`^*lhh@_ffiLi}?sS^38E3a-eTlwo{z`NoC)g8ge%WwHGPV2(ec1a1tL(ae z3y0vM(*FPy{ww{5>+^s97UJ*J&%-HQsiW1{!Dkd1QtxTK_5i149YM&XW2MTMLWc@U zAipoSTPxS_3}O!;5?7EjH|3WXb4$Xu14)6%nB`u>@c!5|{!>7)bpa8gyE!2?c{pI% zrm;(fW18lun+xrWn0mJDBJ1X4y!j{8m9v%r2wxQB(o=mlo0D`7fV)H7a?Mxi3Xab2zx>&-}841!YW9a|wH& zgKE1EH9B^uMx$@*Vkjpk@`HEr$)a-E;qMh4qBRU4vDOma zerxc4EADOn3!Hm8vgONVU&bO0`_Z?w9{5kk+n;4&smXV^qGv|I-g2OG^ zx$aNDRQ6aCBS^Ty-(jT%_RDf7QH`ZBxU}s}p6)Iq_$J$Yg%{Z=WBXz`ctk0^GOw(s z;$t&}%00*|w3jvy3DP$btq znK}4c;}ouce-_KQZbg5E?8^KS+Z4ZLpNlS81iY6mt(-|kzY*-+*zzcL4hTbtUsBn% zTZ(`XOQ^Ev@ct_8BA-GR69oJTbAmN6L1k9Tk6)M_AA)?wp7}Qxim+{e@>8*6I~@bs znl2`qsfsdIkb$2wh#UHkZwBi6i~+|{*w()h$bYG`jVHv+16UH#BrKb_@@ynRY3+)(JS@ zC%o*txK>)b8PS z;gyO@%|DnHxlor6?p^9}0T{a~*=nLAhbE~nDX`J%3MG7zAxtQiS63GA`S?4`8u#$p zYHYc2E`FkFDy+DNWz#(S*~X%ezm%6bRqUn0Ef+XT{CZ6Zzqs2a=?PY|jpR{fyFLlS zU~6y*OWP@5xRlK$WqAUHwMwqP5`AXq9N((2<-tX3sBqCXNejC__w z{4-+Qq(v1WvGC7C?xP`RN7e8%kj59cGCW8dfCar`kNi}*)%G`_^P8*GfH zoMQSg{H|RWEH1KWIR^MGg>oh1D-%SzNpXN5ha*_qfJIJ+j8rSJQtAopX_ruTaK%F| zo!z46Y*UvN4B5o0Wz3%>OH$l2Q9P^>*`o%yBuN}A@HU{V#I~a+Wi#ZPMaOC~u(j{s z;%!veKZfjw>QQ$tTpGD-!3bxQhu}tB^1ltG>cX`A2)iZ4Eg5z@Fh+0G#&R^K5C_gc zS~anuJezDK&1461#WI*JLZbmk$t@sfU{7WsA(9C3=Oyo&}f0h%Q$ zCleyHNUzNWuvUi3DK02QD`2t{d)lb!DW_feL27UOj6>#HJNRG@eL^Y^hI;@NXxdtz zLu4fNf8-_S%nQ%R{ZE{S;LnK4wldZA`RhAAWmGviTrNL_;Vbek7=AinN-nDUeVzwm z!de|RGU)jZ8B?xGq6;tTUPYfOP`lowZ=l?6j!Z8qYV7?(yEDn9kwve018fG^tc9c3 zNS~cbsS1AK4Gh*G)F=@72!Qd)hhUdX&&3W(U}>nL_mxBf;F=>7;u`?3Kv2KA_LwJM zxF~w|QBdbU0So+?5Lu)2S^)m z2j}oL6m$B9R~BbG6YGX5jY(DhfNrATy|`L|?c@#~7X4zHGSz|py3d^ED@+AKN)DmnXn;av_#zP{^CjKm{mp3Nw;F(N5;9O&n)AuM( z+ZbyN45%HS_mFRLw!{~;KuX-({1n8}Xv3*n|8hzk?zb0TPh-D84mU5`r3vwgJw=PDjAowivi);}|Lp_K@Or zbj`N;@bE-(>_=TM!i~97m$bY_x34ZY`YNc3Vv>O-{=RCN~7CLS#XT`--iu{d) zN6AM%raGX!Zf^6H z1R6UO$(JyQiArsw;A&KK4y}URd?Lh`zFer+x>`ij$-e`{GP(H)z zGg7W{T7F01zTC*LalgVpAMzi#(uqBpQ?ZUgPt14d z4V+y>sK3L;D#y{u;g9%5!~$zwl`xfX!gbhcJwjClXd~Lg%dlglR0b7Hu^J&!{8HSx zL0-24Bk`U_1U2`QRGU(xSqiygi^!LaX2=9+UvdyHBmV$MJWZw&k(oLWp_}kjR$O6h zug#x^qi~*|g;@$8z;p14`EslAzl$!QCH?t@$OuS_K5hbQgfNyV8BBiQKS^uuV88`L z=z+doxw4PK*p)IejeHQqadu=^B@oF}ei?0YSuFSt%jqeAHi?FqO@>;}kHFM*bEN4g z8;nSs3LvDo#raAS2m(LHL5#+|{6EeMRh4kU zL&7Qvc?MY79WMnrgsY;L{?lm_*6>^XX#R-U7A3CVDI4QWsj9FEr%amh~~bgdYgVUmao*a11vQiDZqbWEc&1P z+;>GUW4LWG_AZ4kEiuC#34toU4gJQAb?KB6fd2qut6Vz?(_>ay!^!djS#qyG7w%jY zaHvmau3ImDCsTqNxs0+jeis0!6D7-CkK+FT$#7!oF8H6wAAl;nf*S>jpMzNwFGsTGe5WHcVjKSmq+h*u>y8MwuanWIZzh(_(NYH*7W#*mycrEmi+ zas2@bOXM^XzNH_ExN9Q}tM&mq#TIf{T-wK#004n$^(btpTm%=rW1~!zGS}I0!Fena zk4e}A0gxqExT$|~@RdTyhVG5Cl}k;9m=D~jl7>>HLfA?bg~q3U2@k_p_^p6Me8V7C zMpD9M#3F&u#kbAuqTU-UgyTu~>^MUsD2k~Bty!aIVn2~x#4@Jt0AF%up)J2=7RxmJ zYEebpH@3xH!a(pYqCy%a6^`s+>N=~iK$Qt(oBsfWLtr|xjCntH4KdZ>E>#xj?9hc# z%9ORb!KPikj^)5q{0zB90^{Kn;pzKj4bbRFEJc8%4`$i%9hRSl3_5+PgD!Ra7f@S+ zOyT%hk!s?_{0_2$6rYDxOj9oXm$0Y_v7gAzBLkg=c8?DRD$>g$L@p6-e3MVwX$Yle zWOkLj@*yqc;TD+lvhAIvkV~Ie5A>FJl#r4|MoX6agKKPI-)5nNeqC$kXyIqCE*GNe zsmaN3PMb5yZ{P{vR7zIvp3*S>5S0bO5&e`eV&t_-2!&$y34~P=m;|(9_4xb?fYfp+#^#Vg>vG&50D<?@Cx zvjK+*ky@V1{8M8a^YL+ISvxY}+9s2PX)09NYL8#3xfWfe;j+mA1U|f&t!df9JYuH) zJ8W)vSL$pv;DHAUgYj%{`#0uX2ETK~tMqE1S6jpP1M!@LFXkarQBkQYH89 z2)S41!~q|)<1c3)Or;1ERvZq(+*Zbx{{RnNg@nMCRZCGWAB&dleN4E} zU*I7J{vf3+ZEEL{1&=#%(L)~OFyItEr0TGS{g)4 zm@z&|McnVmn>`WvQ*#2 z!=+6&TPid}iq+KH__W3ZTAg4WH1WgoI#?7u=WMzHAzGe29x$`bJ55_w-x>MLH zo<(#m1OEVRaF*0*UQ79dNp~_EDvlDvCI?}UxFIag+@(&!?r-*WKVQIm50MbUTP(R9 zRN#WuhirxFq9AMaD+0`dAS}r0Y5ghvC9YIn(;)pz9~w2wj|aON;Va@7a9lwSw`r(N zGyec6(gv>@JV|BJf{9@eyX^U&b7f5Ie&?`DmTahd2t`JTYmKV*RQZHVoB4jB`K67; zShQ|jAE#pXKyGpkXWXx-87TooEn_lYp2EqWcE-O*2!vJCmqPspOWR?MqBYN zGwOUMr(*oSjk!pgV#`PIWW9l`xIZk~g!EoZuhI@nmmV-j!EmXoGxsr#+BOo2d2x)n zxqEONfvSK5^%NYr(k5A{Jd{V2N>losHr&`lwM&;pVyY?#wU|AZ7Ja3GRoErWTqVJB zg-)W_mlFV_5f47FWxmb~AyWz)4(-3Ga7RCf&*N?BZso#NqT7HTsjAo{+218Zfz7#R;){up z5H2iHm1YqNP@@;-Jo_fC5O(c_IVy0$WlCx3)8<_}-Gq*izY}2Yss=()0;*XfyY;rC zHWVrkjUdrlmX)vtwpLCdhbLYT$;oqVhgqUh6Q6{D+Y{;pIrk`318cLn02x^V{-6Wa zRw;D=8BxXF!IwDm@gN27BZvAfeAd?Gxv|P3P^^3S$ZU*xb+OWrg?Y7E$8B;m6NS)pWGTTzHLvRgrMbY=xH#B3Ij_i6VeQ2 z5S27lSnI5$X!Q-#0f~!nx=P>QAmp}Gzb9j^%cf<0${xa4{xziDmD@7>j_l_ic1ID4{-=0r5QBAn=uw= zZEE%aYY1sR=P|E|hA+or`BLr4ctHD%@?`zaEc`eB0Ow&;%b4<1=#t*k_`(L8jAmQ- zYD$Nt--xvi0w6;Qndxj`Td}&R%H(~|z^h*0>Ix;4nw#Uvk}-d9#^-AiAWjrujJU2( zPM-S$$VmLdjM~_zlha}Q_Ssa)dM7gnCC_CQyqj+7AS;oN6k};@vIAudkbnp4KWog!##>r8EcCV+_q2&zluqc_FARO zmr>uv+P#J0tFlxo!l(ore_x+OI?379hmNJ`y9J%b* z^17Z8^%W5ZqvURFi#$H|Uq+#zST@RM)H^vAnP=fkAYwLXK}K870Jq;K8D#Q0lIh6r zq&%26N6OerH=eS{8h(7ahxB^WB9lK0L5&pW*Hm&2FdibG*oKN2fz7>eD7c( z6zuhlA-wnES7JrVmAj4=08)I$RKL0V$m4&2n;KE?H>*6F1#av-jSW@`P>LngLbQlZW*xac+nN8J@EJwilmY3>9M%e39a>)u2mTf45 zbt@b9(gewB;;YqrCTh(cUG=O?eRXqR5(%BzSmF%s{xnH@h1Um*R)!?M6l zk5;e3%9JfdH&t65^Z-=j^Y|)IBUVz>@Qwiyg3|lcI7EivQ2jum3kPwFmDzxSrUlX) zV&==%L~YbTmO?M$&}HmVe&v$s-GUd9S#tImLQn=%dPM}DIrzD$420NgI8OLzb#0X#LhdKCAa3H1d+Z#L1H(Ekr7DOa-uDL1cmow>h=g`gZ>S zh^+Xpq);7J5D(PCVGtiEVJa=qKBHPy3MQWwT$)9w+mNLY>n`#abq6h$R?MvD;Hel) zVT@aua^UXA?p;glR9Sf{)1QT5J^WN4zwJS+6;GBhMS$9XWp#o8{(VZ=WB8|Ipl92d zi2-{WgAxYD2kN8PZzANf>r3ga16d2qxmF?|~*)H?P7>0t6dGbK+4kS&SIulW8fasf+19*e~ox!iKK-68s1Kl-U)~)TL4t_)o>BEAed+ zSS*5xach(I#a@|I+ko;5wb$4H?>~E&ABtIV1CXnk^BX~GUUD-SHG3}Q+_GIiGZG#15+S#K(0J zfm4v0DdY?gja0g2>LeM3*S&~dmflJwl=51Vi{vWhWMTz{p1=`QGxrecU*Dg=Wgp=J zr7*cs2}>-5NtVW{SK^>-0w{i^gAno@o%||ASlvR{^p*J4jk2OCg5bVwIZzADHT=qz z1;g2T`84nXs*>Zods0dNy*U~Ep zZwg-+4KB|nAZupp>pciGFeUyg#a_nZthd!fn;Kjgv9N#HeoLk=z<_j|q;WP64n`s) z`CE{eF6AeCFlswCnu;-JDqQ_WST@~G0~TBiMC|>-F71x~EtaHQl(_@=B0+D-Q5cFR zK{=|8yI%$`M*H|!j*E-L!cqt(@ei-@KY2=yOMJ}^F3#Mr+wu4{z=NPhLLa0oW~=Z$ zwgB=&ZVi>BEE6sjP#llSV#Ke7w-I5SxJ6RcagkoIgR1`kB?rt~ZVwEwAAy}?J|0SA zaRl9%!aYA7F_+K6+Z-gI#xyFWF3cd@wTt&I3uQuA>?wLlfpAn5DqI9CrT|nS(1wY9 z$oedZK>AC{-6{H&v?WLX0N_FO4|3M$B`f0KtI*i$qMrTDVb=&c!Q3i)LNG_S$Ts1s zfC+a;BUO*0W`Gr)=sK92MFwf+#sE~^GEZx{OEv@|8;%egVLN@kX@8aG| zA0?G7mp!R{c|8dzLyfB8o20LkvX1@avr3hfESB3A0xS`9sDPkKiShCLlwickdPUr~ z*uh|B(3CVXxty1DbPD83FXAkVKMPLBO#c8UC7ugqOKjvRngg>jaPk(_K@T!5wd}H7 zu~Yztg1xv3xu+qd!v+dAdHB7H@B=R&n9E)>Y72jg`219}lQMfO{9VRg%a@YsG1V~d zW#8ix;|hq#Y5HXb*=#-IP=p-_l>7^jZVHb12!7cUUW1Hvw=T#AI(8Ob!HXVDKwH}J zveUphDZQep^*?-xj!TPD!QF*zp{vN9$~BfDFs~|KCb#>V{x47A7g`zfIve&fi7g#L z&xkBot&-6cA2$J6$MrJZejJ^+UCX~^6Dk!2lSPRtBk^3MkLT_Y=i{&o{5G;{_xRJ3 zXOf^)Vx=Xc8tk~VTC1!0fedT&_?SX4I&u$9i)-`NYmJDj$Y~fTx#R*OFl;nm{FwlL zexVTd`2|gG_(J3EUV#3@`6dySC`e0vPOU2s0n#BXBHMC|bt+UKODz;P>LfEhX4#_R zbXPWrY`?_{wYw6C>{Q*~Sz}(+v6PiZB5(fy ziKDg*3tEKWUb_YUc8&hP$1X2wQLPUkP&E@&v5^03fjWKpXO3 zdr#I!Lte2{eq_wSiWPF|68=e5O$x-8hw2j8I#QpK;NDA~RmDshL-Po`?GxWER6 zHbJ9UWT}SDGU5hX*eZJPl-Rzb9blrWWf@S--!?KnIpmcV?$^tUlLKJe-_V4-~RKPFmUKs?t@(19T?fyh5AEVeP-stLZMU4ER_Zxuqrwm8{F`Sh0Kw*7!wrc#S~XdgOGm3)}gETk-trm!_<6HW5W3WoEei&jMnDMH?d4*Kt$9rDJCC8HIxQ34~XK35EVoM zxz@A zW{Qr%*eg=v#6X1>E82KLP+o+&yU?}JitZk(APennJevqfwN*a6trgx}vDxuTHZ!u( zs|1>>lE%R;gM=~lXdqN*xO@Cn04OL6xC=lIOO1A1*Wk$ST4RcwR&a`;V-SfLffX%2=>+y8-_IT25iC#f{s( zL(VQAyii|l>RVB3xdn^l)3Ch zeT@YRiP>}~GTEPufc_nV%Oyl*T;KJV3zsVY02MtZmd78#`7j%b)Y4qOMpUWrp8gsC z03(gd&Q1(^mQ(oW;T0RNk;*dHgi`(?9qLuJQl}uEM;F=SJ(pg~R3Lr)6GB*IS-*y( z_$ZUbur?ca@yL;(bs7X9` zwFtnV(l5fIRad`;tAlYR85#it^6~*xV>qx^<+C`7X@}zD$RiCIkt#VWa`Wn2G#H?M z0lgCJf@=+!%_--T31aqN;YVy1AuOc(R9xCS0EQI?*H-{T2q79e#0yhU50NQh>;MK` z#7E(&OPxiK0`6#`YpiJCvg5+{?h1!uJJJVn2?>#;-)GnXX?=-QUI>Mf2pNk20|Uy6 z`ico*_@)MO4p-(SAW#jS!YpQdK)GE~WW2CBe=7dQG^+pE(*mCFEu&VN&J5 z;g@hwmpKGtghl!ZU<*-XtQwb=uD3IzQUv3O(LTvjl2q2VtJy);<(AAU?EqoNU}Cu~ zHdus9Okbi2VWnb3dy#8QrHoUOt=^#el=SB6&mm3y@Mr_B3EXV@@L~^R-#Yr zT8S%HG$~@-AqU|l>Rc=@b)(g>2X_`PpWztDm7? ziv}j6e#0AK3F(+s*d40DR`#+d{6qmSNKLzoBC);QrvbHLwG8iXa3sJ+1^@_8oMnlNgrYC8KL#rM-+7033y#$mg-9kR;wq zzjEIU?6DxPtAzdSrTuJniy%;$Oi4dVp)B#b7L$NHEFRp@8Pw^ zzmycaf_%;vEPkaSY)g|%1bHiPo`dQtK+qD(!##^?_a!gV?BUAzi9kF4CCjU(Qs3gH zfjiPPKL+4)Z3jXC>@}+ic1ik`F$7-(2H&yLz6XgF->l&Dv~5c&AzKRiA#m+RNC8BP zLRm?_5t;OaYM+Qs&N~?P0N1cy#`1=UdNxWI_+<^tVUQjo6`P6;h*1)oAx6Vdu`fw| z7JelL=&r^rykJ#+a#kQ|tSulbO1OX=>|7%Cm4WoL*nE*Ae4a)#7j;qZl&plVs}JN| z15+CfixgI)WSYhENpns@z8ec7*I%i0T9!)q)Ed`23$=0UNJ6KuMWRb)hETfbL=;ol z2ya}6q!afq!g? z%1dS$NANxl%DvJcufT_}c|xU84o`uV7P0xIepns85};A76X;x_*?;N^cH=ZDEqhYD z@QH(a0NUg`SJ`3%)%$>In7a@HV-V!%w?$l_3PXfi#SKuo0SyXWB}QZVL{sC~t8Zsu z19CL9UzjUIs(Tg$pp+ejghc-Ui9mT(xPV{GOHxA+0+^kAqrfDoh6=)VQBP}-6S{U_ zsYDCxF}JXEC2zRs3IZ3m0_KNVMx{DGF_P+=fOa^oA@j!EmlaUOTX#N7{X$qcAxkC7 zNP-DZ+&kX0YLCe&Kld;CiL7-nxFdzdbe{wx0Syn#Poi6UE5(Zo%nj`TkL`-(U&I2J zV8W=Vv;P2uY|8#ajHK*Psrz^yl)648k&55&g&%I*G<2zQ8S;Xf;Q-eiLSIBEwJ!ev z738^BlFMi%pRY)-6yP*57UfN%g{kv0X0`-s;O2IEJc~888J>JbAY8YywOnvQx3CMi z9zv8;Ao0&)EpzTr-;1~bYw`(CCBo7BW*wO>`6(|@CpQ6E!<8})OUGe;2rpW=*Vw{T zt=VhJofjT`$0|Q0Z*@wxvsLk?2p}~PtH32_hPQtb$;j4u8N7`nc7SW;W|`lATELa7 zQs$ZPEN%wyzRM*Qlv*AwCcIP+m>}P0MyI3%{{YklDTrH%VMrJk=n3!#tXKx`jZ1!_ zeB4OllEehgqfw4AZFhMT7J-&kz{;i9grzAv^%A@&KgVOb-G5@v6v~ZYz5*`XXGueM zF!(zH^VTg~h?N6M!?r%d4N~TV31NA6ZXj>O4YhOc+Ek*(Yi(pe@=#$&q|xv}IeRT@ zu&BbijW#BFuc2yLEHOZCQWa5z(>`J&TddO$iqRV z#5`Q*30rohO(sk@2z8V<7*yAUy8i%iiP>R{5xeXf)m?}!OlsKv&m%jOH?e^q*#Q;> z@?g+SW>(6Gy)XTO-^2*G)j*J71XmXiG7Y-yNp&b}E(e3yFi~t$`3MAEX~>FP*x+zz zj)fmFrqS^z1FWeJZsbZv{$T?MpXil9(Sj>)h9GVh52;`d41s)28&E(RfGzElW>Ab3 zA0^MK3i|`(!Zr@Fz>TWC{E@)Fx9(B()e_)?TG~mGT9!c4yL_1#rgyMR5csc|iaUht zz_zXkWQOa)3st66xQwcYNF@>ra(U=-Q=j>qA!dXseB&G!J&j$({?PpHvvtT z6JlG-VM_Zoe9OvsN8arffnaAMB1-VCO$B`rv7`F|HY~xs@)OWdqxC5N0N%#ji>*oq z_beZ)JY>8+;;B=0>LPZYN+O%s6rpeqkr*I~ZP=DlPUPc3l^UgcbG-4UnLr&4Qet1hD*{5!5;G#A-EG<8;5Y zFI+F%GT@D%pn>o&kHk>B>*h4tY~;Kpi`hVJ8$Q{WR#35DT%1nFuX z&3wcqBf?&)5ZLgSAvS67ltZJGsLC+`lRIo9O6tGtHrv-_4Q)DuemkO$nM13FYoNU(5wDC4uk*=<)DdKFU&$})(7M&6K(O+aUntF{bS^lxFc zx-OxX=fiZ1K4yS2mZ$>;#QK63+I+x#{X&2gvqAb< z5@@J|Lv+so`4Mcn08}R-bJ=%ak_ahBmkKV9)YWPs7fQH3;)RaEr8v(8+$z0`q_*w= zfNf9Y(N?z-$HWmf5{-#RVkg`d@lhLHDM93*(3=_wc583~t#7i{dS$V9yHF2Ab!2Mj zODf?(EalA;5q=QYq|v4{E7-iDOja0&#FuFmyBLKL$v~@I_#VM5UG}(Y4&D%~Q2jz3 z1_wp`MC-R2`#?|VWn7?3)eGg+wU<>J8pV&x$fXD3eS#NmvNDCG3vNo*YwbZB7R(+C zdlfEPd0#B4>lQ@3V=s`+4fc=xK_Y+*Tz~N&aPs{a9|^F+x2=IdT5_e5-9Q%@A+?hr z*JDXwwvV`B>fXyT>Z>K1K)NdwOERSr0%{yJ7F62+TY3GAz@bM|5Od6rbV))muS$X& zP&*0>wks@2@%+N1tAu{wEsb%3F1eAQx_Lh63N_q}wxiKhbOB$)$f^Z-DG`IOkaB{J zfURuuTHE3^+u349ih+sc0za~{>1v>Z3!(4C5cIAr=%Kns=86aGpI|)ug>67wR`9JU zsd%yrWrC!=GbSA;@FgORU|u)k4OSTB4>=oR3Xc6U&J5VaN{)ro0VB^<-;o9Gh68Z~ znmGhl4n03`;Oo@v_IyUhr?rQb^D|_!$xS+iLa&lxt7wP1zURzP3k7=3DS@naA~n{T z*s={j8Nu{I?%#-o>QTA~pes=8misIN>c${rC^j|Z+5Z6AnK!Z5l{;-l z!%O^tahzS0iqZKCm5^qsVl*uoG_7zhG+SlP9aETv_4QL#_i?9FNU%XowO7bkHDHB5 zP}f*L6HfmCnMMR$g}Ds6qqbbv0)o7bt+mpu_fw5no@*@pgd3gQt^cHTsyZ{~Xih1vUv zYwO}7zJ6w=+go5LT!_v7Ff6voNe34XsNdj?c*O@M)#k?br&OQWa-PJ+MvZ2%Rp!R% zS&?ih-(|+Bus1H|h-gHmau-B4;dK`Zw@U=|W_*4wJ=>FAV(ETG3-Kv3_?HIf!iiOV zrF#e7gd4(R-2O&&sZH0g3oIM?A#X?v<6!+^!44q*@eNx54Ld1TK|n0)tmr*E5x4H* zHAc&AE)ZlZWn*h3LA7$jKLdd2HstIm%)hOPvT+(8@lc$53|YfaWRMoyV7|}~elBH9 zAaJbM{{Rd}50`PEZ(hxdC2Ijqf&_jQ8V3I7eTB#Zu)EL@mKlF?fr79K9|Sy2mgmRZ zzC65@f4JZFdPU5LRXZ1YUPkmP&+N1i(Ojt5eZ^p?_{K^tguZs6D$3K1cID1~WwiE+{Qd5{w7P(8l1^U~YY^i*U}m zq<;)>KBi1+S=^+ei#NhMOVJVI*wB4S5bN#>T)blNrZ*MfnwJu~bckQcu_o~M0vYWPKyx%K))h|X#r%i4-1DOnynj9*YGl27WLD- zIacK+@&>Lv_7r4#a$ZXQQo5^qeP%T=j+PatAPvek;4+Ua*?2>`0QE4w6*4M?SH;PI zMDVDhA0t5Nji~6CaL{~(7t)8af?QTRp9My|wZVvB2=Z<9)yuX*7sCRF0$cGO<-|AG zfSa=;MS9uT;D@;iwnm>70T;XM5)|A)(FV+#svUz;q8_xhe8SM(^~vbem3zt{(wjua zi+9+_-G0Co@<7JgoGi{ofnjXEZ223gLMijUbN4wK&Jfhc`V4?6ofrdIRNPL5W{NUSTLOv86RB0dz|snH7l7iH6}V6n~5ZW4SK!Q5Ka88aB!#PM{kF`xB;I z41ZNFvpocsyM?RxnmK7z9!GhqUC$#aqeJdr;#9OCU%tm%DCND1Prnc& z$D|!NZwLuS3Xu#lZESKEI}$VMi(PgQ!;dF?Tqqo)SxA(}!0NZG(~|lSqM2G*vzggx zs*AB6#A*UGThC!_Tec+7;6u{~r*Z^mO}FM)VaN3sCsynLAvF$cW$0a~fv-q~gRK4i zje7_`^#q6JSMh#AYPL{-5e$9Y8cT&37T0PvU}_erj`i$Qyq`dFdn~wR)so>BLm8n( zzdsOO?j{P4scdJ{2-S0y$!i6VVg%lS!ZNC8O$&(M{+auR!THiYkDSoCcFsmcg)V5M z7Ah+vZy`pVfDxCE#4_!ny0C(171-a z+=2=5_VN<|41q*J!?!8Iqq^XYT3u`tIdG}(Z*spFDDfO>G&%Cl%tn^!Ds-+^zyoff zub1y5VCgOkPQIlW;fX0|j|a6Cz9TAI+zCrE_iK}&rXznF2o!F~6>zYyuF8VeKgi?e zUcF)&Q>9cu#k3MY;BqY0EDxdx7Ssk^n0_^tPVA)#6P;$omhDv#JZrFEmKz%(BY_oh za;sC|@tsFH%WDJCE)tj{PB&2r_Y&K!HW2Lr7WS8)sdm0H2r{CXNa!xMU1zWtV)yC+ zv~n+0i0Bh!QE@L3aQc~ntVxUQ%AYZ&{vov55cVgjlXmT$hA>sge?cCozEnc{`~f<@ z`|V1bFkoa*M79-FKsHu4C0`6J-(skRN?MJ4Se8W4{!_&F}eUxeApV4z7x z#|BaqcOtBlNkD(dn+Ibuy{I8JO%+~LyD>m^)fV?lMUXXI6S%(+1-px6*0-`GgPbsluI%B?^4TN!R#Yh<$x(fTqe;4 zzvl*NUT-BZX}WWg^J?r9%~P|{$Vzi*GUeM6mBj%`PcE)ELAa=jBgMsC#Y06AWM<$ojqKWi|w zE#VUlWvp?H&66mc+eUH*FY!3;zE}?eRjj&*jk4*hfupB#q7};sQGT$wQlBziV(a&D z$Rei83ghYIL9c3A7g$30z$O;} zN%gSN(OWlNyOtY-H78xTKrCOF^ttgrNYGlX)Bvk3)MW7aFnV@TaVyx^TW?~1$tV=D z<*YCPQD2Cx9*Y{Pt6E%9WDeiVGRnN!^c)U(V*_=*34Z#-<9{rI2Ltp=iCHYtwlb{k z$1ag7u1x?p35e;~_lWCC$SyPHBq7**6sXV&3!&_K*Wb8_mgh&4X z1aP~*WlCU%%DSSZ!%J|?m1!(Ym3mcjeelZqHGkQA>YET*uW62tf?_E!D9af6 zWc>0d)*_3fml{}s-S#6hW$evKl~)^X)C*y5{TM=!uk{GSCWC7#ahDah0DQPXiRAcG zLpCbGci-$uU_WpRSo+C#2?4X?;EERgpjNeB5LJVF0Hon@lWmPE=A3yH5T+iGfKse% z4>b{_TM^Z4t963v&)-t9F2TtD>}0d*E3$&vYACy}k0Jxs%BTeWc3PlZJ3Wu3#51bB zYm&7p*1f-`IHG}21qd~890ef*{{S!tYtm3JT^fhWBCv}r4%7z%*C12-x!YsFp$)9; z)YKf_M}aT0jSOOQfU@FM6h6fGdSxK8vKLCwEpoJZ?oT+2c`i9`( z`|J3?<#}ZVlosD}?g@3CK~|d2{80!PeZ?P1=tid3b8E8U^?$!1wM#5&4)yhB*cyFQ z85>5AOa77I=^gN~OYGM{mKEA^Qrhm|E`f1~I>x0oS_YsHdljG{yZDwO@7NkN=js}w zn_c(fCq>lrAbR|v%alF1K%(cBsEA<2EpEjGl@nAk>hyLtsp}83s)|kC!EF|{ zl?+~mK%25xk@hgDw|wLPr8Si_!Vl!98HB!{^I{EH;oAC?9Gb~WQs}ych`Kk+KRVHg%81DTou1KnGAjrVW!K{{S0`5jN~?1`uGk z9Iug^N$RW*&u|iAR{{VtuX%eGW z53a!yf?*~hbTzKc+~y19GS@Y#jRgo+0U8!BKT*{;ObuIYz!>);F;UU@fsD`iL;?^Q z3_ZD!%o$ajvf$t09Li8_jnVd&>l6v+{6JPv*&R+Ue8&@If7wtMw8FTDC}2ePy_^*s zm%2V60(q(%l9Iz4Srr;l#ACo**w^J31;b%8RQ~|yC+#S8*tgCT1SnemZawn;wN=4X zjrzi_!mGw!Fd%4UVs-_Es>$;##+PE-H!Qs=yg9I@(tiEzJD;Z>h&1!l@QbC@fK;06y z7zL$K5DUQELr%&gL8|$j23PqiH)BgxE};7Srq+hNfM`Edz-cjJUiqbJSb{z^H2}fn zI@AWqahLjpwN;r0hzN1<6PuBAGn1fW9QIbxa-t{UMk8N_P=!^$WG>%5SV@f^1;T94 zsqfq|L>Tff>~?7^ZWmIe!u*BKgy%h-irAH})#B&SL;Vv_tKDD3YJI>%<}&2?Wrtr! zAla19+!P`ut9wxb{c=*QdtSv5zOjc48f&n49#H@dS7*?OzsO*+zFZItd6gTMVesxo z+bqN9;w&o7O@#onY66qb_FT)iqv%SW< zu@=CR?#rDn}tFIG|p!B+-dJxs1fD2u-Ac?G>B2GxIt~={~KA@pMlMg;oR>*xsR(mYEwI}7`s31fi_6j~?kUbc~k(RFh1*Qu=NMPLwjx0%VNnrcEQs5IOWLgmvk1|7>x%t|c9A_=u0-#>r+%^%O}ob#OX z-1q&yuIsPU57@_kS*NS{IM$QXAVWBJXFMM?D&+o9`0B?mjMo(SLE?v%(cEjB`wm## z2Typ_(-Rr>w~iUvqC^MHhTr2#HjD}au`ez@i#Zat2P$6>FY-;K4a>B1_v7r5Qsac%;>zU}9;VGc=U*EMSE2MYJpQ=a^sgYWJb{`~kD z_w)9ftJ3aE&wpX4A3g1S;CJPW(dJt}Z2y@H-97fvH)N*<^!pKlzf^ML&+j}0{T7RN zmJ}yGemeI|Y@V`SeF!^0>X?7f_1esn?;Jl_rk~Nffb`E#Ts5&gSnJYUGKBtoFKUBu zwSW5cFBV1&ZV=Amy360)2eS4(Qg9clfi2>i^w3Jv@qru1`mX+==1zj4F^i5vQ5jda zw7XZpu10j1?hdYge(ds@r{4Cq6K0u&FZ^rA4xSDRYU?i@hx{`a+1=tO@(TGwTP8Sy zcFt|GTG&uP2gt#zy?#$ujJN$7FGFi+RzW=uzrUUPJAg9bhhhX3Esz`)SJ!N)!%+WSXb@X6waZ_f=Z42=v8 zBkj5PajAl`wypF1P8OCTP}bkezNso`48(M3$I`Q zck9RwE|ON%%|)VQl`>E4o1)0hS-@Mh`bFhA+eD#b=H6fg&PAkJ{_=8eT$5)Ag5?an z0kYd8xj?4kZH)~BW6H`C0FV+{Zu_f(C5Rr`tRT81U7%1Xcszv<C0|iv)eW> ztc$M?srrOMt#ZYSU*=h7ix9+i>C_txsR=T?hc$WT*+5^u&5L@D(1Saf#?0F5exKEO z>AT5yB*{`X3z+lFUKECWNU9lDB$Z~@S5Br>05l-a4w*uV^pkOO^R(IRK5Jd()yIWi zV-!|VcFjQ`ax7mxX~&GD`Q|>~78z0WmzF%3clq*_MS22Z**U4=PMWj>Y!L-Q8$)G6)E#m?85cA3(X!lFvN*Sx$o26VJ}l9vk>KQ$M1@E(2A z5?L5#NN40YU|u;n7s-}!i{8M8yQWueaz^zR`m7qDA*EJUktH-dYq^hK`C2;!~2>KIyw9{>bAL zJq^hR+OrN~$(?WX50|t+o^)n#VPR)k<|%DFbD*y$U`+I}@@Cswv`M03a~{gPhW~K) zG8-&dDb0))?5{HCv;4xKB3N#1BHE;Xq$!-#e1xC?6;x#IjY<$7wqbgbc{#C#DVs(@ z{-P0UE}YlT{$-g`|Deg0ZG0X5UdF)op5&N;0CLITO&|xdr1nIp+D|*#;qXPjBOhbjn zMo2<4r#&*L>ot@gFtDu4%x@p?BB_9&W-=eJ(Rn*VRFf>KTQ}jJIP;hZDY=l5AQd`5 z{vr-`B`r7(B3&)gxd9^_34{wxGOfFEfTiSYW_xLpXLB{zZu-x<a@*Ql>w3z`$8`&1~e3jQZl2@CTXov_lVuc*O`I`ar?If5joC`$1UKXA)0?+fMKb`$knrF+BiM0femTI0; z=;K%Pr1kEf7BFo&z#M78k$Omu|4;Bt*?J*15-HVai-J0q3qCDTJ_WI)1n0!-{l2!0 z1afz^W-J#0eQ=>HlT-rG0T-7@h zhZQgGfIg1tk}{g0NnC7aC|m1;+U*?I<*fVoNqeh#g;r!f36n;U>zmA+G?}P4@sqN} zJ=zd%7de`bjo?cCkp_d3)X_ro~ydx+(E0Zh{sKlHiF~a;B)dk1F-mgUi#B#~fJPTP=F8Sod zrsDV*tbo!aTpw4nvdBu7DUP0SRwI9kKOPwJ2ACtZzg&(K0puOsZ3;7)&zq>=;{t1| zSR97_T>5H87iuGPdNVAp%S9s#cC0Sw7iK@h8OviSyHIA~VQD453Mg&Xcle%zd3>!v zTgqzQq{0w}-V%Tl|p4^~Di zD2s$Hp?wOTuF4$~kt2y63g>SIt_0@$I0bx!k1hDgYDQz5R8Q{7c(?dHBwUj-8MC@r z5{ihV@M)-|(x5B+L=?ekinfppS(@wZI0IzZL($}s z-a8d8d0e#r1E~~QAg5G{sNPE&050|BWA{F%Ara(lU}-vE0@sq!({{HORu}RpIwzw} zBeM)^#Y0&XOG}|?-)|8d7ef|nZd^BqWku}&|2L+TpeXkS#j(S4C;r=&&zi*19 z{C-FQ-%co3?~{HQ{QCE_gV)uA04i8~6_Bdafx^THR!nRVLwPa_2yudtR1Ao1uQZ^S zfU|}E*Y$mSjs=X;JiUz9iYeR4m&9o|e@pJw9TPbn9gEvLuo%!)%RKy7dJD%ZgSui( zqh91PS!ROQXy?;n+oa7W2n2j`y>u_h;U!rLmq#i`7@h=VasSG>2u^6A{?IzeMadwp z>7a&M5&CDUSc6F8dFpJ}mK_J)nVv~>)o+{^I&V4V4e&ww3dp8>`n+8`aqKIlVgLz~ zRvgsw1!$NHML+{6O&9&n2ge}E@>%`NcrnsP#vSMw>Tb%qflvOm0*4dY39NbQ;d2Nm z?+kOFjlhL`HlOL0^`h|$gg1HJI?3O9n=82DjJT3~G4o%C3a%L_;OS>E4u8!Cb7I?m z^Vg(%g9d%;KJicNbm>hIT^`%RNDLcwJ*u?(+PYlpa&FV@Coi=XLLQ**X- zcOV?a)sQDSATHWl9{#<_AJh#;4x-BLCY^aH@Say==V+|dO8DbFReok@Q|=_8w08gz zp#{O_kSsrzH>pMgBDb@>5;lt?E5$fIMIc&TJMcn`nm20LY;R2fF0uz5sVj88tj5+S zyp)eQ@AMGj>HTn^Ey3#%JQ`P!lORugQt$ZR(VP$T;L@5i0u{5rSu>_7;GwxO&s|Sk z(Pr#w>kW%}J(2msk0Xk$m~{P^k*oUo<<_m@^AuI=K-d*0TF-0XPHC<<0nFG1e1Gf& z4-8y8d7p8jB*n+=b;`N+HcxN*8OwBVmrG{SwI>n0{~6CV2|<>_`km!?G^{RQ_+>0& zZu-;@6pw~{3jvvv{v>b>I6{8r<%2_QHXJ1J-+=5&@V#o!-OqDGmQGNmdqolR<8M0N z8{jR5&=|XwE3z_Az9!I!<)$-C7rTr* z%^YKL(skATo<&eI1dJH?!t&x3|CTm#dbfQ38S2a)69j(8ec zzMP)VLdp!;$!8TrMMs%L9+2n~B{!99X(2erj%QaA`g1mlb6iHXDtioJx#(3|&j852 z-D|IG3%hJo@G=gQP0%}-ntX^N5khM?(g1mq)a(+WC?T=C)AA>`tfYjwGM&H)qozT# z0muw14Z0{)yvCSeKHNMwa3-_G=M5*Ta5v3%H`+Bwd1aoz6ktb}gG4Z@#8-ATdTrM# zagsys9IDGXOdO2+&}RyNM^J|Z%-^WG$qp2vfAxmp8f`leI3#Yfr=$k#mCy($H>aZm zcaz}_p^)EoC_;40eGI`FOLo(jaLZUyiJzH$Hm=0){dS^k+E4UxUSkFMX3)E^tO)J* zRV6kG9&oFhp?Wcna}vTeghOh^Ih%x9xhOvW8vo7{?H85a`Seqi$$}NGEpDOk?1WHq z?EPe(x6dpX+r)B(oNKc{1i)X^x@97Q2)99r2JWozAA)^My3Am;E>3@sN=9#MEW@WQ zJRuQfw{!XwV*w>u^pWLoG&hW#qou`R!o5|YnX<3-zi>}^pT@Grz4*2%Kt*#1_o*?u z2;6Do+WqAi1&?=OEr3Y9m?Y-a}S2 z>2NsM>l}v!9y&>yKb=6=6Za0js%rki;IN{uo_E-Sp^VLv@DO`pKc8Gmd!>;{f2d@-n-z>pFeNPAl9=6 zOBr_)-PQ?ArWnU_P8AT&rMjKC(72~*+;p@-lw|T<@++q(h40G^(O>%IAT`XNcV{CeWCb< zFTD1)>i2u7iP;^iQpz5L4bjrrNm-qV?TP=Dv@7Hl*PV6^qBtD<$(ic`dg_AiX8rQ` z`5TRswk=aZld&vFygq*$TGX#<3`-oG~x8oye2aFWs z-#dx;;brGws*HEn&oMeAHrG6q+8zjJT;X~3FesAtKIJ^8Wf0(oxBsl|2cg3zv&Z**Y+li z7s!>aIDpZx_QwmxuARg87PO=aqWC<0v7>7)c$eHU&1VZ*?MqF0BHpXs!B4Z$!QRB; zwOTUjGApi3YF=5gi`acoasMI?xOHz8|xGK^)gc z;8fd^2ZijBRtieu4juH2gw7!}*7P?17vvt5DS)pqRN5U z9x#70q!KJQSH2#`nB^DGKazfwx=VM&HYHS<{aKk^Mm3AKX$vTCcCWhMrSCA9#i>ZR zb1e-|>ou|Jc<(w|-c3HnA6;|39ZZRNgA&Zs4RdUY%@BSy|Mg&1CM#^tu8ppg!-+CC zNXgf8>cq@Qlj8xD+yo#oWfMfO!`Wd9E}aFj`(5B8zRoXYHS28}G-pV&&59h+bJ-MW zeA4YQzSyI})nqvra1K})$*L#UfXr-7&w&Lh6DS*er8&Fl&DUj`P(ff}fD^Z8_+9*) z@|=@f`$7Ann$!oVfERbykHn{R>V8=IMqQeZ%fmu!@Trkd*bu{&xWsKtTw>cSO7~oS zIRYtojJ^)(!4IoKf_ME=bZ$IqwWZzYa4flhyXvn2tRJ>Qoc}6hisb#VF|>@jEJr{x zrXf{MTl{`n>qFAYp7{EZ-%6u9V$NbI!cBKGV@|K1?o%%dmaVK?bm?(&D!GC=@%eO` z5(e1$IiW1sPv0Ek4G#f!@Sl`~YI!IJs~6eUXI~He;j6a*FPj4Y>35Mmg4#^4;*C&4Dci&Jpm%>_9E(WW^(8|s*ALyVtKQU{sFsvgR0E|n_aH85eAuId=P{MAdzU17{LbqO(M0y@+i`| zuTtM3uFMu2bgGv-vM_%`Ug$$)BydHMEbIH)WUVA{SyybAW_ZW1v;4}1@3W@dSTB5M zQ8SlW7&!3Z|4PpXmAhSXeKX=4D}KCKn{?5h3vl*fLFYuKkyFM3FZ>F)hb78wtw_;k z?Y;c|`PYJWMyC&$)laEg=3F^N=F$A4-T?eu<#N4z5T^OMW2-&Z=%nRmuGYit`_1GK zHJI=Oun4i^yb9ryG&^Po?W5c!q)xWt>=Ove{4}uB*8(PefC&9}q8I6jeeQmE(es=ar3hmAT9eoOF!jf0LEHi-<5k9kN~;a>lK}J80J(tgJY~x@ z!byu%8X0Wk<4G?LD(-VSzF=A35+$?p_<-#TH+Y`d89p=>PRNBj!dG;*WUf~IVl7n9 zL~%oV`Y>X&bclS%2@dEMxV~JL~aBvzy*c&&u@2C-wjC?vBKX z+?3t7C9f&4Lu2Wd`Q+Eee%&l;p5i{W9|CwGW802K4)$ve=$l zH>I56r1865Bj>9S*VlcQ^HCoK>jQ1typ4iPbm=z&OF^z3_bFSYrsC$tmT`#Q$1w0k zcliQXPVkZ9Ydi)!@6*adKDoI;xTuk6F{rMfL3^6Qy?Md5%Ggbh#*jQc?zs1c@0lX4 zlt^8@6FLg`?<2Ur?hWHH^Fs1UdD^wY1Ne_5Ll@px=j<|DfTlgR+I+e76YCn`gQY=T zNBgJx3;#wH%JMxK%k;dqAG)+qEb+p5QlOWqJRM^93|dpEpT|QQDd+QGIo^K56ASGr z`l48(>}J+>(^5U7QcL+#jcIfGG|JiXmi>8eR;XVj#QB%A(o>o+YLvak_MPpYY*p&w z>jZq5AeHZe<6CUHVb$&(TvQ=7O5w(PEJ4Mp(N2?|PUjAnQ?=sverAZ!9Bg&W&p*fs zvwn`7HGM|Z-3Wv#blJT;2B|O{!})!7rDKR~PNMMx1FH5N$^zj7cOm2RDIb#e_^znC zSib z)S4GleW>3o*K(hb{%6B~{R8svLliid3OdG7N~zWn$gWg`zrn29aEJcd zpS|Fx`e&Y}C}y^S@;si24*43TIXMMLzT>VoAm#<=7qz#D|a%oU3KV4T;aCWfJOX0it3N7i{}zZ zE~!o#rvKS_Zu@wT*f7n0+B$PnNN9j?0Gt{ujcXVx99HaMMIV9idUyiu&cK7@dj}GcaL4IgMq2J4_fW1r^<`bl7RHu?9tEzPeX{#lQggZuWD_# z#{GS$&n;9LES+|9Mq22y`Xcygi9+69`~Jtk0qd6bY046)pWr3jacZPc3U_5&5mno~ z7~c#))Pwm)7d1(>claHUDP1^hu538VW$Z4~;`^fVg+urjShBT0N;Y|B*^i-RIKd_!uh?GZpVGdih3TAPHM6S^(oQWwe;L}o=v)u{rrRI(c1}iv7G1F8%f<< znok>4hY*^KETfQA_i$n#-!>`V{$V`i*U1-^={|!AAcoU;sP}qDG{W`WDwu_NueJq( zhVH(LOqeraB}hT-Mx*CVx@gi&sn2-gR+c$x`3x>A=P{N#AtZ`i&HFZ{q0;C_t+lQX zD(>TIuA2%YgQ~*)LmiGn1qwGeWhm&+vfcX^%Q8|QyToJ8r)EwJo3$e zZ?3#W@A1)7_W&}ZFUM*wtDtA<&e}xI`@|gE&(fkFL#%V8(3gkMiZetpJTfr*eYnq* zEeb(+ERTiyTgpE-<36Nt?n<}!2FyGHTlDw4X1J|kx=YJhlFSV2@T~E@OEIIx#iTEC z06}CW6`Se>h1*o``U4C1&`pk=l)}fN-3te{vo&YM-19g|u+LT+sU#*rf+SSD2)>xJ zFRN+V+P!Tzu{0f}di!uNR=7>vPH3d?SM(*n2MftzMEeV2l0o9pAGBSPgAP1F90+{h zN&4vc*-5<>b472Tr`Mmq3l6o!rM8~hMBlpMwtaTy`#gKWhE+ub_pLDWBDX67?y9l^ zyw%?5%_m|_83U57ay)lO;_s~4rjXE3XD8UtH4e9=AARc_20DfIH!FoEmw*zVxccg= zIv0WSLzjG=LBesnQ;!RG)X>&oDO)OO5S9%+H_;olG2dB@?g-fVXp>LP{J&^L9?fNz zAalX|HnLjLD}H;Q^>rB9O2ZNZ+n_Isd-6|cJ-7}|sIq%@Swpu~{4F5mY7N733)A-u zQoiIGM{hSubiB==re1uFthM^G?vxF+aDs!bNM%?Mo~dUBD8I(L54dFmXHJf%T1Cat z6@N?BiLK6X3%`mzm76U@@n$2R?%d)1y-)vbbkYR4oMi3c>bhVb9&1PcdHqoyvT#oG zKP@*5+8DUyNS6zJ^_(iw;k6Q#Cq#LYYAcubkQ#0@yqcA4_BoK@@g=)D?|2D}R-01S zFYR&JrmBd_eoDeI8Gsjvn=e06N~*cp1;+wpy*L2~qy9%%&$N3b8dSaaa8G(es+1 z4na>byrxbQ#>s+&TYOgs6KQILuw9LA+Oej)FlSr56@KBX_`aKZ0BaR_RD%t{ufUVMHx>>GYGS;LkVE@bWn||9=pbh7rS`Jd^{Li{l zSZ5{J9KoKtTcW*^wr-Xio}VTRT8te_A&eBVS4b`+#SDi*eR4>l6k#*%Rc*!(C>2|F zbUR&JIV4Ry7bLf12!&f%auQ~zT(#I9#kx~rI{@JgaIo1v?Ec|_?lx|MZ9*S2U-^eg zZzREa$KRU*kpaa0uT8x+f^0H-DUHt;+oMbNzxk@=0!~Z)KPl*q2>XIo_fq=(GvpJz zA-gid$VzE=*6`OdqLIhPmL0ZDn=8xF57v_M*BOJJb~&iybDoY5X#SgNqHx=S2lW2^ zX*}Lku+Pk+Oh>ZH@m*lQBF&gwW#|qd0!}nv;WE4!&byW^;3tW9*Y?^2H%wkoB?kp~ zx&jm+aaXYt&HhAT=x?|$y*&tx_SurD?QKqygbC0%yLx^D)abGYN=ILSu{Y~tgMX3?QSt!~9@9H6>iK(>d6 zlT?EVCkY;{eBjHYsc|zFeZn})!ijs>HgRZj{!?9k(dd9}-rvW34%o50=;q=i>EYSB z`s$-W%gb0Ge=@Ks&T1BO@xR1 z5S{)^5vTIfY|tHDqC|3f6bQ}sy9-cDZKxN|9R87~zHM@KGDq@-W?B87#Ki`P75Jz+ zLNJ?7cJCBG!ua3oQ@rTv4HZ!xu5r$&l-!Xo6t1Nf0{JkYZw;~3T2HU8O?xhMH|WSc zy$NPX%_}AnD2ZlS91aQKQ+=@8`bp}nPEEWnk{*A|&cT*%zWd@(@#A^=MaQa1Ur4FO zsHo2jr3WQI7FJePj0@0J#?O^+0VgZM>$;@Gl8EEDvP6KgP)=`WQxo4AyZTIRIjP;g zpRZ1smk=rBHED*6V*mV~y6LnUKaHgwK<09xKZ%#>29mS<8XJe`=>~$KT?-snDo!X~JQr)k6BU*;>dkx0yKZE}>X1p0DVPSL_Qv*d;nez@+qw;jbf z$|5vKxNEk_H#g~;WRWO*!YgZQ&Zc6meWRc9IF1<^WbVY0w}i8BdZD(N_4WeQE{%7q z{9c%RLjB|fI$>eyFH4j7sK(!-*YYe@gaO2I^^XneNz2las%KSesjUlxAlA`y#VCB2qb9Ld6pJ9|0>`JE2*2N8C*N|5lTyByDQO^7| zzj3Maz)i|k>&DI_r1Q_PZq90bP^_NNN0lX?{~Ope5Se{-t4LIjf6r}DU%)Nl36lxg zqg^`p9$!dhxMtr78x`{$nELR1Gcf{AlTAGm6d+x-iq7DPQnQrrwC*^*?5zMvQk`Jj z#W+$=8tlDsuLR1%{TuJajW(~=z5;oT<=Q?x#2*Lnd@LED2t(8~RG)@YLD5r=;U{2H z$eL#?tx_wEl{Wvaw69dH*)6?52e|<%rf$WlS9y(;iTYcn@^W@&UDDUwEO3`IX4X;)X)mn(Id(^lN10$dln0wRGL~ zZaVD1SFlU@XCiZ!m>gdC-B5+IK=rewF&l2MN}hyo zoMgchOzW)*UScKqj>d&UlEj{wN%IHn6B}rI>Ny~JnRE@}>!0QPT=tcCKEbq)j@EX(cQ>(0Ld*IQWv6ph!BWWhvw~uwX6W-PC z-cF)`JbWHxg}l)qUHLb^P!2ZTz$?GUW&zn1%Lod8dAH~BADq{t%APoR(HC1+%HD0t z*+af&PiSt~;j>a_Wmi_OwoClC!z)D^=-j6Xoz`pw`z-mH>}<5rI{}A-;DDogqv*Nx zMiDa@vVSZJx@e0oxF5{DL%h8unEFIH6*$<+%2CAz;gyK6PfHNoDOX%z%{1~j?cFN{ z7A3qh%qZDbfJ z&no-Yl_otlim`A^AhtO$OfSYEto>AGoqxTYPPc!;4ocq!9ukgt{`4Q)`-bmiB6T$& z!Dq>&KW4S#409NGn=!2~T_aO^t-YIiUNh|&&tF7v+r{g>|73-%dRjqBv6wX3Lz<2WF0WorP_N~QxVS>Ip4ctPsbwLYj z6)_*Bq1zcfwUa7TTD9KxCrD26_zVQd?&$o$?eE^2As2X|x1@Ke$q*PdnuHt#Yq zaAbR$hDOjX{%wABXV)p7Xv*oVU|jEB-^^@tjx~Wfg+pN}(tNX1lAJ@}@p*I2yX1Ua zk80(2Qc%CW4L5{3_;LOp-$m;f5%ol+3qd`yDB**I9(9Xh(=#To;&SUjOGTGdWtF$Y zBP$GRXi7+0gEc)PH`;KqBnQ}$IfgYoPvBXPwg7+sNMuFQBmK7Kf1w3& zmPw2J8P`2fsb^7O!llKPipinoR%#>fxwtP?B`YM(b zl9l$;$C@oQL^eA8_SD_bAr)MqxSSZiQXg2RMWLMY72wjRA)c#yot{A}4qpDVXxu6H zxqI2en)nRdtA8X%`eI~``_X=dl9wTfa7i#>tomvL4Cy}+mh#~_F$ZhP(nHHdI{jH} z)=%{-E5R>kL-k1_U%}}vJ4!J2E^&kg7I9n`$|lmYNjDmANNqSrJ7?z`aAnM^=H8N@ zxn?PWr_b8NM%h0djEH?w<%?%S-Osq ztQhXzgiKP z51#^^OsC=IduSsII=)JKZ_~JfZSxmnwT(tQ_6u^;d1YiVCxZc?Xk2Q1%yx+f{dt1m zDNMy%u&&M|pqz3;U%xGpEU7|--I=3xx$lc=DU_m1N}}zU0xE0o=NGD$4`QL5<+d|l zM$QMVDF^iYDWTdJLws$!R0xX+1+xBhoZ!nLpb|j+<213p+S4$2Z?Jr03WR>T zp4+Byee=yA&*Zgtwcd^H_(Dy?O0BH~!E+#`!$xHLn&JgeZ$cO3!deQbhp|^5!sr&b z99279Mb0J;TmHv#V${ll{26&_`{O44M79ZYFMoH5Q1z;~?8x2udTQ~9*6B#Zcvy3vZ&hV9ZGKh*`9 z8_g>@eX-${-+02znqog)&U!QHlKN7&=(uCO4hkC17E_QkKp!*u;nGT_kAjzjYm)d# zsYn`f(%F&ha!9@wPhWcog6eCEwj8B&>N7D|(Ya+?U#MOvV9L9_sQEEF9qpm7&qf~- zTvESwW{kO)JcCVI26U&7%aSQ9@ZH@767sX*qn#$03i)u4>}e}*p_84XV+{qvu^G2@)RB6wS_fuD!nU4wv`sIvYAC`_XljBARu=r0uxM<7kAeB}Yup^Nc!==f;cIi;& zUB1!(V*lN({?Omev^v=!^ot|zX78&Al4>N%+p+Gn1tOc^99OP)4>6soRQ>#;=xODO zbd9u@b?BZU#Hy6N3!dk$NwSSU1YIe+un@`okHRB02Wk>2H7&p8dB;D!`)?q5XMI^q zQ`ZUXp$qKZPi--%-ECH7d)y*vXT>Lm>j4^7X1kl@TbQSNGpIQX0C;Oxb!vNiZ$dy> z_#4##`Jcr0UM(N+gh_p$hs39`&K?1FXB#f2MEPm*i35RvFe?u$9!fYucE)k}eXC?U za4^f!wC(lEr%A+*GaQ*%z$33Ny_=>fXlO_YN-88{gM+cq2R%hBS1u~AnM63>B2<{H zbn3RRa4~qBXXh-f+w7-6PHF_DY>OOPIVvDU2GKo;2f*z#(Jz&mcd>R}N|O)E9_>u4 za0Mc8FPLiE*RdSDF>4v#FllgC#SaMFHd60?F!Y@{2((CBH^i)7lsKQk`e#=ernCNL z)mqdk$C=n^jgEaIcw+S)+oPO1uY5FrcYvLqM|}J;PZt!>@KFtEPODj@iS+3YUtZMH zF($w|^!3#MwJQvm_JqXPMw>G7DF5<$*FR!eF79PFQ}ED<2y7Q)?ON zLb&Y&TtF-tRT}=V^_calfNz^ss@K6>ex^l9U3073=Viv<5#L0!XR5u4s=2;6b+<#!nQ|c(sM|JP$cFG;We+4`8m@GhK**Ds1cx28U zr}sh^>1<`4@6}P;q~kZZG`FT{z?+){k9;5~@K62ySYktK{Vz`%N{4BOpcjrlK21(@ zYRe{lta9*mOTo`bnd3hxey)R<0p*L4#CR2JIty!$-c=YqB!Evd^|~)yWb0xbV4d`0 zVXMiFXPLFPz)mW9LKx-&L!Of0?EFHy{>zV)(mftFb)F;H0#q%$CtHGbbRGwbD7?RP=slNGE){7iGd<(7H~>=eLQJ_ z|GY<{IVdgE3LSz~x%}aHOGpV#vXD_A28*lHOb)2e)-FuesQ8I+kK2|9o_;gH6p|-v z{!&LO<_O)5)MVX}>>7Sr9($thuI$342a6>#4Q2xnZ(C#XsKVodQfSlQ9&YKeIKyQ0 zBIweU3l!sDlcpomnWK$UypmkgKkDaPgI<(`28o5S5vkmDg$Jdy5qRo3gD9)ZcUAuY zhG7fdj0F7=H%35r!puss@WlH6Lzqn$ksP{tDZ*AiR@?!Q2RF{vuWhDDy&J0>JPl8B z7K<}t9?s3)$2u0>`DT#6_V}Z}=NZIl)6cKSfkBuPur5ri;xP1ON&JhCrMLgtGE6ck zGsU%$9|Dhvh3cfM$@h*{dC?`P0rrdC;hctU(YZ@-se)4=?0>deJuQynbTS*3g31sy zek$~Th44d=>=|AUXQ<(cy%8c#rk@qLL0-I!DqQxc&HAqHwiXYKlxCAl85sR{B+9wb z7GrWO$?U&H-R2XeMaY0HMCV`_E@O&z!ly3%o1Wi(CztQ7S2uge?2UkqIo_t_bz>*$6zAm}H{_E|49j~`5 zcu&uh>wCQS_T4CZM*g`%Q4V#K6*s1=&?6xjz>$ACKrhRR`aM=-oW#1vtbhHKNFA5Z z?Ua4@s}DyKxEqtK39~-R8*p`Ap!lq!9u%Gt=X3T@Mu_rSRj^Fo*r)=P>>acC!R5ZR z%OyCmf+Ow$|31l5n7HfGQ&QvInIiy9X+8kMao;F%cCx770esde^+8w7RzGz2trk~+Cd-URSB z7PVG=5{&T1eUljP=+S2Uk?bp0t-gf=d8gWKdLp%CEU~4GgS9APh)IOjjiheA*+Fpn zg*(lDGri1zM@Ia96+cXJA)ILWZGsLl+p2|qBh#9HqB zhv4lKj@O(?9}`hzQrZC;cyV$|Qt?RTkj2GVed`h_?Ba}Z4TXWRX`{8$!=+%9>0o*SDLc-M(P7Odhxeq8D z#GK|T+?t%$qHr|-RMa8Ez~vXjmHx|v>LXqX9S4sK;r*yq{>o{>mAOk3u;T1$Uz09z zj???J!W6;aLhowv!r~YHi0@{wATYkGfQNXMJIRQ(G_5H^dR~5+x=HMp!~r31f62;o z{Aei;FHnZ^y;CmNtO-PaRPO(=E_R0m3ky!!DR%W9v;UBVv^!L{Qx#DmLD}#n9gBp= zWZ0mx-qIR=&M@0=cy!Io6+iBmWRqrB?9eFrGf5Nw($V!hS3ix|&5J^rgv-yc4#JPJ z_iz**qW8j~g#=PrGvzg^t=;K|IT?=LlQxR(Ch)!)_o8~%l3d|xU1 zz~!0G9$LNg-wAwOtnGKku$>v^-i#Co(acc}x!suM$t?yh(P;73s&@pgdT{6M)bzt= z)$U;Urrz>@C2%g8Z5c##6~Kd6FZ`~Zx^Ay1Qofg;GUlo($%*0Rj~`jgzYJ7u-W=Gs z*K)ANofmjBbRg*Z2TZ8x5N9yO&h<;U&E=j=#>Hm2Fkt0W7G`C(n5BMH*(W#L6g}s= zN8g|t*+_#xK9z|?-Vu;b`2pKR^CKdv)=pi#md`5WK4Y+)T;sKLYNEgmjtQ%WDIs^Hot>~#stTNG9Dw<32G3R~vf#U-P$bA2+y(D=vZ=|lO-x;{{L42S z!tAn@+V13T94Px6`;<%9Gow!U#;}ug&RG;o0GpOjSz_8+mOSs6tT|i5TpeY z;D+NK=KU!K*(r{xLiIV*$=XV&U{nBwVADyRiRTNtMoL-sX>_hA)t!xqs3Z?bP`NP! zH=1tVD_h|dTQ;7AQbuF(G#jhy#@?};xx>5)!fV;c!Xd`E2k_1$&j_pjpW>u#&KVj& zE!~h^Uck#5XFXshrh>_rLb!CNGGqm}i**Gl zg?it~I6@GR7Bl|{90<2*{*F`Mw1sL73)M*d9}i6#MU;GHu8jcZ{r^!ztN$ILwd_!v zwBCL_ly3Uh%gnTVkaL_OuPs=Bd!GCt(VbMXkg7dWbCRED+RD@iGGnL{$Us*xNwO;n z_@Haww$e3)a!}K-=|GTt5zh-+KxI1A4V@4zVC$QuGo8sff zy~9p6U~_F@zk_{O57$wH-DARmp?k z`VfWrDn+~fJBVzX9tHlh9yDUqiz3%6p)*S^d(Gh|YmtwJ4%qlJs(NG`+MB=iKqh&;!Ll!ZkG$V(Wl{jwFddXSH_aVOGouM zLVx_v;y#OZuLnsDL;6gK@df#xl)JOHvu{>G{%EVxugfi5Mr4(Z52-iy# zp5FTnHOeDzHHBnYqys|FA-LrM790iKBL_V=GdXs~!349&+X~$7&?weN=|37~*x546 z4aKkkegz75{P|#s`Jv(4sR&o5BAFh+DEYL|%G8Z?ZoxgwiSu=Gv|@PrH~bh6#To^2 z?Z5lA4<_MFNtjfEM?;uQFlKc-?m+ec6T9Tb^5OU#N*ECJ;f5P)o)UCPf$xcajOC4(Ecy zA{FhVm`d}>AP=SGBzGxMot#Q(6-(dzjbn`OM#Eq4JZJ@$VQWJicWB3)ASW;AaXl6l z_Nt_+Jsdn4jUx9q3Ly}1`2q>?pwabMFQSz$jFDIATP*}tbj3~@L#pI`5KRSo_I`JT zX>EIGH{9%D=zsAB($l3>yDH;A7n44+nMOt1iw+OAWI z?F#GgE3S1=jtFAfuC|&x@BaE~9cDbvY&1aUW2tp(TLcon@H7GuXh0om)Ln~vQXHl% ziJCNMZKEJvm)={I6zX!oJ9X$VDsRiJW%KVf7AhAXmYM{~XCZpi^o_OFUR-=ZWF4%o z1)}}O{NMb2%+9QEyH@_|)0vYO>$$q4wL2w*-~DSAh0VoVKCYvnIv0T9RhW5jKZ`Km zRc}Emtu&+hR1Wu;;GBIwlcXm-sR{}sx+$n#*oewtNXw_0Nz*kVTt5Pj8* zK%_bPgw$MYKftsF0y+t!P>a}6yDOv^GW~Bl5RrnGzuYR#cOs7Jg=|z|Grb6J5U%T~ zeEl(PAYXyG%vSf^DMQ6`P{{>V(>V%dU%BxE_>t*`axm*?87Y)Oc`^w>!T*zzQpSXz z62t8U`Y?_L$u)Wb?*+(bqt`_T30%Vt@>`lIPjUdBNJV{0vM+j7JP((>a$~xDMfH~6 z+tw{YwC;RdguQN*_LU0KGMnWM6y%T zRW(PI!%5!XHN&#QW?gscJz90VGq%YFSq*N>jMpd`qw>)caCC10}^2EFDlNd z!9N8a>4f~GG!RlF14J@Z$1p0oaMYV8%iCzpOjJtggnEG5zGzZ0gMk*U%Nkcs(LX*+E4fl3%_l*B35 zEc%PX(Uhg)G{fE}pu_8wYY91^HOJ96UxOH}_zz3$geQc6ydFz$)|k?rtK5V(f6gEf z0O$?$H{#wF!$}`%VRQ?9xqM}}AfeiXZGY+g=qShQJDmVCrVI7kXmd$%RDdbv8Y1@^ zo&TmzDO2}<9?e6N0mg^{o$iYTHIUc;9( z-w1~M7}s^v=VFKa3iM^!&)r z%noXF#VT}IDc3{RB)(cO@{oxQ8;jH1eds(*<;Mcv0T;P zAn)Dl%^uLzoIMcNqrOAvP!bhMap_=fZ1q zSEsB3=FVwgZWL9rImT4zPt#ia3{EW}C;<(<)nku}S}P%|tj%agv;ZimP`womP{FYV;qQJMv4(INsgvrj}_xYwaG>ZwKX`feNEj{)#!Vb7-s4UiY;U*(To z&6U=kpkY_d3m2;)5B9iNcSzfP(oZZu;V45mE5j zNBLU<+Jt_tx900b^B9m>ag5!Fn;}ko8Iq>-$7%^AywVB8$-~L$^WB+0l139`GwT7C zo(YQ$@?IS2X=rxJv3p$U15pU%=~vO$OGPU7(Q&X>KFUAhzMxYnT)3D4Xrm;Is& z?zbA-!xYE+R&(CYC%VSIu{&BkWXqKmo>|W~&K@&<+q?qRs{7!sy|s4TPyexZAOGzR z#Y_?&qV1iSY=aVKiCMPA+qI~`91~S0hbcdIrG-`O!vvmIsd80&{%YY>S!VV$YOR#_ zvUq2VJ{;gQtJeZ!Xm5p${eg}{q9>HVWpM0h;G)WHpiyv)VSR8Um1Dd{prpi)tvR83 zzM?Bykni=>VCsvOBP1i%v&NSsWtW6Z+d;)tH&upCZ4xzaA8PUE(B(A61OB>(R2++%%=LdgEMD1=I2D_#jK&pU9+Ry|#9x;w5km%OR-{dcn=N621aF~g;Dr4PewGo+tq^r1>WR?2j zkr5~J%y9m?;62RSk!J34#Y>TA%f}AN&`hD)8gREFd?z5M{r3X9g1jB7Iiewj<2C~N z^Xg8);Pr3j-||Ht31 zi~o$Q=HI9$(%9*4{&3EX2+Q4}%wAjmO_abWy)X?VRfps5aRsd%d&v?wS;3JcO1KaI z_k%L)$2e%w2k2Z%xag?X@jkdA_ib(1amY1(ZUDGpg6;vk&nn7C)I>K24!Au5G%JXV zrlf>&%NE1WG`nrPZ~W3x--j@mwB7F@VVI2)2%P4OM&Hq=Qp$Wr+~(B*1X zy67H@Nk(G=iU`TB3l8Ca*Tetn3+_-%-*AuEGlaRAxzLN)hhx3+!h>4amGpCITBDPh zh5Wl(M3rBGk$LrC_uCJHZKxKf2EU*tAe#ga#fjVQc-g?C83jpT2yS!dOIC3IP{7m*~oYD}+cSf&n3`bS>W=fB0TdWRFPna3!QmlhXVjDX4w znnMqAZ?q_3mdJSj8AZ#eUU#Gid>C=HxOX%%j3o>mIE2L6k!OsrwDcHZeyn90k^{9^ zKjJ!`X@*Z@-9s9a4rGdIBNsn3<)=8a^l!7TZAM+6^gE@U z5eITDLZ;zdpi5A`wvj|uN{g(by~3a60i7tDI`TpJQnK-P{$<%O8oP<(8_j7sh*k-R zZ8;$4jQzRkwRMp;8kfMjwt7z(B(vvG+{Lf{)$9254?Q*S;^W3BY1(P_)p;Bn0vo>g z!J}eYE2XkA^W2P@vTzG(q(+_mJQ-M_?ij|OBrwkD4$f~=Gl1|8mHew9l{@JjYyP3`c!> zJ=rj<)tA4j3}Qu6tc_`J(QC$tdaNF4uzRPWnKY)t&bq!CX$9s3G_7YlN($QCs-34@ zOcxu#g7F^(BfVyUmYjr4i`viJ7kggu3uN}#N9I(pnPX#-f^E~MZ<4&=%J-#CdCyKi z&w%W!TX`ILFYncz2d0C!kw>3|-x8lP#i%c1%4+%#UrP!^ z_4F>|rmBrVOzdwsg{4xf#A8}GslJiKog3`>uM|Sk0{1`Az!m_H%K^MxkmR%4*qsunT4PIIXmGgjuIuRYzv44-c`mQphk zTs;zqntJrh>MC^+P%>4!REr+Vs9%d8^W$d5*iAFnSx<-(o}OF!k>xEC6I~D<2Zn<05Vcu?~W5zc;6?5 zD*AUMMs#|bXQQrVSwoV2Dw4AKBCA(|>yCfF_x>AQWcxJTg;l)^Dq)}dG|G;y^1zm76|Q675jblvXfSufd+ef7f88I^#I@YMVN2;-*14Jgq(|;>)x6M|C9P5PIuF6E_gx|7mS9}lOvkrI= z+$heu{s%c{{pA%ht)^5++G{%QB9kAp6P1+6Qnwg4SCUdTugkQbqtl{Y38KW zm6`!iq$dTq!p}xP5_VsnQhsy_S|+{T{CEF$bJCD~K<_R~?~LVSZO<2USyH>j8x1wA zvnS_NhVa8|oH$#EyGv2OJ?8Zu3N${4dNTEFD=;--$4r;AY<3}5slu&!O^fl7EcwGe zj+sCfqmB)ShtX4z>Zeha+f9D2W(*p2xsU?Vi=Jy>#0?OdVz=`Xk2Z%&&{2Rs@`3i3CIHVRs1%R zh1fY?Z+@Zvj}NN1Kx&h^8+3?`W&||cM@cY4`{7j`2Fm_Ux;v|nZe+5XfePTmR)xJl zXeL@oTUPTMG_*L2U%D z3;p17z3vlgBJ>GGqOeKMSvd7|$@G}A)&B-AgMOhcg*NOb0bhXyHuK=#I)q_uZ{op# z+H}V{Q*mOuE$a&l*`oFjSB{3_EKnvQVMgES0P5CYG+Tjt-PQnM`~A9Axk~Szuru6i zW877OHB~)$of$_R4MH`Vt4}PHt!Riwg*{(KohbJ9l@Hoe9cnBgUdHaMB~Go0SxZum z3^W`CT+iH`e3n2`UxDZonOUfB~FF|e}M!HTx-{@D~$BW!~8Q8>a9U!d*KeWAGC)(}v^Z zK@Zljl}Nd&6-bjqTziuhfmAzC-t#+aGr5Ty>`N+DQrra6-*j|*)hxN!v#t5I%le-e zVp(`3=={qf2l(SJ%0Q+9BP;u^Dj#~RhgBb&mD}2ZkbrfyQFB4STKX2sT&^zs?6qO+ zFxB%}oAAV*56x3`ec%k-ootO^*o9!j-fFsNLw1)I3Fg<#YGPag{5zuCv>+X6>TN+vsy?18mw07qf24lwFTs0z*K_9#T4{2?60Vo4I7{1{ zMe$OyWiA^<0~1c9uOGQpOc?5!wGnPAr~UjbGD+B!ZI^F*&`zz%Epd_E*qg&u7O0O@ zj;o!K)3}!xd!<$_GuezP$s!{O{u1}~45(r!AD%zy@MRFL&6g<&2c&GnSdk<0DJL#$7ii#yy|wuX2Tw6sJfh~ z@-t#!u50=q!fiI(%>DQ$Q|xIFsZpW6y?!&4W@ToLKbn5zYg)i&@KNL2KyWyIf)N@E zH2a74kpnA}8Yq;J57k?%Pd6{~WI2$0Dy~mNvBULK$QaE;HTv84buyWS@sW;}vln`2 zvB#p1xEu;tWuzaR2O`0Zs5Q=Vto!ISf0hWga|KdF@-GygVH zxbR?jpw~iV0$#74cNHaVat@Oy|C+ccLwOBWeJJA^=3lI*g;Ng->S>=xt`t!?qFKwn zfv?>8U1MJ4Y(Y!Z%KsSS6AvREuoMPme3{!fHfvkW?VX1#X}xk(L=A`nn;1E6@K1)L zYuypQncD9(^h*-gi0D5WTGp&h?=xNtT=O1*1zT$;@v==CCJbAlQ<4Uvk*4CF70@tk z;_AYEgj^Nntdbw;0ou`1voGmD5)Y^-AHt^*T1c_%NS8Vp#}(cxu|X}l;z`+Vb+6ne zS@7p^N!p3FZK1t`p@>(B~rF;qC;HO%vFV%KRAYD#pm@{l`_dy-3_wfM~E#2 zSuThyGK#d*8&o6kLAA;;k?ZEOf3eJ*-B$W-LGq)-A7ViB#}w<#!!`Y9R2m#; zHbgPGjN-XPQZ~z3xJ@dpwU7bEm01`Naqg72-Va1>HRd+mQ7@k>aL#Txn>77t{Yg{7∈MDW4tb!ke&>s(oy_)30ZK1H=_RW`NLvc zhn<3+L`+Z&>qiVed9}mjaec+9REi#ncbhrBzz|DM!+vm5UN|sZD~dgBNmS{rR}O+5SNUIHHAoE=wj`yg zru2wHADHfVf$nWdzJH$MRP&XU89{V1m0b=WvX&jVc*K}cc{?ssX+8HyZ=q$!>_u?n zdxZvsYU$7Rs>xCpf)wpO#Zu=ziGBqfMATQs4_MMAH>tq=cv7I1>4Mn20dp!#twFB* z%!d?Qm)tBMFvBvv9#MJ6d3fT_Zfq9DC$>?w!hcU5AqF*>3E^pcRR@wKZcFc>aNQ7N zcLye*ovgpDZ27uY*^RAZ9M6BPeM(|!Dknfzr|P8h5}Z^RGP=X@0JJ(`WLL=94KF~D z#$+s!1I!I_kFzM&u)syuxhE6**XzgM5>O=pU@v^fZXm$aDE2Ajcifrb@(bML_TfAt z@;+ddiF3NrBT(nUk5JWQ*;m<1ZNEE}r65UnRV1zwVx6&T7(VFCcw~iKW$tw9oHXB% zC+YcyWOJG-)~3*kzLl`yp)%<8(Xn^x9iyxQVL}dZI3f5*ttl59rB4az?QtY%rAOb+ zIAU15(G8Xb!Mp3dN|AL*WwR2C#l76#t0cB)hH%o|?>K8V`DY81GNQRcynRJ4me+uP zB{sdo1dzN`8pXLp*Ilo>9PHUZDb z=jkET&3)qxob+AndzREH;Y~HdsbA4xnTSgQ?(m>4&cpaLg1JU3-xs{mN*ejK_J@)x zpRq22hU6C(2z|iwro|NK#5G%0&Fve#r$5D-Udv(D$N5cj%~T$vf8)!Gai-ex!nkl5 zMOd!-Ti@gfF%q8>3Gu(jJVdlz(8oI?HMfnmXo0mv3=p0;1?CJe?=VyEht{3mp?;J= zCnzETM}4O=_&)z(lr~=&c$hGye3=@9V+WxlA>owq%VQ1$W*&2gf($9Mr&MM_AV`#qz#75dd)Jek%3q8eu z0&Rk?18(D;ptz_=33f%u+}73#c*M&qcJ{KpP-pAo$F{0)iYH-Z&J96>GL(j@61c^t zcVwZOi$%{k5nx*pB6%W8e8GxyG^|%fV{t|~(_@soBt#Z0^`(scGxlg0z#70#1d49phq!<}*NGT^ODtoG$aPO|lHGU)N8@fD4 z?-L`TTFHI}TKAUXJ~?x`9Ih09qE^Pc%ZgZuUen><>*kozP$?^@1S%LK|99VaPt*$z z44@i@pS`L9Fm6`lYSJf z2{9y6rZy}aZ5;=h^*DE4wZTk$n%(o)4Wcn)0sXsf>eS+~Nhh7X|W znk$>;0nUTxC;9iwR$IYI57YBHX6XBD zAL1-_FQijSIDlZtf4`rDPn+jTX#-#n*y1N5a(w@%n|ssK=yG9h8orG+~ZdU%|>KT0=N3thv6adr~l`mHl6h5=|Wtc*Y!feoDV2{&X zXRm42x{d8K)-uWma%v2GM~!6%OX;|2Ur1%A-D9;&xKb05JsNEa9`d?49Dsk3zLi$mWvu{Ed37 zd*fAmzax*ysy)Q_*bjg)!Z%6Rgrib)FU3b*sM~E=Y>+=IxBQQVz~8H*O=KpQ0iG@5 zC1%3!$B-s>V2;MS{Z>pn$|JT6Lo~=!2lgfJ{_($Xi!g35qv5ls=ef-7e~MR(WjU4+ zN1qG4EU>-Hqw;v`mqxE;wgO&e2Q_$v=MOv1v%jDFF5u z%=bcgB)<6Mom$J(ObZ3bYawl;#qQ257Q1J{_fsuzIB1ul8TXqi)nIoiJMbVSBAO+W`0gTosZ_0i4g7+mvP>0HCaV4CGtguK}tEps<`K=mPwOzAT?+~kp zZ+%(u0{LjODSxN2p(gp12J_#jf_41*HWj>gN{1E7h;;52qa#&Y24h~<>B;8ckAjtM z$;~kz0ThP5JgJ)teI)yz6i4TE+(6yMiFXLH4m(^XNT1D(99Poqkta%!S?*Xw6 zXd$s2{(iA=Z~WqTbWQ2My%&$#PLrn!_wK%>CI8!^uK-xljB=8;QAEbH-%Q~@3F~}= z^$x1ftdyZN1sqK~D=akd~64~Bbs-mzjbb>SXez8|pXeK%j zmQpf9EON>p^2-H^-OYgt%0^1RaZmK|7IS|s$?vA&`7buQo0aWn>SU#!za@fCcyRHg zI7kZQPbv=wxi`K#%pb*gD+UDXQbV5iG<9>E7R?+i?bV}_$KABV1WORzcA#IWk6#^r1WR+n-Sevlu#k}2)yRPu;%$xzS1M2N&v1cy5d!V zknuJ*NOikBeDECD%K-ek-qJ=xlbJ+P)n3!k=N%lJsGb2bZhWN!(MNQw;SX39J}s|T z`YV3gv6LH=vcNWa%n(A$o&y7>ab=2M8mV+pxtzWOIQwegNgG(pgPn7U7- zViH1D!%UYhR%oM?8BjV3Ygg6sGfJ3e+ZV8#X74$ddK;a)Fok~|j_+4&=mY2#TnRS@ zm9|mj`@|Qra`jX>?z%&feo}>Wibl0?6aA0I*9~+ZcqgIT?x#ga^Orux^?4A*q|kQ1 z&cd4jk*fKE543P%pKm`9|2f+~LT_uvFIZkH{lYp3{X?vE>h!y=_m>E5DO_-BQn|*? z>c@a%MHHD4lo#jH5_eDd`wF_BLq4*(sXN?*PaF@aq%JvL(T*KFmWTR_QL8)O$aKAB zPP9$Pi){~=L&Q)q{1DcE()7$p3IzPt8lo5_g?^yw1SFkHmo*a_dkzQs*n6f=~>lvd6D-@+;HAUV$qEh85N!~8e&39RRF zL{lflWYG#o!rCIvv&oV90K5j`C-qV~LyO4Iut45A_ofRpd|Q~(kXYAGb3CUU(`LMP zyCO$(uP4u9s?`@_c>=kB%O<#^ev^2eJTxZ*Qj>UxeNY|q^;fvf$qr2TVO|cEk!<3T zfNF9aR_RkA!1p|sJ05R$p)gNyMjEFiXVmsvuxSshV;i>HUc)+Ok$|kzwF>SOI`K+f zfyKdOi@+|aypYz3wX!Rsi&x4`U$+{HV;fC?kU7GFnPa^(+U5YjZ==U~SaqL!-$D~3NBEfXk`pJnIHGC;#2lpC@@9hVvKR{=b zw(@Se<~~0#bLdZ-c7I3GY0L+w6#av$ziDC2nAFGlsmB)Xf-Qp`8MC~8f?k)&!cFz4 z#<&dk2Eq8ezDc;b-wfc37j#&+lUh;S{U$qr(3X`Ge%juJDcz%H^>DnsLT_)0Bb{pN zjEI35*4`r?hKp4YzCE3W&^(WZy+P30PxuF*376M-Z7L_5DhQ!`XQ6e=NMO4TFORDP zbcLOnQ9o>?orIDyWf_Nk4?R949UZS^g3jNa+HT+|TF)2M7&Vj6byirF- zkPkTHaH+y2ytxqaBN+csM`M5~koLbqtal^=eiyzC% z4_!CXHjDVOT2OJH&&6M9Av#^A2UYYJUXp#78hf+Pk}$yhtJ&-!KUgx7xuF_XWKNs_W0W}hM3ZB_FDmd%u+KZ8KiB7x4CmsoR^k-?`{wkl)sUt!P0_&a zzW+{%`#^gaxO(cB8fEWp*#A6TG~VX7?G{P07`9$?QF3h3tR~$LZ!UwBc0oNTKqT z-0T3zC?bWBfPOq1B-<#F&4ha?!6XxAy7YuoeoPqY^qB!j3p_6{4zs7!c0XjcFx~VD zg*Vj){$xQ31j6i5_Hoh)#ug5E-WC2;&e>Mjdrftp?0#f!<6ZMM_WHq6=j% zY(zEmGx#~s>VMg9PB>X>@;a9+fAy^h5*+Em`fH|5&@v_ zLdY2Zoq|D){pv)@v8m8a0@2$BkaFD#;AF1G{B`raFlOP2$Z}qODqsi6OSuspc$uP7 z)9^*vkD{F?hWczATqA&_7|W?h`R(pho_c>^RBxBHEm+B^L3X2W&Om%%X3jn47klI7 z3q8TfggM&sy!fznijwXqW%{|++E&T^D-Tz6uO(?maR8d5&v2(*>u|}xI@{$L!ggD` z@r&%xdys|v9}9UbC-_FTmNi7B%dzpWxy$ZoglbmnEI~U_y1@~^Ki93sF?I}E=AMwo z=V>Vg22nVPxc`9+&{-gzJw$sgmA03KsH1Kt!uhVfW-$H$*ds{x><^7QD;^pe_>(f~ zb=Yt}2+u%M9UDy#k^^!Nq}s_J&A+fQH=(*XHFRlLG@xX-$EAtiD-J)_+*|!nr4ZFNYIMrKKeA_ne`$&EXX}f zY>ZIMeiOxl*)nlqP)JAXy74~V0Hg8X;fbx{TL8lGxHW$<*vXnCRONS zVAB!k)ZF64(mG?L*>7q#{Y6UWUz2ovCGQRw+L|_*cYTVCS@_>L3XL6}E-k<4iOn`w zP#h}*Nzj4-cq{Mb&_2mfiU?+=&GQTQFAQ!OYnnoEoBqGy*y!-Plr=wJ6~&V^!{H&k z&!9s<$mO0%dx*{2&|FLaHHQT`yCF5sE;VDFcGAd>Yl+CN_#Pfl`ljY_!hShKpXc?* zL*n_kZ>?wv=x;I=0)>4vo;Vo;wL^7!K%@2H#3O9Sn^^TB)nR?;P4qjKj#(3eoDY$# zW+U*)#_pg$N|7nQCbRq5qfZ%})HoGV3?~`drOxgQa?n2kE|%AQYf~5@K035zD(FvN z!`WUbep43-!LB?)<&Z{JC(Vv4+-A?ZKgd^q#?AHdD!ld2--IXHr%ya~a~{^qM7BgV z6TI@j4fAUW9r^jhMAsf$I}A+!$-kS)$OueLi8ESRy`2Kr$l;@D5A%_7g}Gyueaha%T6ep# zYTTnP1Eq>ML{ZZZ{&wu?uJ>kB>R&zL96(hA482;A2WV}(BNz2dyq7IcMXM1fEErR; z@19Jp+b(i2^_BL&-rn9r1R_-fGqUXMAW^CQMQry#lYQ4nj?4sQsj+3@-k&?YT~}0! zFP;0V<%wBUHSQNHF;%JjEK<6uvPNuLOy4+Q>H^dRa|%5|*841$iQJ5$s+r4{9ivu5 z&DvvZOZ3EO--)qWEz%fn#Xf$F%i7R{jx0@k>`qDA%PbAutj1(!Po8Oa_e zu3I0M>wi;jGihI4`yke^E?d5 z)$(d)*MU*drD}#p;JyQhIzL?{x@1wU#e5+Zw=UT8iGS>3r`I~9?7(em$n;LoB7cRS z%S(zD+i?^Fs)D4FKG7L&&31Ha>lggd7?Kk7-F{5UNPfJ}tB(EKC39nk0-xGk!5(_g z^AAekafx898JyHES32_DUl62D!S;#F8)_5XCl+*sepZQa%7ib|9 zs@7pX%KUdXP-rCc@q0*A*DRhsT4hbWP1<{`ARTrCmj8WV!0IT~TcjZ;)L9-r1HU}z zRnthiCW)k}^!6E_K2O@#4crFb?!B!FxA2D#lv+|86iaq;4Jo(|?8!Y86k>6D-B}N{ zoCPx1)|eQZ#s4-pRh|C4%V6C#Porm$qBsdADhcc1_QmiSu2kA_X@S+j^{k+_e+LS(m}$Zx<;-Gl8AU~%_PKsXZN~sh4r15y&z-8><0B@aJGoIf z`d=s|MGHW_Za*M4-Ll~lyE4|tb)`ELnSiarf0~HbETVH@ z%hS2kn43}0WkWROmTq*Kf^#qVEcrjLX>!<+j;H#NC5JqlMCL z#l|NXxfO`rV3aNlXsqbimNP58J0)Pf=l3@00JXDqc47`)AjzD&9IG+$i9*9!MT{`} zS?->)@Tn7Mk(zC~VSN__?i}D-K|tO{ocHPZ^~O-&p;xJP&7T!wt%EG~`PO7m%yu}o zOP}K^G@njtM%c(Yxne!(pO`nNO0ox?_UqU?-_O zlw!&(J-y6Wab+OHXHTTtlb*S2NgO#2~ujj-%HK3Sr%@9_T9}34yTr$(z zs#K5mx*`H?a#f0#Sp!P+fE{A>C91M=;$!QDHh-Retz5nlUW;cY0?RJbqzU2J0n2j- z+`>cme4>;+Fz#^C;$K^R%7OXOc$IW1jE3BGc_&6b)v}jhii$?&dVck`Juro>`DX2RGi3*yAQ>k7y8<7jay!l0xanH_XPz4Iv&AvJdg`vfqA-{c9nH5; zt1Ty0T9TpvNr8O!gV6f6K;t9%He!p-<|XJ~x4i9ax=1Yy!*J;!1F+`iu;?nj(iEr!SH##jyd8{o0f^bnO5zdz8LANO->H@;SpK3 z%roZI#77F)Z*rfLR2bcs(Gh}?vc`_lX%`Lc`0_;CkXbi?Nt;k^&5YBgH-tHkYUhZ- z@WYS`N0-bp1Zv(N*;M1X1$GIi*?Mq10mIURRpIvF55}6+8rk+rc#|4 z-{5x6BNxD0sOs@$U*;%PG$yxLdU`S5&#|tiIb066zYo^tpcx-=qxAx+Npb0s#p@De zcmUsk+Zoh{r*m$*(>fU$w$CaeDWh-F^sb_pcyv?R3^KC??DFsf(((3$! zu7R0#ITqPEr*?@ylze!p?G`fj0n4#{!mBg*^oLg|MVlSw%{%EGZP{_NPAMhqMU6Jz3;T+)15ogxvx;F4c z`UQja_6G;nWj4NwquQE7iPL}o-?!1Joc5!~Wp(}+{=HU9D#_N)+MeGwcJc3gqs)6U z_vxtmIu!X|LU2y|CFN_Pus>wsx45x$zc91}QHCkl}6=ocav>1hP~&GKb+@F0FkT-{npSBlWvXf7qY* zQ2rTB*<$iwfHnGo{`b*_$e zNAO`+cUOLZ$Bun{$An}w+qI1goWA%*Qtx-YxcyMjeA}0@1N!&I>yG3aF#oKJOL;Ik z0_`gXkG{3q{nqNIN26pP8M-Or!y~Ct|A)E74%Bp{_4_7-&tI5vX&gL%oZr?a4Uq1= z_x?eHc&EQp;oc>k%?zW76vWN9V^#tCcK!dptgLof?Q;zX_mBTLEVuZ`g}2YG>~`(h zwF~D82YEdJX8f)6c)6J= z9?XeRR47fcs&)fySlDV7TF|x|?M4&YnE~`+|NbW&=%xH?Z44^mA3Nc1_Sa!D0=x91 z3GT7$3okigoR8MDe~fyjm(o0ng>BI>-})+QLv?i^Kjp~K8uUZo`xSCauPt~;b!4IAbCcj1YOcRN3=~*c zc-=73IxP~*$~M>{YBHE=lbzeJS6T8%8T4*<`nv16k7;uy4eHBDo5KDAE`#tw;1H=} zR8kiYx1&G{J@pZ3QG=_bfEEWzpH9b{wqTSyl))JjsU)Cvn6=u?hjBo8zwm0h-<+)QNtR+EIL@>mP6*LN0XP?vos zx!(LU9KI&dC3NM~H=_@~9np5idLh2BG&T8V>56ZGx!ssqF%_h>_b~RBT{Tz_ zxXEY6<4Ln!k^Rqf$J@uTF1ZiBRa!ICgrFVO2g*B^$oyY)~7zSEDMqLLJ;QQs7=NA-(Lr^_uPoe-KoZLF!tG zl&$!#$EkK(eEt9(x|v|zW+u^i3F*aqf^TJtHeq-rJ%{bhT0U`Fnej6G$@fZ z8)Q-6*46BnQq0v!7F%r~LR-}pZ5~vLFBs+1f0^dhpUSD~(XP*EeGF6Or0kq!k~0zJ z+X>JWmchOrh(VrB^`!;u7vxiIs5b)aG1mLc$+IT-G@zP?l)ljlkWl{hxv|UE!kIO< zES&($?8rdb(uU=!OT@Bo+R(x=^jTLy-y1h^Vs*nGz+t4hsZ8qj46#X zC*6Cfc{8;q1pYH4ZUE7{mzR%idpJ{{8A+(XO#v6RP!(77n+1GF`5Np17 zyxiPWsK{58k4~IU#0t{K2}G7-h%*1axH(C5IcbN3T~{02BMaE^d)cXZNTpi-+da?i zJpsX;W+GR`h%$ppfg%qtAiZ{j#?;eLE(on)MD_8w_2^;Vnsxz-3F&BZRL53iyG^o- znQ1l7WzMH_?fuEufOwJ{xn}@ts_z~MHh8-c;IJwp8-L|@f5%Q4Of=p1r!PIpAtbap z`Br1kfZ`mgqrF%B>_kM)VaGTKojwW~<+#wZ-$~9r5=$kf@hsbWe#*ydezyhMK8r(} zhzyE71yh3-$T!4#U$qBMI)1T6T`2kjSzR+c617w{YrEQxwW*%1S+|VbXFD7&ESbqU zkMV+IOB`eezcbG_-ZFOue)cTL{Trn~whBM}@5es!riq}mrKsEd2yO;sjE;_3>!%=zU#MF*a-_B^@^04P8mX z9%o7xJ)T@JVg+JN6qBCi_BH!t%AvNze0Sd(@2?E++@Fg$F|+S~pB!lg2!ty?;|w!F zD)+#0B+!0gFitLM8PweL@5?n{2NYeDdgY5IBK!V0;pxxsd*=PS7}@SeT;P z_M%9J2V0^j+Sxz&_4%;m#W(dn(*Y3Jt*ZiZJ@XW5A5^`!=2n-x-9>}l9J$TQ!^4T4 zmQ)5bwi)*9i_{er2CaE6$Ohz(`ztdadE~lg1*i*t=>X91mM`kR;VVvULvcqp=3cVS z7SMh-aV2kxUk?S1`DNMbLOsiEOK9|Qr3NGoW_&)egHt&S5$3+syt8pGnh?xak`ht? z0mCbXwxf1RDORU3qQC7eqq-b%PxOkc*%j1L<55?*Qcst-o0Ez)pFLrp znQ!IBsz|#FAorUx9ad60$6WuJ_DaI;TM(F4)J-`|W7>B{-dCT(K0)RtEt|2}T@gJs~5 z{;*&GrB^%!MUK}@j^G2~G#!G_+JRYF2%0?9&mYCNhTfy_*ZWYpvZr$=Q-^EfbTM)C z2x+4U_5VVgdZup5UJiw7T?wQ10ebq($D?s$1X%kGZR1=p6zQ37@Ah$Qz0${nCYn?$ z#X?^$i`wXkyK(x}mbZNmS?Iwag8nTMB$+pr7+QyC)E6RaqT2{Owt~V&y@JSm$V4>I zq-3P;B-t)$u1`#Z1b84C&vsVr`8ukp$(8oMi;S+`nrNlII`= z9k~-@J>>rmN=%}y0m)oB;Bi#h&R@s8C?RZGU+h2i6@HHo`LR&_m5?jh_5tROmHPXH zul`&aFG0@*O{XpLrVwP_HT+I~-_ISlvA6w-I)`G01wLbzzOIp1a1wGUT)&8{jER=u z@SAPt!{){|D07h0UoA9587N;zkT-kUK9QOoi%o7rK=L6h^_~+3?dJJ-nF31Jz(|Qv zS#;DUqtI<{{{c(uRI&QeB+?<_@3f4JEVt*QU)J?ne((ykIo>UjTBDA^r z0K%4CY*qm^E91S<0MB5M+!Oxe2cuJzo~bJj=?OGf*B-@J!E$Y_uO2u0vBOUjB{Ome z2`c7v6cvSihSL;5?bQmUWcn!VfPh#5A@aaq*PEA_3%*>~cAOzBJufGAdlNPd|LBfL zSl5z=@XE~7&((j9I8p>MYKO?@^DDirt~b~B%(D*-&xoGBqT8E-YYY93SaiV=<@Y~F zkm=00x4r-p7G0QQQddRh{!Lr@pmnA7+*r=t<_` zHm(<+gz?0`9VwBzXjfY1WZGNiU5_c?{cpdCLXdz=^z6deP!?fL(~?^5mv*XM&glus z$HBdOsouy;`S{y$Eg_(TTiNIh@W=Y?EW+lYR0jI9>$^g`2?r5Nr%(nLz&gV9(eyO= zU9!pP#!2nnS58lmoHU+8(TIx)uB;gRqc55`D{(7nFC^|PY@$>Cp5V!vha3)q__!I9 zkfRv;emnT+u}3j|E#UDdhYutr&Y%Bbchmu~LGfpXo=lHB=6}5L%C6pPUWZhLV`r@% z)_cZMv<(~+xziwHcolrqk1FWojC7!~+{qYe2)T}x650QfQ{B-apC6C`YM&=}I?p#o z*0NbS`Y({W%U|nbLiN?{W7W!Y=V|`zPG0eC3FTn0!=~4!vU72{7 zd%sV3ybSH49`8d@Jg!whjLlP&Tep5CypsDiUTy#Tt&7C1l{B%8LMtkf4TDPaMUnJ_ z8`Ls{f9dK@lE^%W^PW!87_sr7D}arJA`ph+ip=|pFb+eigjvV|ctU82a|Bi{Tioao z2lT{P_UVh&bpvRdR7cj&wT(F5jlb7_rsHLPk?#^Z;E1c2;JjdYf1d)Ggmm+A?~i~S z!VRbH>)=tzz%zSO$7xbld~>ex7`K%<_qYlc2og67Y3hg_87PfUjGMWse8JdhVr~ zXqXBQ+%OfuqkK=oq%a7D3(aa(FH=v{NN|p4XH%&*Pk;IMbpDflEWf12%s15^4bZN8 zWx_K4zTuX;^_wn|dG!hjIJ9wY3_+tPNF3*w>m9!9?ZrB7X?NR}+#@NIs>lh$=!+mEPYd6q2yc-3 zdBS^@k98v^jKxl`q=E#K3R5J6^B(uh?441PvA{!Y%Q!dExe(7(PVrF(>F=F$O1po7H2^qW7Fug%8 z`1u~y1DHbOLgv<^(%4~c7J^o6=F&@Z!L0b&(NsX#P~+8*+97WHg-ODw_BPK}RCVfN z7pBC1_sk-i+C9pyA4WJugxcy>o{_=urUxq&M{JW zXj8|{ynj#_HHb!5@$Xc(RPJIQ?YxnPw@z||(!Mq*s@cAVNg|OavQq>_-9la^_U~}^zhE1q3`Q4lD_s1e~9~VCQ8|P&MgIo9!lhHA(%*>=T znc%=5TTh$|gPD{Yaf`ua*5lLiMIqM6oS!87rAV$hQc~)&b%BQBEI=M~jBj9EHtp~Z zD1)I8SYiis3j2-H`se$aIl3;hU85=H%QjjAp0os~djP_bo~}oBX54NX{lsEi=0IT4 z)RcAPIiFAn#@+^;^eXa@%uhK=kMGIqa@fWcwQV*rWSOL^O}4Xdts1tP{SP5y?_%Kc zRfmIFm3g`ejym|RHtut{MxtkLUPBrDo;R}dYssOr^?^*w?+`_8DmP4M$aqmJj*TCk z$<<5@C3^PZsrlo_R^BLsyS+c$aHKt_rTkZDjC*VKkk>vPk>Jh){;Fa(RpKezJ{eK{ zxRqabhaGk0Wm{Z0g-yaou_3ZWxYgxXF#r`Kvi2&(HjxK1(cB?aJt3!a`@P`l{N}1g z)9J7?Fs|j}{r=pp!b)5XVtljaHMwzLFt1h1j`y$HiHTx~rYOP~?~s=*E=p<4{fcV!HSi_E>W{tFsh#_oC)3! z3MJVqzgAYIesJo3y1C{$Jvg$9pkg1OlvjFL*h(xgF#Wr()FE-beL zI%8NDOoccXI}R-!2SP#zro{!GlYtt%zuDL65(~z0$neW8GVPlX$Z%1}F%0B1TvNWn zzAXWMhP;Ak%JQanXBcdHgzPXVpA{+z&A{KkYXgs_2Qm0X)#ODf43s$v>hF7ltnzO9 zSmg>STP&$??}O2zk+)WRRxfrX1avlCsan#@kOKMtvWk}(G(JRKgilh~;^&|c4bokD zHDovZHccSWOq#>uVKVJPnjIj#Zq2VloxeYqE2+#aI38IOV-9!87P-T^>h42Z9TQ$p zhBASBL@vYoz-V2S;u(K*OB8y~zsp}Q$zO+Cd0Xq_3I?g`trZG<(Rkx22-Ku#D&Ihq zhE|*MHG?FynNV1^T`iCyg*uCk@X7#vvv+X2U`*(%8c^*UyWokxy^AZ00u^aq1tLnz z@Rj4&B2857_GF(P6z$(?NbzT|BmDWz2Kqs|9<)qBfsCSv=a>9of% z79fJDC^iIJmjXstrABCGvZmez|h4= zMW=?yMGD-=Nms@&yVEYb*(UBZf)GtdD<+kkaCDsPs_K&m9JMkPRU>YM*%Q(J zfFcGJBzxf+y6myWu5$JnT+*SYvew4DF>jeQn_!dyvwxkz07UHtFpGQ07&bJmqVRPf zJ*^a2&=r=ypI@!Gfj zC)=sOX@Rk?V$|?Kbaeyq72H=AFKylYHkS11fy7DnQRm%pi5SRu;zw{MK=3!V<(%^P z(2UAySh#97xUx3@wb5@#S#Z?1kYIfRfocNGh{6ijvd|w?N@QthT^SsO z#k%%}{Vahb6zh})ZJ2ah6q_zv!?-=N`9~e)P9h~$%U)f!_Rp~$E!i{Qbah2xD>ubR zzl}&KE4@&lbP|5p73kR$poj8;+<2o?S~l6;=&=E?uEZq*r8M|p!H&7%3(QN7V8E2e zj3|5*=a-d5u#K1+K+p5HD!KNTz+c`NZ0xRH(oi+|`tT?WG^vR!3DZFcVVj-MeT2P*@$MRd}r`)E}nW54e4J-dQHfF{T8*VLI-u_h7osa|$f=GmqW(`Jwux z6n0#C7HMAnMrn{mUfZC}L`P>qqE_eS%KRPHHIg0af#F1f2OfcLC$i6MkBpy`&(7us z$qG?iRY9_d5bSM7qC>%D<=2DiqfjRR?Dha8S|4$&(2bM&I-R zU^)Q)cr5n2m)Y4P6Pj&%U`cH8LbUYVBgZeg!3VhvsJ(e#2`?^;(cIlr0J$((I?~|~ z+E5r|4Al?+WZe(YF{*O(?Zq{(DzdL(Vr~S5fdH^2bqxc?<>YOWhui;4_N^Ix4ELILrgswkNS;9r zlUx(6lUBpoZVjOP- zUeQ|xpHmbgL^j<;M5%>#7G#uxU=?Yte7L!b5dw)e*&l(rZI`CKHN_)0Pk4BPBwLGS z=gP9MjF(wtm3bCj-};BgUC1md5Wxt+7K zpa{L&IRfyYJGlJkU@fiNkj{BpOJpW;8cGm9m=Vm5!NY6-ne~Ay% zFF72znVWxg|BXzE-koy6`7laIx<0!sKWQWTHT#QgTe>oI{xXJOnTb0l&H!e&Pbc<< zf<>Jr3@?U1)0t5qut(O|0aF4=f*k8y;6@;&m;%~#yy5OPv`xaq5UM*hG#`*Y?%Sva z{<~74<>TNJ_RNt7?8LUyoK$9g%qaeJVvfw*9X(X+qIoAj^y*Of;7n#U@8(Vm$gnm( z4=-FVDI~W)1U)M(m>hN{Uv5{Q2`5Sj`Vl(pRZvU`Y22v<-&)-dwd`UrqTqRcql!0Z zZ|3@U&RM)9m$}jolg&pvY_C^Rd7E51I{@XvRlo!{)bL(ZFz(@5-9fLP$>k=XUb`hL zV(zr_9c!sC?|jz5`bp{0_%-JDl~%mjkFbj&p;f`8Zi9Xju} zT2~s~QwGePEy$52lXP$yh5NjzSSWveR_MEmi=L(LDs&87XJDPOYo z>xy|(BZc&TGWs_25bDC4*{gF8Y9IMlS{HR(@KnU||C=}?7!8O^GAI3fBY!IeG3b}yB17V@nwvBijfCzY|p7; z(BN@!%k7b@x`u(@yD3`OpeRB=x%8(B-j>ERf?1?P&4Qj^KjLd|oEhxv$0SQRfhreb zOnI;S8h^Vw5q}gRC>rh)xAWRa}d1qWCh8rX0Qio9zo*cs%wBT|S=39^qHAWd$r|-YYz@ zLq^Q)P?WO?g{9l$^L!|zJ5JiWp@YV~Nozg{nWp<^4RXV-G0`_ZOlFs|l`KGk-k-M2 zNF(8WownDSU2dCssCkxhzSpHKWaviEiV9*mBl=r zVtW$YF5nXKaTV)o6dyu6SAk!2w9dAUoW?*CYQvjvr>hbyeGmR5-0WuB=hUjI=86|Y zl;LhLV;GjyNkJ($)(m5555Ve7Fe6F&M?9_-Bm{G3jJ?&hIvvvBB2dw7X8Jf0h+s{1 zZL2b#%7&=+_4y3+jWtW?#oj{4Y zUESgIvIp)=)47q=OxS=X)S`g#xU1~s(mK$BxPMlInQ%O1`^j|Bu!0>dZ~rsXBnLC8 zi@4^3bA6aZihKc+d*?}#UE@3RC*EImE#yTx?9Bu|F z0~YDH({!0hbRG6nh|Si<6}57`^NH-V!+v4dlx@brO&B{D?$@W_?DtQ{r9*RYp~`LAAA7pY^!m=QSOhKO2|Kp~^nG^8*)yy?b~A=u z>viu}wig!2lV2wVIw>E51ཛCjK>Gowl+XY&m?Ls=n@7IYmU5IMevwOaD-&CA6 z0clB%hD(*XTHqpbjo;I-J5^cZfK`%8&i3YZSU!m>7}2Y^l34w&-C+QOyZ7r4mREm4 zp#2b!T-NjROTKK%=EkY4;dXND%5AEjr!MU~k3Dr^j`_Y=YHm3@T^e-dr~fH-g#^zx zD61lI-8^1R{u#rWeC@Ztt2}%nErk#e548TGe#RMvv)P1@DR$EIZ$qq*1G5hb{G{_- z!a{k0YjG!co0K)r(+9%rC7&0`=2l1y~xN6gc;no7LjQn5Kv~-~A!L`wKoA zzUceUqz3&H_i#901G@na)a}o@sBUt2;aG3qMH!NsvY5Ja8Y`Av9=#w6smfQo$A14)KAmVSVmGz?O4`nem6V#nmu2g>R;Z_97hTP=@ z3lSUHaOj{gQXk+UH%P+Rk&kv_Fcw7zY)ACoT|#U7A%lI5EEG;0WPZ`=DweWTP6ITt zGF*ncw4Wa;iEyv}iOrtzUDvGu ze#C(disnU6uejFTc9968gM+~k86pHCF3>+oxM0AkQeQTQwV!%;G@OU-GcZ(1o9z5M z=V;ZCe+K8R)mN=TMoAxEKtYdNuGpP$d^M=potSSZd5B3k-F3S7U*(dFT`$8nkuR<0aG!I!{pn$r5#Mj2NxAa;%) zJbS(8s>blo$p%T;4>E-xc|i8Y4Y%eZh?G=cj18)y{%6WEkuGVzR3d#jz?)y8Y*IiS zz$Sp1d;Xlz>*gDX@Ikn5DfptUC#a<#H3y9}{Ib|F5JxUk??SO^bhYLodr-v-#j%sX zqC1@$81lf$JR#WNhmuKIHbsGh+mq@TXI%4TULJN`uj-AB67HAg8z2u02R#_tvDL6g zmJ2Fj7dqA9y7Z6F#NL75KaR=V#!brF<1+z?0ext3~76XyieGOHUe{+kP%*MW7I&@P9KlFK(KBjjKd)j zTfm=g$@Z7b=njF%Pl@!A0X-h^k0Z)KD0~Z^h9o9L-sveuaP!a)y)LG3bp@5#kuvhD z)YQJuvrF(OI9-sT8@qHEo>o8=5Uxbgj~x2b#pF_%gkCZ3@4igkl8yzc+MinR!ibcN zESm=(BTeDUafG10AFqqb!r6&r>iDk*(_Hh`F%5R+h+ zoR`oFUYTt)U#b(aS5{ZvANTr);pj7-h?6s39T9nJQC~2F{(Io%qdF5J^t!`#q%m%s z^I&5nOnEddw7FMw!y&a22|foin?3M{WR$!0gj{CEfM*wV7(!hJ2BF*ror(!_FyC73 z0u`j|aY|bPv87%~?A-Z)a_JRWV{#9Vm7rh_as4*Qp#)j0N;~gy{R(A22j`Eo{k}Ou z*Ao|k`~DOzdaOql=1W<-5Y#whyGIuKr07HCJ^H zHZEjkf2_ANVN@zO>Aty&vrtZC$HQc@(@6-j((T3FyM4pt8iuv9Al=R!%=G~?iG8Tg zn*ibA)zwwA25x`BY_gftv2m+wpH(g4&Bz1~)!O^JADNJ1!UgVcJpsI%$%J-MN-d>? zT)krdMt&C#xO+(1MCpv_%I*AS9jF&Ne3DyFDDWs+4sn~Ic>LBX;P~2a8y)5~dXx6% zn!zEL8G5}g>@SkrzGyElH9|TYKO{LLe`c8KfB>^A-k2K24NZ_l>o71pV@WGE!y9sG zUtm}@ua~hZoE)$4S_zUG?g9}TEg*y=0bPOCuLlFRJz7<6A-NwYE)S!>c5Le*^%h8x z*{Xvq6-n1}Xp7TAsO}cl7Gc-bEyFR@OE4N+)KI{Un)7 zJe`I9^hR>?kZfYJq3dfDU#_CSzjrGJ;pP52XvOn9X8C>Pz%B;M6nBfUot_NpU-R~TTwaD!5cs#mDz{#CA5 z3E=(9N3+B}9o_TQ2IW!>%-Jx~Hn!0bI^s$x1>TOTZtpx*KQ&oqu`g{iV-%>rK zZIEU>p=ri$0v}*>bE0{EytfN$Mds?o;ISX6V#HnKE+TJrQE$RzqXx~?tW^PIRG6(j z2uL>J(G*PIf_aOdJilfl3&vO2D;tIQCDL9CWTeccIv3s5yp*l@RPMBn$_D-FOrzVe zs@6Wex3|F5gGqidIJJc4PK8ArgM<+Wbk$k!rmzX&yJO0CR|c2?&x4I>kVe&Df^^6l z`^65JKng;WjVLe#ryg!G3-Is^+d8`FO`TV7b-|kTDGEqH&nk!u@QoUbGRHUvw__l* zUtHpW$yrku@e%C4!ZBJtx*|_D(3MVoUtX9^y>Cd= zmN&cc4l;WmRWd7qTkHq_67KMWnh7zngNp`(Lj?Vd8utVIdXUd-n^HrtnWKRPda~XQ zH!GW{&#GgI#+5ude#fNY+(rWU{$OAD=!75=2+rDuJOawxFNbABn1eR&{T6D(+RILF zUtyp5W1_t~59pGM^-y6TWhQj=CH$I1hF)e{<-|QN{m>{Qmlcv1O1;s)XsFB?eAdlp z1kCO|t?c82qy3=2HJ5q;{J$h*#BxF_# z9MElIck;kc>Ps`)85cExg+whd)r$@Gn(`#96hw281}K(m&lLC3z8@_OfD;&USW466 zQh0^_enKvBQQ%r>7t@_5<`vwE$yf5m`Ri&d*OO?_NrUrzq|S$jlq+N0xOKJr{iVG- zmpEcw8r{ZgZme`(IH8|_wGR=KoVh9Aa?VPajGECD4H6JE^Q@182rBNHhXcdPh5OX^#j9o}8sG(hswhL8#TN6jvrCcdhgnB!;loy6Mji%NcFvchLf3neJu+8%GRE05 zW+x%aFBKI5jw>yyY!ryqHO{^UXF@&v4sC68Pq~k16UpWsUS1`ymg6hKo;9Ne=*4aE z<>sCk88BBQun+w?s#^|7&P6c^RYW~1Sna;n(F8Oes%>=1TR%NB6=y>F&|;*>;kNcz zSF z36tt-VXH$FuRiE{CeVW^1-kO~vj0*Av#g@9Gt&qQ4k^us1K!-&9d!9{`fgRQep5wza#u#=&JE zQ!O=jY&19z_$$$`@NB}kcP_lF1D`|Vy*Mnxx=I80)a%qN9=B!+ccrr)76Xl+aNp9W zuQIKhauv~R8wAe9kCc3Grft3c-TQ4bg%D|e^Md^#yy|b`IHF^R=x!~5`<_C%@lGo0 zcJj@J(e&IyY@M>1$lACiJ4Z7&ZXbG5+_P&)g76o188=$mCn7BLvX=JuY|@FDb6FU| zq=xt?ifb9cMaytb-2BDJRvQ=vmImo2KkEX*aUs}iFs+?+$6s$oZ?n?J##o#E|R;Nt@>&=;y) z>xFDtWyd(Zcqnlk^P*)A@<_+1!ir&-y=KCY(U??Mm@rwf5j}R?Akz+|zAi-r!YMMj zJK(P~6e21waV}FMBvawfRz@ZS`2mpzI*j24l;@s4_I!1-_U5#*DfAY&f!zoxOqQ5z z%B_3w1wDz(r9A2{ndDBF5rwsG^mToGRw?%Kd$1e$Re^ZhYh>*+Xz7F1S843h%AJm@ z;1tfW;Q9&1iLX03L}lAJ-QKFgy^(zqw#d2m@%kAVtTFR2(g}EqOv|PQOjDBn`xOd zY>|s_dh2}dZ=?5zh5C^5zUy$r^1y?E#;l@SLo)5`fNu3lzuoE`_R7$66*xlV?5y!M z5xgIJV*FgUsqd_L-#5@gDmQ(j3^2{+5slv22rotk$a4c-v9&yu%Jy6aP44c)Qu_0{ zPmuAWmQ3+V;%PZTgt}6|PKtvj%ncZ&X8O}rW>%@cMdB5J63zwQKtiY2doOI;?|(Uv z@Mt-t7hZI~wJL4ZCy(!AQi6tw=aiWz^ZD#8f59iU7-&@TZ7O9)?NKLOuIk{LPU|?A z3%SH@3k3JNB=u0DY33P(!^x2BpJVD3U8ZnRt7o6A@Qa`wjgj;-COO>P>Q^oNxt7E( zYBI&_@4OQgs=&Sne2r<2HTcSaq_a1Bz8;9 z|LVY#0R~vs=p?*A4v{&Wcb}dY{6Z7dF5T$!xTLL{kl&??6IVD^*FZ}+G(Jxa=IY>P6xudi9 z<1THo+t~~9UQu%?b_Fw&L%`BKmu*HW4I*S%yJN+Jp^4&p)!JLDrulp0>A#DrIvVUX zI34_-ao;spe(f+?9Y2llak}>u^n+34`(&C19!}jTpf+7lW*RB}mLaT4Gxs=pt<0$R z5POc*nJ>XO`(|w;a=F!RX#!jNr{Qn8CoRcqtL)Me8ccRLVSCa1tqHr0x_QGJDfU~N zpT&2>w6q78``Wn_@a57=O*kwu1j{PYW@IDpeme-$K?$K4h!%hI4^St}ahPCF`K}LO zzUC)J`blHhBH-3Whte~+Enk-!a~`(i_K4rx#v~4n$>3Q#zbm}G%_HNv;Aso*+BUp* z#FnnMW#QU?q12xxUyfOP$|b8|O1cB4;1%@9L>XSuxycxMZ&IeWV$lLwPQ10M9LlV8 zfeDU85NGX&Z~L0R3NPhWul$g8n=oV83epM$U{a0UrM$0{?09PyN&UA+;$3-rf@b3b zED8pHsOqlf$sy=rWK`RO^V?AqC?NQd)a#RQZRJX--2xNLJZDV*Pc2ND61w;)gduyJ zlZ|}`HmQ-UWbd%YsGC?-;BWa!d}!n@D%D9kqm@QK52DFkpdi6)*cx zcRMQChx&T24$o~`5^%wc_TFLTp;~+^mv}GP^JXk;W_CDVPNgzn<|G6Q&KAl4IMTyL zHOL~}^P-uZWfSv~N8$d%{=;nuN%)?y%6}(7!SLgOm`HcZS|l+;^}Jg!Y5Mvu(#ml1 z`CF^e6ACU3N^PrbwZJ5dAvTLel zUgKX%8_D_ZAa8Pbpx)$RInw5c3`cgIgj~EoT`Brx23FCKaP1@J-HDlBN*q4fyx3fc zFd@`=|7>z$HAj5fF#aVa;KG-kpu+8V!IUkVxH)+jjI!Rj9A5>bw8^RiKWuCOq0?En za9;4>_XZ6|ymVMn&TV+6AR94W*;kv!OFSo(2;G)pa<%*Qb+16`>0^e;r4^UmRtto~^GT1A-3TH*7F_Lj{YyPYVC19G z4&K1Q^X{C8CWnhV@VLfr+sNKMR*WtqdShgP-mp{(Z*owxw^97 z@#+R;GD47{k;AJBx0%KHldiO#Bw9u_-;xT$2!PtlmK8%fyuuL84`SIFF{IhMC0pKs zUSx-epgJTn;?VO%$pGh~WZOtHx0rABkB_=e_6AeNMCb~wu2j+mh< z2z?UD0z@{0FY7lQXEov0Ay?HKjYtoi!avzt`|-Q)W%S#`{az}Q&+|p|161w$V77|5 z1C}5#fv|xiGR+%((ss;I^G??J$~Z@_RXr>~Md%C=?f8X#H<7upO^j8dwYGz{sB@C7c3QsH8%w<|)R0!7hdd^J@!i!W0jcgVF7L&q7_cOJ``>>P zMnphCIj5BazDx&uJp`Q#9(|)WAls`r2kQp>L$1V4X1x0SB&VQSU>S+&-q%_070d~L z1D|BFuj2c6eRae`%&hgO{#@JDwgY_%8I2t;;$vtVk(Q`}4Mw@;qwnum@%%4!v#W^= zC4=zE^!>zqSGlYxPsd+_aE{dr8JRIKo2J%%sd+batMLFKWe8tQjIcf^O_f37)jLHt z+0kE=&|>Lw`}${%`+x@GZ**~=ytfffcJUZRVGcdMFn;aWK<&CV>G(1!QW@T<3Ekc` z{<+inuvw3``eS$QcFuTH0vS2T9E>F4(A{y%1|U^e^=-0jp6A`)FZ%#LpS^l(^@oI) z7yT1k=U055PyVB4o~KjAdNbbZ!r4WP{{B&2+=QFRv09OqY>PMTCYR84W}*88jx{oW z&&Ncr^c~BcAbx=ydVcdK^#51UnTI8nFMM26TLyRUHO+mcCKwdv8WlpRX{KpY_j+Y0 z6b0!Pq~=t(VlJSCqEH~?cAJ@&nKpvL=FKz}MM5QW#(`*X&orF?3nh@=bDxL*d3esl zIp_CXK3`J1FH}#GYCNCAAW4C|D9U8w^`@A-SYUJ}%iL(N<<+*T(#)_;Lf4!2mZv`? zaPHss<|GFfcvI56OZeZLz8nY$G|=#4?zbi42T*wO*`XYeLyk8rohjW;dfB zk~5)*_;#`~Cvm87`-i9An>=4Cq5s@4HIRhaFB9~1+*Enle&y+p>r`pjknSzHr0n1L?;{+su0gdT;^FSuxeoPod7? zoL{ma?JXNhiZ{PsW0Ri*H?h+l7<3cuhV}O){NSz~mT5?CTkmS+uJH zAA6#ykRb9QH*AsY%r%UwS)F?+UbJ((loV}W?iR0^-#WvipnTC7B>KYGX{4TQ9E41T zoi)PhDc87bI3oI^xU>aFZ6N2?iiG4poFv|aIbOA3t|7@b8o4)N9^+%))@Psh<0=`# z_8Fk0NgXbh?AK}9w_dEDm1#-sl{&z#jKcCq~1tn(d(Dbpn%XG9c0wloO_v;QFGQPXN*f#z`=sDK5|2dduV)N_Ve{ z;PXW{-P3Rfrm3@<1LVCebUVbnQAr6Ui$YchQJc@dxKcl%{%fDME3xHM7mebdvcT}k zG`c{qK20Q5v9Q6{9|0~)#{?*=jPmsZ7%na<H`Sfm zqp?qrMDp3Snf=E7CerfH(2F8i)%I5ux+!fhf|B?Px~7P#yfHL%UE1k?xH<-`@! z*n7C>u`Coc>bK*RA;BzD6@nl1eYQyh3!)Lr;>;$F;&&eLJIN5K{EAg3u(Tf!Pe{kO zz@4W0MYfHg~(3S@G#)G?nhO9p} zOMTRu|8f{8V2D7+!Hz->D3s&AtpoI4_|jTcN$uJl?fy20a z-V-}`_=&%*lG7MG=VUu_i+vsIvdl+1IP$Kt+xXe$)iIn?jnBzI{I9`(H-kRO3*zk7 zyDtiu-(%P|tQEJ2%)=doGd3-1!?vVhwqEeH$ZP`xWrY38cDkhdP*Zqv;t$t$Zf^l# znff>r>j239CIh{%s;=h0m_{}y_)G?&Y~qEmHc!q1dkkXa<8f4uGQ1?XB!2O^HDfKt zFDQP0z#L|(WcSJQUz9ws###@ZKr&51==gx3=FmRZBPhj&fjW`G>tio@)P}ZyfYy~| z7R*##j8NBp?-@gu`q-bLdim_)H)%tydapkp+LkBZEp1l}MaVC%SLmf({&CmDXCsR% zHvET2l=A96^6cff^rC&t*O_4p{&8a#F(m8UCHbzF0^jNlw$_6c1HI2UQc>UtGC0#U zH{q;TtpAT5n)7OdZ$p!xH>)$OG518~UV^a6l@fR`CTdqDvC7jIOo?*c@RfkP5s#x@ zb6=9jlF#L7saM`P8>3Pdd;2kHWs_)C2{$p?N`$2mpYvl6w_7d@Al-(V$ zAd~)#HCFM8bK}N`08Z~A?QBuLpUKwjG9-%tU`2F!yt@tGe?zI9QI@*(SJFLeP-e%a z!wZ&{Q!>_-QJ))$`g zDnb;#c}r&8Yr#AF2G`o4%}6;faE4Cs*c^K|q%TmVDVV!m+6cAqt@w$+!0PgS{+g29 zMcKdReYVd@a&LeuM(*TxmI!#N^M{4%a#j#Sj?g7r7!WftJ~IRH!e`FXP|`daWc=q( z^Ns>v=+#H6B!VNqc{l2_%{Q=Ic}WYRXZ8L(_->VQ5o^MHVuaqU=v}E{49}q2HjQ9{ zfJ~of;^>Uo_jssRATqP~3mj$TpidyDEAO58S?UI)LPuVTjCO9G28HcB{Q~jqGEJI2 zZX32fvd0fTNt%jew|-(iHo++}3%u?NkC%+AR-~me^IZ~VDC=6WdWaugTN6(6`G@lkERizEQabg?)?C!+7Vlm-p zsYv5kB7k&ZY#pCEBYNLpA-Ct)hA&bvfR}#fvK!pPRb0B?4d&2^t>NJ}s*_-CC^?LR zX|x{gmRm{Pw_V#ucHJ`jmL-i9F&j*P^li(;_H#Uk%2inVG9MXhuSl*BAo;56VPEC6 zuPJ*p{QdArQ zLe(pOu6dWunoybh1bZ5T4}Z3)zU*TsK+&_Avwbe!H5Zi6YMbhtr@h4FYb?;~tc}i{ zkh92}Hx2@gn?x8}K0@4GDqIi%U1+@o{CF4jSO9VlsXX^9*lu=fO6X8J9wOFr2hQC~ zff0=8em$7v+zLA?DLeGd%i#r%XR!nC0-&k)u`0p_)@r+cK=y3%o^pxO6jrw{SGV&S z$c)emq`Grss$+heA10Hz!ohBOD@uw-V?!JL9P^t@t{JYMBuMGGddaHEv{pXKym5Gi z((xNgeBTpDLaFr*+^D>~=*xT=I_R&7$lgcW7yb~~w`Mk(#ww7ydX#-~&v^E{Er}ao zP@4u;*dxB-ud~^5AloV$iF!uj57No=RQ||DZR9|@xwkCYD*+v{bZ*LA2-N!D`aP^| zC`PIkK>@k-%M!(yQQv)ZCQ?`*APj8VBpfNpUj*$b1GdXtm4Lu)@JAQ}XTXwtgNnYz z-d#fhPz!6jZkZ_E6*;eK5M#|Rb5u=|6YBvyS}=~FMYsX4(CsZ{325XV+490JP2j>y zy-a2J5bGRa^w00mw_w2DvG)#$G=-vRrFP5AScM7b_$e?jJOZG}f;xkDaVJ$Z z^OEk+so33ikg{IiZ2+@k2ds*(CF-ofseO^kpDUH})hGWOY~*(XmCXsx1g)V9Y?Y2d z8OQNB6AVF;P;d5=_=e&}KeY9{meh))6_8kmuti~Qj>#SdJWf{KNlOA^$WYM=?8|g<@PNt= zI<0u`lRH%-)^|p82NN)xn3a``B-c3QrWC+LWKT&{0=C@XAL)iD6@>#yRK~ffH9RVe z-C<0p!<;D+HIeH#(KjY2k*J%fP(a_e7X!4C6vXyNP<2xY`;{Wsr3WHK3D@M$Zh3kj zFuKUKvi!?*6;LvD>Ld%>AZB|HguRD>hM7z*!(vrl;O@aT2QDg@IByKkHq!g3>rs6s z`QApE!NRl@G@r4;a~qaYLCRUB?Lb-Hxl*wL6Q_g^Le`1;LoQk4*ahM+FemRu?Y<%? zVGa^_*1o0Tmd)X53igP7nTukLru(3a_2^+_fUA}cv*l-&%exj zH-RrB8aibnRUv`&K$U}QqHNl&0uzQI+SuJJTMm?g})wt45fqWAkm zw7ePfcEQv@dVMFRn93dK7aOvWvWdQ)+NPqR2&)gqCGoumPSgFRaeRtorr~ne16qN& z7er*x&hg6dk-7dLPo;}oxh&C7+QQ96Q(xlth9Fg*Rc~4TWdS3)nQ?5HoddsJZZ39% zbS0`xV{X3mT>nmb4tQ2tDi9m6aYN^t2<*PKBF*ouI9W5MLV--8irz<|mGQccZtXgd z$|kc)yIZJj01b?zqgj|iTHmbW7ND6A2mYniAKw*|-9MPNnPAD@m^)6_B|}!YBD~1L z=Ne9&fio!37o-LStdrjw9c=+_D7i_qo%HPY-b@-<@O$fIiGa$8=41tR+;7lOA9$?c zw2lf#Or6o2!2g0=m9(8Ic|F&br;5XNE4FKcUY0Z1Y!gUO1kizJp!7)9&duomd#(wlP#WVjsA2LKxa> zskcj07RndHDe6$V5V=O6q}ulWMe}t^D$TR6&3kC!Zts!<82SMRF09Lb|c^ z&b)`cmG!A^owzS0>CLVn%mJ}=kltYiuZJ=QuOnD?bFF1sdAmd_>I(-{Ow!}ykFBa0 zl5f9NbGfbpe0U-98?mNu>rwGi$I{uiCTMHnmr=*;6nV=Ut9X8C=Y$b~IAZ#<+vs;A z!<@T*b%h!eB2^wcu#=n!_Nf+iH#GM@`D}BqG~NBK(<8IX)fk`)7@#@!zb*Ks1dj_= zK={q<*80*AqqNHd2(kN%{cAC~_7CI~@@=O4gADexWPld~ih08IL z{+-7Iv&@fV&Fa71kGrPH!B8P6Vsx zMF*<53Eo*S;*L?ia{1n&UdACRVxjBt=|G&0;*~^a-Mw#{e8Lp4&N}<|YHNyum6bNG gA(9U|R!KVUa%N7Ka-ola!H%%M%pOipb3d#9A4jJ0UjP6A literal 0 HcmV?d00001 diff --git a/src/assets/usb-cable.jpg b/src/assets/usb-cable.jpg index cad50342d5c822197071e18ac5821f6577a0b4a0..8d22037d86e2862615131b8cc5c8609f4a233c04 100644 GIT binary patch literal 1370214 zcmbq(Wm6nX(={%^-8D!Scemi~?iSpgh2ZYNS=`;-9Re)w1PHn~0TvRL0C}#r?w|0U zp3hU&UDGwE`<#DU{|?}AmF1P>;o#xn;NbrYxPL$3qTrDK2NV=!G&EE+G>i}E=pQif zFtPp%JaSwD-2VLmXeYdz{$+W%qh&vE36=^U}p9e{{Q!ae`9cXXc)8@ zVTkbba0qzthe2e|(M0TBrv4jBa%4gKE^9NPa&0uJuYj!DI$8XZ;? zCiW+Yl(*PVE5YSaN0{LN}Uk8@ySelj0xQPv7!)G;su7CoA>o<3T4Ypl7l zWF7S=uC&wT6i`0@X;4}0G7tdF(@r+c(B~&y6d_y74a)3t zAMBYTru-reI#6cYA-J^K2;`n(ocIS7eFudNnm6M;D1@XGb5SmDy}GpZR4-{!o4`A#-?#<1S~fb3{v>mhjU z{iB4T`$4RwJ_Y3i0oC1G6ptqd0fmK1HwBwaM`*)1p|<*mK-7s04GkwpMfNhRH8{g; zXxctt&Mk3!VNC7UvEv!R&I&WcbxqtF;6&=_F9QN%lGH9Hk znaVeW`h}9+pUbwsswFTsf))$Tveh-|{vc88rkFw%wPH|F4~(FL_Q}Zao^fD7`*%|M z6dJmqamVF%ajwFTz`rv1x0AMQy=@;8*4s4n?HS~v2iV-1eP4kA?E`3)v+|ZRb;g<&KXSb_1k-0I^JW3xib2Q$ zu2IdZ&S1%gC{I$9$N${nh$EtzNs`5)SgmMr3Apwmv6)94O*z?3e8K!hruOzsRLa4G zo|-R@@e)M{8GA+OwBcu)wn|wLQT1`pZj0}NTVgrUb@XnWF1WM>%=WBeVKmg;>cBN4|}=Gw00;*S_{HR0!twn z>9n62=dM}A#;3SbrqyrP#C%mgs!vPR7pop?m-k0eeB7E=m?E4<9_h8Hn9utKy;&9e zDY0s_iXkQEQfoG{Ad{f)?cJWWXe43okfp_I+9@;*|2TmQD`q^U7%Ja; zWUY5;or7J>nW}g&p4*88+3JNa>ZBcfsym{t6&gH~+M#Rqq^hjUPapKDBn(`Sngp&+ zQsC9M&$mo67mSXV8_rN?N2&X$VbC^tIP_V54DO~)EoSr$<6$`!C|@cvV-oNSL#3XN zT6f1Ij9I(jX{^>r!dLr)>wQTv6y55 z0RJQtgY6h%OH;BlkOnnq7+H*dVSMm(Owpx>s1*c1@KHV*N zy!oq-eoBuGEjMY}RV0&{;uNe|GRQ}|bf}ICo5eXzMkQb}3=mC*Ysu^0EnMQiW6=pa zlFSaC8k3G8^P4guZ&f;{XQP&h3iDA3gFYE=4^E-i=QLuW2w3yw{q}^1?HqeOQsnJc zvA5^=1{HnLm1~&rdFt3EBY2&j)^3_TkQ0ryPY-wg1=5_ zZ_;r)055P`i4@A!Q!(JUlWm{4t+m3x&>F?$Ii-)Za%O`NwO5I9;TouvR7^{3#PNsx zuLk<2rxhmX-3>NgaDdZ8b=0aS1be)(G*D#;^K_r%CO=6`yav5=f~>)Mc$B+h{lst_ z0_$w=B@{(Am6f}P@RhapwPseI)d7LH`bkZ8<)qZ2nI9jko8h4SqC(^_2NZ|auk?!x zm37Rs;8RIFW}(xdvzv15m*WP=C8|)5&{k2O-69C(eiM^}iU3KcqyZkm4q1ihoM9r~ zwVGY(0FUi&AT!a`HHlA5bt4B7Q?uv>Ja?OjAf2A_M;ULub2qm7;_M5@HM+e7Gx%V4 zE+t;XS@$v@e@oDLrLZzih7_H0Da+lfhU6TL#n#hTcj-$~NVl>#hhVFeE9om!l>v!t zcgz}51co6tQfFVZJH8{>Ex*rId_4&)xDYJI;k8?HzOC4+)Svd7fi$LOdm_BnPU!=v z4O>M^@y?JJqjPX@q}95Cxs-I~o2P6v|dGb7c3PFTTggOD{q ztxZjQrZF00YJFq9n+6dvKltbde!L*NL=%*w59}|f!Usqv23+KWPzt@^uo&thF_x;h7+?P{uxk744NyNujk@eha+Bf1;!`5CAQqbn{hEO`d4Z!2el0rCwi?Po`S#?2Qxz4a8!a0a#51@i*DnZz$b9)^h_Z5W7aEz&sdGi! z;&60@d}or?mzj265O_=aJowd(qcm|Y_LPzd?kmW|3&mN7bS-t=O1Ha$V1rzZgb7aY zyYp725YR$7yf{3)&ua>uO*lhnE^}SHrn+hYtYbcS#BmGo$$ry@V712CXz_~;=;#xB z=(p!pVVHt1X2y05TN#$aHQ6=bZ>T3QkasGFwNg*<+(ju_LK}2hR$vHmo=H(tO7-e^h`ih@ECwV;1pP@9y=8Yuz zEKV**OqKnE6NuT%GwB ztL1;=_v47hn=cSdWJ>HyfHzN7M=J3~MpEUtot~EN(vLb-@e)MDAKW7lD~z7=)^`?c zWK$OGs>t!DT(nA61EUCI5^C|(GgXPevz;*p2m0eW9>4HbgR9f0$TJjj{QN8O9e^yxR4C*21OhexV3v<5W^j{l% z6>)(;K-(1~AK{l9wr6muak5K4;ZLLT#xD!cAb)f);Z{e@ZIcQ@_)w!!N$krip}Us$ z!s~XOZe?f0?O5uhXQ%TENtLaUVL=UV$6+N|KAl=ELt94L(fC$pR?NmzL0X>;m*H6X zOS@8mH&p-WaZUj2fO-JO&d7A)qkWQ%@d?v|=5yfppTTvg9&q3?Gf#|NVYg`2JlV?Gr;? zG@@vz=6kwLl7aB3U}QPexhR zL&4Zr_x2zMG*stugsVEXypf=%?ALuSnzt%sp#pc%_P2)w>PR#K^K4AOu$6GNb$5!OVd0e^#I4Ki*%Apmqu zM8J`8TM>5RRYUklmp3WNv}kdu0seW3`_mW@afkSdPJlgiIr^8Lg@V90j?L|6BbMIQ zQQSS{4x6#^@KtVj6*)e1{H=CP?)Y{6oHW9MmRaF;?(f?9>CNrJX{Rc%>*(*<48tyO`O8kGTT*RLrL_qPzwI$WlAw zXJJ1grYn^fuqIHZ`JNIsJCM&K+Wy5gNVNBU+Sb@(sIHZ2s!nliWFiLTjN#>_-8E4izqu7{fe>ey^>cF z!vdW>TLn+RK$?Qjh^4vPQ*FF-BaDLj88nKxYsrOVD7g=*)@I2g%7dXS(;VFO0@Ofm zx8z*ctvZhg*i#hDK=pkUyX1%wKaAz%_>uBFfE39}od&nZCr9?IG+g#pI5oRVkV!(P z=Xq)LgjySgoYI^5(?~sr0r3yk(b|0_(xu+@&w%K&!Rh&vrubeeNbvCND^4`|3;Xp! z$uh{zsQ1#j<7d(E?LRn!+n4p83u-Hbrw9ke?Ry%Iqib8d>ZfMg*vQmw)#o5|<35sm zdc(Ju0aVIrOFPX@!Agaut&^H8g}O`M1md8ClVo=@jtKh${QPk&OsBmL zCB}9CjnYBdUnZ7SJZ&G@<7?}%z^Lry^;+@qy=*!<8rCh|MpUL4R;|I-;H;!9!UTW< zw=2U%`D!sR`ue&`*sguye&A=)^RJwT?Tj{gK-AOW)smm;LNAm307vl&L3C&b1%mY>9BtrZ1MuL^*FLA<*Oij7h zHx&+PC7Udjef3%Pgk?VN_|-0w_PSL#rW*QBnxB9hg1%(gZZ zv9=be{xgvsR+bpNR#$tjPx~RIFrq32tj|rIl#;PzCY=zk&j{y+g#Hll+WFY|ZQ++p zBo*s~8JK~m*1lU$VgOg$%`QD_sLP>}orGR+CH50~R#LWFAL$0;eY6VI()ChS1Zvgy zTLmtPrucb2zg)V}1^s)sJodUwXGIY|KYT}AE>FzA8ig@AJRex0t{F=xUl3I4@zwR+ zL}R%=M~=5+Q;f$mbtxf;;G{nf$33hlso~pry4{+5QYh#B=FIiC=1>oAqJJ>;`X5|t zNSXSfb(Df38jBswJqKzTJAFTpgSsAa|M*3{HE44C$JF+{og2)8ZuQnM8h)=8VpT3_C9u^kK5o z-2k0XV@lQ3xC{tmW8fl^`jpJ^QxJVEELJbq*&TaE(6F*cUzv-2c{YG8X+8rzBl8(P z3s8bEnfYvdavT}{n#4$Zfpk??JWv@dc($*Q11PYxlqVOP)M+Npeqw?P-nZq`Ix}k1 z9~^(u+cTW&vnRW_Fh@wrinN;*>1(^pZwC9%$F29RjrPdZhC zvkxwIVF^WN0O7$2OhK=A^+g4Lo$PQ#H4`*v^U&~S+D=#w(j5UryY+l z{^0cNhH`eSe2J2Y!Qj1nx0=dy6%|E8imj?DEKJhT#YBx?%=Ch|oX0HW8Z2na1sAtEzv0DF>I-FmKivTxLNk1;@4i47nEU(?q z8+ZuY47|xcvaM=82%WKWWYi$aASN@H_Mi2>e8K^VMXm}C|#R9J>?sQHJ)dWjwV-RRLV);?JHU7tgW3m`*0%8j_e zV3MgWt87#cutaeI>sK_yn#&~>XRh1xcnh+hOu9vW8|$lrZXs8_S%=T!RmWtjceCP9 zr`zC?^+zP2*Kb>hb{X8CTmqtY?AF?e&s|gs;h}_U{0RipY`}O*wq)1KoO+{0zl*BO z48)jV&xRIK@@{g+XyvJIYgtZa4i9*Z8PQ*wl$bLk6rxh2VVp&>VLAfV$Hb|g*cK% z%#sCQ5Yg{uXhHOYD6kq$+~N83h8^KVZ-ZH)e&VY8OBP;rGR$PNmT9HmR!w&K)g~mS z*VH^>7X{O9UI46lY{w~z&|*=v%|)o$YBZ*sL;B@ZV5U_E-#sZC$$=vUvilOz9b0x8 zK+oDLW9H$V<|H`K2%BFFmCA%&JvphoCOt!duFBYErppt7`_kSdDAK!K@jJyE`(KDR z7uTcgU;ps)nNXg406FIiP3a@K^O=>Oy`A4LE4x3U3ot{_6yg8~Y3+vmOO=iRKU*p_ z=J>Y)HV~-fOH{?upa@L4Lab!wA+NA}-p5CInN5B2YW<*Aq*1?>0!~Xy3deU=Fbx z-MRMWNS+1()7+CixAmmgcX7kD&OPA>Z3#~TNjZq_ArD04# z9#WEXjVJJG$T2N=)7eKH0r5`Bq{|b@t)02#d&PmP6y+-qrYD_W_=;%4A85$LR@pkO z%TUpAHDizmm2fontrtXKGDO5t-Z_nKEJPUB0avGreKxo=5v)3C7Rp z=cle8OsrVqrT0#)owZ`kDtG5K&ug*OnF?&|#;A7Z=|z~ao~~o~COF-Rtw5_CV8J*|yf(ks_LbTo@?Puxd}Z)ueT^~A z(g3tBGLFexTo=i3QSi8u~Ak4Eh>=U}+n0EykJo!{Oj}^hIan^E7gQ4KE5b{on*$ z0?C|4`dg>EHOrVZwS z;d>bPgWtvM%|6G-DUH2kn9582Z}Bm9E8w3%8nJ#C{tT{G(Y?a{UGO}eK2%gzsyoPY zUtv1IFSET*+e0E~(%b(db&PIcdwY(!W35^NX08g*Bd=IoS592n!^UDy8?`rvEkDz0 z()d(s!cBYh+(uUKVj?i6#n}uwoNm<+Zvaa?zkCtd9g)SjPuF8zfS(yCMM)+e-qw}b z8dMb^l}vr(l6+QWoXTt&@k79@eq1Ssn$s;}2#ofh#QPdFWMMz?JC!%qB6mlT!up(q zSxF&@u_LHt(0WYb@Ro~`s|GJcv7I;3*TuHLazUr4!S)|q`9C-_pvaeyp`?R72VbcX%-`TA$`t^0=w%x(jx?|vTZC{K~Zg>HRImgCYS6HYmL zWe|9M1Jv2AjHL6*TyU_56SRPYKON;HK7*=|7%eO{yZ(61yEr6O^2~ANZ0+T{2Iz70UHYfMYRu6+2hw+RrMe!KhO6Sa2bo93`=`Z-( zTX-t1TO)Z%3*$&Zxa1(o1*@X{D2=A zqElG5`(dAr+unO~3&u8jWHOEAl21_|+=v3wh;G+r<#q$9*&#W&%^o_i=7T5FZs@K< zo!=sf$mix>{r8`SsbMsm!?us0?u+KQ01b<>)@3lh*fL{Y_=8So05#>I_8qDp`RA#I z3SBu)@8ko3&zw;TR^rNzC)A%L7t{8VfKhGTPa|fnunmYdFOWGs#>n!LV8GX5M2Bmm zf6AX|yaU^D=AFRRh&jLhAY3maRQ&-xtPQypiaTJGpIgq!eWI;5Yoh<8PAm|3B?W%b z7npE!Ig}URII!*f!c#4s`f*!JPVz*uSVJ&L-EHNNL34>;b6keYOq7dPq!X3$uXeiq zLa~#9ord%e@C7(vFU|oTI}*$_j_xMMqcyx-yv)uatp_`vgBC}=xc>}^)aY0Y07fjI zdqxTGn*FF6z;|R+X_JhdJ>G*bl4)?Q8q1PFbjDoC#+e@pfkMA=z0+CpHydU$jiY6xZor-5?Rb^NGm!$Vl6)yP?>nDRbUOctVUoKVM-*BR+Y~B{TBMW zySYKy>|vPTAmATd(M#yEq-XMav8drL^wlEeJ_PlVbM1`^Zwk*YJ|)(ZvwcWrA^4F! z7NIDgaQiFTJ%xDsx0Wo!>djOqQ@fE}qLWIr%cXz#n^XQvk#P4tDcE%1(##*W$cH}&le(@9lu_F5eqn4}wauXkQ zE0LR3j<{wnhBHm(s$!q!zzt^yaNrvFN$Jt&8N7~ljPlcN9(3m-*SM0G`oN^LH8+KX z<-jXici7$S{!%>AHWhYT(dnlDky-15eKu?x{R@ylsLgx!X}N{unMHCy-JfCLUvqBr zE2{I?oHI!*Xo#&w`wPYm2e#cGw?sa^YIzPZN)0S__8dO$lFoBRnynIWQz6hUD>8Q<#l|ry16UzK4 zaH~-bTi{%W>=TB2NkHys#8dbkY`%O14jV6e{b$RlK%e0gzJ63P^ z(_XeWk(cGG%6MML7i{3pOyN$fGqSDjA9WlxC3EDA_HX7$YlvIPRNP}mpdK38zZ2d? zUdjC*F)%*!`JMSnw)}(B-YMj@kA-z7UVRPSuqZ8HAbzHq`^iZQ)c28Wd#}H3nfX#U zIk0!?O?ay@qLi#+Pp<->Y>v4nrwzcWCC8x?DC@vzEMD{%T-b?m`cfR&3HUZ}pSUqQ z%^Ac;eDcSTtkz%N?Qj}Q@bnETi;)ccVeN9G^a-lW>LcHnyr{{doNi}NkXb*4IL~w1 zC(lyFQ4Xd?=0$8z?P3=2W}0wAE{lrxxbW=7RMf@)O)1Om6e{q#D6`1iVQiNJnBvj2 z^hJ20u9(rk;6{n?0)1TYk{S)_bHN@ZPoq;WT?N%pjeUf__~T^c%lQue``Fnh%teKH z1E$4VJfoSbZh)U!?0<{BrPwms9baa@p~GK)o&HXpsF4(CqZkVhNvb+3bY3SjI(xCI zbaDO)Fd~eGFh*RLVC^=A($T2{E{N%!^&&SuWhugE3-QQ7XQ{oOqukXn^e(1s_!QxS zj7L<1w&{rT`kcwOD+ZK>Ratt~*j800s7p18AE->d2lTJmI1GQseGBZiJ&C;KN{vhF z6Z`KSylw_x=55?Va?_m(=EKYqO1g{@f+9kuET0IVj$@!t>;5Hx8xIKR5vm<<-k3g` zv6PdjM)VN4Xz(cb2+9RA{`fJsOJHvMGxl8#lK11{<K^_zH9z5r#cCHNZSXk>fXe7$8JI*H;%Vz8E*-pNuL}8TG{=Kwhotmc5VEx$+ zY)n-)E_Kugk&>lrD6F#_nfCimkPA0^k@YEr2vT3=IuMwN@VG+%Ugd9jK#gCRP$LR| ziOpg%Oy4*+Xv+^GtYo^?s*Uqx4Lqpg6zu8TiRCXgdn>%+_11`OZ)vr*N__o!H{ZYN z?*DP=E$95gQBWbLGWy4M!JWor0EcblsC$ofo6u9o3^@}E@x?Sy!{2hjj@G7AU9SSirk8AB#>9dNQDpW zvsSLT9y#0z%&;$uq&0&7jYeFln?aD*W>dvNUD2h_MSQa`uXbLTAyzdnyO!DBgbZu4 zhx{yBW%+rjB#o5-c~IN#4{wHFfM>$Bb~rq;{nNY)BT>N)s+4q=Nv*coXq4a+(a*kb z44cPuXvq!T3~f5Uxb=rWQpL%nf?8zDcOH!=(5y>)6S5X` zXyunG>t7w480h0=?!aeZGgT{q?v58Z!gGx)=x?oiwEYNIUnuDX;CNF)YKwGu30vEH z>EE>mumW2<1mYDvuv(vtW--QeJe#p(b`o%%3;-LdT~>N~tE*)r$~ZlvEv_1C+4r^e zBX-RZ%BVpUTP;rb-I!YwQ(KX=?hEgX=5vzGGyX15EYa>(Wbo{{QaR{tOu{()MY@al z?gj!lsOKR$5JTDWDxW1Kv2r*yOlwLuxwu__ws`lq#g{w~)*dDGE%)^y+At67F3&R4 zr%;DCK)|K64{JrHqPauVjbEX#J8In_X|bxek>BU(cnAI1A*MW$8ju1EeI63{fF zmsGdJJPSQgmEl1lu_GG zwstk{>2v4*D4&X@Zj6-ZRke@1t#flQh)6MQOnc%hode%edf9N~M=*V&=ACV3hNAVs z{|@7)P;inPSQGQdlzTvsi=NcR?fYlA95VBa9{2JzYaA197Qde`UAa_PE6D|xR zF6oX0jnoa_40ll9vYFG+z}b^BAHNg(EV6m494)_L{cb*XbF@R{lkG9wp!rWZ&b-s6 z`uqo*Jtn6~8Iwh>C9M-E&&TLWcSIBuPX-WB^sArjW5^J%yI$Q+HInd2s&;}(V75!1#_1aBfa zY4&qz+!}6vC(qTlJnKIn3O?)d8uzO4MSCqv6r9uDm>08wtoMxA2b#z*)rYjh2B{Ul z%zPj+W!(dunb}r86m&6)`(tO%sI`|+2#}CQOAi!0jYiHMkb~}ZA1mSa&2&cPoZ`Lw zb0stbEee6$RT@g8Ndyby-%f53F`Z`gl)Qw4w*xL;B*XHTdK*CKXOhzKV*lWjA6HUJ zxB?lNRKX{jNHV&!4FGum%}{s!FF#6SOzGw=EEVn~5g&%dkLJzvzgFLd>IYAe#-w?Z zYJ8l0JxhiCy!c6cEwRg!or#jJ3v%>HML#DvbRaUW24E{XV!rc-rF?QASDR+$G*l{$ zmBP=fo;w{ho83FuP__cgWP(t9bA|qrZY!8@8|KXi@EMgR+ve{3`?IMa3av+#gu*Av z6>=Z(k%tRDpam2(aNUmV=Zhw{<2FKTiv)Us_?XvF#EI{rBJ9xPCzrIV;`V;K;jm8o z!tJC5O2R#1ol7lP{@Vda2%7KVBrcj}Up7IU-oj$fTun~ShUY+BRv-}S7?N8?K##wm zPsS;j0}YK1M#X77|N9Tl>i0i5C$yw4i`Xt?enWBp{&W46%c7%C1x{tom{%p?r6dqc3CiG+)6%m6b=? zaN9SkQi1n{Y-J|nj9zI-aH@WxkopkED%RdPYs$gi>Mm~>+{ZIZWWVxUT*N()G5qZ+ zavNEBQtXl#1}@eg4h12}^<)os*(K$VPJF-T&mpLh096!CL*s z0(QqvkTgN?=2WmoB0FYOfCR%q4ga0M^G0CX>S!@;r{2^*TK( zZBXAGPX=KQw~t2(Dk-iLy35!Nodu8GC1rQB_7r2^azgFjctS2V4`%8t zEo>^P8GCppUp}m(y!7XLJVb*P84(dql4D5uywZ*aF(5;;DlO6}6}f-(+TbBXw^vQG zaG>0^XgUY#T)(w>=0WZ9YpsYFj#-@LJ1{C&wG zcD2E?xw5rlzv7;acBqB4-B8w_Fpua0{JrUHe|5fFJE`I8EE~iq@xocQg(xmH^vq47 zkkg2co9*yA%MsQf=9OqJDLBaoqsrJqmySQOts?7}VX-M+ATmjK+^(}~Udj@v(z5_D zlihi;V=8U4&$J{x2YAUz4L?kE_aY5i$FvZ2vY~umItT1n>zo>k29I$HL+KUd*p%wfAroN@C1U`)ASj-FACi~%$yC#pe2*!A^B*zE6k_&GhF zlO9YvK~S+bp0urk;@@q*RwQA^&Lqmw#BR$9wKxV+YZP!kyoM&1;@Vf8?Hx(_io9`O z#DPT}y9jIR@5ZJ@!O;HIovG<()!$L8V|@k_42>#DMip$>1dMoqiUh>K)Zd}q?-~E8 zhCx!Pp31Ln|KNt((F$(X{_|)*m=g|JY1o|Zu^-X?7N5WNS@hmU}1kj+mtIl zXS!(PI9cv{ju~FBobhVg9p71b{M_LNb`G3Bj}AYtkgPb;EK?PV@K`p!QBp4J%p@;A zzp7)t0h=F8s+oOx9$JiFF*DSuamb&Rmu`$n*dbfv9)5nOcJdO^iZmqadbZ{Byx(rY ztE!u9N@uD&WC_1s{&7 z40k%CokmCeFBaRHc5g}!iwS{4i9mer2OHTtU$#(GT>`o+<#Kmv9MfM}-6}ukX8FT+ zw^uHDSCyCjOQLdbf8nY>111ki{jCylbr&wR5miA9c0vt~?)+wZeKK$Tj_v z*rEq&Jq!yUd?qOrIUW5{^bgMUDX_kK!L#$TvI#eJZH?}miCQhFGA-`_QZECHw(1Ms z(+M+vokD}0X_qXUu}!q(bm+Wgyr@hg_VmE&E=_t#q91*RMabQ0MC)a*1@aLy63pxOSOwhSAXx4yr+{|>&B#bJ* zUAM^EJ28Hc?v{K8Wtv^rX(UzIjE#g0|HtTTK%x}a{vg)s>f88B(bD{S4|pzs4)!bi zIXzpr(n_2IK~4$FLpbH>_&3YR$_=Q<(%NE871P=C%H5LgCKjC?9lJzMdu@$emZuI~s!?I0jov?C4KLYWkteT=wR)kZ+GH*8B6R4Yb@D>&j(Iz}^i;AdXLiEg1 z*^79+S>)XSd1(?v3#niVcE-+Km%}A%?d)!2ZVe{HlSn;0hfPeWR*#hb=d|F0@u`ZT zUmGTvQZ`#`G3lI1rh~cn_OHhZPv{0liE$yHAQk=XSgsn}1!1MOb!Tpo}0@2wF zE!`dMwURaO3oo6u$|H5o#i=%;fnDUz9M)Uc|+tCSx3us(8Q zBCPD;z!iA7y))REap1JJ%6EYxs2Y?bbVu#yd_3!k8b5vT5MNn>IIZ}}hpr<#@4QoP z_N*FrG9{U^_v8z1z|XW-ZA87XLgo^+FY%lef#mH$a_4qV+kFU^bR&TnddWKBwq1;G zwQiM(aOJwo`^27KP*@ppyS(YaK%sl+56<5t*Qbl(Gq24>uwwX(fDcJ^gq>khnC5ci zU%5TE7G0a#()Sm#x7Sq^k|z|a7y0$dXWV$TjH8)Ov-8DX!c~)R))W-+1v3vgY_evS z_r;M9PI>q_A(v?Su6FU@RZyiy#YDVt9nX4gON;M=1l=QEY=Bd51K|g6ths7^$F+@a zRdR7aZDJ+4PS)23*(ZOEDWRM7#)3&y%ud*7lT^5bM)oV~TpkLhyQOMTyP$~%tKmpuHux`*7Fq+;AbL+#iHU>NGqoUZCRDcFmF>YX{tfIH zCgf$%6qo&>%PDc8a)tN_MNY;35Lr?dbfBWSfaMgR?qttzGp=2PujJ}QhV_!gW!T}9 z_1g!CZibaw?o!MOlU$gHS-Q}7zut`aWf6P+Qf~iQDb?OhFp(IC5=pNNW;Tn`tFr8} z4$zBnWfswB&lRodT)Fu4H`d2cxVAng_l>6Z{iOfo-G7V{S_Ng|)uB$K6mnxu>)5W;7LLTSVCigVcfjjhsZ=MN2EyUb$7 z`cOn-VfkLvzP^Va9tJ7Fa8R~!BY#>8N-NnF0j7-pK4T(?a>ikaIF{UGb}C`#X6GMV zW$k2OtGoc=m10J#^Q|%k04Sl*=Jgk&d}Y_)y>)9*j@S5nKG4UO95SDYQ7Y(Dpw_U; zYaV@>5Tkf8)zj`w3vx1$o-x*jwuS}PmLfgm!y$2zDRM<^^{UmBtetw1#{Oqc53AGv zD4f{dK{E?S2yKZH)@2-=xVP#OAeUO3dCB=`veR)%Had^;Nb-T#V5%gVI>+RbtgE$l z*>>4Ra4hs6TrFm!KlhctW}BeB5_CVO+GUCZyOf0&sVBOi$?4gY@*;dHouQZhMn*9_ zniYqTlTK0^r5Y#2+k$^9<}qgeX5am0Snqgt^|pEwwj>`;Zg+knsX_KDa6kLl;n6T8 zLH{3|I!43{fkOoBnLWJ|Q1Wz1c{-eBXtTn{7|XIluR0b#b}~F_P)bnw_}X6~;gM~T zfL&PIMQjFhK7Nu#`}@j33Vm2>n15(p zyprE2N#;dgv#oG$WdmM0B-5KOH9epaX#ByOva$x6AK?Vdud(qaBj|Kni>6?OUz~qq zz3i*%3bBLbOJq+!h$Q-HD3U%!Cgi!VX-QV%7X#dj-kYNHc`1h&^RZ2t zvK>+VP>zys_hEvn+Z*d5u`z=Eey+Aj5LLB_6)|1{wC_EARyT+@*0mz|9I|$;Hk0;q zZ0p;fpsTSn^Z0alDAW^M``tdT^M%{(a~IxZtTTQLNb^;c*0C|}NPAt2@w1GYl;G{F}s)sirrIR|qZP25rUG-0j-yL{- zqQzkD3(H0W8HjcHxK8&s;cgSTSPX1-aekODkH{24-5N}J!mabH1q^vzJ0nk|CYvrG zL}i%HPgdc>e-zd3;w)ldBc|~UWMZ)}EiW#ZqcsR`Rao37mK!xExJ8rx0z2{j~Cr#jj0wTJK%ASs1 zPLMwZ^HeLkgyx@OrB*{eU?CnpM2A_vhNzH_ZGs? z3s?V@TSkvx0P>!b>|&=e6Ou{Wfsql1)(fTFUdG)EDEzt_qH}ibR@Iz=(^wfUEy)AM z=ZtpmPG=0{w6%mh?M;g-|CI8oY!Ed`t54SFOYlZk>bJOtmY<~g{7q}Ue2Bgy^M`hs zP1WEh1d-EA!dS%oriX{ThMDVweK!vRnUNW!BKD64wCGjqD(0NPTI65Y2#%a&?V$Ou za}M@=GR1t0AJ)z51%PXK5QGbBM29&8KE8Z7Oylq%{4F*{e9$HUgu+<)QN*7zg-LcD zsx!T}Dx-Rho#c}Hx(%JV4>35C06H$Y`}!M3?x&Rq&v?@2T>nLuVgRp*t=tmm;3YFH zI5l~=)qE#WO`hxwFI!^d4fGk~tGr)BK{yfP8t2+kbXW0Cy_UEn1g24Ct`DV9wQtCA zEMCp�Qmu?hL2I-BU83EOmA$!08ET*nzf9$~6}`O`|g9P6CaJxLgU9e<%TdNri6z z2bVx-zowZ=YV;{rK;}cdX$oAf8v)_kNTriy7>?oR0W6j~)T>ta0{B$Wjbzo&W4zWq z&^3WGI+H&<`Ood*0uBLcl-yMvkuk|jWVMQX(j0BI6>6Cs5;|f@%dz-gH^=Kd=H5?l5Euwk%Qg1$`!YsK;MNE@GoECZaHBL! zc+r!(cU9y8L-Riy@_s3K8N-_HOa)aGoXnqBHlD?aE^p9!N~JlRL_x>NF*931PAS~w zmlq+@yL-49nz3?F&c*X*Yvo&}V}(@d8K!fsnt^E4u7B!>^f3Bu#U9-_Ev55wCYQdpc)<{Rr>H8DAR-r{o zUoaa)4NK?DWR;x!^$IMRtOIu%lia7DC;>+^JpTZl@je6RJiOjfIGoO89$M(9Uz6fp zKItJG9DbL+-WMz}=@zkE3wq0rYS%)`RBLAj9-@S6cnAWR2P}+(0f&v@a&v1-dPikS zJ`R)8TKzxQevNe2l@;Jy?a%<-8!3M1rM&b03;aOSQ ztu9`2O^q3}!DoTXpnDdcCW}ceVB>~mYZloDshW+%4XMN6IV@R@bn8&8n!VX>9`HOL z%l>D`C1W|PdvV-VdA=;Hc)bi2Gc<%oWnt6wj&=bW+@0M+_!%j%ltg*N1UmV-Zq8RR zOha-`Tiybuk;z7bO)7qp!GnZrmWCqiFHiO_r<2x(hILYP0LMKx2@N=XL^RE`Zn9@g z;>XKEm^|29yFdmFIK-%hjCvBiVD5C38cE>C=I2iF;CL;{Uh=DrOdmDOCNEl&N?iGz4b{I1 z-Hj7Y4J%~KEly7hxW$&uHxHbv zJ&$gcq&e6`qga-$!$h2Bz0B8&w{gLW8D6>}ty}<9&rIiwHsCSo2W<2q!+h(Qx~1h5 z`aiLK2vz4^vbU{Px^j~Dq+Gyt9&x3WD>t-nNp2FJ4(SQoic(3}514yi8cA$+U_UsV zQn(Y{=xI-_1Mh`ndMe7Omq!g_TV*CsO8^4Ju}W;q)KSYrj4PUYqdlcMw0c%?XJRsUFImgro|!d>NcWrJ{4c@zN(?SL zODSl&!)g;WzFhGe35v>hnVzmsAS=wn^!?jI4SXi~+#S5O;m^ao!)6wznzRusF<`xX z+BCL9;>ssm34zOSgT+iL#s*b8kp8;g-#t^ZJy$yX73+2@X-w^EU6V42!E9zVk4BSx z>e-CtKhO8!4kWzNvQ>%c%*EnxgKDo^>x<2{e$vuT zl}RN~wG#mb%BJkb=(S8*SO#fDh?S%uvU7Q5huwxDv27jBT|xw$Gg|~wSwiL-W@zTk zxiQhCtTAdzOhTgg-<$aFo#ZP?yvlX8XIWPOdA@q!5t(+*^D$|V))aXPl>l`6{;QIF zvjbBwR{80ev>(r2;2OxWQ@bZER!>@4s-!11(!|i67UP!imW7rs@J@Pc^Jmt2{{Un9 zzpfkUP3TY5%>I&`m7>Ycnd;mQzED2LsSGp^G1y>0`s1*WPEk(bK`{?lR$MEtR5nL< zQ$A9~g#xBg;i;5QN(+u2JK z=n2+Vh;aFm$>&zK?s)ZgRC=MSb0>*8n}_TBglCB0twysoc9Pfv#&ZI8EjCPwke29n znxHFi^&ISc?@<(u=)VluwE`vFjYUuNv3{|yY%yabtJ7#5RWr>!iAlzOFtf!^(QjvF>bTpSz zC{WBsa#uH${&D!NpsR_>%TLb=nhl$XX+Z1ab}_;!Ztx|Db_3K8#C4KkvlNnY#iq#& zD4vP(ge$4wjWjCJT1@p;%?SQ|@ZS*%NrL6mt*17sY0flAm?l`d)elD(0}QH4sz)bO zp5YF=-gBC~J;TYWR;iuTPtIDLl1nF{9G;!K!tzY)emT)~T%Isum>Yzy)sq^*)dP5# zuXFaV(2Z};KhlArx5VX|>A({w;rYIhs@QUaaq<>QNg4KqnT%`D2Sob$c~*frN|rn#^Txwaws&tgWfxHh#vl+Wq;zSME*s3xp3$u#)0Hfk= zz$KEHaK+?&f9IbU4CRJzr5$EfOKNuPl1Bn@ZDPPw0mF)2VU0Xq7h-dO19|m(?<^&s z7^$j$%woqbe#){EB(<{xXG;}V2e_X-x~%Rc0}KvkzGjF}QPG5>Nk8d6hwA>})g2&4 zUWDFnqN<`T=Cy1pA34_Sy#i8c&_hj;ti;vjb3V>|iOrO~BrsY8f?YVphN< z9m)=C*QemQ=N%G(hdx_U*Q9y!U98SZ(}HQ)s37CQ4dBgpDpoYurXeiVp^qW0T=Wiu zm8nskAlV9GrLt}`#kyUJGcCmPbp*C0ZG$}TlK9_(@H3WV+BXcXYrPI}N$1BRR-G&m z!Eyw9nQxuT7jE}(s10iZcB#I{u+=aey&(?dn)p*es#7sZGpLxel1-vfqNFRhlSU^y zb(3uZ>5S`zQ=YQFw)^yIE?uzHNuR6JS|zlJTUM!1PIro=bA z+RQa#H3HhVFNK`x8e@XsFwNw@I5(O&m-&L^%SNojsFRjnfh9FAl_B_EbW|i~e$%rs z76$3(Wm(-sRV?bO&vrEr8Quz=tYmWiO^TA1qh=$v3Ls+lK7t= z`On5lH)g%Bz;zTlo4b_)=Z;*JrjW(cF_MVccDg?+IeKDZ8O9-$Cq%3FpSZ+hQq5Xe z7&AN4Op#qb1v$o=+ZN}0UQZa3Ig@J{z_8Y7g(e}L8MD;&J&$wkewLfLbw89&9Y;J! zla@v3fC$nsSFbK4%=U7W#-q>$jV6=E$vv5q8Y#(wrQ=Uc4QpB*4pldW(5w z&^H|hmg2=&swVUgrY3gMW3tF@2Vb7*T{7B4<|arP#U$dW78WX| zTO_ZLv$xJka$9WT8Lk54GXWJ4y1!vX+c^O9 z7PIAw>R$sdoEa%tuF)ksg9kI;^}c!lJpf_mp6a;1jgVBk06c>TaP)Yj&|TWSS)yN-{KMdU;C7n!hHXbxu6Y6=L}q}DIJsetLx31TR|r7UsRXo<`Va_vE4D8nP`a7!;eUwjeduy z{{W`wy;fo<`#AkiLZNyb*V?4AnVZbD3*^x_>hDmVDmK28a&%kV)TQ6#2P5cuar2_ryp=Q zK+7iKp(eH#a08Du4lrGGJw;73PO(*%mqDt*yM(zaCwb38(P_MEST2EDT}8; zbs@OjM+VZ67b}uYo~EQtsnZ^{p0)n~LlxxT7wpa-SA7pnUX@1XtI-TaQqntT2stm+ zb7A(-?>xg6`s2L|xh$HPiHlqGv1^LL?E^kbT`_>RZ0gh145L8sg(JB}vSu|Podrmf zTjx3z=GcCZoC>c6OtyA~b9Dj{sjHz`HQ+7IB3Y+QI?fFNYLdoEOk4EQiLb(!c(}xR z(N$fEVNkBsB9suz<@tY|etq-m1Te~#R_5DVtpf;SX&o|tv+%Hed`uVI!p-m>L1e{ssdMlvgO|xTz8`e$9a-Y+^k5sot!{DtLbScPG zR^fq8;MKFVxKTYCV$PveM$olbt9?5TpT(vvczt)YgB@c<7csf?pfWpF&TV}yOo3{V80HTmzs{F?KI zjxT6X`)~9ltg2O8Tm*wHfu zxn6Z`pB~_F%*M&#T)@e?#ZL3&i*%I1;uAtlP3gSgzb0oi9Hf{NM+IDbU`QsQky~RD zTNROj`FH5}Y>gwR};BgK>RFN5(W;^1M;f3g->>RYJutn>OfFY#Y686;ik zdi9#fMNL!qFej|%dCDx=_DbdKkJ3*p)ze~RMsR~n=x$4_cq?AL^qu493is*$f0Wg> zcXuA+H3`SpbFcMiPoYY+$O3y85~>{HCtzG30*y0>9-pD?dj60po^w*ZXP7q96nU(rB>b9Wn}m)Q zqK=w`np9|m!KCIAds;w>Ux~tW)Fe%2rq$-P*{a51xq5C?p10SjwzSEFzp#t%b)w8D1132>lrJRp&6t z6xAHs4VspiGKay$JVQotnqQ2W>s(Xyy}dJuC#1D`-#73d3FOJz#j=j7r@rq(m2~V; zdGPG8=&!ny^?e_2&}=C;q3C)~jdNGj$g22fUtl_Miy0EE<1O@=*r$LUYar4K({KxYtBhg^Gfo+bf)N#;@WK_cp6A?(f>TLEsKV|q6u6hhKO{D=(%x-z!U*&%X^8kT$ ze06pAQC;Cja#TKgAyo0Rg2sAY@1*07g|RH%YOhL%sG^m(HktNtr8u))Stw;SO81|S*Pl2Jdc-M=dW0GAV!aeG8bY?R zch2wtr3G834kHy2A4Nlhm$n4LW?wW71Tdb&DcR^tJJDQybZg5~%WFhHfGI3`9F4IWF;lrAPX5m$u9gp(#je7Z4F z`s*UpvqUpbH78umVjLRTeP5wPCG2;T?AC6|FY*05$oJOPmA*axw6&4aouYIj*iNU= zTH6m^zd?%x`Vywu>hp@Ap%ij3i7wiDOf(th%Zq%}xx^0b!`X=4KTv_~b1^!)iU)HN z!@^Omr7b*^Z(2SlH7%T|5*=?Vmo~Ghhnq~JwO}9=FEoRiqkcIAt>NaJfD~tPo5`KQ zJ!Uwy*Ttj;yh^B?Fx%n$FXcW2@;@unsGonJ>ODR4+_0W$rd+ek<~!*9Z=|7GhW4w? zt^R1zpG&B*P|?pFq&+w$ZB(U!CMcJgImvAPZq$!5N+*Nme4AtQy^~q;m)tdG%||qI z32i2NA5ZM1o|X+hN7Q;AHrwe%MBajEpV5-qDeAn+jGf7*q$cjrr5>-G{{T9r*`7D9 zVRCA!A3_!~m{5BWjJ}-CtW7+V3RGgxKbUT3p2vfAMi1enWLx-cOtev(8$^wCFc9TD zBXQ(C&PSirQxgk#c_iIf;YQGzm7Isq+thrnSt{67K+J5+qfjnH`fGkAZ!i_umN^~N zFNC#y+QrNP-WSP!Y4R|#cerWVsixZ>T(t2fG)p2UMD!oA>itj95zbTdfVnI+nw(nK zJ$+FNcz6`QLMkR(JiJ&dtX8C`zsmQ((97s8#Q{Q`V<1aiP?Fg#z^H$=;@>h zxi+F(BNiyk6X%@koM4aRd{XoB^q!F8)5o_>lT_>ehuHJY&#%+-?JM0zSQmv}Z=lGp z-$q8Rx+1Tq^i3X*Y>%TW^X^oBmMADg#qm=uq>Y*OK;5o)DrZlxS8B`~jLfoHm=cN2 zPdY)-F6Opf>tZNrVDpm6!HwvB4&|(Y+|cHaCYH118c7;%PI=y&gd9Ue`NR%db)2Oc zrOlDtDg&mf6#AZTq$+!@ zgVo@?q&hX3Tot(*#!O=+NXDakZP0?`6*w$0QMyKUtwsPDOCLL`h~yo8TCAG2t7}B$ zM3S1SWN8Hvn~*poB5yRUPM3?HTg}AuhOS)Daa&(CHcUzeP37g zKSEU?;wi8c&f?7qmd6cgb8=zzSvo9To?B^(Z(at}k2l{Gqs+ZmLbH@(8Ld(n3H**< zC?8_aLD@YuhoS9L^k?UK&5qAhT>yF>istnE`)Bs2^dPWbzQ>rx`Tm@Sk3u@xO6zPM zpPt3-BFlWE%4c)pH>(1?l~jYML8;toMRNhI^VYJ%MMpEhA~Wi-8Pz3Aq}2zmlg{)L z!WmL$^k87Fk3Q2uZ*o%4Mlq;q`QgEG+0&73DF(x$VCyBJpmDW$KELE6v|NOjWmfUwQ8O zT!MAn2y-w*MMQ)?Z; ze|t*$5cZi9y@q$AS6Cx@y*R0D%546x1m2vtn`rT^nc3awPI3$Qyw-I{4Mh#mOLd|| ziqENn!EiVJ46CAw`^P>xd`l2w?cT_{x-I8Tn0@P`F!vOD@qI3JlpIHNZW0U)_hgQ%cQ zGKQdhAIkp#HjXZNy*5A6rpufZ0fbP^CwV;Q`yWs5`hjfiPV!2q`m9UxZ$q+>ZP;sW z-K|X5V<#FSdpOmYeX$#Sz-qN~Fg(h3pvdPBl>F`)=%|&x&$1W#qhQVMK~1zz>!wd$ zYI6B+UHBJ;N}8SzTg0(%&OM}XfSqDgEXz?$?;aLAyUphxp6dt5z4$C3U!P=0TXWCg zXHx8~K5NnF&qApV#a%u(x45%43?@$g<~DYKxvGu3sTr~0mKv-Un*8Rt__BkGC5xh@OBri=X;j@8(9z1$jx1WQ3|an?yt+W?bZ7^51|E~ zb>?igDrd14b0}F6gB4(NXKr@2ZAmTZ)YAU|KE62Q=Ufby8e3ErV&*_yEjW?$eN5L2 z(DLW&kcufu68U%)FH5rvR>kWEQVP_nCEc2Z6>-OP8`3IwFIEmqCYih?Bdu>=<~dTa zWTyjxg!I%)QJDyXLAd#96{VAtMO@Syvzrl`1)MT`r{uq%M3mZBOn!sCntdcxd9z6; zj);J*^}dhoKB0-(v3e8oX;SE0Jl}20ZaHB<<$a{XqGF@YZ>1d6q}5WK&pkeh>3}@| zY%=WXpwlZSo&RD?D^ifdH%W{^srm$M@Ub+XRK4`Lkgum zYpQ*33)VdiJtl*1nf$sB9nupJ#6D|srTMg2rQ9Pca=$*Q;#zK5r@bY#0mNaw$YPgL z%Nd>3Oi5;!E#|IOKuyjPAwj)qIsy!krRN}lik{Cn7*Br+mqeqvSc>gIy-`=Q&*^7$ zGrLQvzzPM2#?+`MGDD?VT7arwocV9X{74UIWfeUAI(-G$vT_sSZJL`Ggk$MyR6+!ET?V6lo7i-2Bl_N9B7f20mnNH60nGJ~aeAlb2T}yGY zq!p<|{+c875XCxc0bJ#Qj8D6jNU4qqwu(kq4HM~aCEbge>3Vyrk#3{Rtk87N=&7k9 zPidUk-N#SCOD8S@L@QXiWND+$dmt}Ld}F6m99ya7iPM1i*FdPN%^8QCBea(E)77On zD-$MTtn-A36DODWXTr%im2P`|3)$%U&&l~^QUe%FY>(>N$Bv`H%;qJg3mJO&D;+;!C-0NT`z-|sGXtY?3qu@`^jy- z7ogc!?b&3$rd8+qIdq!-ncSWl=U1dWnCQl~z4BM+KOm)bIy15=>hryYrHzn}Stfd0 z9iVH}*!YdXB5z#&l*qYDXL8uJbsQHivqVu#BqC?b8Tx-Z4nmH?#o_CaI=2KX*?e#py`xzyM+1_uK zq`s{nj)1L@W$P0jZ2pYtBzgw1=Jj4-7*GoIYVjOhk{#Knu-ON5M~NX~SDu`Td&KGD z9CX@i9ok?Xo^5(brPOB)2yqcMU|^{7yqId7*-WWo@joy8nmMrA{{TUh`n1t_j`n%` z<;fBAexL3B!ly{4Be9ESf)~{#k(n1yp1FuI{*>1#2h>d2h*+cNl>Vbq0QE_5Fgd9X zh5YhjBqZ*4m!_uq%v$?I{UjYK_Efxav&iPXN6B*6 zMD$k^tU}&uY{o1l4yw5nZre=f2nSBpEdfKF4#QrVu8LDLt^_x{brMM4COpiJSMyGn;qJ51vhVf#}KpL#Z z_ek|mQ(adPsOtyKb}t!;bneC>Z=!!w&vpq);c~fj8TtO8rzIrQ%N`|5<+h1rV04FN zkT%H=y%?V4>uyJ-4szUmf1P>atV=j;mvfmLV}5!Pi7^f|?oqDk+YN1*!=Pu7v3EmU zZVjip$ex*wq)ySQMwe!BSgugCrY)gVYI@Gxjb4WI??QV)rCz5N=A{|TXf+_w($drK zpQ2Yei9FelI&r=oGBRgo*dmwiV9m5^@lI6UXXk&7cnHcl zh3NWTi+v#Gi^I>7Ay=JWqxN5+`^}yHWiommZnRcYrTmLdeCGiA4o^(udz;YvP#LQv zrN>HIx_RKDOC8HKJxohtbZWXLCU6(>j8fY`S2WAEI_B?UdnLLrYsFm`6#loLHeVrw zqM%;sZEDd>BF=wOk5A8jhOTc^5mK=FnC$atqr`F}puHuf=7M5FSY>qcRp&E+!j)FD zeiPQS&eq99qVZtr9xo)>=RG!WCj=Ep5C$rS%he@?Kq<~k3PR^+j#K&~ahsLFiZ$93 zr_eR%Kb9Sh<-7~aEGe@nE@bqnK;)VL*t%z11?*2t<^DtYj0?(1$Lm?@9rC*!iI>la zM``yzLifFW4B26=NaeP3!>UFyzMiS9#?vxIqT|#gq4d)__1?DCj5FR6OJ9w9?Uuy{)A*KDrG0o|6x3OeC z#9gPMhF~dirX4y|*OHXOA?&1_za9QnP0u&f)l6){IuxF$i64LEn&5`BP|~d5Kjc3h`1#XB^wWL5ofWWvo~WgUW$${Qp#Fhgob2OTT$2R3Mzb*0 z4BXE2QwO5vO2v(o_nTDg3xY$c%2L!Cf?c|IJh*8EdJ-?HFwS2$6tfOfkr->0OMLw+ z52mMrsh=HBYSwhlqgd3L?p6XqyV7rh#>c^0spNCh$=3IDc)KQ)%c|JD27$yv*dE-U z(b;HH-Bx+tis?|8y$3HX%mh293;^QWqIsGm?UQD~0r z{wBvml0eo*buBw6yLZrAg(YOu2<1!1@HnR~9223SCD9m^OffQb@;!50p%S3 z08;9G(|l~YNBI&&x##vim-Nq}s3Ra}8n=!*yAt&Fi@VFEeuTBm@o%7(boLA6JplFm z?=2O24?ay86d4Oabc=*-jV$1vg488R1lILlTNx$Fpmu7CT&IuCtCKB!S{3sVlcxUw63f><*y-Pr)ShD(6&B(sKPgr? zP}(yGs7%1!Hw`Krv(C_C1Ott*ol|u03c4RubtN-g8r|lSP;K(ej|2=|q02(tmuH`C zJb-1gCH#lCQ#;b+ojHk5qU9;3tY|I6$Y#dhAM%gKJWVyZ&-ESZH`ZSs^s;$rPVck# zKX30%h04Yukj*voRmC5wIu3J!W-*d7j+4@mxbmKF@DZN<(Gbc-0d&K-w1h zz@5@pJ_DZ>RIQ?yjZ;CsgJ7kmiS9ckN>^Iutqiha$H>b3+8iRiak5(0vgKuywRKIs zN+-=c6q97-YaRzen0$hICuZu!>9#o;RL)4V7>ssK_KrSd;GpKhn747uG^F%|`l#Qa zj<5uFa|cm5PcNJJr{%I5P2PiTx6rfE^6{^jq=s)bzftS{f$VEi4-pN@VvdCkks2Oq zZI3j{{3E0C{S5zLa7)WBBbCbD5mMmPDjg`|$6KH&7IHzsPbTOTVy zY&o*8G2M+XLpnEk&a!3L(oy!Z1XLc5=MpW5vv7)XLzhQR6e_7sY1z8Q!9?U@_6;k3 z8Y)`My(sxBty+C63sqd|scJ)3kaPQA9!_=!0qGHyUS%PGb#CV^IDG!%5}uHzWxi9W zk=(y7a}~_%cr#|TBvj2#sYgOON&`ExBpQ@k^8`h-y(#K{z4_7axBU4!Ds*qBaQ8|WH zMmTa;$$ASh>Z)pid6&n5Tj0KR=sK11oKZ7zt2~~xvA|`Cp{v#xc-^7SY=nwaT1H*& za!<~>iG{`~#;l7__6RGR)gl^O&@a*sXC&3$SIYhi^6Soey<5F1uJj~jRKYi!H<|_R z`=6ryiAJ6}IZa%6bQO~9%IPbE#udnN^gLGsvA-U_RoEhwIe96SvY9!XsVUT*~|ry=i5OBNORcFk<* z^o?BZ$;#+Q1g3Q}*55Lk`24R*8Whkvqis4FYNH`fQ(Uz4)Ph(_pj2`@Ud+`IZ<$1P zOFy+eVCkNv;M7Vizyn;?0RTUi@;w88&+iRWQzjQJ%}{pZh|>8K4`Ix~Q{6;VDauh$ zr!3{Alkq1f=VXcaU&H=g0M@J4v(fadsZ!rmv%%x%E7|*xxc8@{Wi1wBuuRrk?epAW ziK%Yf(PrKW$MR*M>8^BM3!18Nvedd~qaL)mYim6H#?Q2*3=Bw>)bmE^(`>zx+c!^x zLKv$h=0Qm4(?k^z9VUt~Il)JoPMs~X3j_};rbay)-I$al1pz#wvy%C0wmmCA#w>FK zHyh>Bq?0VfusJNVl;u03uU$Klqe|VLa@u^B9L`-MKcxeCYjz2>;f0u`b)CE}0BP*> z7JTD6KVnId>S@Pknd%l92(?d8D0xf9xyEVQg*&IpEHX~&BwA!@=KRa@FBEr<&qLHN zZ?qgGN1q3thsE~aqW!)v7z?3(ZKe{N5~ntpgqsnaGZlU6`Sxr2c>O7t7dbD+R*Gb6 z)pUI7l~jUV+da@DTW6eYTeyj5ok*o&z{=FKjrbGe9p`J8>iLqf&9*vFQ`a4(ub?ER zNge4;k3N@ZTai@=(I8XU1SSq&32~CS(doB&ZP3IMfK{#3Iy*ua5KO zbKeI*=zUO(T4#%&(balVg(!1!FsY!TvN1}ZQ%-!J6HVbARlpR^P8a$fYl$44m&*D? zgIziqc1h}ao`k|fIaHq}&pBSexE|D9Gn}@_HgnP^=C0tD<#$m&mszWP-hO3gRtN@H zHqNxX(QLg$?_vzq8zn0p-Eju$+LaG%bJ~YaFPkRx*)M6Ew-IdSw-!o0$j?I<89sAO zVi*b+b^LjDB)gJiZB*z_?yLn$0c>a-j~mJlchqquSCx# zD!MZowNQD>(rc03G>zuUc|k`?Y*isl%Vhj@s1eVkR>_dXIk8-fW8q!CX;YeJ$tmMz zhcQ*YUpjWH*75Ij>k3r6(la&a9-$jby!HX&ijdH)Vmx5wyD+0R`Px!`LHM*XvDDS- zyVLZLYwBs5PazXK=|4*PivZB|D9;j8X-@v;VrfgX`AAiY>ZA0RaT`i|DTSo<;2VlM zl!tW3yOyt-J3eyB(>#L$w5-=c_*D8QCh#++;5}o~v$A1VqclX9rKPIl6rEkO6N-d9 zB0!P1SUjDdlH>;ddO8M*jd#pHt9vBO9-qJlQ9m-&^``*2oNr;N@jV zmYE5&zNNdGD`K8=IP@#&s^s8OkkcT3F{xpiEFSKk1&#CTlH9@H9hd>5BC8NO*>Ad*)1+&bE@BKFFJ=qB~wwSdc1G#ZOB` z-xaOj{W(w8?j$c&?r|2zG?;Y|ITBUS=w2XQK{!epMW5=O9lE zS66bm4Ow$@MJQyfbBAX?Hh)Eu%+~h4X0V?zIK?}U6&D0-&6QtiVFOEwFHk6g`pHT@&!!6d#?9H|uWf5_t zg^x;2F4fm9fMpmos2P#QG%+m%$1kTlSwocv0oKUw~(y$l(wwQ2C? zoxacL{{Vj07iLhTtDMe}j2@N7u)u_0(zu?KHnDqxz}oc=Jz2D)rEj0onOc;3Ek!3A zm4iD9XOPVz{VBZ(vZN-`EKM(8B%jAClCJE8O^1>5F4-#m2@@>-_xhbvGQ zf?B21h`K~S4wVZX<(H@j(pbkPH-{~$245Au3!BL+5{3q+DtzhJbo*Q$jMo&QSWTc% zw^n6L%;PoO=oxmZ~B7`w@O7j-^{%XB1Gtqk|BE>5ClJ|7dwUZB0 zywNdgsY_T2#$-oo#%k0a595E8rh7V?y+2UwYG-wA^I>0@UqkwL*0|{o7czcJK)a`C zC2pp2R}_AV^>tR1PkPw4dNZ3<*{yac_bD}MTief6{9aREGDyh)qH^bPlW5U9T)j(BJ=~=-d8upOjy3t8gZ^LVWjW~j zwmZ^#$|~r8hdilg(f+se*2)c9oK!>(nZU%0mn}H;7Ht!_aa%`V?rCfBh^?HW)KKOu zlTb1bMm=axGQ_HpR5xK_n{8fIo|l*D03BPvlfec9IZt07AbEhgIZhlNj_0I2{{TU6 zO3dWaHZNU5(86T-*mX=iSUZdKn{WyL6e7xo^y0J4ArvqSWH(TGf2bb|Q(G zbGCgs{Uh1eg_R}p*|T!&o!9~mEvZUwwpJTbuSJVqYHdksn}dX@pXX7NwrD!E2H}v> zjbf}yvuQZ#4dizssu!YQ8oB~Emy`JK;))lSrB%M$+7qJRQeQvKo;tqM_77o>nJG#_ ze?U=hn|rBJS;*tf#nE4$_^BQy3pn)aS#O|$cQSCiALiY! z4AW%PfUBz5L4~n0n4g1IjT0(cLR06p4&ln#(S;aPD@R3`S>^}&XpQEo=WJL zx`d-IlhpD`Pg;BY(yDf=QRsAvdJ(>a#?=aIYFznu36Qz+(5e-g=%c3NQ&C0^EP)kA z%>iNS0M^=8w(g-W3b~>-`IWIZdHPYhYo$H_N}1aexCEW89b}ODZiG6C*J|1+eLdWT zB4Ok1^S>bckIzlvPqTfM+J(#MQtu`YH!J%O(|(#NRw`va9b9ppQFV_)^%Qd!Z1Y@e zAuyoz~!DCwBNoet?RLBOUAdFUD$AE2;u zs}8gVD^N5|WX*h(QW;FhWoahtH3P~=7J`r$Dq4BSqrAkEs+V0IJ)M**t4J*MzGWQB zP#6vKXjKHZ(gSj#Eh#-Ey$(t#NK%nw52mL{O$EI-3LeZk(!FxpfC^PcBn(G%&Z$hM zN%}mJ7hss5meQS=Q8Z^_SjFis$h`$i>4AZEWipB6&o|=N8|JSM&HO*|Z#4yFKDAD? zs)MNXlL8@4zi0Xnr|1SdGMJ)~u9m za=FWtq$E5$kfYbAT_2-`&6va0nTsjznWliExuq{fQqX3Q&gCYJlEho828c3X6;xc{ z@!9F2+NNNf&g9dITHM-V?SD+vuF2T7P3(%R;a_ugm!ML*J6iQ38Uwn3-!a5$b-q&F zv(O%d4O*yUlf(&Pwc@aFxjGZ7t(eCqoAS@Yp^}Q5>3UD~xl1kdCzHyiO8)>z{Ugy; ztI^;Po0!z%3=j+zcL=?}#`70RV(2N^=q+7WDaoG6iQz*uf+jIC9Vy2yT5O#*C!NXF zdIqvck{Azl01fe8+zbgEn$5DDVQ%A90!@XT-f*5JD^pDjp5n1FEEpu|GFl6anOSBtDlcMiIQd30a zK9sBHvBnDYO;{HBHk%vd`lgXoKB^n%S51%`rF!S=q{K59e{PS=GtZM>WA!s61({E?aQ<(yEroVN`G8a%jof zG>xjrsIr+F?PR>VXLva#YZ$H|V^#`&Lr#zrG^8gbEh?o(xbXNGQ#~%yg3Mhz!VUAt zm6O(`*Do1zYvX^F=}umcLBumu$!O(nsnfoCBB^ag(ZdTK_2sf-I>~6)OaoZ0pq4+( ztANi_T-n&ooEXGoc}!|LtQdqS)Yn3~F3cr%woh@9f|pveNGPd`LWu%6*u7ogaA1_- zsLUEbVsdZu$lc9g+A}S4#qxiFcu1$c8f^6a1;(tm(|4WW@Os~W`i2_%XD0^W=57ka z&>Yz)Qft#>G_^CZ+zG}|=@~=({Pg1=LI={OC8UO9lP9XN&|+CyU7BJw@_A|OlBUl@ z=&EjEK>V&6YG6_+ZzS3pOQJef^<%Y@WcSBIlIXS26+Em}453gpoTsR&i+OabISo~D z^%B2#S(185)+}j}jNxi`Q$eGZLJd1Vqmwi%hLElbi3~aF2kI({ne!|2=od>vrg7xb zSC0v9w=U#CoFn#UAKAkqnYSS$Ap4gc+6=6HLYwXj`9#@lv2gIDumbP zZCfy5Hy~EFoT+=*Yi#YE^S@@rIg-ru^Mzo)ll(j4fxGEZYrSj^m(z8g6iQFA`XA_y zV0pU4IvzF=rEIMGgfhTuhH}1P!-|GWTL_30&TP+1kj-#GQ{eP4W;SGREZk-3YmbR6 zWYtYITj#N@&^<+ytjn6ePX)p>wH(Ec=HZ_l z+Y{PD*z~D1JEyX7di2uD){v$vWTS-Cvv8o2ELW($l@`g#Yk=FvNUn4}UVV}^#)rl0 z`HY~_@zmPXy%hpls#C_NfcG>_I;Fd2VX>Qti&Ps!0cK5rn#N;-M{Ou=M6r8=WRAY`79bo^5^r*BckJLf{}Fm1BN?KAX~++304S?e1y zb`7z5Qm0-SU|9)S4Tc1jxKp^E^V!ukKF6PTE=WC6O+09+P6benn0&8L{RugQE!4R~ zPXIHQGxULc#z~^=#FGHo(^C=j)YCHwM4Dd)^+b6eH<*xUuSsq4E3x>#lD4ftqGO3p zk?Fb#eKRS7qVs7h;a{&7n$3w?u-<&Y>?x0uXs1IM4%}|c>1d=odzsw3liqztk=7ne zSJA-9=$d+q7V&PIklb3$OjsjQ?o+(~07oU0@XyQQ>W|eQSFAuRevXvM;m7U$=j!_H z>{!Q+6JRZpx160@90oY?$AjWK7bmTea^4+{WT8~lg*=NBC4{vQ>z%6#a+#<_I4E!l zZ=YWr@59uE8^;!?xcXfx{FCK(ruzKKIMqSPnS^^QmdUP+`8>wP*1Km-ELf2yu+~gh ztC6FI0CGT$zjAYF$(*>!N0hCxR&%DGKBL|MeZGCXY&}3bWu)WM<+5wh)h+1L#YJD5 zx&hYCPBh#CB4g*-*MJ(*eD$pQSJA1U6O*)uj0-lU(dMoB%3PO+nRY%gBW&#!q&bNh zZUIFnA7y9Z{!{q;i=vm*`fbQcqx5z_^L;PUe&^G}9Njdb*hL*<4w!>QqLyx5hN9GV z)Q1`gtQ{_91uJyM`3*8qN;hT|X(!0HqP5iz+Aw?9M0FWdA8(slbuS2Tz@3Ru2lNn21X65yUnZ+T;;4* z^%FR!Cuq4=wg)*B45rzv;CN<~^eH#wb-!COcF!@*LX}G~@oI>KvCUsvndoMvsAkA@ zp`!i@fjJf)a*TB3j%wt1Q>-bR8;le4>y(*BNY~`wll~(Nw7tHwuMxp_thJ0PqwarP zsQ8i{j|@nh(p+21%q9yEEK@Yr52MZ+HM+Xza}w?#$L8QsC|4qCmV+bly%iJS>Sk<= zSo#RDnCR&47Ux~&&=NH|6oDqAX)C602FRL%O9Ux4g)zKwxRujJH7gKk=!52Q9wr;z z2{6*WvSW75Qbn2u-9bDXHk|l<(b|YxNlQ4=(Xpdgc>=(Y(=7bc4WCw_>_Au&CKy=PjVRXWdlX z`L{M&(I3J7CG)s_>R#H@M@U|c`Q8Sv?!R61(25+Wu9CG==h%KzZCSSmjZEQZXv`gS zE?$Kch#OYE1~i70wM3T<6A>0W2hVcfs4Qdqrw7nuJ7+YJs+>@_jtZYG0B0*SWYq8vMH;WKLDGT%PpfwsXNV#fqth)QL~<{=97I}T>KOxEye zM|6$eVm$<|YIx2@F<{A?Pb^29vjGCwk*{G8IKinLuJdX1 zbI+l5Kh2-fTV%ax=XHK)uhd?gtRh?32yQTwL}cP*<}T^9$z4>UYoC}5y)n||<#MTz zpJUvFw#i+IW5Id`8P+M--!i?&V${KF*F-^d=yKWF<@!uo$*d5qV~j^4)SWZ(hg`Qu z)%owExfVfKfGN)N>59Ks%%-0<)t@HAgB%n}dg$FZb#!>XgD0^wZ5fCZ(aR)W{{R%R zZ95mw0Un+YT1py%Bq$ivxJ8XiAa~FI06zQ~YpS^FcVQx*M#$!TKVSM!(NRAo)326H z-i%+Vm@mkEP;NR?T+yGRSyJl`dQ^r~Y9?xPjKh+`Wd+S_#jo!^tnKn%?o`#OOTDi1 z8lO!C-9*elG@T~A6K9=34;2bsi+LtTxDE5IqOG!t8gd=8rqnq`&X*0K#jMmx0yH>U zTr^GH&0dJ<-3zgOLS|XEA+s@v^^~;I205W4dWl&43F;S3v_rgXN(XL?!wBk~-RIvz zDf7mH20&WIu9Ydqs{FjNA~fTi_KGFZrEkgXZ;-nAptRB%vic=Eh?FMNo@O(&7#tKu z=6((EzHnES(RP%K>U|0#^RvE>^}nVxp$WgZM5bq<3i(v>`O;SAj#LZ5L$Hoohn{mh zPOcoLd0$l2Mk8#s^o^hmqlGI44K7fL1q&v34-mP6ayByxm)nY=@^e--^gQF6aqM2S z=WBKswIN7@^=?RO;(oe9reipn4HNMC5c+_4I@uhzF&4{(GB0AeVchhjg$GR2dM(X# zR`LtCy6-jo*U!(@B}WTxgF3Y30KrmhmzqtqNYArZRgF)q{;a+N(JW&afJ$ zWd5T3Fn*TLqK8VmX3lz=uSWSbfEMlqhq(v zu2V}XX=!P7F%c_sA1y`*bps%ZcC;X;q+UvRA<1-A5UbEqfyYeQ)p=|SAhxMxXgNq~ z8M0cYzJ#Gv@K&0lTcjUtFhxfV>Y3BaN2MM%Z&hq$t=08IHIIR~wZArb^F20s+~#KK z*o7(NL(PtdhiZs(iXTFJYDtM9*L5}k#99X@|CFWJ2mJ7z7T8pM%o z>M)@i)luXAWB61~+qcoBx|Mf&`qcSePt$(8`U(gX&EP}jde!J7aQNDVr)W=CyoSnC z4LZq!#EaXtOkmA;4SWH)rI|g*C}>Qn^o$AqeMqWNK2JX-DqYTE(aNk6bTeh{mwhbM zu4OQ}RE0#=PQ;+Xu3bhLDSIcq@YP7^UvqFCZeZ%9=yp*wLYh( z=7bSj`bXZ z-fwZCjh@a;>N01USyRg80yfgwwRxQMRWj*f$tz_D zfwwPgO)!>9-T}2;O9njVsIHJ2s{ymT2-3&!DutB(j1-(M1}fNhoff&1XFNk?Hi=18 zOslbeI}F%ncu7ajL8@(=P3`C)5vd@c4&$>GQ7?*(sh4 zNM!)c#ERPWbu+m%D1npNvT?pEV%jtt4mdZN#DQwbF7umdOgE>`Az!Ab`K{jb{aFFU z&JI{N&$Xk>Yk@U)oZCBrky5~EtRBk4{kzU35_m4^tN5+1knMinJ=Z&P={eQ5p2t$T z_^lJBTyEyLj}<~O%yhKN$AH3^EK7A`i)8~8?v=jfqYk&iv%c6<4M^WdS z!ETa@k~MiPaIdMsU^P?GoVE@Xv9>lz=qlN89#Y(sk)yOM=U94C&V4Q2yOL7UT3vDw z%6SJ|yk?vwwV<^%2A0m|rx+r%t#&Vz+~F!-j+4*)JM!o_eIH*6+F+%e=ZBoI`=8T& zMiBJ%vB@)Aky1$`gcqM)YL~?p5XhDQ#oYfRxp4P^Do{=`Tx~ixLZGF`A6x0L09? z4f3=cmK(hBqIcB!87P(&sAte= zfWDXpFUtP_3y)Rk(d`i+!qRW43~3Lf{X6LmW=xZ;#4mf8Al3n3^E9^tg)YO+1z$Bu zQyQtLo|%A>c&r~>IA@{b52TfiIaYb(Q*75Ow}!uW*QHXp^CMTG zJ5&^}S9F5i7Mj{(K{E|)05x&4VWLMTy1DsgeM2Eb-V>ET5>q9UYS=p!s7={No9G6| z%@8)2%|NNPa)CqZDrR#DzH-~@c{Lp=mG#V^bp33yGaltvU!D&h=L2SbGta7+DdA4> zRECdA&q8`uz<)qlOu@!C=5WhtQ($#~@I_FC1+_GYryStt`8qX@6 zr-+GlXmcV>4eV13a1iNO+!Z;IriU&%!IPLie^aHvrRiZ3ozfW!+O?d+Lor}uF5Hpl z(H-*@vNa0jGg8k=Ch^U1GnMZ6+;GoIXw^`3%jP?39MTQsQHinA(h5zP1&I^j$%jc4 zJ|S*vQo|0H;=iB#Pd~l7Guue97oziItM5bfztTDCSUVQw2PaPjp}upG#@QR8p!w+K zDfq3e?sMDd%W`s+7_dhYM2N z!Xr4`+1)~zq&A7BH)Ew+nU^MX@ou+et1;(*1 z?1dk-_MfK`sRhPGz>6f7;I*6JFFLVw{5P1ne7eB88Z&N~MC-`Mm`yy_v-};jm_PNt)bC6wN8!j#@S7dr%E(^Aj_4kx;vEbGeMg zignzkg2Oa%bVkw8x*&~hf`>nE^XLK8Qldi*DLND`BmOdixhxG3(_sgm4-uAj(-j z5-3+xlhoG;G=bBs1=x=|wiQ5=YZX#dRYuV2VY73OElzZlGs>BC?tzM&E_-5fNHV!X zr5zQ*y)Lvo`==T5id9ZEozt6>Fr;SU+fHjd&r&+$agA9gZ8CZx7O>RJrmmMXmqdL_ z5PYG_A_oIhu4;E!lv}NTB=`?B2{YL-;p)`P2F-vf$2%l{LHUQ_DpRbY%9nB`bG>!4 zpCvzC`j@MID0Kxkn+b>vt}jh2Y?@+F&FJr*PJF5wLYIvyEJZQ;9(A+3sfm*`A3>#b za89dVBDIC4mPbb~I-X$kls-12iHm8DBy>cbzE7qq-BCyAbinFfcwIhfe2CIvD9{MWMSRRi@p-UD6s}>H9^i-g2l>DNYI5!Gggd z5$7ld;bMB}RkmWvdQiEnLF;&xLTS_(xj2q%LC5B}4gPR6TW*?Kr*8E4Xn2W7BJVKN zqD#b#renk=s$y^#=HG>Q$qQ+{xcKtG^xGx6o4-=~CAyG_Wkibf2E?w(+1g^U1_JSv z%JITb^C$FnaI+X4n+pihMB?#BdlAo^G2q<7oj1=(98cxfoUx=vcTvgHmZ?27lcJWY zXMV7$~rKCQ$xCPpeJOw66@dzIMrgoi+$4Pw+dSsK#HThl+1 z)#0`Awt6G6!8Nk59I<2L)$yFMA2+syg9Y+6vR5yiwjio+EIV3?nH71{R1_z>xpb&{ z8`U$~*RI7R)k_Ds3G?@Mib?7#77Jl{vtxnU*|wC4sZBOu_6G;c{{TAt%rvsc+-DPt zmbvM-$*c8$y2=)MXnqSoz!d!sQ-BzeAV;VzPBBvoy#(1Yt+WZPvj-XSvyaVW_e{GX za%t7w%4(YGldZv-bgA6Aip4*O6p9GE&rW&$33zVv%-K{$iS#xK7Hf^n9%{{}Ed(*q zEh4qCsut|jsq|v@#elbx^tPoIV>_oq8Vy39F%3{xe1x{5-5ejdl2Kn z-Dt0#UNLH0OeXdwq#KSgq~n;H`Mn7^s7AU`u%RdI=-hPV(rY6z)TgOe2S%SpnX8FY zyjoxay_Vsk8d{T2o<@rGiTTgSzck4GBeKCJNK{$q>@&V!)PGF$a)h^0+yViE$)^%q zy{ws;DK*I5bWTlGZ0n(+)o|oxF{it7{k3tANFaq;pWFOQOXX z0Us2B+rdg& zz1m^5T}(QYaYV7BrPI;kGMU2Vu{uQjMP6SPwVbgXC}?j4_QiC*c2wOuCH!yklulli zj3%;B^taLIcP9G3)xDJ4yc1Qxq$C~aAuZ860QJBX%viHC1+rS+9Ea$G(A1FyJUz|A zDV0Rk&Ta?ILX$+XzJtd!P!rd|vkO*fyk)v2IiQOci}-5oimdYe0*$*@OnTw$FrJO& zgd5-o14CN1(Wj|D8J7mnw9GpYPqQsOWi&io~n6; zIZsNxXqi%Hvt{(BKpuJ%sHpNQS2|0laIt*-TcW4}lOmO*V;!C1Qncl0sSD~j*5~3| z&0|ESR)(&k@CA0x>t}wimlX!KPe@&>_&?__E?IpG>#wH*&qL7!oF(f1qx2q{j3p`_ zQP4&p^!9Acs%lkNLvAlk={Y?R1`?k!wVX;YJkWALn>6)DH>3hDN*~T^XF#wVPadDg zvaLcf?3>lVFE@?RUJ3LSwTa{BduE3IRugy!SVo{ZkwYe0s-P0a$kp&L^p8Ivk0q($_6FtEg|Dfu@zOLip@J>|T*h4y826k49FglS+FPOchIL zqTqC|sCCLp>K%=bRf<&gN@Dc`n-(-l4k_m1yyuR^v6L;-8NP)D9t=+&bCE##tUX@v zRF-Ib{{Zv9#Al(e^)=%Nx7mA_kgw7GGxQ8KVz|kSg}Bm{b#mN>GiXqR?R`AAF-mr# z%$}YWW{N14jl?!(X9rm*kEs^ej_GQz&s{Wj#>?@C=GQGI7zaB>dX+tB*P?n_*D1S9 zMq4c$2a%KX<~Da^z)YG}(Ugm*jl+Z{E z?UulnfhKieY@L*?TDHyFTB&RaX*u!EOA+bDq`$LJ&1yNM#Q|C8i&IF-b#snuFnV)c z9p^P}*}I4!*LvMJyo_9GUNg@#k>P5no&7}RE0{i5*^|Gi^Uk#_^z79>X^*D88g@r) zl%S>NIW2x3%I@ZmPb$4h^N>_YVXejo)+ko4BSrD6U#&U9fF5elimQWBTfs(8kw~oI zaU@!aPG-`VW*?3Dr{WO-v(~1Lin$%?xsCG@Uw8V?t=!=ATD?VbuF2=9@Oj{>_1+~_ z!%Q{t>M?jUQRm&U8Shh!(NXa`&oUWk=P=LA^b)mO#O3qRrKoy4YMtP==2abRo06JT zAS-uTmW3X8OHW$4-uLoThFEQZ+`Bk5^cJmK!YWIvl^RAApCwJ0153~?z@itd^-LFI zqTc7181%^Cc>%VcG_0D2CAwTnWUlh9p*7EqUX&@a1E0}DZO{X3euP7c^?b&XZRu$Q zuqZz~^~9$0285J(QxG1UNi$m~Kzgg@OvV~Iu#slPYPgg{{{S?sowC*Cd~e{tF5R)^ z=`+uDxwrNoo1UlbA5V`=?!kJbeKOqS7aaJ+8?oM9DyC(ySFA1Upbpu5>R7fjFgFc0 zY}rKU+O;)=9*8p=xLK&!&a)ZaGiplIH5*k@(SqGw$YRU2P8J7rhe(jHG}0Z6Gh3mv z0WCRpRBuoO^1?Yxcx!(zjuBM0e!6DrEKyY%AzjQ+6C8w_Uc%I)i8@rmzgAG8O(*8j zB`Re3yp1JO8%UDlk4?kMKbZU@^DxVw>g96FU#x5c=n-$}{{W)qxsy-RxW z&RPzaz}XEpbRLR#%wbv6`Zr9CqD-oZ)WtPClW3-TI>{=Tez-gj&*5>*XnQHi>Q8Rf z8MuL1N6l7aoheW%=FIl266LQ9g>#;)msM+Q76&IdDV@9|!ULV|S3_LXHLF9X=ZIr7 z1o~%Ap|YtCrAnLD_0v0LXi8js3l-B$;7O^nl4&Oj&R@+04=5DZbEw7a)l!@aH+an9INnHe{slAAlZxk6Q9ObQ~@SiM+hol@f?HnagR zn3^;-^!da#tw0Tst!hb&MGPG2^Ri=Z+;X~vsO8qMhFVEXX(yhT*2_@%3J!Z{o$6~u z3037%)iIpSXsDWo16cus2T@EG#Ym?tT?f!QnQUWx{^Ok$&h^r}hmaO14Fv~KmZk60 zWjH(}Kx%G+nze|!ZY}IpzG$fPM|wYu{(teupX#-BrB7{Dt%2j0*M6n$O!}5xJ~gv6 z!B;@(?8i|g>%_hDy!nY+wVgU|dQm>wJzU%9DbveYNm02eHqT^wz|-j~jKmjPA-8!x zf<~V%^{s{=_X|Ayw~BrujHu+hfi4AXZ zDceP$1e2fvfLgS@>6+Pk4lgg%RJ-WRze0dcGf@1noQsyFF3wWY&Y#ecb)gUQ_AgW# zEKA-Hkz;_>(_0UtjYG2)+drUIjP#O|(whtnb$olQO*x>@B3X*}Io&Gudu7b?jmqFG zi@^LV)*E__7=FJEKGi5IqK z^9pG+z#Rp%K5LfWC(`L>_2g~DIy6CK_Nqp#mKljSv4OKT9S#WbYmrP8r9 z=P4TEbah7N!E~JU?b>oPsVwYyrswGAl znqR7d@hQYwY{ilBrP3(x$Ta7ydHmKahZviHG}diduSa(PU4WMMM?-Z^N}q&ck4ZQV z&<5}X=PT2Cg_;EPYbUZN2WbYEpXlIeFyhWwJ%)y?Tw1Yec)|E4wOF~mJeQ}YBT{Cw ztRUiHNnE~`DJ)qOZRZB0sFrOWYp#eQXHsUmmh0uZDyMQw5D; z7$PX-p0cA(qMkG!JI{=2XFGru%uBrQgZw|jODv|t6O!|FTPo%cYxh5^TF7S#H(~%( zti3AO-H3k*{W)W#ux0i+lQMB?nOQ-6>$6F6u$oRoc8*J`m$}ohxslXv?V6e1D&aLL zpd`JfY`4W-N~Cs;@%;!~gJABG28;ac^yHV)nf_(%6x$foT81%N4MerRdSziFl>BlP zvU*3N<`UI`PjcnCf?Lj;Sdm9-7zrUz(+gm!6oInIM;=VC3KC`MN^=nplEtCLDbp>u(Hr-QuasDqqH z3Ij`wQ{{dO`A+HjP4&^KKJ{G2&Eu%QYW)V;lSfU4Z}WBv9KsJPr=Tds+nYqsJe@Su zP9RuCb24|#yZrfv$y)Shb6-XiWPHz3YGg*r1yv-KLIExwA>*kzN+Y`>zJBGLJ!}^z zubH!VO><|T(uD-uB@L$tbuCk@&Wyzciy8o$5@jJu{OqOup!1U$I?(4)%0rria~8ov z5^X3e;6otWl@hO7BLFBeb?2YT8oufqB3oYg9SQSHWpGAk53>134W1^{jWPG{^4v`Ev zyJaU)cZP@?BRLVc`H@qvtn*YAEv>YJRGNEC%Ohfi0*YqZE87up7Y6QEE(=Hj@?F z)o*KBVg^7ok=unBq|ha_l`)ZuRA?kTVG=_)l& zbkwaj@x|!R#^mK)J6|wL>Ds32Bo{YCR|=Nf z;HJgYGP1S5I0W~ECT1T3U8oQMu{GH|_zZuXRZgdMvAso9%xLQ*q3Fj9vD& z6J*p*j8dAJD06)0S$06Es_ZNPh`*ZB2|I#V8|xY^CdkOKCVYU)#Y4gyQgg)Vv&us5jKalIR%v(RD( z$3iEx8mtGD{Fa*EBVGf$B`e3%El3{WeuKL>=gmyZU%HPjqE%oGa+{FY#kMCClRdnZ zbqro=w`-cQjOm3Ga_Bu8HFyrh5bpuYUSBgJu`=oN2-MQvK2b?nYQk6e^iXYMJP|C~sX; zFrE#;*AB8ePZ14HiUXz_tdto|SsJOCTC!a-`newZg0sok*(}_Tc~?zBfRdyqZ!e0KVrl~8 zIninkUUaQXbqH`Gr~}i}8g7k%K=f|V)%jk4tv1ZNR?~3Zn<-O0V-uyeRMXGC4F{_uZ=!sVe@W2S=y^L(M^B{{ z;m_15;X)WHYZ7z2tr^_6PA0h{?@;ND<`Y)FW2Ip?B<2!LagES$&?&QY3~8Ht7z*d` zH=6AR^6)u*na3vVY^FY-5av*zQxQVZTY`G&o|NQiS8pZitu(uFqdN9lMP|~jcAYBV z!UM&o;flUF*rU*L9W7pcDxoHzrc$JyYbI9-4p5E5El;8n+KDP(2zm<1BQxR3N^yH8 zE3$LOc}{$^51ml9f0+Jz`EjJ~f1?Ihf2rxNuPe!c->-f9&u2G?t=N>Xw~AqW?#!JU ziVUzH=jQDbPU=06I+zK|t~-xZ#y(9$YxCI7DCTDA=chJivU65HfI;%!O0OtOR!CFo zhV&p_2Nfgc*oH72Fg7wv+viysQ0{2sF;^l(K6?u}cC4sc@uMC&j8agF2PvjmiyA?o{;3!km7b52$aUGWzstCFxfuJEiz5k65o zb@^IO-(r;6~0jZ_%oklfDQO+zh6B16|?@-U`# zNnIQ|%p%pZ4`w{(sU1*;nujk~gA7V%EIOo7D2s+*a8u{I8KkyF>4@)@O;sYKDC1t- zyl*dGtso;goW?4q#>A7Au(DSR=6wEV&VM#}W{*eJTa;|C>HQ{`&wSSUpSOKIX&uzg zRz;|?YN(NY+&SIGq;51<$#E?t&U;VKq_9GmO1HblvYGUnW!tUQQm~7Im>k7!jUsE7 z@M#}%gP;ea($Owz4NKobS^$xv_{&}{n+dVLW?JD-VQ7dc>|Zuh;ll1?5+yw}$yD>X zoEe;GXE-ORN_U#o*s9RLENwZg43>XAn^Nl{#XPXVlTw%9lH8}}Zt(*6CJJ$9%WHi9 z0A2!HOr^GOLQ>`}o@b!{06FKBBFU=>*`4MOsA`qM=PRpP2S~;9g+{ifWQSG>+yWhI zqdB}^#y>Sf{TY3HUpB92>Dr$&%=6LuKkEL1lz0^@39D}@j9ge`bEW9S9Do6s{9{B9 zsap-I?gcN=&qtHZQm9^<4Mo9Wa_JTFhnOuS4H-W%S>x2~T^%Tgq{$k4yf8lh05^}j zdA@o%Hf99a~N5%b?Yj!UOolEz+)NfuBka!@U7u0kJZPmk9T%U2zVY;aSBNgADo*Ps41w-$16<|j zPT@mIYN_Z#DP9M3%e`3p7P2}9o#L91Je1X`L2!o4>G7|$>5s9LCK@RQVqG~BsySeW z`<^1eH2I#I6}_VMwxtA>WFY0Pf@&-qb$X+WYF4g8;i??f`Z2YnyeZkTC`+B8v2&>c^8MtuVHl;b6-BJ?T8E6IUMOJL$1zd^t*LEGV5;PjkU-lBv&; ziE(U!QOLFh5wf|)hG{5iCM3rKR`Q{;}!}KEOx7Yf= zS$=GPF(=>tujo>tK9JcPt6t$CDI6rZeZ8Wl>kzf?PeRy>qt3Z6c1cNEkO~SGF+O8j zWL!Ob%4#;Q0$o6Wpk}G4JC>#L?o;tY(_z60-hgf%(#hRNEOE(MJ-ks_zzA!>WH^!| z5Ec3`G1|KpB8f!0t(@A9CxP!cH9D#lNv~R?;SEm-xetwkzeIyimW8=$qI^lY!efl0 zVlZT0oT_UVH7HQ(ZD%xiVxxT0#c!h}DA(5$Etp(;**NQ>K5KbPtW@lDy+cvdPp;K+ zjXYJ&L-VTf4KH-jC-9HWCw&J?9V`7`U+X;?d_S6BtNIVpm!j2S>m_#*Tv(QkB#}y4 zW?&>W(6u)P4ywuM^R23JDBf$RT8EV6B$ZIt^v`8kDWS~nXgMk6nry{uBgdk43)knh zn>$lt8f%^O0(~g0ikyzqnTem!UQXqKZ74Ylcr4@*x!E!IW5Y zG%Qm<@ImOzHK9krOm-wMe5cJf(V}sr_>p2J(?ssQ zGE{}v9j(JpG*`sI6{UJzxUsBdE^%q?65=r3jZ=rDVI^iBQil5Y8okc&2*F@pcE&AR130pHg0Uq zGIpi?iNjpD_dZG9)ir>{cBQ<6LdA4gd51jbNh(@e_#WITDm4J0%8v`tmiYmjQo*hi za{U#Wsha-)J>uR9m)pWLB9R#jmiWn&db~r*B#bo*z|PFoF+BRxknAST*CCCTTE0{H z&*znGc|!Xy`ahuhT=M=?%-_&|NU{uJQad2hQHeBEMh_0|YZT5SQyU!{+!zG5x+Dct z?t`zDK62c+$pHTJO*Ny%u=3M%>&hD*Q!RjY*ubF zGMU|hz_Y3_o`GfoF#^ucC#ROcb{J{VipsiteSbUVyiY6Xe`5MD z6?HNoz#P=YMT0xQq$WU|rBb?{pV1F7;LU5J=e|}ms~MZgwps~i&3>8Z2ODkuGF&$7Xeau5$M%SYs91T)N|_lVQy<=&8tlQ!%$v zV$k#^;#o9$lm?)ZGQF>wA7WEo&BKu|t`#&FjHyVnH<}u!nvv0ec*}XV zdxYb=R}H`#MK7Yt^EHIwQEqcV3^W<}{*VJVQg4@6+h0Se>e9pqI%U1HmHD9Q=96LS~IHu02t59?@E+4=$W-fUY3gq1m0jI4Fg(_ zcv7h7ZgXe!mWHpK447I*rSlIw_650AOpvy9Q%GX~nvQGI;9DfUeK<(Qi5O_srulxu zBTdyq)UL1xhOOc)8YKpyj8&O3nC(d#xwF|Ta0t=5M;)^4n%Us>x#6k!Z|5&OY$uCL zK8xP_Pi}vb`8oZM*}b*_PHt*5mSlqE5R~SJoLu<2k1$x99Oyj_7NVZVbGuC>2QH2^ zohxyqotop3tE{}|0bw3?V-#Y}+~HzNDcG$QHX!tT^jS<*4Vr4r;s<6Z$gq%XT2hu1 z;o5);jk^%x2U@%`pNdN;kZNRXGC>zAYQ}uVt?O*l3ptr>GehQzw{SxLQmscvO zUMeOmJCd~uy>#<3bY5C1Dr4MZK@UEa!ZKlsC>~jv8WO z^t~TC$sdFkOH}QWq&Jy1AjDTwIfWReoukh6)eUqlFKbXtisY)9906brYvv}I^pn;^ z(cP2A{%ZLXqSGl#pI_=eo9*QJf0yum586JBfTiX$oRcIUObmcng|fby#jUQO0Y)5^ zzNM*Li$*}C4#v(&rxrDB%4C;(mC+GQs47;~k{ z^j3_iK+Rw~3H)IuTE0dbmh{jAGWZ{@^Y<%+n&Ti>r6)G1Y~)cf;&GfD!;-%`uy}~| zF|LM9CCZ{kQicge&erQDU#BHpw@YGnN;;)e=l4@YED%8t#{U2~!PX12T7JjceV4c5 z{Qm&QFYNySS~tqRH!ZUD57*)F9yyc{N+`r zJwZziO;Wk2p_3`4ALIW3jfEvvi7(u{?*7U@o$!8l+5J222NOmZIUt*6 zZ2h>9u+(KavnDcN99_AtNh;uKxZab@dl1w#QuS~g+TH=~m8579Ezqqu0L4RQeu6T^ zGMVZIYB@nmCJbHPar$cVtvpNgkYWc)(x%xW- zmcDY9fTuY01X#6DhDCKK$rcwaZ}cN{y;#0XqtCvG62>?r1e7;TP6eJvq04^NA(%Y! zdD+*(OQs#3$3uJ2PEdN0&>O84nx8kHc#8ruY7w5doNV%}Y)d~xG!zQ1fPA$rq;(Kc zh?VT{KbyWYY&2OY`qX_l-hEHW`5qsG_aCo%GG}WP$!4XWvG9L5ZF6_B(A1@T|ZE5uc% zuU3ys)BQ`__)jb6*Y{tgP_=GNqdmA}IlZTnt&FKn^J#ZZI}2-mGYHmu(w?X`rt;jS zGMLzn>i}s`1sHrCu3M%#nVs3ks1=O`J1Umwp3afl>GNJi9Wkut7KM{*so`FuN|?en z`cIpTdOKQ>Z!@Jmb{ym!yW zoUq1RFefWHhsH=>1?G`*R-ox>(Xk`i&9EhC(p#oLO0T3KbaV)w=TotIeny*8!dC+T zhdm!AlRVZcbl8o_s+HsE51uweXd$5|uB0WsIVJO&=~T|GhB_OB*xJmWM9x+BA@{{2 zyuZeOGHHfYKdwHvzxBVPv-7_T=RU{u-$n;fn6YbuUF~oJ+;dqufhY%5RYa>EzNR{6 zp-jn<)_FwM4u^UwG(7X3lmajuqV$!*`oT>+azlGHlC&HkHiFDCa+{ecJf%tMnoJVW zJz|}jE?zlv&t$UdZe#ivfi;4`SCq=U>G^4w z)iiBjt-H>BGf~mfm%qwiqB_*O5w#-Ch)!iEnOvK42F3aR0Lz~}C3G!sqOU{j{_pf} zoc#X)=KQbIze1u7G2!9@?>dSjaY0Ms#u?)JUqe&Of|MZ#&Rap1`4w~gdVLCESoKSK zZH=iA^bp`^I-@CEMcp+(Xc}iHu|Op4S2Z+r;(X*aBScTxi?zd?NuV_hzW95zO)JOA?jS(bG3mF{?R#yxl!jh$8Pkq9&z5mWr! z=7njdR9I$xI^MI?xfCcmNok$H%i;G1}gv7mL4P#YDtTo zqGqBd&IZNC*9vuMaJHq&%9H2ZML%ki>o6?QbT@YE(>tcfsS$MVnO=;l(^qP)!7o0F zTD#GmC&u;1Vt8ih-AHN|+E1TBbW*Xr0Y(B#vvDs&)2P*)Lv3dpQ2rMt zYH-Ck?iJ>S&PLxe*>=5UHq#+-ft-^xqpG=uc9(DlyONsbXEB@%UN;odV&)N+=@-?M zEb14*aBmc}HXZ8~P^+4cR##4;<^;7hRpKJ9O3Gs;We;rBJ#&;FlKw23V|@W`dLI7( z>Hh$seJ|!8p7@_j`d6qkgL$k@ScZnJ++d?w8U;4$6hca0p%j-6F(Z-PHH@3MB4u=^ zh1@#B1O=qLW6(5omX_x5EcebXC+Bt^a1ps090s-<7)ixj3xRFI#hT7{OFO*kXa`g0 zT^8(BPBhjH45>w zYTlleA@D`$X(HrS>FUYnrHd-2DQIHKQ|Ky1Mi^a0)0XkeQGy+8%&{r-IdWEcxHKP| z=_w`1N>xuZESUjNHyMEH5L$+k`Z)gp2>jW=?hfm{Po@21^mF`&;e5~3KAFyB##e76 zSOg49HZUM$kBO?2Dk=1BL8i(vpr+62^43}gyd&ZPqy*?huTYWs?V@LzJ9(~b%>Znh zKx+q`$P8jn9woDPG}+5c$H>QLpgYc#SZHTz+VP&~TY@GOYl9^P@Z6?`avJGp8-hy| zN_!Ka-d8QX%dVNs87^~HTHTBduU<0^9&rFUOWh7yS_P~&?*a1%XM5%c zQjH^*i?gkhAEM)lgv|y{`aIJm6*Et7bdQp`!x+YLh1>{Z6zoH^l{AivdtNUy(WB0yk)=FMP)Ou4A?Plim)4jHdSi>$4Av0~fvTNXE^00C{&VnelBa=*qq9z(?<=CmuaL7m&%&)V+IRWofFbgqJbiX(^D_EF2{G9TKV0%J!%G8N>DX! zm9IhacxzJ>a=lMqK3D8u;qD510l1ZdJE#9{)xq^afSV=I~VvQjhLYZ8lbeB(I&h=3(I5|K4!ytN|W2&*;r zV<&hzTz&vM%->y8zZ%)Ptl{OjXItaKmFk|kf|YNf4kZtItQh;KSERCLkmG#!SjDbp z9NA_F(vhn)PJ}1}csSdV*^qInl2Xc2Pci;pGQT0zyHY!B@|&m^;n0IybtW|C2`MWe zo}W+7ih-(Cg=?{s68&X%#psmh8{zaN?y0#iTMYKB-0Aqn&o$uSKQ_ftOf7PX9cLnm z6lM>4jku_Bluug9iS?U~FE8gmo_uz52|H~*&)@#Z^}m#Uf8g2sPDI{u6wa;SoULdc zDM?5=C!)`rN|fa%``ua zOE#xL8zVrx$`rQqdWmUt@5NO+31dSjUS>}~?3VFyBY9LbWfrs;XvvLx9L=poRN&kb zhPoPw&z-0-z{>J(MZgPwp-vYjOH2$@Sse*sEr&L{Ieb~2C44KXZp;;W0i!#rnc}2h zJu%P>%oz@Q+LoYL*C&h5ccbT1z}`aWksg6`H_b}%O>3E~34z_N$(q#l(e}mh{{YT0 zFtmG|e{1#s0J42|(k_<2s&;A{N9O=)CDSfhd4lxGkgg3m(<7}%N#pvx5Y zO1gaCRs>SL3TC^>y@i!`IywXz=+9ANxEH}KTX&mQCgBAv+5+W|r3Gl8c3NqgtjVYt z{Z%`tohG~A;sd=%vKB)uFHu@2r_ zS{#s9Fhr*XlULRXwf$Pb%I4sJy+mD|ICJJ6nuU43xIoMdH_WkX8;hwmx0%5Uj)vDc^XraR z10N0WU*?)?gOc*z%^yn;zO?tw_)q6v8gVG~eE$G{)%`d1@38!<@~@uyFViUV`_+gG$5E?sxgV?4kn-&A2Q$r`fX`ETCCrT@Y2(Bl&{nBz1z>WC#j^H` z^&HY=8b#4jhnOx)rF7K+DA}1*;U76v<~RiMYO$wJowXz)|KZ| z$y;bsDV+)S=XC+V>8GVtO|(gl)=PY?WPy%m*OSdj`L*oS&Z>Eg9!H@Fm1$WJ9zE(? zauW0a7x912eqB8{(oJX6{)PK5)c#%hkIVj%`agLF>NKWe2cL+YHbv;FX*sp%&0Bn$ z-BQC?SRC~=T5}~nho5Y$dXf)99(74AtDzvfr(hoIBC?@e)-b!fOLHqrxMTEIz{Z~U zY`hG7>Mj~3?q5`ZwQEZV3}!Y(T=+6r*y`Pxl&8??`DZ@VAhwjuko3}Cb+xHaqgD<` zR2WSLV(i`I0fW&}#ai%QJr3yRYFFoa4GH_tcd|U(aEd8Qsah#dF%$~%rL6&n*O{q3 z&WW0vGH+I30q4+^ZRk#vl!MaxCw%(m9L@ruA-9MGCb6)!@COz%f{)Js00E4(U6|iT z>b~Ff{{XA}@AE$g@BaWtN`lg25-glevw8dIanYek^6FZNK+&ZSfo%wUY+OB8=qpKGes1Z4h9nK8%OKNk(jMC}pMg}GJ&n(2JydyerSh|q5+BJCjZ)!aN zLy2-!akR9FY)>~q+c5<0#2gIFuV@A0C2-edl*8Vtmht3~@rq*FPtLei=E0s)` zlwTlFV35V6;ce<$vL zOr441eERcT?P76?Gj5}7#mvcw`!Qu=6CknK3lsOKXa+S1su3hyfs8I6_;3>~5*&!h8 zeGkZ0KHeV__y>|MS{xL=>Atu1Z?ydD^FJ5#AJMPrxEjR)sXDx#g=Gzfg>dkQHPXv^ z67-)Lg3Zec-#x9}QzdzF-zGI~Pc`zeTCjU2v1YeV%uxf>iGk8oQThw)P%#$QF1YbF z3y>zzPU8}`a~P~*`xji?00>iJFw3Wg&ds->m(c1LCiP(bZ0o|Thf9?^4bxPVQ8xEg z*tPW#*AZHtma0(DOQLOqY^6^tif8PtY|UgfgEOXi;k7(20h7`5&x!2j%$bP%`xEGb z630~;QR6&7eF%Lk5|yzfg0_~jFHTWKqXRiN>~^cr7I!ru=ie?&YxDjm`SYa~PsMc~ zL-pUQ{hz{qTjPCa>8iI=i?f!=c4L(GnMLsW+%X~QeoRKBoQ7{i4w}YIEqQ=TqR|}HZhm5 zsShjH21{NHwyCW}RElWDv|T#g+BC)FMf~OS*0N~dOM~ftoAw{M`0vR6N9=!HHi?wR z&hI{!cW0OBa3%`99SAnu&}f5UcrlYM)G<%Z#+NlJMBvuwA^5^`I|^-fl7mo>SphnQ zerK;UyNNDSX^OW}lu}-G9~0|-;pi-mobsSt7OUqU@uIjHm3$k%NXRoS-e>!54{auAiUvac!Lwxx7*xX1)Xf81!kL%oh^m})7ex9= zWNOenlbpquoIzlNx{S&qSuWWwhtxt6?j_Ybx7}XNJA`C$E z?tLQ@m84x5 z06cGlsB?jyd$|hXFqr)(%HADg6IiHUFXX?B%1Sd?Gt~6|07m^Szn=U|U#5Lep&f%b zRB~4_n)V^n2EWNUs9njTP71e;iD)`j+0)d9wMZ*u$8R%dMzMoL?+wp8)s2gH4wCq3 z=3qBWT{@O-5StOrfW@;FxngJLOvI68Q+lk`6X$Pv?_4#A)X2@VGXYZWV!a9Sk3k1S z>2u;1x;2@ln*46j$Yw2il)8;IY}GVn0FbG9cd{J6n1bk5cWE1r9P|^ML8qS%|3d}8#`s8Cz)d9YmrN! z^di~y>U5rx{{Scab`aM{H1Dln=wG9IUlH(L57vH*>v}Rn(x&5##@$5sgG5`%p0FIN zng_|MV>$y)BSxc&baCG()-c|hYwIAhQm{eoCg|1vCW;2m%CV?#)z?O&KdaiOR#E? zG)1#_PUV0+iP(qBRLb;Vrf`22aRlC~v`xagQ#)LyumZji2N)~~yFYMsvou#XqP{-z zsi+h@ymsST)PRRS5N(kzsB@@L`j65* z-y8GKEdKy|vB)v=0mN#3n-x;7t}-!Mr?bx7I}0}(B}z-v8%NH?{Q|-CZ0}BW1En__ zlS^j$leW2r{{TLug(ahgQ*x#9i_}_7=dx!K zRO+=OfmS|*t%kLba!emCxZ?l^EmSGbMlcNf2e#QRUZ)B_Q#|6fSJN8XY=D>fmMGBSr7vp@graI`m7V9KONLo;5A z6)lNrAeU6;s2?OrYtvOc(!*L6Udu{F7ZUi$7*dIjLcVzqdU_3ULP@RXj88Akcmd7N zxlQip_8a32#ps<-jq_!&KR{lbVkV(TTgvH{;zQ~aj*x0|mrr^0F==+MWkzG;uNwtP zw|EB*dObfjXFg7;63|t}z}ao-(`QX%qIL@e8Hc!Pv$7jbL?wlXzxzC}qn5uO5 z`NKsshAS=yXs|bUO?ur8;Te4?X^?fjI_~kC*!@E>4Lo(|m^!S7y?;6Wb%|J^#kl@_ zzJua*DWQubYiF((Md)B2x?<|0*1OFScm-Z#DUhr~pD%i3m(w;Uld85AHu`-30Kh*y z>|3OyhW7pMx%9p#!uX#<`vZL>pP{S3j-GfNZJF976B$2KIzcAyHi>LTvFGX!F23c) zbjtH^HPM^8US$f|p6Pt#xd74g>T932rA`#_tEz|;$=bQ<^x|+P#CLM;&4!453Dnr= z1g`$T6Q9^r#%~g+j4vp!onTV^;Y;~R!W{9mnH}a3lCK^^fw0EiOeQ(hp z$$Y$@t9_*fxF>=xnvXt&($04BrE-{#LYhP5kd-;odUcm&2OLVhQn{cObRL1^hT!Z4 zHm^0+uq)^-$AdXV!lhHaH72c8*3Ac|kziCHhtE)o7`EcsG9AHdd(HIgosRD~EgAM) zYBrZk)joPLoMnyd#T${@mvd5=@*X>Qwc=qS>l!UXX|_i{5xiLeZ(Etfmdl%^lO}*OPCc#j3r7eJmn&XK>g8%JX{>hug9??kEanb4 zI1ymYz~hu1m@?sxxfAKnKv=;P8r%m|eYI|4DSSuT+>T`4q;NXfUoECg?ghV+jizMM zc_l1cX5y`WdwJ45oe|e9cug86yeG9S#s>u}oQ9dJjRxhEV^Zr&g|<$>r^oc52l(HC z{BLlLFqfj=U)uD(r^fNVa*x_RkJ0l8GMYnSZvo7GBJ0z};jwh`nZVQ(=ozU4^Zf$N zHG30a=A8Xbvzao@sn!ePYR#bC;As~n%wF~iYly&|vIg{Znfd+bbauHw;l{3fdsa~w zH5%EO%ig=q&_k)xQJ(@L+Ey!}h)p`cJA2G7(^IiSJyXsu#i*+7eH}8*u@F?dC#H!- zh)-WcvWu-5%0icUc*O*MJ=dxu!gyoOuGIxTV79b1K7`(DU(HO{?DWl8qJUVb7+f}kGB&Qn1yw3+ zses@yr3X0mNiZg0?m25B8YjjFHXA#aN;Nj6FW6G%H7V{wt4Ehrc~aw{VN5Ou0d6`s zri3{Z*BU#~zFH7gsNXtUVDu-|Ke&gv zewcIjgsSq%vVfHkx;h+ZGs!hnrD;fJ^n&Tu%=l_!r`D5Xy?E6MXk>u780z#`b9ldm ze7~N$c_g!cujzZW&j*peOZyk88^~C$J|!!fpeJxt9LekCX*E2QdF}N@>aI$b2Ci@d zQR&UKYPcv5KAjT5WlC&S;;}P2=}_V4=$geQ=qZ}WX^6@FM~Ui0%@sXKsf^{D&8Mph z7cB4F!?5OhQ{hsy`av)Sw!~EtEPOemVaRy-7i*i3KSSCMU%0TWjNX$tFiaz9tXVp# zdz7pfFt&*riYB*{!Y$cb%H=L*P_YMAndmPwD;ipBSc`N=k|A8uHq-__Zd9(IrOEv( zkHM<~1^|)cF+OG}LfTbeM5J$?AY~!&^J6oF3uE$I_ykc_T}_$MCwLmDVXZ z#a%xlxY?cPOr=n>Yf{pX!kLogt-flmB$#>=uvJA0%HX;tDsNZLW8|>Gg(Y1vQ9ljI z?wKPMPbbIMU@-Vr_oYa3{Q)Uizd8Kd9PD27)LQRB_xiA_$)DBzckI`$jJuv46-qBY zdRIR=cj&wdbb7NjX|2fZ8l&gV=+X2uP_l}&p|s0Nrsq}UwuM)%;XOV}nx$nCy9@=K z*(nC3L3CnPx&5AvvFj-Ey$lJc;75^hEmvmfrl{=&w`}sx#g_@?0@KxZ4e?CMB}`2e z<%V(|?ani}HJ7KEEX9_VB$%j11(@>UlncdnsaS(%?W^*>r-lo%)Y9I_4C+ABtbd&Twi7i2!4o(p1g)bowVa_OC;L!)acOwQzA2Jq7e0f(eVRC%cvmN@x+8t)P&-Hy@rQFQFIbKaY6)SX>P% zslU{evNey@{+;eyEbf$Dj*)`As5pZFaIs4EB^GA|NCxBR5cBFkKF!BUWZ-U4wzX|m z_b-4QjGAD*P|+|n{aL+e!f^xbxZ!y{K;>CGmcikw7Oc83puF3ZuSO@Xx!b~KopEG@ zs>XGxXr&QeeA&W>i(Sd2K@2v+O5{>cOssAJ!7UtADteZ>(rgoBF>V)WGFjTml=d1l zo`YFpU*@*V*`8ge<$4Py5*l(^#l3TE#!qrzor7wTNE#0&^Z{d&bBf4ID1#clS5T^2 z>0h|n^`bq9yp~i;JYI^tnt)1=AMxLTT5H2lIrRF-^mPR+cKyfbKS(W(ej=T!8gjyz z(PWh_PfZgPFwpuun$*gqwl*Ag9W8I1?N2>iF9kdtb58S_@;)ko;a^K5we&eh%PR9Q z({j?>#jO{qao4c5v(}=KCT|tYexx?EFlI2n%NhbyTjN^gD{og(hs>I_7@&$Nrn94Ue=5WcPt$qu^RV=|k*h<-6w#e;aI6E0kPGCI8 z3{iia-SSO}_9LayTs28joQ9#r7-|e-O1~iNl+aH@3XXObtT~WQN#1KZjF-}y zHk<{|_;18ug2me)E7Gm#_Gy>}N`LBqW%P}cGl)yGHkirMYlu9$4RDo_*H9TNR;g!u zD4e|dAi9pja(U@ZFH_^p)-)92adx4zlUTZB;6=~invkk#Xz6K;IV}cEFY?0PdfpTZ z)5ylMxKPhL&6feJ&O@(p6_;^B*^X80Z8RYI`TdGrB8WBNp^P(sHA$Snnq&O>U#6^b4Oin^>UZkzbR3XF1?jy~fe%xJbv! zdVQbKe?YhyPn@+lr+nUwPp&6F%fHG`tC~p%TdIPNMo}_r98(w-H@L?XK<;v=k;(_- zW-0?QzIbgpD0MCwSxRMfN}8~du-**@gRtkOJfjxoCydfm181NHgbR61;&3l{!RA)1 zc+PY4P|-E3rPYJ(s6mW84KPMi6^lMCB}JEnOf7Dn9Nn6}#u~?`755xRknxPHGKhX7I3fT_;dY_^Gh3KmRu}mDamAoKrzK4%mdAOkFfe9X^YooFqy3R z^c3;2T+QXN89OqJyQY6I8@$eBp;66_PZIelA@n+TjJ2GbM5V|hTk=<-O-Yc_o(5-tWHqN`4QVlB(>|?F3wHoM=YnMPb9f0#nI5VZmwnaYkG3)sZ)}I8Lg9^v+m}xRFY;5Y^`^hM|YSP zyk>8$<@&P=R_{O5mz$6;)mK49EK5dxCT3X!T);(Ry%g8aTNP?!dqSz)goA=MdJ2B| zcW4={Qn~9JgJNZYSg37s+?)tKCi!#({(xQKKj*&``CVNp;1VxQ^v=f& z7+L84k@bG@`TmD%l~h3@C{C``(>&7ws=ni=&8>3!+>0i46eS$$qG3wMF!CA`h4Zv} zX6taNmdSyNsVffIFP$?jbIrEOi)*p^o)lZ1c`BqKy6i+CDRG4*do0touTTK(TLu#*-d&!!d%Q=fw)@+yU6H=V&khQOd+1Wx*EdGcc(NoLjO)P|(-ZD?}%(0LV{rpa8CEj}l)fvKUMhG!TLXITOY(qAf#;KgeI zOd_#5kh(~8D4Y(b_Y;9G0z+o>;^fwi-z2>7mQjn%2kt-{~IxbVxNqWq=G@l2e;a{36lKeOhuHgOS5+HYMH<( z8bO&QB;;~vo;&H8XvdB&^!e75cgpPUTUCu2gr06_)93orDMoWO21y1WiTKuaF;zH? z5qb$?OeHo~2dlniv?8=psjQZ{Ea|I-+{5U}U4B(EYZWb9slH}`@5%l-@gTM1@=Ge3 zs>O<~U^%&eZ~A}JdU0FWqaf!81u2uVkmeCW{$dDb$I`i)npH`wC#lhO0?iFvl*>XS z?tP2X2do&DrS&P1)5AL1M6ICIy^?zT$^A$9tfGn5yN`zr(FzpSBUB{fWo z6mr+hA)C^b)Gm4i2{YszPtQ%f zUEzBp2nGIK?vl#DRPjyRxq-`h;i6AcYErvo_;1EOZIC;dQPtJ5)*!`UvP*ZR`j6WB zRirAIdGzXC(F>;_bbVi)O5pZTAohgALi;F{=d#z@#5NA})iJd^bGCtIt#^nXOc-M2 z%)mz3JpQJfZ`!++pyh_!z#0bG5oGbKTR2%mVPm266^=QJhiSz%TTV&deH02#Z1b6P zIa)=eTGmSX4T?tdMKw(;87Xd14I5>0)@VxRe^3Nj-h|WM(hf1IMm0F~gk4mrAUEu^ zC(}t(xt&j)>Q60e)`D$@@e3kmcLs}R9m5={F7F!o(CbQ1AJOBGx|r=70iJa|6>QVw zT0>Upr-;(oX!Acc{FlRECHch1OY66IL9nyP^n23#&$0SWyNAqSpPCxdNIBkvP9!Fp zr(%;y#+g}S6HVT1cWV7L4c>31Ka=f(q4W{lR&SN)QnAlQ5PzTPDrDmVf?7`)?4e9N zda%crjP6TVk~A-=TLEW1IOx>yrb?%_9J7=_uQh{HV!O;gMV=q6suobWZrM_IqFJb3 z^@SwGX^ZkvVK;=kTc~ubN@QrB7EZ@SLtkR^L7>r9(kE-^^4*5eRTIwL^fVW!eDXg< zETtFB)ZPhd`^%z|l|IA?3zJf)B>EnBdT|MA7uin)?G8HBOikWjq`ja~==7sMY0l8A zj3e{EJN$M~GE+x4omRi2M@-07Pw=zLL2D}!HixWu_ND`V1cp7`umw^^#w-mkV=aft)w zVzGKWar(IT8bc6}W}w<#$WtFB94w!nZIrP4Uo?Jkl|?Ir^gSOmxoP!{dYb&+q?a+Z zO;UAqPHv>QHdj3@HR)C7OH}i*(x#-W&z?=745V7UERQ+&`L?xn%jY^Oj}Kaxl<*1B z)J}^Mwlca?z#R1Fmrtb8jdcMBspY2A6=``^Ro<7GT8nx^rfN1y)HoFz0cv)#QqiB4 zS~l+<#b4(7GevUg@}3@+u_)P*U0DGye810sDV=O2u)`Z`Jq7nEdnZOmN7?<)+WR<> zxl84mpQr~&3uOwbHqTqRlj!yjFrj!0raM%&63>ciI!?g2dP+$tO`SkTv?0x@1{F^E zf^entG=#P6QtRXaaVsYXYbO_(>uDM~i5j)B+MWrujMeKV5>;sjs>BaW)^|a5Xc`#; z?QfIDh8y~L=u4G~umPMp=hd`Cx&q%iN%Lx;*GQ#me3|R{t!n7=D%U26Zc;3C?%>Wi zsPp}q^697b72P}JGndmA_#Tp9=2~hTqH4VFN|@Hi`KHu0uv&p6-9-H6i6sQpjf)>lEch>u#b@diDkmJArDptN)_jv}e zB-*)sd1tGE<(QNDwM^akJ*Da$|i=t7Ym5Q4XSxT2e9NzQT zevC?KBbr>v+IT2sDa~7kY65!4%>f%{$5gd8lLvBnZ5~oVy|E9Xa#`McDryW*&3#Jb z*ApAdoWf^;x;LiHUkdTD-!|By&^HCsOHee9Pa^A`<#V2iEK?|vZEmigBhr&@k|tA7 zcaqSy4`BSk^x?T@N-dD5YoNM*ucdBxG4f+hT3F_!!K;qePPdAAz0tO=z{-^!2ZQrJ zn0{g%$xWlGZDr}|)bT`MwG9vTKSKL2r@n5Hja*)Z9p?{HBTU1MgeqGOluYtbF|3k# z=zN5>M9bB}Zg!*7hbUCcY!9>(=iO}Lyd~bA(DXrPukzc;sMk!@Nuxmq<3|;U=B!wN zUe3QtHCX93rE4%LI4?ALmZ0)-z^z8HBXKcft8wq0u-54-m?^G>Zp-E!GCN_mlt}Ah z%W`jFEE(w?*$ZfJuL#j>d_aDCGBmv*Ws=A=lYur{HGWr}Sk%_}-jdaxkSo$ZKFNl} zs!QipzB!3d?J=7ERcUU77BNaWEs{GiEDt)o0f^;wb2BR2cedFr#m8xA^sIVT^*BVyOWstCox?#1QUxkn3{9)ncQR)2l&UqF zXG=~Q@wHI8x}kcO6-*TzrKonsm?`!%3sgF>e#&f>&zg$FC3}*}rfzP^#(+4NxKrtQ z{cibm4om4uweP1hhE`Uar$ZL>oseFo(j2(xYk3zWxD=FdC)0+6OG15Vm2weA^;0Ko zlzS|WFLMZvUtDXSyxMkZaxSgvoU|!*K2MqXf93HGPSfq#th0)<*%iS!H3_%X`cJp} zpHhkXd!Vvo0ofg?6m&F~DdH+Q-*!34o$*%b?w^1hl2Hr1`kB)6=wdJz=oLr_Jr3QJ zfR+?4X_--Mi6we-&TUG)A2qd~b;8-OK@{WYBUmr??*wojFW4AYPqT4MEb*e z!iEaUdu2;V73jc<#l}jR=+h?R=Cde_pU)W1MqlSD{{TNj2g&rE5WI<%YZ)^XV#{@N z-Kb}DnNOclv&_9*p|BC$WxHA)mtr>1!bZ5{nKfQ__Q8T(#cvU*)VQxu_JoxZ1*#oE6? z6-2)Af3iIlrIPf>D-_uzt9Z;-bxDK>WWXKA~9+_<& zOFZ9^{7=nM;z5L5ob>e+G|gxZ3DU;Z>-+xzdFXpwy*e3GZE~oP+-bwnZapoJxsNjWmG zPu6P=u`=`ABOxmazWQIS`%k8RxjVfjMusn0I~5%bYvfmM%|e_AY8(v%sv(-X6)AMA zYC!2ZTg5q17ot7O8v(5%MM-iuSgc}GM4}bJp>D0()&wteSF56K*K(SY15*$k&ZQyG zoGH*&s3>{h;Es@&n`lQOy-OsY)`E$Q>6B)0;9kVoVs3uG*PlwzIUVN1YW96YG&F}4#WW4GqB>t%b`_%B}A5b91N;$p#*s0?b-vT z*T^oRDTgfGu6A!W0?WECBhst^>SG0HvjfUzpObNm90fZHUZRD>!xy`jliB+^O~lhU zarI+M*FqeP-QFdyo*UEToO&K^`wMJ?NO;y-n`CBCq2a1;TcwC7p6AY|Q|CPl_M}yQ zb@NSb&p$Hu5KZRES;FJ^*sAQPhzL1(c~$E=AF|_+c`F)o})$vJQ$o(IvS$$k>GA)#!}$rnRXRIu%-;s3G2i)2%Gnt76nsY{ksv5e7b)uGTCB zXc%jEVIshrs2LK~4{drP16fU5`Y<1$o%4s+^XiVibZ^+EH}K>9(BpNffa_(mFU|P>0D$<|R^kNijOGoluoI(z z7*hlf0`(d1{a@aD9+HDR)i#9a#{U32(cGoYhMY>MiQZ+cP}J@6sOOxZ1g zU9vSdP^_<~pn8K-1R2_gwOO%~`}q=~q=yvi<4X_{TJ`c&+SrhB`>nu`RecHq*Gu2!YKZ7+`0a$};AUu{URqr_J% zZ<~EJbKjAL@lp-tdb7$DOj%^kr-m;?Ss>Ns)h!!BxhzHAcdI$+`Q+PWdg+nxj3K#1 zyGE9P(wtFNGZN@X=AaGoR9#Zzv>1g{n0#N&J`2dqMJYWM;MkpeiplGnl-0<@V@uHb zZ>s$R)O5$?u3u7tUU4x5#)26;l&af30hHz?6H=(Mu;%xn0_ztuSYh_^yxfXf+_J?EUV{nl`iAuwd(DOOyp2v(~>#xW> zJM}e<0Ms#jwkp(^PRcivv#Cp#(Z+Hs={>2!ek!9S6p5(qkc4(;!RsYni+po$r{%VW zrK`41GM0@!pBGU@>UpTMVgq|XTz*HjgXpNds`#5oPW?q`$SgU-+JSxPDKVH?S~`7d zDL*-$lpdVYJSrJjxz;yU9(SMdf1P~)0G^f_62#EH;dfHYQrdj?gwFGge14O?zr6a- zN7Y*-1uguU?m{%snmP10&b$=2I8)Sx8gWyaxmg?UmRee*?;z@k)S{rYRts{yFF5AA z(?+VL@wlr-$v>h}l(sv}wKf7QhOt0A5^PeJt?x!Q=!!4%^U&2*9=OgrQmbWTb`l7@>gi1$ zf3M(mUQ=(yDQ;`g?3zX9^i}4G+2+#M`BzFt$@bI-iR;h6Tn`^bop+jxW;Ysd^9Wa3 zaNaGI@On!b;`2Pek^Ixna$K~D#_k+js-$cBZxs`2H6)aqTYtOtUr+RQgg{ZUX8SWH z%5a1;XTjuC=6k$~I(BJD>?$L&PTOPc^K3b}sjaQ?Zl-TSIvwZLC56F{#RKM-X>ekr z+Alv7)moY}$jq14pr}_Ea%mMM3Rk3Sl7S*)(uK1;@_D$^jOp_T(D%fJ(Y-asUTw~h z8@l3)SGGpQ>Dd(#rn_1Yy}o@+RJ1ZmJr#L}=wPADLk(kEch53KV0x34hrr4GKPaeb z6MN_1L(RV#FQovq{QYH~AF&iIsXM`EnAe5AWj>nmy)98Wo{pRrEqv;x6a_rYQl89G zQ(u;P3ec;kkbziwy}F;V^SougTRh^-DM9<`UG^Vi?9ojDSDQ(mnZow+ z6&S(k%;hqP>zyjJCEAT%f{%c^NYs(2)tAP4)zGZB&aOt2N>O~QONp}7rBTars1H6v zPV%ze2P6&VZt`ha97q#(D=18rSxClynn^Qzo^+=f^6POqT3pVbe8;mAG$_H`?=wJ@ znVk@+ z^kC|M3T67Sda^Z8Gt@ZRw(_W%&*6&`)B4D&Omy~yQhGZ#HcHIchL7hOWyN!o#9vvr2gDWY6v?=cUS3rjtyV3F+EH4a*R)`>Z}U zDRd0Lo95{yH&Qhz`5?`U<`SsuXpH01a{U;YQjF8WCN5Kw*XD-8?=QUsGcvfgRC)v< zW<_=rGoLnz5*Rbr$uS>64)U!78uQA(HwVrSNPNG1XR?G)pE81)tmrzEkI{|f?LFE z+9ky|yk0tqcJiF%W;xU5KbbJOlCpjfjkXekiRcKu87-RlOG(L}9E#@i>6px=srDZ_ zcDIQdfE1+Da1DjQY!&Ds$)Oea-k7Ht$h{=`AtM@|?>eAkjfrISR5EvsVfm)tUU#cA zN_4%7L>I6cF7xXyOxGjA@jfr}ho=y+V9=!l3?A|;7qlZvb_ypYR?k!EeuwP-f{uDM zv!0@;=Dt*DE!*XtYb_ZuILPQX(d2ihl39kp2lb^b>QiMr6G0akONY!nLpg@;GTX&o zGM${aRCMH?hzNPDDdZ9_Ve&MzI-_4>(wbzeLFOU}Y}vkjA+r^%qa|ZXPN)UsmA9j$ zJo9HSid%fygV~sd&aP}Nlha8-o{${GMH*-&SpLllJT484D%`oF8b*T|H-=68(y=Bv%HBo#R5 z;1>e+xeAxLB$h$e!*YNai^_peYtZbfWII^@06p9VNHoMM@fmuv$n__qwG)xPCv~ce zeF$3MYiLO4D!IAw(9{A=&P3Bw-g<%I!&|)99dC~%f2-26lw;8qih8_{K~kcbf|*~k zGQtxhv&Gr~cZQgn`@G*$g5&FDZ4i# zm}>#xUiEFRWbxxLBc`H`QLn?J(wceg5ITI&a8eC?whxo&1ANwImFY+UA|8OYlaIH_7?m%RDs%%>x7OHv(D?VyJBj zCY-6|g7eT*eQ&DzPp9=CYNvkot^AG0#Ij1ItWKSt?=+X_WaTwUYG&;`bWO`(bCX3F zLyT(Ih;izv!ZR=>4B1zc>AV7f=1S!AwAm)nFcxcbrKV~Yq`N0`xziUfXiHZ`2}eCk zjwaTK*~X}8u1{;o9T&BbQre|uGgd0H2c`WVdW*tM=_d*+LuX%NTAEQ(G;0KG3^&Vq z)QkMLdXP47S5h|m3F|R_a$OBmQ&hf}jdr!t(b-_~#Kw0$fJT#yX@8rop;&`32Ki(Y z-3`Oex5=St8V1Eayh)2vXE6Ma$b1LQ?58sfHkB9vkU($0=3%{7Cd@n|LU|dR+38d7 zeZSWGoyPv;3V_N=yt=OQf~6|NCG$@!LLXi^wLXS|Y!?fc=ogvlMS00X3|;`$H%5O+ zNE(1-C&#{oZ^tH)Skl>m4#muM_dw*7*3C@5f)wRr2o&BaT5X@X(y?i3JnE;+DQyF5 z8gbdv0g$QhlE_!;CzDt#td;Yv#>pRKI=u~iPq6_)v(LH233!n%4^^_*3$9HXpkcN) zwa^<5%p;}|MpIj7UUbW+X)de2DEl~V6K@Coll z5_!JGNbWSwbtlV8E_(&F`K=mE#l&hfcBJxpWwKN>sF~zwlvJAMjs8ERwVU4TY?9Bj_P={5cIPqwWTGKwQI{27QtCn1!Ske)$^sFQatv>KeDr_c%Uj)wl@n~y!Dx_seBjs#*a#r@VTpEW%Z=pL3KRo;I?)3 zAy=0A4Erh$BTk!RdgNr6OJk&?7b)Zq^nn$rhb2Bm-8)szL)PU6v&HzIg!%6pgn&Iu zXcnx^oLtU%(yY)^5((qp_B{`-`yXi(dQy5xVtbsfUg6_PIt?bU7fDYdncTz~M8ZYA z=946ATRRS9RRwo>?b4N0$+TDF(@-|4=x>=yY;4wKt!s7;v(B(!^&_rVE4X}#*TsGL z=i=4rN>pyoaiW%a#gyR+?ek8)^CwrX0V7||f|a^fAcdZvoYc{jrC0fHrf_d&vlX<2 zoo-I{s`Naeq9wkLr>L8s*c|Xn=GJVFgVA(Le|po$z+*iMP3AJ&=ht5o5vy|wK9|wy z$ZBL%V6^;|^nS{ACRnarC!#$-2);@>3vyNF%Gy-#FTnAB8|5Sk+MH^%@PXjac`dbL z$sC#zhEKxA)#-f)+I>&6T;{Q&8+(+B?|yfJaL)c=Y-J zsvkLSfIJ45K;B**Nf>NxClG~0**MkZU&S*K>&g`;ift3dK6dojbH{7I!v6p#)|OTU z4Um-SL4IGOB{il><$4_@o82^3O}>|m{{Tl^=sgcLlox4fvrx7dpLiPpxTmGdOLlb8 zUv#W-2TABl==s+9lo>@#*q=scm$1l)czjPv&nG=zS@BsvpYK6XWcb-;A$C#{5sj-P zsU*83cc%>wjj>h;b2u`&nAFReM90&;6oQfG{GY`9%yP@B=L1&F0_qQ&C90*wc$JBv zIAHy|*!w@E_wRfc6#dUoEJ2E#+2tAA*q+H@tztu+lXL8vj($8}nOV*SWDm9`T(GKk zRTVhFI2x5W(bJ&Hq9;pI9Mp#cGE#K$QyUDhogIyovrRHxEozkR3;b}Q{(JoPwp(Uz zGH!72tv9Ya7B|OT5>jeJ^Xz^|o7Tm>=;>-*Gt%kay{2cMmhcyO zo`iSJL>`5;91a>Is2{V>L2$TXHpx`&pFOw&;HGX`cgr^|kx(9on|8Lesa#5{ zxi}BV*3&`j2e4c!@ge!YkojLNV65J7t;laTNiSp9=tpek1u}b>r+`_1(fvQw`a@BV zPo|?9ps`Y*sFINLUg_~(gu!703n@$@@ozmfCW3s@TnesyueW*%{L!ghP%GCm-E69D zQ6w{)D<+~!{B!gXx39})}m4gd;}z%&uiIs1cvm8zG{y zQe^e~)Klfyt&*AJuQ(ao#IH;h+fw}!Mi-Sh`w@D8%6#ufW4uXjWc7EM=`DQJuQ8Q) z*XfGIKEmtR{U18Tz~4*DOE_wDhq-?(qH0XkcbvVfALQ?=E!#Jyx3Y1kMY+_)BV5GJ zSZRNDO8L#k6H8B>^IsG3F~=FQR^|&>TJqy_i?vDvU(mhUU#F?O=lQfv`~|KeEIE_$zoAL>XyKPkDAGk+CxPP)};Vb zP_yFJF4VKoM-oSPv8CtJJ%H$F*y%B+#$zX46ePUDOSWXHXHuE|)$J+JqEqur{8)`xQ%#M#F}teXvN zWal!BeIG2>l_viHH*E9jmXysqz(!B(r?O-=l!hTprb3xm5v3z)Z=n%=Kh1 zK;&Z|H?QJ)a{69%$*#FvhD^|^cDq?NINZBD`jF|Gie{GBJqZ0A^<$C2MILo0z`hqO3za|@Ow?8`MZBbGxb+TX{DOFZ6C|Z<1?p9DZXQWGdZdkoN>?j2Dn$*7 zjBzWhnBKP`in!nY}lK5|~)XuMiY zYXB@y24$I5p5N7bo~NO6Cg*wjfKKvsXY{7^Q#RIEOP#Pi(=k2Xf2a!KQ&}IRbcW*C zSZEhCHfuUyTPs~gC(enklDM3QRp&XYNs)kKxPo$$QJsMMmK{*B9!H=oqI`U%9X;xA z9AFGWDg~PTPZrKgjeEKbugWl~02l19Oq*)bzyP8?NyX2;mJzr zkzLpM$8eY&%}~&qL}^0LqaI(mj+bVqhz$wztyH)6V>Tyd6rR#s18C~gE0EdU9ZQC! z8>vj_nv z^q#X@p^z7A5Y3-_uTu3nY;?)LqBJV*UC63vy8aZ#BiKS}BOX|uR>7C`7^($pZ zoka?ca3W)kf~++ti4UrPdoQ`{?jjGI=a&J9y5Lg&Zff-AGdmg>q1X7pd>-o2yE zZO{N664VT&p!Jc%>-k)Mce@Ia?7+IC^}H{s@{P~)D>vU2inEw}1b%umO?jP7lI@S@ zoGuQ?+|{_G4@=LWXR57U=92u+P!%?TAo^xeQ@KMAW1+OLfkdv;Ox8?HThKI+2Io3r ze$S)5YR-6N@_#n@KRKm5p>W9XXt*<;hwgfElMEU_xq+Iwdv4}>c6|rcTW6@gdT5+& zm&KEh+n;&WcxC9mUZNUZ9mKVGaMlFEL2kA!3dKN{ZioV%y4chi+AeuRK3t{+3q zu6vRmwJG&N<9j^ms@s9K%eKcwaDm-EJnItN6>0C~(ElD*Mbo8%8&|@M-ncXZ2J@u1HC=*%eEEs4eGLla;K<{L2H72zuHt#>OYGRNk zjeg{L=>_w;xgO@hLz4|c*m=~ftLMN+&f?bX}WGXYfcamt^{PrNT)bqV4Uo4)5 zWf5N{`hH!}zHY7F4P6@;_H@3NmshRkyV=>RstHM$o57xC>Gt47;~KqLyl3>^Ml;fi z{FViiBAeuHPn@(iP;omOO){KzESu)X>uaHEq+@3E)SO|6&vX+ux#@}2OyLvOd3For zRys#{9~tsqKaqnXwf?kf<|xA$F7A!Ayo^hoI&fFZ-q&Mlzu5X;e!rkmnw3_;Vlku# zI#AasE-AJwe$0uTT=O#cW$XLS&ugYAD`PzAJz%f4)1HLqS<&6BO5WL5N>(pI8%79W zx^gDE0(^oN`0S(4oP~7JEeB7XTgg~*+=P0QbqQk%GCHPTRB?JqhPe%qq-?7-*r21s zwyE@)BGv1}wb}*h(8(*i%k@y7Wj<`r<+qG|ETv~Hah~#8i~e$}S~#FDLww3u&XLqY zwjeB?l(;UMk@KT#1$Ap-W#fougP49@-a6@%&hq51nK_bOGeeTQ zl+}3lVu97Wyi$FFN0Iry%zIm8Tw`+_iOpNI_{8V;@pKdV_y~>_mQ3 z<5T<7pVE%Ko76dJ>AiH-EMAc?6Sqx0gF=)u`!heyr>0{k*CW!rq&Gr7f=DRwknc<+ z7O{*&^B7aVr)PP!d5*ivrfXv^Vk^0X1Re*g=hBdNJZ5z1ds5@&f|mA!B=lSYOje|3 zPmA+EC*yh1&aIu((hgHg=wOf?KX+4_>txozJ*_w<3Vg#% z_~awW5HPi&LpzKbJbyd{eO=ezMk6DJrS+ zDP1@P#(rJo^3e1BKQLrP0Z*L^{eFC$rBu1QSA7MP`QF1Jv=*nsPa?V_5COZJ51|{W z!eb(95)X3V^i!hC(mtn}>7Qqw#BR2kjUKNTcPgIRDNd?auAHmSN}dX*a2axfFH$9E zJW}sB*%UXhT$t&`iesBsH1k;$QOWHHC4j?RSds=5+uh04a0G0U< zGtW>17mPY)v(WNHh3hmQQr>1(tyXO8Lc4{TxmQ(R(fb~^zIM|l&ecUd>6w;L7DY*d ziK}XX7DlbJ3nCrr+cuq($P8h0oG@I8a*TMuiuqnyKHK;=^DN>%8WD$YeKmb~tv zo_h?196~h3*N=s4luS1i&R8HEYn<#==7T>vnA40#Md|sTnjJ&?%JBSukok``C8UI% zqErGH^cWVeeMm~CMc(~>95JE$xwhc>CNeZo}1?LmY_LRT#rYi zte4jDzd!lKy2o)X!d5n{_Bx5^_Pq5|WV=JxKd%K+S1q%jNoCWGbd+{^CKpZS`z4~u z*sJ!5>NeLTx74t!qt8-z`R3WZ5OcC}YUQXKJ{fW-6yMW0=WX$8MF3>zY#32pldxNh zp=KDKCLtC`o*kxqY%|nmhdnKP$IvyrUT9Msc6A24v�(u*pb#&&YhQ%s`YGT_Sn9 zM0~yM#+dVT*j&b|x<(+dJ&)5qlg#{U&R~@^`aePKeGjb{tZ7n(y|TR=6=Y{bRHSCP z1UC6udQ+!>utg@D6U1$t2p5WfOqx5-7KTuYyswnt#Q71+#;I-4eMbWc%G-C1^;*(#_@Jg+mw`HzwEyunbfHn|+-y~)NA zg&Q`^?({jO%OGr${SVlBeplz7Vd0Ae-BWM(zK7EFJtH3OaqrfEIFyJ^x5=&d9bX1bjqg5VT;j!q2t^tAY$F=dEWZH5fOA? zUXPg0B>VEaCT%W_-9jmZZ1eTNp4)XQ^pPr9h2^maHV6Oh_bzJe67AstsX4dFZ9 z=FPLScAk~eCDD|ea`&(z&e0%YHfBpb$?3v}dFaX|JN$2+_-~!?Mg!$x>C!KhYuTNy zpNF-%Nxy@6+3CduN`EMEt7sJ)m-=^mUYE6HX;rxuzFig&Nk*qRR7gurc|Tt)RA)gg zVA=iH`%Ez{z8WN8S}@rf?+){+L&~&P5mv;ZXCpO;M$OYH-ap4mtSpf3ZglM<GCu5jUI*udP~+-1Nli8l~6v}C`~l4%c_xL z-QJ`nPOiyjv0KU$lOL%XR+5*OXA6kn^6w$e#e?SlE9WGhWsqYDWtDM;nwm&z^wV1$VXkD{3pu!@ z4InTat(y0Tsp~u2_d4%Q6DD6nr=nL3#oX1+a!}(5{r6HqyS0zIpj?P*LH0%F~NT*Gm@mXsPpX8nK$ATiks8Ds`)K6xvdQ zpvxz1r`_y&0qR!tKSfp0fuz~Zg&zD5T>gV%Po!Ri+Ei^hpstkp$ySc5RV^*67P@5G z*GH8>a+)p)yP1J%`za`?4!At>?>)RAx+U`cKo&lF>>L$qh*g{+Iz0`ppEy_$x3yF& zv8i1>Ur8^G^PeH}zIUFS&dp}%8J0JTpU3B6I9hcup_C1Hy z=^vqNZwb=mt;qD_kI-6y0Pj^u>hqAwUVHHb#O~;`q~;p)R7n+rga8{bAQ(nU5upDDTLoc&?(5KL% zwfjOXp4b^v?G2snRWrJ&=6i*#M)~;QU!a?kw9v^Zkx2ve{O36yl)E9hQ+b8y!(7xZ z(0mq0Q61S%D)8!3y_Q@3?g14+2wPt)}MTK)O2 zE|hA7of#<-P3YE{cSp{)aIRXXd68KrJz+gf#YIx)tJ0CHS*lF8kP10_XgNS*-y_HK z0G26b&eBskJp4Rj+rTZ>Ak;yfJpir**!;~pT7ow@q#Es6a@^p3RQeVj-$dUs+V$&9 z-k?SvymSjHo$FtqNaLKSPIWx#3#N=H5~%sAi{Yrz#v#wGnW9m>RTmgKc8DjArgCA4Pw&zAV_AIBA9yGuJ*&7cRc zg{1J2ZJ>6*e`13;HFK95i-QD)jZajH4#%_8^?i#kZ-MPZx5b{6-j>hjqG4aA60}0> ztS4Ozlt85=)G_6)qE!1(K^M&XA4x5-V^gt!5G9%6c*-8cF55Uk*u*;pgncawe{X7l zv4H8@sB{%yJZ9;~oFGOt@mAh9gE?0r-9Mv$t?GOA6Ell%Tlu(V-dbjHPCOY{{RihqOgU9-hA$V z&ivF~jaQ}hBCeI=PA8G#dB80RwX~VIM7TuvT5}MSr)h_GV^+c*9k5f}{{TOWjNoCU z`;x{o;AUpmy3O`W>^+ywYLV*sbkA>kuu{&rU5VS{HOEAhJRFg1DOpOe7K671sx2+U&5sshF+1Q@+ zeB$&lLCW*KPvw4l$C_lC`?STTCNA3wc|>&Bo4RMxIw!rLq0mu*BTZaFLA=I?8tP%T zk5a#DtolSHz_Kij>6ZqULp4>WT!1i?Ec48DR%eOv{ze@Sa*v#%d3N@9nK;Zj_S{p))xaPzBfPI_5PR8i2Ivy3~hE& zL^bpm8+kO%bgg`6XP7>u&P}A*syIuMS$yeWs(J>=LBQFp?#S+)(fW7{T9j*Voka6p z-672}*q0p&byGQHk0yk$k~bm;?TYj2p{gpUE0rx%Zz<_Qd_SN0pDX3pHU=+sb#Wq& zZt)78n6TQt5c191x<#$zyl0rzqryXiX(lX%!OX{VTL;e3SF4I9ue}M*Zowxh zi}Mw4NcW|zqI2c8gyNdn zG1+AJhLa5hK*_C8)ClSNv>rdu`fsw|P8+54R%@s$8@Wj*lb^|~IzB~HdNP%=4T-vE zHfGSHmzfLa>!&$Am=xd>4aA`dUDr=U&oEl(0A8|^;e^?(V4+o#G8LX(lAvSx&kyH($$Mtw(GhKv1B#PhER@|f&i>^+n8I+e4^mjJ zUjb?oUEQ0^&CMbKs%jsPbr#yjdg`N{J|548OcoU9=08Wq`L7~+FlfyVBMl9#6eB1S z4D9Dtp4A_7m(Bpf7H;Qr)wo41IjAawT#^+RH?>>n#-bxVa?{kV^rf6riFMz5_MVKF z!9`Ni9)bA(07oOR40Ojql%N3=-f<7aCZxT!q>LrCKq(J7$X&hh*|lJP!% zZ#2z_W_^nAIeLC(%2> zvib#_sq8**FDhiKsT>UH8Z)YPu65EOjwb<_wn}3}>Axg8qj*W~Lz)!MZc;3x766=4 zb|&ijI*Xk*P&?chTp)Oil@Uqv-!bEPK6+4U=Lcq+yL$=TJbWdhwuvlDdq^(OZUbdK z3ClDw1O|0Ra zHY92u978ioj-H&+)!;F!EzL20&e`0|TLX2&sB<2z?-JAJP#?F^JF{KcR6CV;`_8Ze%L3jN*&PH?>+FpF`2%Hl|ffTScV0w zqFC)2xi-y}8t0|3u}$ZUWC`FJ#MVqRR(E!-Iut>Qc9Ri&BP#t1B{2E_04L&nPaG<6BnqSu$`# z)!fj|!w%jMEO018vDBG7)F9FqsVwZ?B-pG_+1LzV29`+gO$s$Bt->B*On7FutQrmD z+|dtDWagLXpR#;F+{StyQ|PCqb>yWvYqbu>b3zN%Ep3J1m!`PAaf=oeOFs{!h!tIb zI1S2KTG@G_qmM9Xu5$!laLg65ygpE8M?zlZ$TdPqLDG(^aqmlVETl4BlhB8z;aJs< zS+;&~IlaVc6O_syKg0OXJHU!_LX8+<#02IO-xf8wh8Rj-=U|p|T9*m+38f?`b`D}Y znw`*335cF&8qYK|^wiS@ydy3)Q=+gIaB%St2P_G6)T&EWhqyzy{VDL*U@SK{oJBj9 zOLcKCO?!`1b1F{vso=jKyO=#sab+`$R?0rd`k(TJ^Q%H_#!>-%25QH-OfOmyrMM+Q8kWMJjihRXLv z=qojIn#!nitWkOW=!DfEIGhq?7RFuv4;F0c{0?6sA$48KF}PQAq};b9Fu3p1~4WZ+2&C0c%*5_GYXNl~ST# z1_tLJCBdlS7E_GU9%`OB%RNPD;W7c{Z#y$pv=D%I69Q*6cXi2dfG`_$Ax83E{s&3b z&sXT5(_fkV{{W!KStSO+sO`;dow#(LK)@tFIr3EzwpJ?nK(=2x_6{}dVTVkr0l>*g z81U?0tqBn7-#X^>l7j>?KUFMSEtTr65b5cqVVkR=6oYzZFc8_SB)g(q$qJ~Sh;dy7 zeCVa9l8;YMLtb4kc`>WxyaaggC{@}ShBfTO6dLG0GtN&agtcUPu@+z-bH%%vyP_Sd zSci7#c8?ErR71)h6=77{-63TBV$|kg8iy7n;-ive#b_4iD9am|vBtA_vGNJw5ynkw zCT$qbIl}4dmyDU%t;0UHV6`pfm)?I;{#EB`&n+{0O!S4`hOjP*$4*+E4g~yO2?eE$GbI>hUwa>zXer)L%?MONg5<;mdqzCVHG%`$Tb zS-89{Ge-JZ#v7s?%w)X10U|XihN3gp78`Igx??aAF&Z2Sk0O4+C-u)`?bdQU)4OhOC4QB>&lBb2U#u~lcB>bHX${9k1}`PWS5#pfoKDU~Wy zXuTZ5mW4YA56-L$2ScRCwr^5x-fx{BqK3Y7qJm!HGZoY+^X8nwxO$m=jd2K5jDd*e z!w;r#wtHw?=&T#99Puaey#D|*!STFQS|O}z zz&DiCiyMF~nr(Ce=|L^4IoOqzFe|KkQN-~U(ku;n5y)GKEq`J`GuYB80@6gLGjFBj z>5vYiXo*HU{YUW+AkJ4Y)Yq_q(8ZisV{T-yhe<@r81c)ngM zh*mw!;A-2vZqY1uB+rF>qfsEUG)ucRt7mgMg#)(%o4AS6biRf#2SlE+;$DuspqLEs z8W_MQ8i%(;uqnkF_e@yn0j&@zQRLH6Nhl{gj=;|fKR-n$PpUHkL+5)^&8x(6J zXbF>(JqqdsI&voYN2I-NgOGNGS)pAIcaJiFD`Y-gq^Gm+7*7ByADTW_FeJ^X#4^P+iDlcBYSM%~X z9_8!t3De`7j8a&{_nlocw=bEA>auGVEaR2y^DT6Jo^PuInN1VB&aOIP_Pl00vPU}5 z^TxaUw#P*(n+&)|sEvTt1h3r-8RwBlOQc_8Vrh;g6>9c?TD8kk`&5Co3wg(2XQ4Xm zo_Tr4r6sj_Yl=?;=OBVfma*0LcXl4(tPYgzSkR7}`>mBOtaME*~S z=lH%{D2Nx#NoID25n=8b>o~`AMd8@c0}fu`fbS$uk`lB>SIb}?@H`nt#Is9xKy;a! zwc0ue!_apxQr2Yz9m;4cxbT+E2iG`=Z1iUZMvPZ)p$}UJ*H`ksv_1O2?th+n9~3&T z5#0x=@Az!>evi@j;rP#%4@dRSzwsuDn2N}Xel0gsnj-C@c4@N!DU%3_NWGK^${UPH zK6xrVK+-8gBlJ?R3KQrQ&wo$GdK;qY**MEX(1j#wMm}W2tVKspZ7OSt%P_j*4ku@%*?v>0`#ARwOxxx?$a` zde2w}JwWq;*doAKNRwp(=Y|6W5)N{huI_S8$)M28TAI>8+C4QQt}Gx?jUCCcd_K&+ zLASGHDtTG8r+Iryv%5}qsAzAY7;3#4`}JSizB|nGzIN3+%-@^z{+s3V-1fhqzAyQ+ z^bay0OY|SM^Tby#s2pnHV%|p=JV~h{Z%iFZ)N>0VyJGZ{KM~F7Dp+or*{ooYj$<$8 zDMgCsMA`J+z?ZQ!10i`uStp(3KOF3P~H=wXB zH9I7&6ncJou9>61r~cdUmG~ZQo=2zWjO>v8Khu7n`Umm<0N}6KD*5gGkKXa9u12G_ zy~<-L_cQ^n?iw5B#baMFJud_^!(GDnU)+5@~wjE`fJi7flS7As#`EXfX7;fO3mjhxon>&ip04{r4=mAOj0ym=PZ{!ePqqtr4ND2BI*%>k1C4! zc+WbgEx?p9vhC@WYDMXu!j?&q1m0hhG%FMd8i`rC!X=nl+IhW;9Ahiq#vV0!sXQG} z^0~OqIuEDX)&)EJXcYmbo}HV<7$EeU6V`NNS4!#3&~{4I2AWH*hK=FeTk@)t4?BNY z{g2^Y=HwU7smKue{)g>8v*G^$1@)aRAJ9G$CavCa(B;2v@+w?{)IL|5x|hVwaCZ5t zttE75r&8)VxMe>~N2h-xl38)3Sk;oModWnteMr}c!vO5kt9aWh*BiloMjB~Y(1!Un zL_V0sli%Ia*ghsIx!345s7bB;H3}z|!a!(WlIlF{^?fQ8fO_HM`p2l%MAMlcSZ#brG}@lcZ8| zv^B})G-%OBDhe`MW@LmEdIvPrvJ|J)@~1oAjJ!f@+9IhGOj2>KFF|!lT8-X5Pv)Wz zE6ypEAS~CyY5-VqTDCZS2SuIDQNJnRiiaXi3}KlnOBlY*;zfXpQ(QQDzTTyLQo58E zBc-ByWancCxSP`(c*gHMBw^4fqa8In`%mcq038|T$4Wu^zDT(eJG z=<>st-Z8xwJuD5tCfJ12g)RxUF<++|ZEQk#@z+hEge;nC8m}ACP`wP8PAAlR(+lR9 zj+iUz6;LdaASAR-k3vqOHo09=BidA(TRcKQB(T{+Fkq)aTrzdq1_e$hbElFiQYg;W%iosVmD-=zq zy}Dw}PgnZi=sy`7N{QR@z7M3TJkbGoRPSone?@$fdq#ISU#Ro_6*3m#OJKTYvuIug zP+b~;UW$SJHrXE%M!kZLG&rV%ae3%Mzd`-ezh3#5Gx!R$Q0-bzvV4`mLOOGqV(9IU z&{L;2gW?LpTEb}_^+M9cxp8_uIaxb=+9`m#k8l)U3u*CC51YC5i!iq*SAms&a4`~2B2^Ln8hqG*3jdS5+JE_MgN zeM~-Wt@-Ed((`Qhz3>FAQve1|`s zuPI!t4_D7cIFoXI2jy#qHEXO^PPYN8jbz8QY)JEJbpu4ouwt>P9*U)?Y1$%SKhC{8 zw>$&0HM0wpO_gNUC^c;KVG7dd=*6I%B9`vaPwT&T%x9b9dfxBY$N7)X*Xa4)nmpMD z&9~Y5KcxBZY-*R5%EH)BoSp~I69g<*pJZ;Wsq}UW5EA;3IWqvVbbEesD)X(Xt>f)_ zk7jspPHxT~1hgh9z+)~AHF-Xds0gUXf`!iI5}JSoP5}2&G3TI(&BA(f(=($tg9W^m zQc|=7kiZSc$%LMeZB$P#1(-o?D`P@`7KZ}HlvM+fK6)7(Ub3Vj6Q+=&pz}mucbQjA z{z)Z&5%CP3cunq{R%vRui;TONmeZr?GL_@!+3cKzE)7L;jZm4C++q}4*I{{X7<^JVeN^bg&>i{!pg{(H>ue7_jqlhx~c z-eMGHO;UMkp2o3*NsjkBShK+qd~x~{6Lj5d43t1!O7lZ?ciE+`o zr%4u9kJK5az(wj;BAIq@BL|>kIwuMv6MQpL&h!07GtZ`8+KNkjGTFdWXluO83t{R&zw>S{*GF`3tWQP9_ASQpUu~te)wDm~9E)Kdmm$ z&RThFIdz5vrp|BmziIV-8Km`my1gH~{Q`$vKx)Y%`On7W`Z^-CXHeqi^gRCnK)c1H zjqIj?lm zLc^A*W^Cvw+B!^iO3OUK$)(+2A`e=1PU~dx{R?f6y&sPJ-JvAMqus&4Si}&J=Qfu! z(R!OyPN$^osk5e+1l`@74XFZQz;NF}6BV*jXv0djkke7VS1>~s$s9u+naLp^d-uPi zusql;viW14pHtF5f7Y}h{Qm&tne=nT>Iw-oB5YM_Oijy|C#e?|E{nUpDWUc9i6Rk3?vJtr-~0RVg$Wi%d0D(`)j{nlTk5k6t8rF0bGU(dU>&P z;I9BDcCTvlRHF0tqOzGQdkdwyPni7U$qAI>Pak5t0Mmp@9ow6}qa&f6O(~4*?rfSQbG#xo=-~>23VGGbuv>k+2+vo5~aJ4#-K-6 zR-n{#T!FFPYv`0*sn4+_n#BIQ_HVREH_h?mo|d6kdO=^F9W#M{QRk1B=tWr2>EAb@ z1>ljK`K<3|8YlAXmZ{q?*DIKTm}oTH+FdymnhvD~tn*ZS z$=!V653RGUHdvIoTvIsKdZCBYWZlt~T^Z^<7?2XJjeGG&f6sZ|TuYPKiWNkKEYmia z&nl*;T5k06OIy^WXjyL{+!#fmuA{8;wSAUkvD;11sf@k$bT~u3oCphGg&W$be zui@rYXm}GH+p`@=>D$TaeHfW5CYR8rBR4Tud0K1qV9ZjX**JuYf{%=Q%}^cuQ{ z;t@w;TbhhDN9dgTJlU3cy7F2%s&wjlm18`0`UVTD7l$lO#ClSxQhG3tt~~ssg$z0O zrcJVD$867?<>uVGHdYYT^GzrDci3ecS5i`4B-(aDoP<1YClYaa9(<0bM)#p$7`zfp zXl-jqHL9X?RWYA3bAF*h))wRy37Fok4T_`_pfm$s-c%QkvAC*M9NzzP#Rg z(Xjk4hJL4^=z1Qr7v~Y#=y%U5#!Y-;t47Pcpvqm4_YI;ox= zjH0avD$U8|Hgx&IsVu1)yP@iH8Ax|cnrT@VSd~m+DuOdg#~Aj>mKxLP$6bmNbmhuaU~A zR;mM))XzXgV791er_Xwl(kc6c4R%6eryYN9{R8ak{2DzJ{t@NzT)B+>e?z{z-NU2i z=e+jS?#E{8RCdUMrV3cW++ESBx@Z@=X{(r;1niGBvQkjkm&s0wV#?^LZ@_xilUgni z@+ff7^s1s{Eni7{c}KCfcg?D!%-TF>!(BsuTaoe5mO`^lRA~lm|4ySi^B_D}jv}7V8mjBaoG+JfC9eQ|eIz7f4dB-AZ=FO08K%RC3Sl zN~~bUY|C!&X7Tbblu68xUwTyR)CV^wcNp@Bm18GWQr@Z~CD_EFZdP0V2ENiM&_&&0Q|gs5om> z#K}UnY|e6(spwXF4^vtb;o~cFD9Xqok)WA zCmxpRWKC8jsCrcCEJ;+oD19s_i6lC(baGOCH5}+jn5;e&5d!R)P9Gs3nL>FY4PK*P zI_sV3MQ1*qk(_iMap_P}t)g6~B~P5#mdwNFhIgQZw<(0(6Xs$rnrEf>;As z@{eoisrf$#rIpo7Cz}_a^vbF@BrL8~&{ahA8!}gqo9Rc<8L7U=mUl+_Qw=A|bmax< znSfODFfq$8y>qr{y+0{}^Ezf*LK0Z0cr5o$I>6SaqqHkCI;x^E94$>q*h+BSpI@1BtR_8be1caqF&P zsUo5ut{{V0EqrL6*zO&U-(vP3#dC^I} zynZ*Dzmjx+OrT~vqlM1d&MLY*xv+V`OK+k106UeETG@Rr7AAg%%33(5OHR$_)2tm1 zm?~rydMZlohhtx@<-c}cL3+k$99E{%S{~3?pq0F>4V5&amX{)^^MeMAe2Q|Fsi;}N z?As#U6y}cve1tQ#p+j>$V;=wFWiC z1wkkmm+P6O$=iSyTy-IbjzQ5n`;5@U z(=9KEA3%3aW(^T6D?^iXWKB}X&`+=ZJNnm}nj2Nu`q$<(b2ATqTi`sk{7LVzGXzZY zq^PAO#$w#r8bNH=EvK{66S$E=fV{i;=bf+7k$#ewGpKCDu3sSdWU^mOMWq{ym(5Fi zTR)vz5u@rrJm6Tapb8TrRlvdi)JRz z!k-V-u_?B86j{!tl~1IdscsGo;i@LFF}xcsdBcf{_|-|Nq^hKOj8ad$0V4C9u&M>4 z^Pf6^oe5=$U2abCum)OimR!SIfDF%8`B>Z*DS&K^@zN)RXWfdg6{J(qsd2#mOAEa} zFVcVaH`vcDln5i%IiJ(E=AKL9{G6XR^W*CI@P$36=uOR@v`r6VDCon|BXBr7yIltP zZ{dg9&1H<5kruBI;@IsWLiHxqFPM#{Qk=o+ZVg$SrkMGxU50Dtd8k@g^BG-MlJ}-I z%oGTL9Vv1gwr?A!?>(BZEVOKG61iBFF~Dw8DD!KS3Ag!&vvl4~ zKCFR~`Y1PfEb3|$bWW;vz7^0FOP@XYOkkzp*wj>}Nvu#cs^z{O&7E3QXqqDz3pzB-9@#)Qz zg|(&$Q`07&XFGT=(>%S!Z_uM}% zUjgLMEd~I}Q&!Z1y&j@+1CE&vgQYzDov2--1$wGuzBcfQ*9KL}F00KCO<0>&#FsCI zB5JH1O+n|=4_C^XUq-d*VJBLAjRz&z%|maK0jMaN?>V@*n#f4!+K?9Y6%jr~sYpDi z7SunOGq&lIJH1%<4ALUx+<)hJ{#?F|l;FT$Y@y=F(e`@p&mrHpp6aPqE%wy;qD@)M zlk+Vft`HXfZPomnPXUqE;I_ZVDgq@;l;t>5(DeV5ZcqD^)3((i$p z)p}jutCxO~v;AA|uc=?}(ZBw>Yxwj3V#hbHjjGoF_t%&IZT))ZSN@NY!Fj(Lw}0zi zTS9sPGKvoeM_fIY^@;fbAiPyhR@se?U+VLR z3VR828U8)T^1DO8vz;#vD1)Q<*ZxbW{pljTE^7G0Ys(wo65j4@DYq(#_Fg@6ZRg+X z5HD;rE709SUp5x(Yo>xGC2a%&zN-bs<2?{)RS4j}=wn}AwXuY|=4v0Rau&63S9Z@- zZ^cw!ew=@FZ`J3wmir+2)*nu2(%!Rf>jBEjjvH|+Jd=JtMZM`WcL(^MG4^^qIs0!H z=r&=vFO@ECUHeh=zb|*vb8lViD2zK<`uo|DU;O`j<}bn9;;$(IJHm|8(MpfYE)iOy z-&K3v(r$yL@TysG#&`bw7hlfhDY&&0D?NSXIQ1FQV@mO8^rv(W9|hkGL}{Rm8U1`e zQ$KGmg>u|%*7Rqq*lN+)f)}G9qRdQsHiuSon4Cb!hMxYob@x*I2W7C6EUqUayFL!{yW>@`4{d#|5LJ<9AJo4eswV{bZ0?_$tT0dlV2W$gKy1WpSfb( ztAzQkery+Ie)yT$HhWIFt8vvSycke>slS3efzxyLN>mf4fsr#Y`b{Kfb*xD%d^uLKEPmdDPCU$DIX5l2$b z6Zk8aeO#90%bt?XefhutBHY;DL0dX&`L(_4hvQ9Kx!=1vMHl2X(2R;NIxnMT!?Dwm zJ=Ey-?*3fUr~2EijKaTm^^+u(Re8#8@$KNOKYtPZb;&t@?H^C`FO^N|#O_HT7g%)dVV&vdipK9%W_Zp`rozDMu(kxBeV;VEZb zj$2LKy>j307FYgZ&#A~WNxph5KY0xO_(O%mOrc$!XC{Ot8E}4HengYgw|YM3>qBz~ zt3t1!!QS9}Ma_}Vn;ijw;(zb?PyW*WLzo4~VW!2#{@}NQx;r!9m3VyZso7m(DO2_k zfXG+AW?Y@_Vmq3QTZ^QCxEh5oD=dVqdZ$DH?$)?nD z&9(x1E~>f}6=C-07A~njM*Y?6V7re~u$*{_+jO_f|7VwPk)F_elc>G?=d7^){T%1; zo8o`kHYw{7Q|Bnv&o4h-b)=0BuOynCT^_F@p>GI&YCwNM6z6Rv;+T;FR;X2@{QAja z^`C#PuiRERX5j8824DR2ELVEdr;|N-E$mIKJwRUU+A&i)(Enpdg+npFig&n9qk%iM zoa%YW%LBhXB=`;)T={zILl+npOWph$!#Ejy?Z%yx+fk05KK%P}0&H`g&9SQZAHN$k z)4p?-$bK?Jy#D9=myZkcR=EV&(d`d7fChM82 z)QRElsGMer(kF1;uJud3p{t^}T9J8jtX3wJ#*Ck}PRhsGbTyWzW#?a{@7e=?qE$d{a4 zkxH*$E=Yb;#_GwNesFfO=f944ZLv%KG0C-k-#ROO>%WaS(|fq}i;I8Hl@>o_P>fct z<=3ZO)&Fws=VQwHPXy{`+pV9AR`P!S@nGPhopfvO-d^e`@fKm$kLjSfxe6-dHVO1i zSO0qZi$ur2diq209@7VN#Qk;rM)PjlU+Y~}`8B(|uFCUWLV0f|NFWFz?bz<+pLP=Q zMhz3_Rp!H;86h8%FFJkR^$k4zXIIkJM&y_CCncVWnm7F(n{#_B{NE>Ehrad%7a$t} z%dZ_bLQ1b2?7TR6s8OcNOFm?t9bN{pS_*@1U8{B`LSyWClA~nQW1B~wzNynO4o0kR zxlKK_Ln43tuC4PIb9=v!npnrG3{p9FB4S6k(e`J*JDd&86m)NDAD`lDwPR~8GkXHp z+g^MlY9*ClT|mR$*7Pp<-eYh5`>U~g>0Q#mUgyB+-+dz6gFw7aO_! zqkkZI&3$1H1X+y`f<%;+}`^sTFAZd&8cYCwb~2hkFUe72Bm!*I~WGT{`1}S zE4}*d0LT?urGJ%+uzVjC{#*Wb%LqB=<&C%#se?Y!&U)fP=r4y_leTj=(*Z$^wZrQpzX*`>5X~X7UTf+NH|Myqb{kQSj{m3v0QLvx# z=C^{#N)6{*vsdTXSemp17o;GudE^e?{{|H9jhk>8g1{A>zvSEgPGpy z1uZo4!p6#j#%hf%^lg{r8h5!Y=;6_sIXmKCb`sM3)R|&wcaK7r~1W|0e&E+EN#4Q@kU7*~n!0yFV?2J~iI=e{6m6$R{XudM-AJ zBav-AdwY`DoId$O=HX)xR9i8ze%=3+SM}4^-Qz8;Q$Ii0RYo506UXqjJ&V6N{kzN^ zQ(_jY@zgC_t3d{RYl%|c@-hrb5*dc?8DqbROi5>N?RjHs@bI-NFuW_Z;>xL%-y-|I zf46tQRkeV;{C~dj_o%8GVAsHZV{iZa-_534`L*Bt|E&KTX`~EU6PYvODjWUbZ9XUe z-Nt2vY8Gu<&NM8^!+%DU7P~Bd+`^j-n+rIv)yY zlv&CNJ0x0K?~i+8lfrL#vfqDbM^)#o=lA#ZU|PJ+R!3&(e|{3j-K_?sCk;O3Uj26? zP@M4h+O7Dk>It0v|2VHr-4#DAzrTkY+G+#m{jV!C+J!b2{pWjqz~x=Oxh|K(LdN%P z+)?g&W+q0^*7dFT;NQIWj*ClKZ=H{y zSV}ztc&ts|1%1AHZ9Oeu@^tK;6>i8KjraIR^Y8lHCe(ul1KaL2mO`_=dc>~gqU>k`; zTI}?PvZvL-1Q=*vOfJ)B(MDkhIQ9_Me%AC2A=PMhnW%hM2*uADl;nd8{-~P|@Z!CBGzt1=S z-?QVJox67L`9JgD?Ax*PfbR6|xyx^oTYdW=m93Y20Uh26hUhodxBcvDlk2k8QAb6n zoMN^c*h0ee>4?rSMIQpO`*04zWx6^}Q7QjO)Dt%aQiJjta^b6;5m(=S_b`1cx|D(i znYI%%JeiaV>hEeP%_)`m znRd()Sx%l+^>M9fI>37f-G;WMREX~uPLL#18j`#VVlDuJ&?@>$MW9Ddl-gkrfE;cT zGH3vu$+uODVWUfD6qP5|E^8<29M-q>+k*AP5KLEvdnKhE6VDcmbS>ASrA)N4=qE7J zy{|+pT%LqmNh5MX5DItUNCgudf1|kVj8N+fN;Ofi;<8waCdTLfx6&verz&{z^?AFq zz5p^iPBEyQY+_oeN&REf6`Jn36pJ?CXQf5qA;%W>l9=BG6CVdGSeDIKPFU0(HPC3} zM#(3YfjG>cU;G0yop2Z{hl~SoE7wHvi8rwzj1-V9sIDi9Ze<4Kavo zi%4}UMQ4xI$p*Y8xLLIT`R+Dzb|CZmqY5W5W`y-*ncUDRxqEfx_8G%kPvIEmcusgh z%^g);v(X7Ay7$a+LbkM8URfxA{meBPR@veJz3YB!OyskgcUYc|RsWa0N09v-Y;K;f25oOI zpY&vUR>eM0?r*8REH7lq5L<5wi9W-EF#(6b5?9Qb>U&Lil9l`B%h?9xE?^`M7QEFNB%X_4EPG~f-MT`NDF9+U%IxATJx#B`r_nt%A5GV+*pdBj&{pta>}99B=sel9vHwoq}#Dm7Wz)U zRhy25AuHe9v0dN6Hr~D{E_b$r3EEK$F0(Z zW}Z-Astz~qG=K(iWsL_Y?DyTr^Vi%5Kyke|q#raLEw+Q>-!OA=N85~AodKT0fB(%N zUcyoyvEeEI zY3l=Da>@w*tZk^~^F?;%i7D{Zs2%%1S8u1}5RKUTh^}|75%4!;BnV z>9P2N#gt0LY=Sg6CF$+d0!A(vudWe02$}ES9GpnTlN?0|##lHC7e$aqR0C$+Uus$t zw&xON>|p!l!evl$&`m+Bjc2p#m&w}Ev=PrYt!HR6XmQ(bT{3}_Oeg_W3g^l3Vs@ae ze63+*H|@lm2J%>cY_vMG!Lp$_PupOIZaFgCWys*7sANP`VAVxLH>!gsZ`V@+BUK2T z4VG;BAfqxgx)^9J$61jZ^>->$$tW%O1LAaIQrrCiMWcPmTiDDyNySKWfTGQ7OK7?B zI9?|7b&EZX17xo~ec{!gY%Ga5=fk#?qW`+GgHg~)&=(7tWa`#yWJB81L6|qqvkhGt zo_b!8j7>kG#FAP>*>8-qn%d8()2nFN86#b*-Yj?S#E3+yVLn^!{_^_s?`4+62aovs z!m$Yivx()V{j1Unk#QGz$x%c##+tenOkilY6bzgjB5S&1&0PSVBglL-V~;UY5trh= zs=>-1TG97FVXXO)|2`@wLREfuQIb+0;85%SIe@J5cBB^lhB3!POH!R@!tGK)yCVE^ z&%9x$$sN*@jO(%)!>w)nO@W7aWNx| z3&KtXW_nUJsu(YTg`hd03XtW%4g;)}8M;(FtMiUw@8?3W_wSDdsxsrQ;2cn2EI+|L4Y-Ny>{`HG+jr5#P zv?D!OwU`N$OKQeVr$$(~VDVPpUuqnAP@Ak)gc~85A=Q<R0$-5WiF%kfHsKo0^P#%M9l zFr(x=#Ds=Y=NLVCR_}H|l|>t@#5BA{K4IMEg!*h3i1#upukNdx=<6-5qgqZ=R$@|x zt77gLe>b1yRmL3OF%81SyV+S)l8Frl|>|CD@;=5MV?|Oue1`uOi&3}<2Q}2 z%NzV>PQpaXI~DpyOSi1h>P}SG)ZI=bUI0S*=XKYh85efiK$BqTX7RN2XmIx;9G8Th zT59fEF`%SK(0+4(BWm~>thc1GH??cclg3k`55Xo>G4=#uXJA{P&Ni9Q0=;+8|Y7(#8lbNT6pu2kH+*sqhTB2qcJ_WBslXidWW1VI2{Z699)#}7Lw&D}y@ z;^GqZ%9d4t=QN6wfRY_dkXFvrATa_yubJ;u!cb>Ve27kBfB;4IBUR2H6c*)1TWX4_ za4w`y+lDx(G%Y3UXlx6*fI0L4>{^BLE;fo(AqBjiNN`Pzm#0D;69BG3t3oXrxCPBt zu2ttV`lQsLv~IYSI2hF>MaLyg$T|}1$OjNlw)(MbvI^1B&|HIzX#-JbcFsftgu-y7 zFkB$NjSj5_f9|ci_D|-CUg>#K?TLk(wO(Ssw34=}R%B}+OQUuxB=g=-w_b$Z4;>w8 zJ1sF8Myz?z&;|lZRg|B5c(f_eb&fTEvE<~H6X)lRJENECuPBfaSaBDAEF*njBEh+R0^B~;*P4u9bMopNr)3F&7RRBi>XeE z1&{%4^+E#sq{OH+1nwZ5?5u z3_hD7MuH(oII63##56F1f>o99T~~!#loCK9oTo<#ZJaXNNgu4@tcL~j0mkCNC2Nc| z5eWxmd9sr+t@c#B9!mw+Fa*t&B`=X4v=C>Oian+ab?RoKZ(7t}_gIaw`SacH#7j0n zvN&%q>Xy+<3e5-ypwYXw``&n@&S+6NM#zxhIiLWa9)z+@XqE&L_iz*^sL8oqTiq`y zsUdnopL9U%gZ=2aa^?92!8p;gj?vY`kP=~s&-$ktAuvv4zo3!?8ueha(HXZi(S<$d z1$l4Cpc2x+h!+v!@w9c9-+aQCS9jy$?p}4Ho2a++l(u)Mdjf5;h(6BhAbx@2>D&4- z<`9fWm61N@5O~^wSjXlhH17cPq&M3u_wB?ozzt@841FS1{b#i@gijr z+@hpGg-A0vSdzpqY%Fd(TmfMaUSfx9)2R_)jhdoYFw$fdzQ~+bXUN+vB(e{TI}{); z0FWY!0d#3X@ihjak}8A)z7XJ4!UZ~2Oq5}6pMjVM{k^KTLK6zfZC2TY^G~OzR@T~~ z_CwJ0^D|FjMk(omrhqQ089dWie0RMX}?IUEIn_X|a;F#abq!h_DbRFfyq4kQW4Vd_2YkW00U~ zn9{UGMZu)0Oiu?y+KAYGmyxs;EhaM85=6!VtX=RC$d|B4Z`&c z>Ui)wtg{284k!R}=y*Xb=)jsMpil-8&OEh?g^+UOHgoD5anIyw^V{r;tW5<78-9Vz zn^8k7%H3?dLpAEq3TFYsnK6Zo0)r7M1Y&9;IK~T8394WdE!}S5`Sh-`sf`xpec!o} z93!C?t*S-kK%z}FBz`z9FQA0L)e(eWh;ogbvBxKcGz!#7%pgLng+NSg_v~ND)?(U> zs1;N6x2^Jn^e`m78xY!K)?k!2TCRcs^f@{cD%um+3XbF$B8gy!@Y5YLPW~~Kpwz=F zfywB(6OispoF(>%5%i3Tu~N2T5o7Z1Y5Z+6<7QwDnPf9vHW+yJO^(7jlC2-z0J5%cJkW`ukJ_7+#bV<#!1kq&{v zu3KSM$bf^26p6bmfnMIP?R7_&;mFydKADAIz*wT)C9 z1xMQ&PcIfiS(=kcBRvasFN zQ>rG<01yfn5KTJD)psrqzA3Ss@#NKv2JS@1i(8+%8>d@wdXH8AxGp+#WAs{nE zjf1c&3JF2PAc{?pUA*#;W~Tj^!yT1XnGcx_{AY7z0IPn5fyxIbbP~w5ih#iG;2^HI37$7>Ku+P_d z(;(LKC$fVA0VgR$(1hl*WRVKgy24)NA05O3M|KunK0|Y!!9~rF{09de0hvY+BND>h z!S)zu#eR}OFvdB6;T)ntzqhO=n*yfuJBBLl=jnK=}`Y8b`D3?~}Jf7$DGi_8Ij*uCTojOq*AtTiSt2tllkxgpEKF z&1S#^DI)nolaDRA4Wk^t##sIeHTxpM#lMn>kO4}`9@{rFyCzgOdpsi1tzByMphR~D0sm()26@JSLg z#7syy`yn5c8s_A=3uZkLSz|vP80{XKR0LBW0?_6%TdL~4FxpJulk}UMnJAhl3XK7h zZ4n@ZV+bVB0m?{9qN_tf6_FgI2_!tqw5IZIuE>}?$mk*R+PyV3&)pzAa=a0iK6ONV z6YS8?Ove*U146e?z#NTX8*vUjX7~`x)SYJkrmSM!}7qg%~vk+=Z z4`58Q4$w3w4!;Dxn!bk_RT_Zo_kKi7 z5R&CRyE8r6fMQFrz!E6-Ba8^{sQmZG?=T4^@B&LwHrPsMzVjSIZRxrKJmXa4^pMxU zmrSBxGge+P^QzEb2cjFOaxO?f2S?%ZO7(0OaJ!a)@UpR({Rj;a z%`av;q3+PZ0L4L6xvu)A3IUa?htT_li!I5h{!FG6$WcQ?J8_Xqg)^Hu7Oa?~?vThD zq=^F2aeR)#ND699AqA@wKU7u)rq0Avrenh*^rw#BPFbskZEuus?vuiYYNOq3*&p($ zdX)lIiCUb>32m+vRKc1>sm>%mM7Y{%XAtmwDYyq|b_bGaxcjLw#Yx?-Jv{6CZj3Wk z2^-^$Y$`*DWmzYMA_5h z_)x-v8%^9Qsm~k6ILjqBr{+5gm>{0~l|v@XwLOx8yJ#PdM7EjOnJJK*ZX6Z^B!#0` z2#$tc$m7jKKja(X@*LMLy$F-l?jI6cd6K46J({Vz8WNVBRrDH?D-nzm0Eg^{;Ssz; z7F|`y8fObRy%jtL6(qHQP$1J6SB8YgnjXV0zO7!7C6s7U_n7Z8d2r%o+V!}F6S%lm z8|xg`HTV5Av9RBBa1GErCJ?sAqMS-Dl%lJ70tSZ*M7!rF!P!AavG!*^W>AOs|M#Y6kPzd5Rla3 zW*NlX0SpH}4@>35!wjR$p=g)Wh_qYgyae*9&6Pjp?wYrt_OhOE2R0**qamjWqFn%V zbxsU6ZoI|FFW3ng4R^3(1K=Z7wi19i*eS9F9z-<>;0IdE`&ZW<7z6vbMIa$TN_#`q z2grpRuFp{EM`(?GA|zNz7c}$0H3){x&;ZRg*Z17%H|!p~W*M)OV|pob*5^<1?EUhV zwn~Ykg|2}>!luR1la%BsLY3<{7FDJ~dQo;u{7nOejf7(7W-o+cBwor^#T0bHuEJ#Me_MF;)#C1#K^=1^iqkUmP_=ym2xI}LIDqP zcl87C)R==tCL!PodnY4@R(b8y2z^L`~l=dnMqhR zH85==JV1dF$LunSR$vz}96}q~$=Mq#U!ICo#e2-S?g!>1`d-e<%C^Y&9;kl;loV4x zD2y5^E1Df?_KNoO@Y(=>Fj9{;y5<-SE<^NPa1Oay2^82w*f$%+WGzTCm6P>Qq% zL6WP+*ZMfe70FFxHP_IE2cbp;W*0*ua(>UAFUe;*SGgG(`9<7FN_KHTBFb#jj&q0* zL_?-)41(5$^T}Y>7Vhj=FO6fL{6ci0et>XT9d*-#ADPgh750X0Bcx%E2vNn*(s_VQ|r>p{g$;;H+Ns$;)N(%%_CLFvSv_-4RbJ~mM%Xn4DTIcA+z>hD^ zT|4nfEbM_y$sSX3(Kp#*4*-Up(ywg zNS*0v6>MjyHk12Pi1J(pVC^=DK~t3|ggN7Q!!v|V?!?`2Ff@7=at6@q9YF>ogPm}x zc>9FTXv6%K8fityorQSkm_cN;VX7KNW@V}=TYo^x`telqG9;wUxxyYFa&%8VSy0yR zRAMF&9Sr0F#a!nLvNq=%eT0OLI|QSH_IIBNs0VzOc!{~&S&O5Sa7CuqS1nvM2&8jm zsXf3bj}_LDxhZN*`Bb@*25+M&a8dALs(acH;7D_~UCl9%VLKG|5KIhv*T=rZ*|JpM zPz}CQAe-Wd5jKZc3S>gn&a&s_W2Z$le99e0EzB_#FDAxC9eIsT10+H8k)~#uFln%C z7`!?g!F(q@tVFVH;CCSn80J0F8l=s3SnM@~9^q2I;Sp{LB5Q$?x@QdQaA0SnOc#@u zC#KrB#o;{0P-)qW3odr`O^-L~U!0hFnX}9abwljR@^QC#Z%@uNINo~bU z>%S}3@=|87B#I}8!ZL6{|SV3?@pr7&6g`u~y$*Ap7d~iEEc-kE6(!gg9S&@&Jf!wq`+Rt>h8&##)`t z5kVuj6t&3e?&r90wths}$St}qFfT$fI0PPmv?}AIUtn>(C{^uQzIhwTxcazB5_GPq z{;x?``tQpc`76iy@vup>gtcOM1JA%qb)rwkh2WDMy2cvB@e>3d8=D_*hk7RmF`Tnn zo|4q**r%OW(JmF-p}VEK$SaSp9#_9!^=v{IQgL};iwstVf-JZlC|o-@*(}IpzP&-T_?nr=AIfAZ&6~JKXhM-p$qe)g z%SxH1QEI^EUKpE51lW@q)ajOk^7fA@sH_ZCC&1*aMN!=Zl^IC9`)-9wt91c{B zF95&Hs&AquQdsNX`Ce96akJWffCq|p2&Y|GE9&fb3S2acmm^$3xZW z7YcdlC*Kl?68yrbr{w7cVR8O1U;<1)vm$uZ2y}a`u@X`6PLa-5RJD zSIpq@77iw?*;#?{jXKnkAeSU;DVScJ4cG=so%Mj6+#?gF9U%rFp5da2z}HdD=Yz=m z%TlI0Q%8CybID3`amJ;>$%N!Q?ITTR|Fd})uko4kDy*bh+~!r>z=jH%dv7*YdSUr* zbhR_#npZ>jlIWdd@Ed(}H3)qkDVJXzr)b7%a}Nck^p?LWS+Gt!mRjrePNW&e13&U)kiA7!7MZAwP{L1W{wXm!`_=QhaD3w}1ucC~om{{_=9*!^ zJ9gHWB&YYvo-Ky~!t#V&o<;u2e#eO*c0?{ZnQOFWF1<3=t!XoCzrHW!#V?mb_*x3Q z=J;|J2ExXn!silJCzSP91>qkS$O2pcGyD+iJ7XNFaDD2-ax_ zvqJ4ypX8PDv1c1DH{t`25WKVAuph=+k=}_F!{PlNYhEoi`kh_6ibCHDzkT<1FRkDPd zwxGU&t~yE;Iu>$54O)YV*{QCXm*;Uop09^y7Wt5BRkSNW+zQ3PItXVm8lu6PxkYIs ztKTdu+Ncdm<0;ta*GGfeuiK%b&Rv45_QD^w1)>^mBiRrtX4dANT=>OZn0bvd;Kd@I zSeZ-)z6w7=U|QuKtURD;^ur?o2zj7OgBM;2{mv_%a+!K^?U!mgi_LVLg>`L!yz$ zS_nrxIQ@{TSrxqxt_5P^%@*^Uw6Zp!aud(Sq9=>uIG ztr(%b3*VmnR6LF<&U3*m@z&%Ns-M<70+X zSw7E*JJp~KV|h5iNzfK_`!ZMdiq@I4#Kz_3aL?wF@m}QI7rBM5M5witlyx1Nc0z?`=MpN9;^YKJALC8Lxeq3g-1ELM_aT%e1>a1HCV+x z{^?v|0`f5`ccbX6TW6aMbRJUWwho&}dvOld_yUpla5WI*kEre;2r9d`E`587UPf4v zFZDJ)b{SLvH_gKkQ#B5_5cCWYy#USeE`m`D0;^hmGu^&A<}ALtcg;9u0haL5IAMO| z9sCv3jgW*1<(CKq-4T~gA5_*f*|_!(X8>E#`T#y$7F>zIgEd~{H>h+sFzcU`*;7o9 z2yv6K?mOD#t=S~tXML@EJqMA>`~K7>f9%xE5E6;>4?zYnv*WN9*Frvbbs=1SW_YlRF={mnA>+c^eE}Mf z?iUHh`xwOnL5bxaU>mqgcL5|49utLGKL32ZY%M`YOQV!dZ6_^2SJdD+?^?&qlmA%h zy~s!vw#i{f1z;T4Qz{Axmh6Y<*jPPIcN-#)nyf*P$Ow#}98TFHEdYkO@; zl+j^j{W=jgN?{Re9;MLapDw{S4teKcXN{{YFbtiag#_H{b0UJ5`Bt7GNM!g?#PT>C zC6SIWiC5X5KfS-*>NJ@qrdqDOz<{-Ad3*gYjAw`7?R#y!FAUG>dF$r0VCqB^Y0b^N z`2vBHU)gd8C5=cE6Dpjm&L9c4K}E65Qa1f*t5U@Dp7=PC5X4Tl*`0h@JVTepE%a@V zTsg2tV`p7W5_iaz=ng>c=Lova)gK>LPiIbB3b>GcUgly)J612Z|+>y z+T;UYIeymdo*Qke{-xYp(Vn+T1hKvhI}l-Vv5yV~gN09~veLa@a3Zou0s(_-D?!uF z0Cn|v-L!2qv>E62_r;jJ7a0rZeqp1^a@J%^vqf=Z?StBD-DM9&$+e01K=bpoTE0R%88RJWn@^7BSMtK)^$kHZrJ-$Us_x6kzmuNs2z(Zr3AE{Oard zB8&l{s*Ak7EBwPyC*Tf<94#@f)z>{@=mrrKUIB6 z&eyiJj3vBrA4nj#+JI}p3fRak2-2ZJq}R3PCVAnXLWoNug4c?Uv|iCZKDUrNu?_vQ z*#Fk3Z`S+Tm1FSCpNzB^b@po}5+;MHsuKvX!=UAn0vTrVRA)P?d#&!V&F1?i-Xf+` z?g-$P^rt?Z(jl$qE{f%*iMaz(cJ{|-8`f`Z;KQ&bo^}?tKuVVNH;y#8njGbiVov;)f zoktPATsxr%q19q3?1$A|eba~hnTLMSL7!RO*n-J(|4e$@cn~W5eM|_l$JZaGcM>ki zM?SaAg7D9C-oj!~6c(npN$(bfjj-~jCQ-Lu2^W2#jO7E2Qs9`%EB!<)_c&;0#7Hz)FWDWp?XV2{pyf~wBbw(;fniX%BvlM+WYW% zu@EEY(A`+ooxQ-$nch-6*iCiH6|zEjyuh6gPEvbM(z4j`AZ>4g>*p&QHOW3NlKM6< z>hCTql@{Q>m77Fwe?VRaSDVBAW@QrfM+8>UD9!nR>01Gc&7zJJZ0 z>R+4%s3tRgj=^E+IM{cXsf}-aWBnmSNH)54)`3bdh1FL!LTU$-9cRNjk#5wB5%xvp*I|CB z;uUjkrPESsWUeNN$8^moX{K4B!RTr0uFSfqnGLr7U|MG{t?!E%mp2RAfKsR#eWLvI zAAFNk&=9$dtUVA!=P^n$Z|)QAX!Z4Eynw>oP`i^y4k)qYQ+{)uV4Mc0tfX1|iG=vI#F*22Hu zzf~KT;8u3mTL~dcEEUuGx}Msj%~n2RQ|^y_!7Neo12;K*y`{(o+9SqX+^A~zO|}|V zc&rZ(-mOm)bZlz~YcG5t?N{W&%s3NoY9AG$;dwEHsJ?9~d8NAl_c-0Aqcxf^O`V`c z-1W8ILdh|LHxsZeb$EIAQr^l$j}1WkIP=^UA$~!&C5^vKXpkJf^ol0js2glabGs^+ zS!-6vYH!PxO2y#FW^#O%fQ*vfCk?z`hyJxVd(0;j{_w@=x4t!0t`#GGc=4dQfp z!WYekLt9<1@ont-(m}OwMBIdyaookw^@j^tBI3z@&9Lwdgw=PcLf+KEN`&T6$_Q*r z#f3FCdAW`cJ7lxn2PIKd36qPgE?yj!l6Y} z;Sn~uz-teqCz%VFnoCG~E>BFM=Uzw#Rv(HJV?5J=o)CnG>3})U4iOk*>JS|-+|DyT zv*^WEKjpbP$7YbAo#w=$-9Zr}fu0AH&O-HT*#c~bx$ApkE* zwFT#|j<$=Na4=j|T$v|VcJuknqS`aY|to zuej8QY+MZWB}@#nkyG&^;ksxyBY*J;M>GdLPqQidK0vsBgTIx@5%EH`e+0CO=5KWZF)#wsEBcdW*O;Vp+|BpLkx-9SO zyEN9k5CV_raK*RWsmAQJ6Ig-TJPVJtg1z?Pt0KbBK+Agr_f^JV6O$+?=hJ6lt-jYo z{h^bG^1hHqMCQE3AqT<8N@elkUZxFJvmzrppy*kI-3$KV$db783-KV%I0ld%9SRNK zT^vP3p`YnBNZ9E3W4*j+!*SmeT}>F*uYC}|aMO@M##y(*Vd7;HtSmE6+#)wKc~#tI zd&eD|Hav(KO|wJYoak$=byw~i7S3^9kW6&l#}(eV(@7;Il%Dr>>qIXyDs7^)BI4yp zf3nY6@H@;HNjv~-3d%7|$w4a`yz9oR0Mw+j=%cDi8g*Z)J)~gPty~RDY5j%WlVU#x zQ}_!jmYxM|N|5ZR<}+%{iu~nZZ;;S9R#$+Nq%0%RO?kR{0c=c&CoCLI3ZAPDN7r$9 z)-{jg)r1+1yu4`kC8;OJQdjtFBx@E8FrohsOK%>QRNns&o2j``uB2Fvdu}))qJr7D z@0tscpq8Qnf=h{LTFvB|d+s8FxgsK(idwc!xs?kjBDj?;=H4=u&D=Hj%>D5FUC;UR zTo>mY4!l3hYkLRPEp?cvKh@m~(>4N7aW*Z65_a zM}1*+dL}%yt6#MXESSzqo&sRJXm*=`+^KqJb4cr_y|$Z4@1mK(VW_eEn@DlpP>o+K z24(fh`itCf1~;DG@+`nk?1slSi#^EUWluJ1z;PTHjTYu?Gs%VycnH0+ejKc^qh1B&eu9n!b&pmVP+Fe1xsE5S+K3?Q$nfsl*Q&lkKTtPP3M|0VxXoLsLN` zfyxt1O%ui^cSheNI|)}-*9XA{G~d_}6tMEg2rNsCcKOxo*9jU==XwzY45+>LgeQeG zO>B;e&CDo>6qILyxAg39Mn1bN-#kccbr~YoO<&NA;q8G0o3y4K) zrYEHz3}cOsS*}Y-|u>&?@qTY)a%-Rrkjg6w$nuJ!7K^<)&J5k z%qSbtFm+GZh>KZ)CswDJu=&KqK>M$x$&)zGM3CS`Q75R%AJ%S^dGP0A@Xx1`Z+qJg zPF@zg!@9N(h63L^U2aYa!VA)DS{l7(S={$iEdj}#NKcVz8LlTTnn@?a0WzR@p-tU0 zo2_=CQrfGw%dT9_8Gjh#ji|}QCh;_8-T={qUsqw7YnXPMkg9sf3VkF&-jJg^2KPdp zjibh&SMat;n`y(OK1VY)c?n!HLUR5>d2R5@L?d?6JqmH=@_2!#plkBEhA3?dyW|{> zO&tNFPi}&P$Kq$;4X^_4z7yje=ENG1lD2)*uwjL?{Rj^Oz@L|dVDqk@q_^e*;w0Y~ zr_aU*HsC@S5V98!QX3fVA6V0_5s7G(91bo`goY;BhFOzzg$DA3pum_#Vx^IN0UD}& z{#*o7jbK0g+5-MYOP&Z~>^lkeO?vP>;dSka?WCf<6wGm8p$JWc#rt_I;L5NblikJ> zOjs@7YY<;oGi4sO`@yqOm)fag&LBty{pP{_z%M=ASXO^|mClko_?$DS+a9(3h_;L! z!(K8gs=-?Zfy2W*K-@~s#66BtAl^=d7tldV{Q?{E)yuPu`q~YtRz!MY8Oiin!0P0; z>9SdSBnO~=U%(yGtu??;GHUE>eMU5DPSxaB?!YP0(Sz5Ajwq0de2cm< zEy`g58$O#9e)%co%)G&}v`10k>yhSE~<$1`>P5@r;h`<^zps|~^Ip!6Q3xL_>N zP{!I#6-~`}H%S~Vi{MmT=IJ(UA5yavAT{vy;O)?9dZq6xO$FQ{jReEoApcMlx{pmj zn!x~W+Yz4_t2d^B7AxGR*j#ryMz*_y;N`%rnn&=Nn-z83?J#YOkX->*>^G{*Po1dY zk-eRXJ~JJ*9VQUtl{TN#K@Z>(moNmdLfTZD@2eekVk13E&Priw`%%nsV7FD_V!U;} zOR|PP0#q!~1t_3WC)4E<%wk=MWc$*j!o`&Ifb#yk@HEA&^ zY1&BXWX48YfMcpm0sgcek9^JyR~esBP(kwJRCL&m>;u{At^>YSUYue(An&gF@W|ex zb!JKs8b;4IjLE)C_D~|4=G?@NZR4x^emW;=XOn`KuI4uupj zjgoFNu3D^=!~q*&OsG8|hn5Dhrf~QV6lgGaOcgzKM4LN>@&sM(Y7WH>YM{7#bpT+_ zc=L$J)i`H6u%U+6zT}LG#iYHXbUF>ZIcZRjij55cas!*i#no1P6HiXgJW8pl5S_!p z(K|5)>2rQvmAHZ)IkiPPce2q6`5fJ8Iv}BeU6G-HKuxBZi>Mx(Bb60#pW7E!P?80V zyD;`T7=(7V>Cx}VGD0B`Vg+kWU)XX>1Rfh&Zj9E_<(ceCwV&GMn1>a6HCQCK7 z5Vu9dtWm>djwL!)r9zx+OywpQk~oSMkvrza5G zAml#OUpqKi6R+)8bQ9E#;XSY=0WD!zi&X8(?ViNr7`yuyf&vIN>szG~mnr{?bQo(EU%5~x6tGd|gMV?R2IjFv`bP}8n!8??F*)JP` z;du=fUAUn(DPch86d3F3cy!v;fEvNfElJEWW?@8%g7H}M0POhM2p>q*_G}-(NX&ae z(#nSw9P&=Xce!gb9&&{!j(?`dl2c%)SJMA+!;DcM+qxUkNyuZ7I;(alZWmdRB+dzE zQ`+1aBM=lh&}sRd+WbCa1%J6~Cwvy=u~w$2+%SaFs^B$nEV}q;{IEf=8F4-8XyiWn za{r|r#vae8%$i!$Kq>4^aR^ITOc;#6iQusVQZO(*7<0o!DMf)#h|x#zTNC#{#RQuX zmZ_WZpvz*B8<}-7@@qfdPH!fg!p5aab&omasD$;T6xX0x!xe8BMpT9XB=B>3u$Pc4 z1??RtR;Q~m!T#2a;$;$dkFnSRnur3&%skwnqInOS$xcVFyVOx0#&O?L$jRULea;0X zXH+NY6m;a>&0incHf0hlALB+$_-wEu*=|U#zkx(D~VqvZ@`28aez6F%z zp+W#Tl$6m2d34tF=2$@91lifvslbk45v0|FPh8jxCQ8+dp3SU^ z9#7S;@rk{pn{J@>7<|h$ zLYoO;ckwvUq0q!Oqz`c1(ldxMfHf<78XwceW2pnMllEIfsCa!z=HSN{n=S4kOvurM z+{{YGo`8)96&JV1<VROHZrJRJB&F_nKE{BPCZE%P#5s+b z5OY!klW#C+sO3xI-4{$fZ@)1V#`M&Ng{hl*$N4tT6FEG{LmsZ-GIua-n|Iq=6AB-W zNeaKgy>;}vV0cH8ZT~d^YT>ciFbFU$XapOZG)e~!TNE-7Lu#~Jw5DQci?MMma&Tpv zl+t#FKGberYoMTc;a2yCp0U0zzGT9Q68mj5sj8J`r^QoUX&;aVor(c~;Cv2u*9|X@&jwe z+eNY+DbLP4k-Lox2tfH@t=J=7pxIf2c8lz;)aS^1x|HN;+9Gvia(tOMNt3w2N)X(z zpWVW{F%BvDvQ9TLzJiLU8=;s|fmbLhxI+^qbrN`L*7E&K0_0W|avE*LsOCh! zcErH8D^ybcMmbglLz*>-WEjY9)PD>Fd7OG;L0tuq38?H3E z!sMF$HbR0|7DwN5Bm+<;{W*SR&UNfjmn3c9hK!Uhx`8wfB|s>p8ca|DsM_|#=TP{N z%p}J!nC{1=3jy`6Y^6x?Nt+aVj!P0*?d>URZ`N86XwfHOw(#{!q@&0L6=v^6>;f5j z77K2|%=&{7h8=tplem|jt(GM(o~zP9k|g;yMntT?!9UkC{6V*VU{57Q$sB%yzrxa z*fD(+N5CoK&~34^@2>;0fMk>vVBXdmmdHxMxnxmCfE}_hxP63u&Lmn=AJ_E51UffLFw|(O~Fd!>rYq88o1ycV2g=J~YgFs;NC?6q_s1fO3;uGk8G%vPk zxA7V;W`;psuc0*}0`?^CF@e6!LrKc*5m28A&}nE03<<(jnlZ$j?25rHQek9&bElaY zMKWhh@@jXBrWljQSy$n)UQ}2N0Sr>cH*2%Cxr3u7Ogm&wAgWfQUO5aE5~k3UI^+qm zoqT4FtPSkV5)#sY8?pr8dDfPoV0&cp!-ifz5vyS7&cu^gF~!}k%qk`LycpHs=hX_L zn@KP^F}R9GC*@g5F_AZb5zMAdH8wIgyj~)$skOGl;1xWvxJR+p$j}#Q>vN;ncu-ms zH7wr$ZG^`sY={;;7@BlNsAwmYQt$6s(B9;-58faR7(CCOMB^A@48R^jJ!P7o4@;08 zF8!g+Q|EUE22duNc%de&gj!pa13UU-5TbsmW8pSg4PPOvago@{CFIpZOU4Q@4U z^+%Js6k16|iIXe~9?_$U)HN$KcUc_uS&1C1B=Ql0{=wc}9BLrj*6L4?0iJC>agx%njQytjB&j|6 zNgTgrYmWxZ#bER7QC_Vk=2m-EI1Z>8>*gz9>-g$a`FlwH5iEuQ893w$I%2!+$Xhzz z)>7Zp?M00zn>^ehO8r z_diOh!705Ir_vV4*5_U0d9C8$VeqEBSw~lHFtkqkjX|s;Hm$W|f|QFOkrZe%zGy15 zX0tlHJ9DN_x}e*f8+p8lB4n zo=H2OWICHHp!zIl$!jG5%8xW5PF?@_D)8a1xh3Yf#d4Za8AiH~JFySdpxbHJv8p)z z2?bL2wT_2e>5)a%%?k|>Q|1kUo;N$HJbH9j3Z8ubwicml-fGSn#6-{cJ4*g2vO(Dm zV{C^Ys1T4m$B)=ByV(h06>}FxG4m}_rx^&+vohPqO4@MZk2#+l{#Ng*l>#e6Pupn# z3-}L*Lbx>@*7zbez01Ncb#WhnGyx!CC|AyD7*y3`Gt8ngVy4ZU8^7Iq#wp8Tj!U0a zCiIe-ZJA{$N9{o^@_7=Za%c+~!Orh0#xeFNFeJ*WFqAV*QfC|V#IpoBWW>N?-)jGj znI`84iNX-Bgt2d|c zNk+gZi_6fNy1ztS^UyZT&`AjMJeY?GRNzXPJ$DV@G{*=<)&%H_T-r1OHGE70RM6+8 z7w|*@3tIi4ec^TrjYTue--GxQveS+f%W5h&uWvEXL=mb|7AtmWuNgEoh!eXvJ_C)Smm|DrP&j>Bq;FmR6!^-Vg@n#SR5%`UK+U z={^=KQq0iI9D-jI%le^E!G@E)RH>6H%?sa7y40%^Nl&al@%dHI->F3ebk`J-f;|z$ z>yoAQ1cQXQg`>rJ^dh&&GF#sOJK_we#dZ)Lf#AVHnnS(80l)-sEfdCw-k1?DMIC|p zEqoCS=8Z$xxH3f&JD)RMWDrnQsy1dqY)LTeDlXs%*tn{WF&O$JItkNK9Jq4gMb?%3 zX$RD)R=kCtG21|7h!u2eyNrrZ$Ab=T=$cUcg(rF$|}PIo<6wQ4!VU-U`z+ zLyL5om@1$Kh45O<6ZkEo{q%&{J&S%8$ln#Ei9%Mg)xr20jh0Ah^(i-uv8_H@rA|`c z_b()lM0V%)+NFxyC3ahOpt2ByduF&YYv1NU5XTP(Dh{zI9z;n&mPmgE?kvg*1VGVs zc`P%A_o<9u|NB>4a$>xgG@C~^5XyoT#rh+#2D%#=L@J1`Y;P8!{e3{3mg&vZVXE3N z(lj-w+py?px5XAko-p(HQ&^`{czEi{N-Y_ai;PW$aQXN;URy{A%vflTjP3$)sx4J> zQ6A<1Z4z686PKZS(3!iUhs%-=;{U^Zf&Ww z=qi@>`ABUhRk#MXL^Jqo`g9OaR)9COw?T7>l+kCuzF4nS=zl~|AAu%b?hY$rC*B_z z=W9742h~}v98RYh7=^qsq(qtutukOj)lsb#fs~genI{W_H-e~_p8Xv3myuVGd-f&l zP-R-M9cea^ZqAhyWTzquYT58lmX^m$`*jTM@=PRX%ok zu-4{z6bF3Rqs(mudRJjL*NcFlNsdR-=k)lmM4cdnZln}w;qKgJe0W~)+8dF7+KPZ` z1?!SA!b)NDkS>(YUvqvqt z1;~2P&tJ5+*X!47pP?_TFm?t~&>?;Mm?~o!NPY(8YfZs+8Ayi>dP^!+kRyc8TiKtt z=aHt`jj-=_p;nT6YeCnp{k^-p%Zx$DQ+DA)%s0tb1sM-fFkNOKv(7^4MORQD*a+|(Fhk9kfSr5|8Os0s#VapI-p_dYBgwc7_- z0N_l#AWAiFD*{15^wG77DmA*T2gLT?;q!c5H0SvcQj%cK3xuilGdJfIXkF?`GhA%- znXmk3{rimV?qZ4U@E_2U%NR-0!%gU2+cFHconu}Q;|k?SbEv=sq&>FV<()M5p5Izo zETzZ;9jgO^u%f2R6@M9Ou1bt;r%qz$iK%r-__Z?nRH7tQSxUMZ?u?ff zamCnvqu@FbG=JJE*WJI$8~9f4?oqUqN&K8r!dOewirs=H@V?>0NmwLjr{4X7_E2kU5qL?fHOij=d6#28>&Q zT+IlUnn=x7eh+>q|1@045z|OoEq?yit+4okRfQ=qTo*qeNpb^?Vf{waZB2TwNFd!E z9qrv$)M~f6d)+4wQS7nEJXb-Lm$zwiEJ_Mca;kh4EW8+Oq||g6@Md~sUHT_3nrvBg zpTl=IK7haBzDzFvzFKgyAWLUzc)T{z0v2{NdecWta5su)U|a3pExc=(wkZoR+eWZM zrtC|5`x;)Hw9(%)zI-oolR7gDd3<$9cak^Pj(~M(B6Szu4CM=w4kN~^xorWFiyL4!J+<-sw2YceP;A}T2N67cMveWu zP80O|`OU}#BVVk7!hBGK7qvE(mE>+*6O<&zR4iy1a4wBRn7$tPU?VAPrKJucwqMop z%IPCUl-k|i^v~Vlk_>`1kC{gzsT+C4c9;NwWpbc4w8wd~m4!e&wPJZwOlOo5O%rGL zO_Su4l?S)1dbvB(%lk7dlc8#eJ|X=+WmyCdCKmbBjdoSMD8~YYm_=3Pf~v_*qX=c1 zd2WCTgEXYxY36h}jvOvTj6IyM8Qtm_5^8%;fU>lG7MzI#%hy#C%@2{Xilq zQPPd5h+FqrCwoQitg9t~6b80~SNU22qlRc+YiawG;hU3Q*m;s*P$BLDEfK%n?Ig82 zi<$UJSfz!iX2ugZ>Dq&a=Q}2?aM=DKfHJ$S`I`X%Uwnu=!o$LsO+SeV z+(z&pN>U|&TI#w2!pkl&2v*Nb$h)t=3+cA6i1V8XLjeLYw6rjKLDvAJ`8*6-x#%GT znP!6o8%{p4p=A40G+rH#xp@D1KW10MG{cK(56dOR_k>g7F((ql@vU90KH`P~+(}HC z7RZH4@Gwi%pai9>yLVc{$W5;a*M?90t>C~FmRDi_<|eksMP z3&I|>KX0imE&rUIuZt_)!4U#d;%@3@s#S_rxCm~K6KU4?t&~JTV$o?2@9WHw!MfY` z!FPWSGS62_ixdO$bvu2~JdTj5R`-Q$Hwa%RW4H$cMPYOpPh-RznCA`YDlxhTlU)h- z))2OOtt*{KrZ9D5tDENcaFGWTE+Nw!+{LT6cq?M6)Nusem^Ke9s8nW`xQ}REn?sOF zFwIyEFbIl04Votes&3(?druxaTW~`#u*W9@7%BB+D7*Jz`X_}Et29A|R1F9-srBs! z(k2gF$blGM$27sUEd&7|*p0*@yr$c4h&-E*j#%w`HlBB)xVz)lv;O!r(+L+a(xwl! znHKvB?l-itF3^Ur3RoPj9xahs=^g?2TV) zW2m*p#UKLA1f=Pdiycsc#Sf`ANaSDM%q*w1%Rf(PT3{FVt#amK(S+!2ExLyT&3;=i za`-%uc4CiKGcDo<<~z_UR;oSQz(loRYW;2B@q`H}lu>50I+-^45lef?=ppNkm_OW1 zH3Q(2{O_espx`qP3u*)dB*DPG9Iq?Je( zFF_DRfOe(sn=H|`(TJO1a=L+?wmbo)nZzK@+h@fIL*V@jr90Tfq=^LivHDEU8O6!z z#C`e@Fj$fi{bFPW*5Jnp(UF`G3huWdW|qFfI`@azgt@VnG6!2 zgrd-t_I1eo+T67tv7U4OUifUQWOI{#9_tSXd@3QRc#`?G)7l}9#6!LtLPd;pw{@O+F&L#fF3J{Ghwm(>EermdUgu0wgO1YJ zi2|MxXH0jcp8ZxY92}Gp?Cl@<7r}aHCa`96&Am**)1?y70~?sg{kmpS86E`U?ls2I zpATQXEQCvVjK;;Zcat-bgNz@hxt2Al2tMfYV*+-1ld(G+1!-#7Ubv7YNz)`dTk7oB z0aDk`d0U<&BgA8>m3y;}O$NO}C- znN;t9{iXrx<`SY`RL2aL05Az*>L^vup!lLH%Mkc}u6v_jyuliSJu*cSurO7-fAe-^NRw7RV%_EqjGvKR#M0bxAH#g?%2O)hm)1WNt7OS${_YNrWs#97<~{wpJMuh;vKnv-g)yrZk^y{ zbnWVPQ-2DIk#fiKT9)pMz&1^J6bet{NaBk)QqeqzqeE}4sOrdR=fqHK;5{n~z}A!Q zC7x@JHIr9UFqc5tPG2TCsWXnA6edQ*-Kx;wD2rvcXe7@6eO7uHw7C+sEIqXZ(#o#c&NKt1J$GDy-O-~!KI+{)Aa4Z>pb1ab@0CBvs-`Ln`zF|7OA(aM6cUB z>VZ+HTImBw0gPY>vR|=X2(MF$7B`$WSsMNV-!5xFRC1*{{eS`(^X~117g_s2*iEb= z?^R=YuftpieR}@=oa<8%I6f0=CM7oh-(kq9o0bBEIeuEh@p7H;gLH}&+2Oc+c|p{E zdG8G(d{3C7yRW;O9d+WzAG>9y8C=@>yPKv%WO(W}^>ExS1}fG3BLh(=PXMgm)vL&C z&=ZL`6x_?CmS))O3p3%{nGvQL54Vqsx4G6-`>Yqw>K?=hW)lH~tJ_RLpGm`9U~PWe zwQsRfq{0|j{C|(c?a^9PtPS*ZN1L^|vW?>xu81(%%y` zudO$j-4CDb%{6`hzA1~pq{#YQCYtH(GPI6dX*vv{1O=XBcG9R+G(m6KlC`+q3K2c2+Uex^j>d+w|z^0ita9SDl2;3AA`_l zmPcHBZ(n7>4-JwOAH4b*2A;vov`6=IxM^ zasG&PRqAQoH9^*!%(Z@!c=nY`>RtORiqzPNAlK|bTS^zk!F2urpUDRm0(FMmk6*XB zFB0*e!CSJ7rUhRgU{2#9^TN6GtZfjhM`!tXP)5K;2r+IQ%1q>U>-8P*2YaIqNfP;U zSN6*y5B8f1=^Sn~P84_E{>3Z^!UD0D0+*aZ@4enX^Fs>T#%9j+f)-G`Ge7VT;wLjO zD=N%+yjXN*z2H)B8AUKi$P_oaGlRU2+pd7We3-#b4x~fy?euQ=NnGM?>oP1Zqe!rm z6u;kbY3u$*iQt?!AU0xjGxyqGDYpX%K|yyA($pHMla-@cvCG&aqXXZa==L!Rvc7fF zu}0{)9@rq}zFUzZtrS5cC=tp9yABrNk_aq*nsUjRp>sj@S5q(TN}X{n>qlvT@}#dX zDPr|7LzV`kFE3@}T{X{I-FAU!w6w2Nl=;E6jg)#IBe}DVdmk2(_Cf0BxLocG?YcXMrmQs-?9)ZF z5!_0rf^Gza=d2+}-w5K4l43#9 zRC1Tv@sfHFcVs=8v1UuJH&BvZ3<*Q?FsR3sv{Vp?QgwDm(wizOM3f7 zx>EZ<>5c=FfbgB%r>9&l-XTbHZ8sBUm?I+x8kC1CUJ_Vrukp*O)?yAz3R-ekc^t@6 zOMS9NW+`cA2<9jthc7ZmoUe@R(l>etL96hosvX(3H(mZZ1zg+x#<%R z)6$z_-;Xt{doSVD6_>%ga2BQSIh(?rGe6$lZT#77yJu5eGxAA~BlS-1Gvjd?b6$w} ziTR~d55^eeh;3Swf_(~<`}Ka=Tsn1*6}ShEp99~AbbA87?+S>_I<9AD6XMM9s-;!% zgw!YZ*c~6iq8z=-XkvUw{Se#MsPJ{*8Tk;m@$$mJes!DU9(p~ZlrBN%fxQqreXByo z>bA&nRpLSEgfbiENdVpLS5BL2k#<1FMmiX@`A8`RPV=2}zEGAbX1r%TUBXCChEJyr zl{0#l_vh-x zwLX6S6fI4K3vFQHZeFXoG_tP?CIls>DRDneCex;P*tr1ESg7CE9T~E7BGG}L2kv@& zMXGo=R+Hx3ACfuz|L5Nyl94$iD|1*~LrzUoUQ1s=+Xm?J|1$6oNzWhp^h5p=F8rMM z_Wlj@rSUpf*mA$q@1CKVa=nw+gJW|LE;(2vTxm;CEEY)tBC_kxl`FYUUm`D?p z;Zes+DlUpoRb=)B>F))mgGQ=WPs+T09QhE{qw4M%{WO>O^ZT*=*R|&%v+7F~9kPmJ z83ZeM@EbX~tgyTihpR`Uixdej`LR5m)E&-F8?7?eUB;;Sq|Tu^{Y%~_IugM>>c~5D z<(U?T&RsEWSikL;R(BcrONRy4P!3up*C(UarCR*EJ^BzFWiGg+W|$B0t!>mVFu>!( z`|4~2D181CC903AksR>|BH#+^&soZl^WER;?iv~6A|3U|v~PA&zpcbWSQ@h`Sv^G& z==H~iq^?YRq2el47x!w)?;_~G2RHWmYpj&pusMHCv&i%otpE!=zaV1Dd*1oA;4 zZjv+`!tv-e_FX#@5!gfgHP6^Z)$H)$@PIhdI=zeZYqGlPTZ^Ck6hrdt*wLXko<011 zY3P@rr#ovcb@GIL{2v@~?e@l;O{W|&A$R^y*IrGI4d+HX9{(OU2D^TmRk~jL=qE2L z%p(PW1w&AhzS)TrU&=afb<9iFJ;-HD&Zbgz;zmF7kWCi!k#W{D#vg~m*NbmBN4woR ze`iIdKci?Txs@8hZJy>w|%_XRzuhJ5PJq;>#X+Jk%gh@btrJj|W%N!y~Tu zXU3^NXJY3vzGeQx{x{yMB;66yd}eyHiHWq(j`w&|pToYTCg&Oxk`3y|cDXsidhi2X zHtbL?_g!wE#@=ie&bRna$c;ZgB6~sqx&~fAEJYZZ_>f4;7DeOnJ&r18aY}RY#cXX3 zL}d&zV5v3}tF-at$ZukZBc5?n+hX~24Ri@E*hPbsyvdPEkgAF{i(P18*Q$Azn8|f@l2HlfMSH% zfA5C>9!0AxL=&GpJ;qhJDH>H0su*11uU1UIiuON}-uoo-y{duLF3hJc2w!2M{+f^` zQy7sJR5N0o0$ig$_IynKKKA@y(lyY-khkEdJ1vf~6LRL+A-M`+*Loh=U5ww&?@|K^L+(yC$&uj9tsPA^0E-pn@(Gx3ge-}nRBN})B&3Yk+Z~H2( zW6ihived83#}r{yYq@5u>3t;o;X7j^r400W)1gbcN_gt86D(oO-Cfkve{*KQ#}3Y` zO{Uz#`Q_~IEtt4rQ-TV_J%P-Hx+kAi)D)JN9##_>{vR`dMSis*t^H6!z~SO+0} z&U9u?@EfG(6zmt~#T$bieip1BV_nREL9-&c^oxG=wu+Gz*JI^#WLugekT&RiGMYYq z>M`@+q&HU3-sgmn`>FM==&DuwVy!b-=JcDX3mUCCR^MssJ*gcfp^wr8Mh@{0&JGp3 zpWR8j*T5*k>?p(syi?tLb7xEKw586*&n5vL-$AvyE`E*AppNg_(44(LPRqzY8NtrJ z1F|J0hd;SNL`PUfD&&*vTA)(YM$Vya#sTMRKH449W_E{>+NST;Y(bL~p2)UYnmKy8 z)9)Yj-jl*0Z?&I69-CgNsPh#$kCh3}M@t!bBIUQEp^D16T0glcBKolV{M0GWg6QL;xF+6e(q6P>;28}m9!bWjq*c_ z-_BeSFAU{>H*w_dN2~i7_ooYCpkkOS@5~ z{b6Pot2<}jb@6Z9k-hG8_3ZEQLrLVZ>ldec9+&UNb1~qbzkTR*868G(yVb*kGBtUD zu{${W^2OCkqSe-<-rCAvf9t6nB%_b5FSzW<%ar^i&Fo3y285K~Ff%(Y2UT6r*;25c z#q$qmWbE!daX4*r3Pah7Pf=Bk(ce)}bT^~*ol3Ww(DCkhkx83()f$AleSI1(%2lhp z&wo;KN~ZaSO`wfJf$Y5T=!w*j;3s7l6;I0A%9z?^y;WfBPl9y>9=HH?U!2G3k!1vK z(h#aY>UgTgGw;bV?uQK21r40I!Bcz|sTqmmA6z|qd-;Ddt?O4Wh)?Hx7e2NPE_uo| zIOm~As9_zFK-Ho2i3*pAZuPg5%v{dqn5NLADEp~NQJ>lmLFBpCsx86a=ijg1T8hfp z2`Un+%3gcySERaGa4D3dbGp3NDl1C)kD+``NKEaR>W_aA>W}QybZ{+5R0!M?Rx?`L zIkt5G`^Aj#M$?IvTVxOv?w=BbRWtLzu&181N_XWr$-;QN!B9Z9C< zkO^Os%V|xyi37PSJ#sIhj3ON!EkRK^TBna40+N+`sx+x7Cy$O8QQyN?Il zxn1s`vq~i=ZcZkl<(lgME9>vJT6V10?<=GrRkP`eQH9KFbg{TLN1cs^Cj^(L^l|cnxt!G6b-iF^@b<{BKb{+TM#=k@2kb0Y?;ikxa-IX~%YETVeFvTB>sq|R;S!ZJ zNyf{R*mSNy0zKwt_hkO+atsPB!;_bpwfmxe)8tBFv->Nt2z59p& z{8R72(aB%MgTRA$Ze_rc`8=ZazqCOs9sc}3;L1Zzy)R{PKU58r*Mz_RSjKSATb1gy zX%!xOXbH4Un@A)?oO*R<>9H{P`pLh~XZ*Mh6vkY_8vGW4Jrm6fxB1?4?F)OFXJgSl ziAcKp{G7$Bh2PA(x+l&F&dq5ldtQVDA3`f$Kg1Y2)^;Wjg?$oz*aD3n_f%)uBH6v~ zlzJ=0qTBVeOZmr|W#Y{guKjClq3Dgcj;M`MMH!V_6&C=Nkv~r@>btZm{sE@mXtg8C z#8~wMZXxXd9Cy{pxo{6x3eyVjQkh3T1xq; z8Zjp%inHO{=h|dsqYsP%l;5~85dR%*U>tg;>8OcM4GRd5RXtqqa;#Y=PUePMbbfB~ zxGAyJBcVh)Dd-C9zPqDM))mu^+b)xFGHiF*il^T8Y9cvIbc`x4kt|=b_43Cr_|`kh zzssLNwrvf8UA2z6rTj9#Kj(&6Jon3f1+*wiaGJ+!VkJRxst}E5ux49b^7^lI58=X$JDa@w@?)(^+ zqwzx$rf^r)`U>6C=3==(mkUo;d1<%PbCqwjSw(JdezwfEkrbc5&3N}zCjOw67_J)j zNMjiIv+B-(+RKuw(B6M^^yaO7>*%LbnmwlJ1~JE4CyEq+ zr~QvF%!m8kQSLCsM_a)Gog&?Aw;gH@P`L90(p;yldY$Znx}_a!-yr#icyD#x^`CRl zfAjw*v!nUfFAm>+WqLaCvN96F`#r+sPVame#{5?CFZuhv=H#8HonOuWsH!|SMOKu1 zp=r~b8hycK+c|mV=OCYr@=TbFdZm2pP(gz3PARl<7uieplvU#AyMPsOJ~CRwzOzTj zmbfJd(O*TWNY_;zcZVOV(yCu3Tc}bKqWqb`4q+*YY;L>FU}vm)=XQ=RA$>Kez5cw9 zy(fNRUsbyFtv}^<{K9dj)q6LBo1Rj5(0Qc=?WZfN6|W~_R5YSi!o6;EQ4WFcE2()Y z9Lk-~b9q>ajGa}#W06JPUZ!HX}o4rv07Co*~q{OHlKAiGfa8wb{{B)+^lACg@ zfxfQ9q9J_P3hHZR^hDK&@!C@VMD5}29Gz%oE8w9|s&n2#nNMNZBUk=xymrU@e=-UG zlezM5x4NQf^?z=6MoF~)>W?W?(pL<6@csP7t%x6iznQ!+w=p`pkKL+FZ@El_oA#^6`n}QgEjxT&CV`XT6OAjbuYw<#y6Ut_158Yn-Q<+` z6^5OLkFf(vLG`v=oNbjnbvC1}isvE((WfR1vX#S{Tr9b+#Gry@(>PV7 zyiXCGOTk6=I|_5GplwR~X<6@%%6@r@H-~l{Y+u)4e;LV^Z!Z#>nCICP4ED_3-hJ@M_*Zct&2{<5#?pO!1{Njr&3zJ!8rpzq9Ai3fbA<9u@epjq;|~d#K9n{_&sh_jM|$LvWUd zftYCL>t~-l`e$_-2|2F!n2#GFU)(8|b}ua{sdH%5ejgkC+b-lz@A_Z9sdX8cAK5)I zgAw0=&a8A5?dW|iT4#$AU|&mUj&~3%k9Cny*1mB(e^4rDd z|6FW08tNbQH`2|{`=1Q+E7{S2_B5=A{9D(nZT|bT(QFC7Q zv0R+rg;qxXM!6P7R!6}lJ3$AxnEK;#?C;sP1X{0oT}{A9?=h11`@MibRz-5fq-RQ|Sq@qaQd zuXTwZkkY^Tdr1qBdQ&9M8XPsHaX!n1d z@EN;)KlJ$*!=Kb0Ll)kb>u84;>$Jq;aW6|Ou=Ih zGaOeb8=Z<)Bp5&OHPEdm8yYJs<~_Nie8b=(y)dLyCg+eDc|+0X2+vkCM@7Y`(e8#P z`&G(-!_rPTVuV5vwZ2qXHh{KfX?nb80+#g;{Jg0LE+8Bw zr9@YM=!oBH1HP|2(078wUWNRGO;bK@Qlb&Xa88NYIm^f49<2Tw{PoQ37hir){htif z!JVoqZP{UC+F~#+)4BDXctJe!KN;8m4s1WU^R#>P&Y0HE~e;hdXI-t`&p zzyC5ssJ&SJFBovXdgqu2b@A!0>$gs!ns>dvF8t499jNmvC+qCge!P}&J}Aljc1u3=UOhksb1iDY4_w+B7RDe^`RDGl$M$|QD}D(3L-WZ+-81nm zB<=rxkH!Z+A4@3zH|66{_r>Yr@%Z~!h8HsP_%~d4j(I8m_QWs~b&wB=fy-?+Tg8}J znLd`$ueVt#x?68CG5#at6`7Fu;%k1y{GFT1 zKnl>AdHhhL%Eywci+F_IgGg-MKLGY?&*ZcC`@0(jD7N^s-O9z8+MWuF^W*0K-3_w1 z{P{aLvgY2Qr`f;8%RrTXXSpceR=bCk9dF-_itvRR5QX?BYm1@L`G2c7=efy#IiBOF zC{}**X8-#at)=`c+m2~ll&^kIQru)CcyfL0S^2HoNr z?kqLeR{l5g&0%3f(;q*6{QHb%fz%IlXe@hd(qvh%{M-Du_9xfzo&eSL2iBc;ofWS` zEH#&lbw5CgwUgfS)b{*=n0ef{_%KjozHjzTb<>q>*)P$)GuElNQkfevO#XWCC*keK6SsqMuUqx4d;_K@ zLXt9$CY|obKln4Ejew0{LBbVd6uDY)n>n*jPV0N2YP)iU2mQs*8uwdtawUZr6|Fj8 zozqWUU(ei{|MJ`JncGW4Vyj?Z_vSC_O;%^~)Q;T_pE|~hQo(TB{xkfqlgY)ivbUx5 zJ9Iv;(&nZKj7zW|%H#1GuQ|CK;NA3(EPt!`VVY3ilJ6IHYKYeKt(b2EF+11lqpXY9 zcwGByV!MqpdXV~5cT7J#_MS|-F#e9J^~c^i`SlM)q{ritSBysG*3Z8ro_Fc_Ng{9j zROY4C2`@v%=YKrWx^{1_iDq!Y11|$C){y1H4fKs=nk!6W;?qqkUgsR~@y%Z3;*4A( zB^j)AX9ebwoyjB{hp^5AXAPa@_j2l^b@}PGhjCw`3oenB1THdZZ#bA7;GCQ0QlQ*| zi)I>Nbwf_>i}S}Xt~wmi|3}fezcc;6aeSL$n9Q+}v^kr}7=@ylvzFvII@pFG9pq3b z*~UgJ$H>_TISg}1rP7?w=Mwd)RA|!KR95Nh`wzT-cwN`~y6^k>ydTdSg9ku{PP_9# z$_e@?ib~qp$YJX)r@ZB>jpfaWAKV`7SJ1PEwK$y+fX<6M`_UtADeYcRJAd-jv*Z5& z)+<`TG4l~V68!0HR58#G_6AkR% zkk7xP@j!&C&*}AmxM-4PEg-s`H#T`|-Y5I!M@F(F;k@|XHS>U~tK2&p3Y3=OS-d#4 zDekbeY8vFF4U?XpHU2DtE?jfs4Nm=-Vh~_}dpMf@tO9&g%wS1_mo4C7?2DCBN9+BZ zf6m&i<%~qTo$-HG0r8{t;9le9&aQGcnlKu4-%muW1KUo;$n*H6`OTf1H@60}{{b8> z66R+m>GG7N9Q!^io-$HlZGh_!aekOO`0Zf%_`P`fzf|D%lj!atz8g}( zzkCrDcYC~b9sFnXN;qeun)VMMHm|jy^*mE2Dp%mJTa3P~72zA9l^ujPN`^_6EjCmR zi^oyo)uj&Tk1uqX;gTgv6~)%>5|qDDv>arVyXIa`QO^}U(I4nNpn=c7`{9{U(?7t| zjW4%b&a@;Md^*zBECH?qh5f6bmQBQSy0# zzGLy5Lo$s~*$}qvK*Znk{>_}Wl)ctkDWZHl|1$U<_1s7C=WCB$9{c1yePTY+wntz9a7;4LrEuC*~0aH zsC5lGq;**SEIyOuj7?-F9N!`Dk#b zVE=4oG$CE}F2`)*fluJA>Fb6&P>6Qo;GA&U2jo5Pm^2MYk)Mq6q-QT=@u*_6>w)sA{umMh&* za2F48#oMnEaaI^wh4TP_4vwnz${{Ec46{=X8I_n3b9uxneZ?|Msgq|3 zFz1+M$?;zy-ZbIlDAc(A!}9xS3O>*Qr1+Zf^5(t-m5HK_n8=W;MG7sq-CIf(;P?-h znmDDGrvCxpl>A`bGlGGmZuJ54P%K!hMAIkgvOKZuL168kR}35rQcs0+(dQEsS?6&* zz-)Yul3m+jdSTuhWn0u#ud36b|wmX`80x-wKy}x^{lE_Z`gsvTh zCt9+hksL{^paVW-9c4oh4~L8A1Knl()TS%*TXrN5s#nBjzuOz3Zwu&#+OPpf5H(5= z&9POun?3lxVyY7s&~js!)ziqYic-amGPn=U`)onk=0rY0u~wpRK9nveR2EiZ zq$VP=jDdcT2wgh`sS$`*FObqwfc1N(X;ta7pD0kX6=si2Rv?o^CS>h~12X=Tx2K+O zd6!-Io4EhSr@!#IU0QGiBGo@~J>Sh=90a_k8r7sjCi1Vxw3Q%(O*q7KhK!2paLk26 z%U49`X+iH6HtO%5Q?7+8SD9spu?{6SG_bLI^6awbJ1c6s?-b!??DxIDi+*`!xlF>YZ{1J-goTHaa83Ckt`iFlZ3)pv51+wj9hDsZ^I9iy04P~g8jm$4XB-?kTO+<{oK|K$ zQoeKWkEj7ll`3ESt?@&SBERI*^7q1!Z*opq<}KKvHi$bz!lOg6123IS!R_!PxYxR& zk}>_Z*y`)s10FNosZDC?Kkv@N!}CPjx}MZUk%y zB4{tQKW_f4Hcd}w_(>!`hNWex`QEDgHB&(zQwywN-y3mw|F+ORvxe^h2;x+Sgo*zwCDUu-Xil(voPenHjxbkV;w z$ekzOdF|Iv7m7hc8(EsQM}6EL03Ij22#Q=6Kk;qA{H3DjL_xp1nqqg-EYs(Twr zIG$s1F4(K)oWYW~Jn1C1a=#RnqC>Kmz@mc}MLyteCGG^3ag?K!I1$&S)w?BmOCV;d zdLOG(hW*vM{;bE}=MEcYrZKrWwviP-c{1$ha&tBNznhA-q3Z;0bQiC%Ka;q`XJVjU zZ`^MWF5M6(87@~g0TeFKa5pveP!U;GeO!r>2shJ6-}lN=CM4mA7~9!PvnDv4v>9S_ zRLU2r(k4zVFY^8BwYmTLw>M6QK-XP8a0H@PH(VY_^^OvYre~gP?}hQDUW(VSRuP5Z zK?xIL%Ssjv%=Mkzv(KJ8Asci-I(vk9N}3sb3_GnU#j~?Q?`g{J-0Mf$>z-R4|`=HB`9`|-usFA|MpEZQf!FRT(piWl;Z zQN?@xk2snX?8pazO*DDu5NxusRABhL5@4j;=XQidp}QBXN!;C)Fg*CDy!0@AIPLzU z^IT!#0HIglmLOJ^DSGXAzR|C63mhEdnXZA3wT5Zlby*$f>Rn#DC`FuDgSFv6sZ~zx zg-;Zl9$d$WYKUZpM1<$n440Q^Jk3L5vY=qC7bzjV>FS!5oq%3^A{BEGPE_zNO@@5M zi#2}!?A;mtRD6rQl<73Z-D|Pfi=93OF&IVqB2diW-tEkbyB?{{et0E){f6$6^$boQ zix#K<}ztsLZs5P07FJ$LC z9#hSVT%BUXe)+X^^w+FFUh*G6@czsTQtc>7#=9d zNPxMl3A-F3?pJ&|(_&Fi$6NY6I8wO>Ww?#yAYB<%SBCYKIG)7(W);3iffph`4}grMnf@=zmCjpPv41v-(mw+HTgHEB&jB%KqJ4m-j^%Ce9CT9`yS z$GE?-pWq$9I`z(0W29|&(icxd7> ztUT^Re=IUM*l2et-6mNloLu6?#AZEU1*f09qP#?FTosEq$)HBFp1E8D*s6(7H3Q~n zEB7fllX@oW?jE84Xj@&}MN(WInY?W=(k*#VZR?QqT)#7^MFTQ)N9~&jkk`5(lWz-B z+635o!hyM#11u@J8dAQpK*m8gde5Enm4FxK6|@)#ibDtYeoEa)nJaLi2`FAkmcT_Y ziS1zq=-#K0m9NNPkO@Qm#~xwtW+#5!EWdL}z?j3foih^$V8*7UYrUT^5Lg&UM)#Wn zHO#|yoT3I&zO4(9+YXeA<>S*1Bc!w6LR9mLy3-yhkZF*lL%Lr;3sr)%HaB#FV6&v3 zMdIu#Ke`$7ebU zr>_ISB9EzwLS|_wdB44m>)6;vO}I(OgO~+msoV*AFHt=nNJ}=IGx~1)_wql$xz`76 z)v(wEv@R)4Kp3M_8g6;rfloVWlB#5|(>(A}pt#Np|yuP(-~{)&CnJw1^W zsy+7-yYtJMP{dl@Mcx?HiOTZ!GO?~#vg1(W(o?%9A-0`9=#S3eRO?83wnHk3%V(Ghii?9!o2B|uBmJ1u$HV|i zLBLV2iP(C2c6o(&zky%jS2?ZqEbn(s3~Tvd@D z*~iXrl)po$X8ryT1W(vwHy6TXfo8sjm=wEDq%`72YG1X=^YN-tmOT)F=r!>gNy^=1 z2jLz2l~N#)`@TV*`r+Wy4H7t4MhuGnTF8Res||6<&CB1-zMuY89UxiX{UiHxlaH0d~rTNybegD3xU=lzqiI zZZ)U7zgmd64hX%S6rc!$If3;rOyK11B#Utv*67tDg(Gc0cMY7MUjKCd7Vk;`L30d& z$uyBP64OL!v4qFrm*^?KOv1@EkEUcmU&r2Ms{ksJ@-+=jpD$AYi(gdil)2Ye?FvX~ zE9Om~#0wl7nwFwc zjFsGv@RPqq4MJ{PtB2%1Lt(QJgY)*0N}0}AmcU^40;q4>%t;~`?ycc2dl?zSGqMZF zg+<;W`!7#URFypt?0)&l_FQ`Ogx7xVvKt@6qV@gQkz=jEbrVU>!v0R&J9}U$sX*$c)WSkU{4N{#E450h98SwfA;+a z|9d#bkrsqe<#lgiU*W7nDz>oGKeU`2&3i=Te23yOWJMbLUf@6)2a7h6Lh%O4Xx`Dv z4i;50rb63n(w2Zb>`+y)TZl-O0n1mjrxaRFpB~cGaZ4?^t#X~gt^Bo0jE~3~A=9t{ROiRAT`JmAO3C3mkX(sKC z=0D7FI&$!k@%q86ezum*yOD;zuP^%k9#XCS^Ww^-*SOFB0Bj6l4AG-I{tvM0@nSc$ zM@4FRZnoVqZ#>j%FIoLjK;BiTrCD#7SdQ%SrR3+L|&Z`Lp0<0Uq~_&8w{E>35!5-8Aa zNv=K;LdS2X<$O7{Z3kf?4u(L57dq}`Hwr2(OCnlsXlVzbl-Q}Nsulr0&_zgV7D;ip zy<}j&Q1!jhm%H)v<+q5tOSzZ58_Dp${{X8Frw^L|D+t~W%M~#vV8oqJ7~iR3M*;FA z^G=HW#jJRYo;u!~h$r~GhlXYs^rsz$PtWQDZWbx+DTf9gF`)%-RFN3x|CF!RZ6rGd z7@!`A5S5u~pN_cy#Bzwcco;GEGyAmgDv}{Ob4>ad{H=QD*Sy(s5D# z)~Cm<{{X`B;@{>LHkq5-g-)z%gZZ=_J<~dUco$l}=EsEkE~8HcCecu91t|-dc&(Eh zz_U`roOGJnnpV}a6lqgSlnS2Tl6Uwf;&WjA;PYgAQzYu$j%Nub1Nfth7O3~H_ z$fzmRp_FN0r|}f&c~vfO$L{iz`TU9b=@%#aG*LObRy$qug*4HCeUVp*JdT+xiBz-( z0%ljYWsY41h;75RNEd$}9;i7O%#!DP&KDS3J9X?E&G+;pK@_VBW&vptcTjyjCJaW1 z>jgjQdocvWk*8@jSP2~&*tIhzZ`x)`jKG=~TmBOhb6|sH0Hfq^u~xdOd+sck$~L|G z#~2fD#0k$d9=v};PO<;n!R?IPFV@;(V}IrJel-7Ot)E?oS8FJD?aaytO+mF1%KfPn z8WM2S0Q@0L(~@jzhLFSEw4sIcWy0^X27*hM{M zKz331X})CH)hk!JBvhJb#igBPpcr+j^Oe{Lg>B)Aq0b0oa}<05E*#Byh z+$Be_gX4+KKT%2G;54$>T!l_$yB*Z#+DkTXUvsPNONv|Ww6!D3xwy0Ckp#9ix~wnk zK3G0IIg+0eV*LA*6&-*i(KKVyT-@z7d0%@DNU4SI-~z9ejK8xJdNsH$%C7poUaj|n zL027FF-t~-vmR1HYvaaHNfdC~x0oOquXVoI3K520kgHZbm}dOd>HV+Q#YgGh$K^y# zR|f@~iQFqC_Q$p_7f60PT4^1^!!DH2S#my&c?H&|S>k|De&$!h_smm;7qs+QlBF9J zGZzE9mGSorw9F<5-q@0oXG9-{^7~X}x9to9T1Zgw0Wj_I1TjmBt%g>N(rq^(P84u8 z&;5NMFw?GuD_Xv1RO7$HZjaTvY3A5tcKjCw@%VmkxQEpkj+#!WFW5<|wM_?`(^;k$ zlJN^kQ_vXuX)IcO4G#{>UN{=T{2`-!-l6v$!8n^7@ZYx%@vxDSB|hZtGc?V|zVB{@ z!UKpbnmhkhWP*evw9hOj8#h2gftu(b`M=Q#CJ|Ge@Bu#ji02qA*Fp<0FNyL83So|? zKmIY+7L5%Bdg&{Dw|>7FvaMZRs=`^x%=mWXY=V!DZ3;p~JhkZ2vrH*jQI z7OWEht$b%#w;IG++=Zo2d>ft9D~2i@)I2zFHQ5Pmw4+bC_yALMNgLlcx*K_sw(%_f z$FoaQWz+L4?Hr_xG*>=3X7Na%31u$aVVkIvWROmTB3TB-m6yzHlAd+M-Opq5orP0e zy)F(-Dp_pbnI3CJFrp7r>*jT#0C{kIEz|abd!2k`N4xun#;(lUwCtIYSKqQmwSc>G z;DhR!xM+TtfnwQY05y|^uZA#rlsb5~%14xThzJb7X(CUdI3FXGE>~hZZTVpV8Uk3?CSqt%W zeFCPwbWDsJ#Ae76lyS?aH#oaAdqEs;)aXRfulKpiE-Yo?DTRvS1h{q7frZxy<;~Xh z@`LiXtsrsJnvhrbm+G2fxB)prAf}j?lh_>-(&BQCZX`5%B`883s0}nzbPkl}CLUFs zGtXTWn#lO~PF7(U79QOp00-^VglOr3wCih7)1j6py%x|<;5zAO-oTZ92d+-rs@k10 z%#4iG3rN+z0xe8rR}qE=OtT_q^qh%%0s!^j{l53xp6ynUEGjd9qIWGI{ks?@JtQgd zdMFKtmHP)kC(W70Ik&DjABMfmLL$oyZ+;|BY*P@aS|V-HcB729kF_S1grK-pk(I4O zgJm}k5JfJjoVJD^*-wuJ(<;0n)v?Q7y_QRk;?kWr-WSdskU#Kg*JWPCN~q#JwaJrk z$i_%YOoGrKv%xYdZPBxDZ}_{vYvK2&fJd^}!>k!QWLW1b;SucWf_4j3HZ{!$rr93K z&#-;+51?sMD?VdK7QS~*1Mpu^MizP(4*mmZ)D)kd8{b`06*%waW4bDPu9kuT!VT+t zu?(>PJI8ZmL{9@ze=nkZC&)D4TU~*#y0D5rw6bZoU8Ansu=o5ijp1yDkKe8Y`Kg51*GA;Yf8WgG zcQT5&B77cVND2gmBDC}64?BqmUt7zQoFXQi zjmWgWsE1o2fkD)8rpA4wOs$km{C|oi^5hM!u9;*7+2o8sA%v7Kd6=PbyYq)`nBDQ_ z-J8gAxJ6!;i>w%~XwalWxR$HgW2&&*(+8lm%c(+rW9;#$29bSltG;Y!W^i>ciqVxf z0->&~*L-F>w?IQF`iP#0+Aw-V=H}6TJbP@ymxoDnWBHk=8n|t;9aL-m%eOMQjjY6q zEpC90&3TwAQ|Lqk5G3FfgB`dctsM!OL~9fGdii;Tcd}`Vcxvk5iekZ@I-UPa(pM3e zK$x-j6>BcqGNiNnq?uP%FhGA3%wg%fi5@Riie__2h{a@>uPMB-@}MFCW@i;VuM5|W zrwpOI^>ydukt^i94vUW+8L{`)sw6{BH<2_VS1Uegfr}325 z=EaL0%~^Z7qc9K@qYReFx070?MglKlO{J==+U0i*d_S~nSeQ6`=+AuI9asYV) zuUYLraWf^L@cr7{k9e6&(`lEV%33j9AwI^Hoqat@8#9l%Tw)UW><6=D?;9NTz{?no z5(cMEI1p7}9f@FDntxM)xma)T?1IlvlTH-x-l`rJU?Tr+JVEa$R-#Ym{pS01Qauo8 z4h4@CDmCli-sM7MYNX2y-mx+=;_ooNyx0IK@cIm`BCO9G8xJv?5cb>~h;*#=u}Nxc z_kERc$s_LK3kxsta7I?G+Uwk@uhRXeM^$_+H4`rbRS7^_yaJ_<`%ZTbwEawH|}oEkZCrF;2_-VlODhT=}Isf~8n zLfm`HT_0ZA-267eP>E5&=1!50{(|r)yteOdH()MnbiPD8sYiMz?G-0+DoR?piX{^k zZ}sC+U0&!APUN2BinY2IRP|bR3(B7RiV;Uih?^<1@jZx&)Ju8$3IfL#eMj#f4|A`Y zs8qK}%fpCzAjvyP*HtU?Nh1K1tE8hQh^^vt?cmHc2hXhj{_&+pYiBVF=WpaLt-!0A z)|f2$7 zn%nql9 zak_`nCHa)rMzfzF(Ou@#gY*C%N2?tnY7(@(;bE2p)k6xbv;qpaJZmad-PK`gQ}{w& z3g;$*9g(cIYMc*;SUE>tr4Kf9tdD5low^qo=#O@J?sm7Utr4?_kc`|5yoQEUq2vPg zl1T)mTUPQ{34X>IFY$mu*_aLEIL62EE`P(?)lP9XTtb=gp> zJXOQ06xT9LrFauOk-TShq?nm_xc&sf9TPvTrg-chAoDv=BjwkjFOYu#@$JgBt50v1|ciSAw*e8dKc~I{FPBt@d;ULTEVI$i-TocXm#Fv0{W}GifJmr z-Ni?p_H-p=8xSqYBzT_+mwI?ag9d9xz>Ya-Qku-ku|Xh6I5-QT(N?e{=j0An;3T>N zlx?c?K8wqnEU0Cj!t0y3ZAe!cPT;*5Q?*AVOo~2tl|cILvvI*)EjHEhf+K=<)b~3^ zX7Ijd^9*(zE2!S@)XkmMT+@bp?`7EPWOum#WJbrGRDy@tm_~Z7Jk<_c`>InKqZ zP+=92tAYXOwku-49`AYZ53m$uqxUUS$qN|pRSF27$0HEIF1v350*xbTn#bOsbOdOe= z^EhT7Ac<-yIrHnQ*p|`}AHA4@ZAD9KY`~jP^4%Q*P-ohtjfc=l$vOEqzua{jZoRlR z(=*re;WPA3b1Iw&@#ITXUY;>I^WIKa?pSc@TykUeyp7ZR@oy@BfvyhG zPorvizM)LfaJr>74^5bdOVG(wn!X{}dj;bGV$vbH5Kwl=o6W|50KV9^H*uziKYOUV zFztS!Ou5cKfaB}`?rt2aHEEfMR8+F}pH=majABO^_WKd@RKiukCS?y!{8fIL&DLwK z9Jjd(e{}TDo0+ukg)Br!BUSDC34nLV$bio)Z{968h~|f`gATO%JP@RX z0l%YQZ5H8aZ7G<7m~#?<i|#?KcJDi=-Zu!h?URjw+(ZcASfk=o*YgN{=TqOO?~nZ#+F9q`gy|9 z+Gbv9v%ChufLof^-Ir%CT4y%|m4uw&f|YN)T&b;|8JPiiPG9m+0kqsEAn3Lp5}|6& z;13OiXB%Xpvyd|Jc#(&JCy0p0_=QWmj1Xf@x#mCvP;B~tIWFn3((hgb7qxIQCNg})yyo^L7?v` zCKr3SQ_G_0us!~=hgK=HP2k#6%5bKS%$HnY_j}YfT7DRLvVbv0vno`Gc{0e8q!A!P zTVI9OtH!ZFd4jdEnVv#Dp^7gl zRQq&z*#%gZyh-qD0*Fn4o!nKF$Solf%{e}f6qeOAxv0%$&5zd7AnfZgWUCUdY#sAd z_47Wn|9Dio5#@P``-faGW)5x!pPlgFU`Eoz|!$#lz5 zIG1XYEv5a_JILWWn0jGykZyB0K=JnWPHNCN*fJB0y?LtWjt|?sm~ItWeL{iO{(glw zM@~iUS=TtLB1ci&n&e>gEX_ZCKjipj{_#YskkzyFt$;&2Yzs&i=%G?OQ>v5SDz4@5 zc7yxXrbMu;1`|ew+l5L)2ag&#qFX^P!mFwlEFm(=o1cr3t&8vCZ0}NCN7$(lr<64c zRc~zbeTFB-M&1~%LMIELN-$k`1s`XKuYkIYh3;TJDMC=o@qGj7vc->#svmr*X^Y<{ z@iA+q=grs$`IZ_Wpd^Qs{Nuz9g2T*9i6+Ul3r{I^n!FMvslg^CfD=NVD5ZpDzB#70 z@W^N!rEw&G(>LzRa=PCZvu(QAi(8PBx9@;(x>va%y$(W5xH$`22N_*iE77Oyi2JWs z{-lSzd><5+3!PvD*=3ChYQ+6`S^j%)Q4l^yO`}BwVf>Epj9Q(3M(2gBkodii^==&8mzsvEI(nAnQ*V+jyyI6tc5;`dyEYRcI!{@Ubc)MzA4vjt@@o2L5wbjGj_0!@Hj zo6?quu!dAT+wz^PZiQRJC8$*V_|oQ{y2Q1AfcTx|A!nSGy(h}QJy>fu@r2kf-&WT0 z8o4$M#ab$xQsslZ3lhp>wJ;g?ZsqVm(%SNsM<#rLg8XZc#tX@D1Mp=3AJHzsnkQ6@ z(s$?kQFt-!3s#ilwozac->gUlcb>N00dPUToNdvR*Baq{t_{8{c5!ZJwnls7+c|-f z@1UV?|1nl1k|DX)sHf#vh|#!_&oFzd_t0kabP_lat(GpmhOs_(bNCwSiqH|g zI9PTx_x;tpSDuzs7Th{@IkPEZqiAU8NT8T>s^6o~aPUlLU%^w3!LOfNC$oC7mfn*NAHO&L-Tznb zJDKsNt5!aPv|B!FqA+Jg|9RCuEXR4e0wiHPRs0~gx`-sJCUH>&QqOXs-_;-$`2(ip zG1tXr>SEv(FX(<7q!(aKOk->h6A$R85zH^GFZzUYL)6WK_7I@Z{^W_Pglat5@_R3l z8PT6^=|N9Tov_F6w$6Qo)U!wR0w%v}5r~cy(ULK@`xr2G*)vP_tkSu#1OE+Z!jYsN ziY4XaZ@bTY=@pnY$R%}gb??W&KHaY-P5uF##wY=ojS9_Oz^GQmA@PR1k_hUj^mG-m z6H6j}YHp`w#VG@j!G$UbST`oKY8EI1!A4a8LIFbCMh;Vzws(kOk}@~;WiF`aPwJk! zUm7GKK-IkQuJB;y`~#s)kM-Qxl6Ju;DnwEjY)b#yEVp^*98hVRcp� zXJ;r^%e3LJ%Guw05q)jp7P_11Y8AI7a zZoQ`p979o}Ua19Qu!qu|?2#u>95@r#4Fwbi3W|t*@K@Ph55fWUntaieLnu->M7F94 z!r14DU>$gS{ll=@1l9V8u|+iUk=PkMa=>OecA!vZfA*!-lfQSyeVu!@u=1#d9EgdB zIUdlUEC^qnG4tZxMzPuy&|VREV|6r^y`;}IM&boD%qj<}`@)|2{JMFdp%*f(DWVptvWHN82UqmfrjVnc zSb+R-yqc^wCj^SSn}H#>5v2x25~8<#UW=d_$zFj3(y0+9@!xDGuTmm+%uTOU%BcSN{cyC*Vo1_hvdeObAdc4v1=KaQsA%^9h)#sp z?hjUp`3TjS3L2lfW+zWKAtkr>Z;XPHO}l|w&WeYvBHtt^Z0CwViAuKS&p~PpddE1J z$-zYFXWyIu?$O$4TK9gnKWi)aI&@qk`6a^;D=;EaTa*=^If&fz%#*BqJa`Ju`Vnkot2Ca(V(w&&~gSJZR3iqs7g+#^}+X3MehzDB(_w_ zU3Adh6Es1-E}(UYtExfV?qS6ClhAK>$=I6I+`JB!Q40e)BhZdE!`!y$6Nlo@2o7r7 z$~E<&`|$BbGxv1Q*9a^3*qhdWYzLI$$F#DLR7N0rfMH@6MR_LLQhPrA zwi|PU?v*Ue)qm?9ndBV-=bZ*Nhtv0o^KM{_U?Ot7PFxn7TCErw9;8Oo`XX z_WZ^A2hjU{2^85PJ2p$~6^k}Y0B+ZuL^uP`ik7!>t zXd9L2P@IoPntCmZYr(lO&$HMCug0ebhz zkrz^Xxvqy218UnktZIg*eHdq8Pdr*C*4H@)(Y8+f@x_CXHQIWkcf9$}xk0!iNwZhN4C@c*~4dbdsA`DeIR4|K37J4aT z^HQFvYa4+D5-2E93wNX>UCIX;zE*X03Szq_H>S3>A(yMuco75YtGkSJs`Wf+K2u+& zH#i(ntP^7$`1T*@ff)1%v{v|$@vQ`ASDYj zLFGrlvQx#|QCteFP|{fMg8pTV4i$=PdsOEbJQ=Rr;I~R)HLrMPS&|vB^oIpfsR@uN zh@N&xWkoFV2E+*kvB|d(FQhzp)cF2YnfFw`Y6h>-Ih~0Y6p?RUua|r&z*o2`)ZC$d z0Ci=9mjm;z2j;2Qqp+XD<720_Btiofc=DJddeSLN{7Y#m6msF| zBZF_d4MAZ>s&`6jst4YB{Su@~I~ClT-pBJ4bYe7n)|B!+rwqZck(F{+9JOS9!or;C zrDZQY**USRD@U~fc-_iG1zV2GISc_5&RBI`%eW2=Zp7DPpA-?Lj>Ekjeb~kOp8-wx z5E$*-Jb(o8V?qcp0-E$ZA2Ytd2t|A-XoRAM!648N zCMvUB{l+4WTi>A%?+I7R;7AmjWpBm2MTgDc_dkpB@e@2)Xgr%T*|MCW?2qCtIv!zM zN||P|{p`gbft;!(e2)2}Oyn5KShRUdM!y%o>N^0XJ^(8zr6`e&P6R&Dj558zfOQvH zDrU6rzKGv>+a~W*OQqV&QK;$NZ+mBs{qXlLn?+mP`A<6b`_0g&M(BrD`kV7~OZPk` z(joeuw=^z|>2aTajRNWgFR2&`7?NBa+zt!FVQ!W4pox;!nD=&`{cY8}Tg;-gS&*Go zxcFnYN=)lr>}U0?{?S_pa=6q2Pc;a+uv=Qy4~)9;Q28q-!vzeNy1wtsGiwtJE`kA8 zB+==fQwdLbs!wd#*d{tTX<-+H&fVawBo$YZc7dlMIu#q;L??@`ZGHp^Qe``2N@+C3 zu*T51hyf7ycpvBWXOPm=au{Co zTrH(x;M-NFfeu!+zCnahH>wKXEU4Gky(c5fh1cpTt`dfLfSSmzzOc&uRXesFyVR|l z&+rMr%h^dW;5Ck|6V)5dEMG`g0)Y&r4M*Vyhc%N_9t~~pBRoj)AX->Sx9A$g%ItSl zy<->OcoI)v%gHUM;fuAp;ZfhcD)4mIa#w!(?kBVXgAkuk-S+=>%*pr+ki`_+RGr;D zF&r9UE>vuXb<~&ISA5-FVjEMGDcTvsJ=5uG;i!9O&m&Hr?HzhNnYgf3IS{SeZP?0d zgu%vNn4f`n8|{5*;@h}*ZP(Mle1=N8inIB?ZV*KNOh(7}(uFfL&LN7v6%O zmJcPXp`l9}ok+i14*6}p@VeL*&N1oLYkrA++BZXL|2&KaN>m3$Ilo&^xpalPAJTPqP9?zew+T63a3Z^!nP zE#}D(OmZ`_=*zR|j{<+CBgu7psh3{u^m)~*VkE;dng%`)nDBNZNgJc`pe!XjftX;z z<<*mR6MLO8y;kZfbNI(G;=*el6x`GUHkfRR-U|sdy>o79-^0B}bC+I-e7ByK*Z+*( zeH;SNy)eje=s4t0RJa_ZkxuL}5z3O208jg9A|IB7Jb}pL`DNibZMP(7sS6o`^24-K+mJ)xJN#j#5UyxUV4V?&*uqjyh!fs{ps}PX-f}G0^2l zdBNaj9WMuA0{lc-hge=p;h=;yh}C%W-8xQyGXFNh2FR7`V+%;&a|F~*=FdV}6vQw& z`;ns!+k+iYA*FM?L;L3MpFc!9p>ij8sTQg67n^OaCt`gMnLfS*|^l>A6@k0L50#*Mi|Ifap9)ow3f*yFq2*YAYQ3c(k$6dlV>{F<=EpP-; z&D<$finru`X(XM?L6)RW$dRt4L&UVQ5C&>e3{=|}ZSJ&;oP9ysC|Hu+WM=Ch3p>)1 zo%JFRl`R{jIisbP&X4ZMO=}tHxjS=>4Ix~ARI1? zVzt*+$*g-LvwS>Ps8_fSPChMPL|VIK8o-}QiGCZOoQs-?q0oU$^4U+73gOlY5<=wp zikL%3d_(jav|xUH;{V-4io!2-^@g1jiDVw^J>mDf<${?>vfv`BM_K61kPv7HbK=pU z3Oq;pd6UJvTuE-qv;@eRLM`kBYcVQp1M^iAw+Z+MS3h+{_J<80^SLmh|Fb(8053++ z;JRbV*B<`ag!LJNNYba8bwV*O=VW92sXgxCfDJ!{!mXz@#&9%9yoo^>ux^thTK;dI|?@p1VhrbGhs9a)M zG|}x+w1_WZn!ACX`i@ub1?d4*RbViKfC9GtKFA`ZjmBk?Pqiq`)fF-=74A84;?t`5 za!mxtFoOiO46XIz_e9`4eMjGJd|U68uD>#Vq5ub{;+3Kpdrn@QJHQR)4d{mHbUtbM zC@L0jjwy3^T%Gt?ZhF7OEO_jx{lPy&ka}Ib|BEwonh?@~%817M-OZ|S_?OBS8WS;rTIl})qIuExb+xHIxq9Rg)isH!J1FCDfGS6d7wgd7ydTh@KE01tLr2gSH1rB>bS~O+p$txhWj9hH_C>P z;NmCLC$=t@*@r z6BHLzdnjCm&b6J~%`AY|n8oLbq}n8!&RoA?!}+8%RJT!HvyqudiSolnj^aIivQAFi zXr)5Gq|9Pg#5wI-a!M}U;x3sx)Mtq|aBGv-_CSuzsF(h$LuuH(O^4JH`8|ccU^|jN zH8w%+Q%8(5RYlI{_#uT=XV)%F@Q2ij^u~naNz`HZ(O>qeb~`^3PPFfwI5+;M;@noH zLK0TLS@b-7Nx&JrN7}nvA%Q>?Hcx?5zNb<{Wa2d+A6&CLm1ZnnIuUSGsJ=A7mb!IM z^^;5X5PkNOq(K~s9g~_4NydqtMN(!`kmVW{qB$`QD;Rr&!lAGCDt_gtJpJ_h?v=3L ztQNxHB(nJFM&jdUm2ewSX~%)LC?9}e@RyhP!K7X+$K!6u{v+Ub5?!d)`cV*MiZ3jlYl$)l z*N-c#hW`HP+`Ym0J&12WoM|3jD46c3YHAsdPNKMVP_KCm zARci)TKQH~^-6kpqi;jz5Cuv9sDSb5$z*{W<9!O{Df}(LkENs|c1KKJE*}Hz_Or)I}EbWuI-hkk)WCWD@iC}OG88&&sd2Q&rC+OEX+y48i=l`P8URp!V zh-rYKjigIxSsr#s4$~!No5x6B(!*HZZiGw>r%T@H?%1ea*cs!x8(Ax`iwWDDndY6W zaB%^{_m%1|w7?iGk3|^czc^Ldsw=drNKCog7jjXpz43^N!h21)!%cw<-F=5+$TOkmYYLz`VqH|9}wSh3^lpwbD{Qr$+1q zu!ft0ph?Qpv5>(_=O~{PF>s6)ouyF9Q^PQHGIx9aQsaBNjiT6)ViE?5k~hk6rHnhxz8H(gX(SSOJtjwqG3#yH&BZ^Bi@>%vvrbEN57|900rhL+MQ3fm}28j5JBSyRtT zAMm1OykYJ4DPWLmH=QNr!?Bc(NZH}fre;>e8{84XPU5jv%X0(*Qtj>cP93}gL+Uf( zkShy^I(Zu3P&fMfrPP<^FIEzG1f~_COls+Ti_|Wh$p#?Sk|OcIi_8_rOo`x4H&;!4 zFefFXl*z+Na3LBe{6zTY62xzflweWn+3w!&#=tBG3;vZnf*KegVdN5_86S(t2+_V~ zAO4`l`n^eN7NS~itJvvk4VxlC1il``3A|?!43ugbQrB8r{oVMIOwJ`_ibk5d>6ApN zs(ackH)5m?=)pd^*;-z^1-cV=3U^0es_RciM?9Hc?(%FsvFblScf#vIQ<<971c;!zh&ZQndDmacg%e{<`zHYAMQ|ea@~#;XyxL z|4Tc$@dO`ktu%9OMd2BI{u`PV&42u48;EhI%dZ?h_$}>*)bDir8S9uzv(Dl5`&;RJ1wf(|1{ zsg6;bK*UBNY12+?@*4T^-5G7nY1e^LRi>j8jB7jyP#wuo)O94g!N3LX#;Vha7FWu< zILJ6G;EpWG!~1PGbvMbwm;0u57~}o4yWr`xPm}&&OFcds0mBL_zwvv)Mt~KkOxT7` z+vK+Ns5kaT;P&&4-M_&?2q9u13CmKSAmw#uh9ZBTyn6IR0R4h6n#iQ|4Q(}w{s&Nw zEE08p)v7Fncw7U(B1hns%#k#;=Aq8#(?tbsQ(#JATeA1n{B?!i6adS0hO(0X5Y z?=v1S4vDU@0224NVlJK`F(B^uMlOanqNGE$&_7 zL-*+zn{?(8RHxHU%3jE4`>L4P)L$RqQ`5NNcdvds~SymIR#N_LOEuX>`FvSvwtj49jyVSDo0eN3n-%$bm40arflG))AEx zS-1G^D=IC>re+eCa6hY1kQIR&DdpMkC&L`(BQZ{;Qv*2g>xcE4^c{5{@R|>p3RD-40f3suo+oo0e z7Q>t;z7n`?$bro~wzQW~@+Ksp-;50xJEHj9Om&)K6=oIIx}D=H@-7o%B-MygEffAD zSn9I+Bh^WI6M7t8SxP`2Fc%=B)&4f|#`Rz4F2s}9#I;&I;8FT*yf&WAfz^MdWVbsL z1;KVbtcC#ScKr3R4V!1PIi^e1=4_y^I>V{6SNIt+ zp0lfgSQ=wf!TOXw^$1Dfrf~VP+r0X}us9WpH#~l z17D$id4`nIBZJX0#w({A7?-yNOsyr__Y$NGE!^+q8Sv&2@_Zw0Lb+`9sA1A#&)^{G z-BNl7Hn9gcz4oi^pu;@gnlf7@{bWX_IO%CffgiGCwe-V8BmsRlg>SZ$kL`)3?$jiNs;bk8k%iZSMRR!0AJ9j%huv%#J z)r0D*oCYJ(5iG|VSkr#2NvyMf6k^MeLuqC9bvoMzf9G0YZH^kt6v?ToR7*vuVm3W5 zfYlAzfy+CtFK#W=zoX+S*L7Y+>2H~&J+VGY|I z2ePE_GWG9U^$Vy2>(Ms_9VJ)$jQ9TVzan^%Q=8Az!bR&#sdxLvbzG}cF0H^jv<7A+ z_K6I^WT?o{!9xIj&7vQz5@S^{+rpzfL4QnNp;t!k$bcL?0V|UrJ=OT@cl)!eGvTh- z8+j=7jGRy-1+lp~bv^3meBQ{-SsUY#Qe1jR+|JSi{pQ4_>9PSw=K`?7!t3?*cw6UP zfK-_TMU)VHhzEe5tVAEN(-fg$3%8~K`gWEm6+|y!7DgG@d66d%W^~%hSZnG!Z>b#q zdZnd3wRKc}nH+ZJ%VXzTr&R88n!bNiA13K$g0Z4Li21h~>JF89eF}qYC(&TL7iZs( znygG^DVV<`1z{jg#$>9dMI2eTUePEXD?x!c_m;9`n?qXasn1WxyDxR!Nq63|Cmb3{WHz|7&`}eeueF&> z=FaCSjTw&bgitqHT1Ulr=F?BHRL9spMr0|R-ilUoux3U%GuP2d!i&Js%JsPyXwUOe z@j9T`*Kh0KJY(B@b6<>gdN*HHmYI?!su3?rEX%@PW7UNs7Hl(NjtMBDJ|p81fzrWu zpDxR%Vx))C?}#|yOp)e*%zBzX=#EN?7V}-rhd=BWcga(A@l|O|u{#sm<`gpihH6$x zySf0U0Qy?gwYueAE4)Ne&#FwlPe3`6lnv~WuRuw5%tpM~dYg?@BaY1*^AdGUftED- z+peb^g1pP$?1vGv#rdhWtMg_TBF?G5JU;Q~vc->%J1N%C5tcYWsIcU`7sO*lyT(qF zy8D@`*lBzNa@Oylv7G1ufV(omQGnd-g-GmtlLV~I76^3pcl&EWpToPlU9xm1fED8W z8EfnwR0INHi`eY$mjy~_CCGV-;Uh2TQn=LwYHwX>P zw_QLgd4fkGEg4Z890}Cfyd?0ykBzLs{V~>T3&)vuw0LV74)8V@Ot;uEfJvU953x~? zTjasL+7q=wOlBZ*^zdJpQ~euIZxT*jS}qt`nsD#sDS(k#2vG*+uH1?qRrKKlQYyvPNM5drNZ`JR3ytDrVoCAt z%^Zv9FLq)kqKYM_^PBZks|`+L@2#Gef~Ls6I|}~~a4yf2S99c54q>xt&Kj5Lw*}VF zj&hi#%M@<1I{I_(!W!w#lFdgyk+kXJbxESK^r3MphOY0`LUE9l<@FfM{ z?RgiH|JdXiRMReGT-TlN)c7XA+^mx;1ZhZ2NOj6Q{G!vn$|NzaqSr+Zz+*B6lduV8-@ErqPeH zo2-8s9co3Tv}_>!J$x7( zR6a8Tbdr>y-0cR>T^v#ENCPS!v@U+m)C>d|nq@nD{kd4=16o;LB}UsTMKstxZ~prL z%Rd`m@N`f$>_UYz&TAfC2}X(#BZ^7e-{4|tNOVRTLYz1Eg(J?eq-BGVZ-5k(h^ogi zjJ{MOD+2u3@q;9;e?Zc3yDiSp!RXSc$b7$44N_4m5JK0?xP_}lR^G6#hD>yp=0G+) z!bb?r{dPQY8)-Tz*OU_jDffYGEG#tjAa`3-t&T4=56-+mw!84LZ0Rh&+$rX6t zP$gv}AD&~jG1#Q=O*{78rD|_s{KFxzTbGncy{QUr_8?rDV1Oi5NpU|^JNxzp0!E;% zuWxc5n3rlO3y4&22pSF`ys`K3B=N>uT{m6q0>-;HDFMhGcr7j|@k-Ycsu%it@j-*( zeLW*jDcjVSr+^zQ_mph|bDNi2L%}<%*QjSYvMS&hneM7bm8c@d9~|HLZ?Q zDwLy(dcG~;uI;mIX`Ju+Fy5A^6ZQ#Jr1g6Vf;BPfh+ur73RUj$FM zvsqgU$|09_!ycD*POXO6Q?vEz>d4MUUVr8QV4{%6LkxW7iQ zSI>|SvYy>fx47tB`J^gro00J~{thH~m}@XhjCwBD!I=$rAD6vW`8y ziHYWmW5v?wC9_*}gU~?C^ZGqwYlWo{b+L=@A!AgGAXD<}p-74Q_2`)z88yxHdO6() zwMT6l_G^mT*2-@lkUSI~b^sVz$!zr}_qA7DsC4Yyt-lQ9M}SL=LMvtD{WFZ6i- z5+5-)5gU<-T^e~;{C4*xKX%ioepc=rme9oR>uW2>PxD6h_LQF9{1rM`6l9Mp< zEstZwL^i9*k#4Vc6w=MxxDoKqLm+__{G76fA3>l#(c1V@x?U}O7gBv3=;tvQ-$go; zt@2FjA}6SUxIurnAIj-h1$thC0r$k^j;o8&a3$apPRsd|5tUF|9rFb1GG3YvFBk{)e>Yf`_xDE_a2ecS*uED?^3gO8~f0w9!D?O?@$l24m z4jI3PwbDnp16wV-f>Yk(i-U{(cBe9do71x-&pCTBqhMb<`A| z$rh_L?z+=Intp5+9Mc%WHiR2$!@XgPHw>NQs*k1X{iTLdcf-()3yuExuDDGPu7|U4 zkA?xE=uq(&`H`O+^J6&?`e)9*Zt@Gdu+@mM4^-W6=ZuKx3ZsN;u0jZO+xbv>ft07!&_b=o4(KF1{?~?S;x7VHPHCOdab^ zJASX(id{CTivl`iKvYub+2Re};PWF%eBr*{W$KSyYPm24fyB2o*=3n~G>uas%iUs( zwq@QH!^`jBh&QL`_f!yJeBi!BAQ8*O19UtLE=)B2(eR zt^vpY?9v^wqEVn-zBQ0J?ozDCG5)(5ZD5jlrUp8Xd?)Z)4*S`Xk;HB5Z;0((0(2<_W7weT z%29#0Y~uVQy=jtL8vS74f}SY8|JHt@0g-)S>MaWA&b_5l=G+CC5ogH%PTo99uUNQX zVxtSsBc})Gn&!V=ZpQd&FFOB>E0i;)47!Braqi5h`GeM&=W4Dkd*R*!h=iOt{cVlI z%CAyHH@t*0UA(z*b(q_i$b~IoR<;t8nfFH=|T%XJTY%jK7G^tA4vg72%w z{B_adIvYQR0kfW8{>;MZ!jEa*&C!b;eFd2c9!fK5x{iW-Ezkvu^j##wjTiRrDvr7c zNCu0RcVRBadp_Rw*QI>{z_`*=S$>Y%W0J7;<%DyKrSaq`IY`~y^d#g!#>K7@gH04e zdi#U9!@0&!xK)wnA8P6$iaOA2FKcg$-%s!9D@U~i;RcM@wuog=0g&dji$)#Tj^D1O_xQcE&Q6>Ddtbks7yIpm!_=QoI#Zh?LY7I- z^It!StXd@D+!*`MBt}jvb)v68F#pEm)$OEjT~{s?98l(3hH*J>+DN(jHx9CHrn=&X z(i#FL#caTPQQbAj*;U@rryP)&{)I`*zb-%#{d7CPVtqq`n)-%5f@e%b;!4Qvi0ZauvYLzCHc|)fwOyG2KvLZOzZbePvn9^KXAo;U+=CPxI18xBasde-~ zmQ1G$+t|g=+8q+I0c0aG!3NMCck(bP>mJMTd*_6`#Sb7Y1Pq!jo^p3D)i0j#B!*4YAbg=MiakG@*8 zuio8pH7Wpz4KxXs)Rz`(4!epjCLHhVog_b&eLYLmTU%W7#@Uz!eOL&my&B|ousk(2 zu7PA0@JuX_z_Zt3D}>jfWOp%qN(5h8AlxS_i zY~9efOC5wv<@|}EvxBalC3k0j494#nl(#txvYoynkO^j)h$+zzq|~Gf)S`}*5aHL$ zUgo+!z^bTK?nQ^B@4@&Ny_AMt4b-Vp?;D1SKr`7cj$NdN&g8pE*r2ij{n8pcz3ya{ zk-<@W4pmZuJhJLLB{_9_Zb>P+0LHh(eDZS@)p7kJEK}W2F{7@v8Zng+WM`EZEUuY~8~<2eKQ*xEAgq zCnvUo*(}u0wer0X7y0H%jWS#Jbxf+vXuKRJ{3r2bS0|-|tKjKw(d-Ig+(fb$wFy>C zQC^f+KKS0!^@#v6cjdUQ;WjR>j85*?pZah#dNw@HehN)+F3vIKM;|-|*dQE=YL!_& z?G>7owGBXn={PB_B(WROF*;~Z2n`fnsK{2z+YXOJ=4OlACd^cU9vU7er zK}LeO);j72ePvIonHfhA-xaQ3y1`#x`78rU>#reXqR%@oXZ49^{i`yuuf5@8a01dG z`lm@E<7fR__K&2AR9d`Db)*u6nC)!==&ZGE4#&2rCvR$BNOgoyflDmKW-jj?he(p4 zE|7iRkFErGKi2O$+{hvaZ3|^s2*6u);_Is?M$3Nh5QB3B1}Z^T2L67~BJV)k3v+!V zn86XwK;I>wueaRZpPjq3?@dV$^~_O8>TuGYT!lsr8xX9lt}w(BwJ3sSelt<*AH>#y ziSm+Kwk{Cuvrjh_7NjWkdo#P(>f)z?G5{uk7k}p!Ot%%HUnV~%W*Ff7$85)~H2@pQ zDEMR4?Cm4c>wW0VQR84Ak(~%uM@2XP&{XP8=4eOG-UFG=)92F@jH<5M0vyoLBB7w7 zb-FCWiDfUhkUea0cEX-9WVYn0>pe-^lS|~4SlHi-UHfz9LX^!c7{3N z-AQfmS)ZEbaNDl>R-=uuuU}4PU7J(VZ&Pe-6;h<7Nr)MenCxg)o}Jj)P9Jz$YU&La z18~bo4uoyoBVAo=t9}sQk#qn8nM}3Q?%+vY{2xiShSBDjDXC{n~Rp3GRuyPf7-b8;@n3{tn0U8 z?QJJXXnPvV>+|96_*T5MRu>8-hTrrP8>xgD+Y_oUg1E~P43&lEyyARn9BO| zM`>b83Vq-KvTjKY$<80J`)<6B_|;Iswuki6r&yyid|&9%d7rLtxm%eJ^Inep9vaod zL$fWvP`W;;^bf|e77r)lXtl-Ywi0%VT#A=tv&}TdJ*~k#>mJGyk~ahhEUx(H-k~K) zMXj9o@5c9j+`6B2xw-U%>O`Hu{ZyS>ab~Gku_eL(NP1EkzrM#Ri`ucLj`CUufGCLb zwlvu(EKJ8-rw&=V*<*U{c2LsX9*_lx0fZrvm-c`eyKHHcD$Fs>glSBy>?IRwZTS-; z?YX&=X<6=wZ|4r6Wf23cs3Eg8Vghpat7D3w9MbvX*8Ax7uFhx$!(pslRkXWV2YlKi znG!B^Y;3=LTVWcamV>UhJC%c$@`LjDyuA~J7miq9w)K!+r&ArSQI{lt43FxYb7a{} zDO(YaRz9I5C%@mt8&paI`RQjumo5>=HqGjxRqKZ=Q7x)4UIr%J@wFzlQ-Yke?_!&2 z7}P`y{yWYE<1Jl(YvOqj&Q2{vzBto#b0QDN8dP2eE=t7&y{wbed&)D~JV0kFJ^j?a zaJ}>JUT5>i?oGv|O|D`wOZ3uW7X5&@Q(y~x4103gQhFUX+`rL>X+rh)Bbs)jGE+iokD+9>VX5V;qH1|t)^s}9%@&uzgi%sO2q_SVz zjc7R;^VB5GE)@8%95_ zWcs`Sll2|t`gS+O+YkqyAIFq*qr^D(u7YyGo)ck2f%8_mU6Ome#`bq7uA$tY)QRVC zjbuGJB>Pi)VEPmK`|g*AfpAy4yL-6gWWP!n-V>xcVO( z>W9=B`q{@x$tP7xJ9N}x=meTlRdPpfRS33JN0oQsiHvWP>flJVVkNih$^_|_9SlO$ zMa)Qwk7ug`zlsDWWPZ4MX9zLAls&*y zn^?NArSRkqqOUd~n z$MM4hpbs!|{CkOp?^QnSH)j*j+g-_~nOmi%;BL~lhq&pX?{XJVnpjC%c|&Hwzne*) zF3!}zh&lz3ch!mzGQPjG5XfK_t0cuKWH8=OJ?J{dRaZ+h-p7t|GxFI;Z2xfoy79cJ zO+U2NlhDKR%G8j~`Cs?-p49koZi~gKgZ8%m;>8U_c?a9H26K|N|}Ww4NR;>3|=o~{@KPk8j`pcMv3ugv#z zA}*qhTS{60JICYl9xnJ^JK&L#n!mvQdUIwrbr>HmM&-Eo)eECSOfm|t5kG8|GPBOS zOS0_*8Ylu7V(xjVI~)-=>FYwIrgqU|B=lR@PYA())-qCVX(l=$XdCTv9%E2af&d^; z-g}%BG!g`Z)r^T9soA_GVh;D-%H=-u4VQd>QuP>p&#D85uq&INpp4$BLe<4Q>zy~% z${(IJb^%s2^zG}_2TQD`>3T>ELdD1gl)4Pe2dQt!N&%iZZG}_SUwxODdwq{#FxYR2 zR72=XthQ!hWDCy}-efYYZEt?t{yqE!jHpBc3_V};LVPLWe4(UEFw+^y8G73>seTBg zrr^2KU7QMY{zvgKUG&o6VNo0DJhq1;h)B$#t+m30KA zC9>;A3uWbMhk(EL$EN#_N%w zq&eEq{_S+SCry+qp1mnCjz=Z4fZLP@@kom=hk?5Kk6Ph=d)h6 zlV>Q2z>!d6(lt|iqH3}>hmfv=GlRV_HR~X)OGEGP3}mQ7Alb$+%wLDi#3#k;@|(DL zTvE6#RBrsu_{T*>wxDz70Ap#*^U1J9@=koZ%Sk^hh)ub-mp;WzyH!9%#eZ&zUA2E8Y7wb#Lx|jm`QD>Nsq5Ygd!Tac-TW&p^zc`u=9v=v(waik zlt_DsB}!wD5La6ZG-CHm#St4ZmvTseye40G3i!u#Luqe^MZn$Vld^86VI|dg!B7cDEIDt;lLwK#MUX0gu=r&rE10*3G^i9)5MMc{6$YlQ+AL|&(m?rdO zAmjx*)$80XP5|72?~Os-PWWS%0SG0m3?xu%kliyRJs;gHIhlT6_X#ACT~Q_p37+K~ z$DY})3nDA*C8x*lK!}CUY}u2cnkwG50bH(Bj~<-PmKr zvuV8OJ2mrbB{lEcrt6GE-;Sr84lE$g*Ld(8NYg!Ul z{yI4}S&1!w;#Dy9XA^(^$*hlQNP0MKAapkmsYJ_yZ;9G2K_O0!wEaj*K#{1U4j3)y zB>U>;n_|WB*~DnXR|q{VCcQ?c#sp7?v!N&xwW*b<88A2XTt?RQ)k#U;7zr3uZt2@q zm&vcZY#3k~2d(uq>$pg{epjp7UXrU(uKW3()WKm1aCl$U#nDmkJ&~33Mm)gaBn*&2 zO^GJOv}K0Z`d%4fcAuIWkmCil-1JVNY{8q3jH{7rR9zTojiu&C8Oo|1rLyrCbplkn zM)xnB+zTn+H)CokM5>#Rxe2uk=(n#22MK9=l9Cm~Otp`?&q0H=byFLXeO)E$ptmuz zcTSwfZ-w6dcjv>?TYj;#m=D#wQ0mt$Flb^&C{ySeEb;zAoodWu-?o+}pJ&|d%nWw5 z7A!927o`OwXaTewBFnJGz4uY_Y_6d-{Ff$K$Cdb?J@!oO0(@;LgeDm?e=^-~amVcH zO^>pG2T{^Tf-N3rE!ltr*L72IUX%9XOPw!Ifyt*_&D?2~toNK(KyZK|9br>iiyviQ z2zU4@bU>}16Yil6)gPN&8PrdC?v&#)>BFatWxw%y?cun8n;wCkj(Ps9n?ct8-}i9R z#3U{}TL=s7q&@QaL%!}+^&_a{t|Xz?2Ql>Yc|KbYPd+43n($sp$0gv%amr5DTIl%z z^M`&X@(vvZ4MGR|xX7L4@q-B8AjcstwCO5!X7O`;YjX?PE{2+cj0@o|;xt4L90xTK zhj#_xo(tpM1(lI-Ru-VcAl#>sEl1f3PEV3m}AGhrn0ea1^(*cLF zu{-ir=Nz9ZBSIsYY2r>W;CH?pZwJ|^2iH}m1F#{?jFqbY{i7+d^Yp{=f!Ljymw|M} zXbP`;^4ry*e-Bw+kkh45J!{f@s-;fP2VU&$7ipIq`~3Ta{I^xDz#OyE3Aq67;^*C# zu6N^yEnXE34wAnh7LmcorFG7HBT4Nq{x1Y3{xMaHe}CDLP8qe7Ub9J{!MLFAk}+jLRYt56%|CJ3wSgQQ85%5 z1jM@n#?mp-rv6Q{6Jk4g8g|IB9Y!WW|FDHUZg{7e@wFaby2!OB!y85QAD>UgjO^5i z0?WzmiV)euK*(-~O4X%Z4*O*GmUO*%tvM`Zh_QDRG{*Ipt4jM+vj#8-v`X7Yn%~gY7@CKQN>w674;!ii zoX#}_Vzr+g+(Tzd5S>;}IJ(&O_%}#i_WX>1jyT9J2Zd!GaNW&cyjCY?1l+kWr=Mh4 zy`2DQl<+CUoLxu_1{O`l4+1uIo?sjD10>})2Kd*M4bJM@r)SYf5T4bQuL;{NBY;o_ zg^QYj4;N@%^T>FvBD}P~Yr946C#>*n)kAzefCNMlil8PMZl5LBRmn3fW7|kai&m#N zMIA|5BYIWi-WB@)&8>KQ>Bn(4^D9R_rC#E^ovJWa(f8|SDB#PLgYtuLv2xVF=3t~f za*(X=mO)NHvl0$cH*gL%j_fEA1rO1+B)nb~#E+KpOGg9Z#colsa`7M!$l6F_ZGs!q zZ4}sM_zio-$0wDGnX{X=rD|#F4eqUjBttE7#|gVTPj6*rHe|gPI||~^;exZDe8?jMpAu_+1QU8; zcYrr69N+dZ-SuVsHA9*!(f6!a6}46AfUd!4Yr?Z%R9>nr?*{HR|C|$y zSgswj+UdlhWadf6d#9w$k|`}_14qv#=o@;IDQYYXT!Y;TL3gK-2vR64;w5)u`0)It zgE#m5gR3sE5!y9g6AN`+gEYF~*{}O@C{ijW$V7(6rVUoV?PJLv+jcpy%J|Z%GUw=r4|q4JCK@lHyg@qJD%O&RmOo0BV2S|DDb<)dKhP0ilCaPH`<=m0#h6j7QpV z^&0lsTCJ0*3blIsIP0zYE8nf94Yw+&?>}s+q~?aLoZfVz{kYxHKsDZ%HQbVlDO!H39@dKj8HWQWc1}L@B zm>gpHfY7;m8GMKb!7v8Nb(`4u^SBGLn`cu?d{J(bJCazo-Y#bsuCLB&I2ps01b8XT z$aykLM`$U=V<<_(Bzn_QXcxvPd3?AZ})gU>yG8VzW_s|h6aUt%O70$Vq;ARj=D=3d#LT zYW=BEg3@oJ!Fa$c`15UZmFf}3K=q3`y{({v+AQUNaeJzl`xQen4|n?BAy26K2LM5z z5d&!lUEcS0u7Zcec|@|OA?~yD8)Z8g9Fx&8;(wk5(LijcB9f)5O{_&gGs&I{FODy% zgP@$hAy~-!LaWFr&O@89voAv+zxdSXM~TUOu_(3lkFK8U0?jF`3f7hcbk38{%IcL(Rza6vLgXg^R9%yJ zDHeu4BC0Qnu2@}Ft&B=q2E^!Rzy`2QDTjF)nQ}Ek&h~wS@`F!){MOLODbkTQ&f{l{ z8tLZv+GM!R&SL3ceMsF?`w~1n%2G)+*z$c@BSuo#Y57XMcxN^P)geGnIaV|plNeM$ zLPD}=Dr8sl7UWhZ2Zn)~PnFH4{O?7k$my$Ff5*P-t&jxn>4Ne&Z&Fb0ix!0sUB{#M zIlYeiE0tQsHrV3NBUif9B2jr45uhmW2>7$$-a1#ufEZIo#X1(s;w0zUIP5W4=qh|Zs{2;@AotJr@Afm+qPcie{cmUu zI~Vj%ffJ><<<;Tv`UO zE4#>c3vXDA)_qj+=HHB~_K*$Ko$l_CtS$3HvkL4acQJ7gAL3pR3Ly1U>R(kXRpCd@&xy2*^082NHMG77A(;!-p5;=LnTp;=8RD@ z-$VtZ`f^O8dc_#J5&UPL%?P22mlo)2dCO8-W9e0kTs)LrwYx3NN3*F9UE zhs_vkACYS@QPA#gBlG)htm-!{cgvr1yTqz$bx70Y{b7mO_qP(T@IP-`jV2xi!c@jZ zP_klHDN7mK^0WJlT|K9%#yT3#_vt$wV?miH1-4YkxlTw_c$yp>@0&hLw-i&E3r$I1 zXPZq&;!_BPMncJ9!LQ#>o@v!WD_a9}n;k6fec=d-$u`taN{hxnPgJ~_%`_9XH&bfK z-||GmphXCAbpSn1E3m)+`o0|pIgPZV@`zl+MS>?<0GLh;qIN0LZF9g|LU%JCds8$q zW;H+x_bMgbRXy-uN{td&Q^p$%fzNe*li3#pKob#& z_Z#^hbbISln&{NHZZ-vUKiq}W-$Weu%(;Kj`8FdoF&TqdDjj=ItCHEGm2KLq&GZ2D zBn z`K>c|C;yz7-;0*g!-CMwXv30a+O>kVeVx>}g~Zsmiyo>XDpA1l)(Rm=`d$WZm_?fT zj8&(ERWjQ&@oTcYW;`4n2^wV7681@G1)wKE4k_mYMbz_^mz6*49hcwt{X=i;t%?Vu zpPB*~GO^1|N^Lv#ECg^Vc|~}qxO`MNmiZ{s#6WR|& zLnJVzhQ|mB-J&Dzbo^{UF?D>W4bR>S~ea(Jk3>7K;8H5wqv+%eP|MYEj(lO!XF0p9l{76b6nW# zrdcb<{cr+COUQJ<`yZj&YmH@$mZIiCDSCr-ORD|{F3EuM#1yy|=t-1j^Wqdq{M5}u z_#tUIk4#j@o5CY##Hf8G^DS$9qWN)zefmt{xf{Rs|0~g7jML}4OOm_Y!g%V}g3p_T ze*O<&mAemZ>s%`RL&^^ie01LiELzkgGG|YhNYbcc@SNqbHvf8DGkn!-qbC8zij{iR z;Lx+w*yV-JtL@aJiZps;*;8v64WoW;A6EljVs&j*amj^F@N~y;DSGA)3b|7) zg9*Ov*e}R=eeSHg+13kbl(C^C^v!7cQ>P9qHcI-!ap`e@b_9GM65V)|=lJ)KMwV3F>(;PfJmA=5PSNg6f=Vkw0WJ{{?DKQsJk&ZnJY z6^Sor4qXoK-jBY*4Aj^iLml{5W`~6@V%v@wc=I+WF{m@DHW0kw{_x8W5%(p;kEmJgTh9?>W4mx^A zr*D4f^S#s1h#3VI=JXaZn}(T)o*GGm%(${qs$v)Ubjk$Y+R*06o{Y`M>zD1=&vfvU z2J(`}Z|x+ejt!r= z4~M+}^>s*-Z!=7hgZVTIwKu6}5Rtrh?8@R-YAv$6bi@0=kagI)tE6%&F~)0ajnfE! z-9roSq#&poV$91{#dob?A^2WSc4DC6 zHLARZkT8O-+;HH}n>lC`@H$8~-S?zQ#*5orj|USQx7)d^X9h=N(9y?H^+RTc842i3 zL5D;(m}pYITbWVQ(m`$!@g8suTnpJ%d@Ar!g+Td1zL5PD3U+4>cklcMU_7fzu`?t} z_qhgk9y*FmUUr*s{MhjM%4xZ@n2v%E{{gJG(mAOLNc<6vaB>MK_eCBveNex!KoCk! z&l|RpmR2Y?QZFB#VqW;x32HchT^ao3hHm4{R(<{gfrxW)^wF`S@3?w?dVcYLih*tL z+6{JZ2{f4$y58(=O4KcOQ!$8W(03s4YL(AVwCdTh%4&e)rOYxP8%4tOa}?b&{Bb50 zH~wMxnvVo-UQodm{?-cazwng|AMNu&iRJ;d#2krOKvQwM)|D8vhVy)CQ#~2fD2qQZpm>5OTlFC6{4y zOG?dM?w5!<-O07n#dJ1qVuL za+VqB5OvI2PWotC10Yql^)n-)J92v`^mo-_-?CAo_UPHPM%kjIP|zdvq;t_k)7QsW z385s_Jp2E~Rp=oxzo)mn+-Pj#<9%41(3g^Pu(P86jFTO{&g=nJz z$&P?V_MIU9oN&Z%FNJwyAenLAEfA#~JXmtgz6#%$KNlQKqUw5~=*fu7^N2nU1E+}vmZO05B_WJCo)yYT_zo8KB*)(?) z5^+>b7A;WV-~#r*<1T=NW1M^kmHz(z{{bEz#Jujb3~M=iSNr+#>$pf9eiFxJb3<|} zk0gJL^Skj8C}>D$w($MivRNZ%th~iIquU9DBG@ITc9>QElb0k`>T%4(k!4|D-8VGPl<^+KAnH7)RjXAOLR- z&>z+IZGcKzc41nBndEg9-U<9DG~30>6DaNpIa@-gKJje~R)-Tp970G*+M%H;1DjIk zLlnMZgRfG$TiZTm3~acJM)*v_)qB}`l#GT>Qj!p{fz`*a4-U7s&Qwsl?AZ;MhXkA5 z()ZMMKYHAiP1*}K`05(|v(yQLvY$Z6VM}Xe0g^;rFPzvRjH_V;?e5FuO)EMsG~j{x zIOOAy_A&5kE)V9TeqzvMbuNY;h(QlDkNO_nxmj2ggUtki2`*OqjbTvq!~LLdn_o|B z%~SWQAFm9TUmHHly~&zlTbs5%5}t{ViS&`Zg<(1SaIFKAkw@8 z_(uT~C@cHwuQQ=PeEHU2cCUn+8{Q5v6n-9S@M`hoe4;m^q(NwoGBr@LZHSNj@qqG( zJFc$5fWo&Nio7$0f{=^@+dv|I*cACa;4HOjVOX{3!0Ob3&E>h~9lY8B!upDX{)2fo z0x`&Q4B2VWq;x&K6cR34ae+Jgf*N(XYfZ9BOBQ<0do0vb-?~HlatrxGY|XFZ{BVU+ zA_tx~GG(vzi@q!#pwK6=RqY3!Z{}TDqzN}pl8;q%!eG5i=gMK${jAgeLSuyA-m%Yn z172TX(8ws;_1xQvH&QNQvyCa~LGau1wKM5Wlj(jNbxE0eYIh(r*AMPRN;>}ZCI6P9 zf}i&{7dvo5pJWA-xF8vyG|d@@L|vPsBy#kGdahhsw_Pb`3ObHzK~c_&2ejv!lUoZK zt=+n7-NM&2NyNu=f;*nk@Wd=wCS?41K}X%1%5wEFz*4EM%W_R^S|SCdi4GuwH&We= zVa+^|j2t$xBP|^U`%vRjOvtE5x*6++_+7R}6$?z=gBZq$t@D=fNfzqkVH!xPndj64 z1vUldBD@d(mFAIA_`IQao#2~ja>ozBxeu$46#1J1@u0g{q2-gd|N!)t-XFaU3C7`0xf0Z=t=l8 zFdh_I0kGpkAuXL_ls`QUp&g?cN`Sn6(Yp-wNST(b2#ys9x>(3W0kS9vI#{|W1J-OB zY-CU$d|2V>-MPFpt0v}5g!(&XQ!GZEDhk#vRq5kW6-3GpxbY16EZKU8L9F$8pHNA# z&RxzX*rJ4x7kmsDIZUn=tu{v{U%L4Sr*<<*m1lQM;eP1MCBti*5+wUQ@F0K_ z(AcKII|jU1w@}b8kwi=zP_6~~!_peibpw)hS=69#%ys*xiHuK=92kEe5&O~pD)-~O zR_r5r47?d`JKZ46&nvV@@UCVd7synzbt4WK1OhO{Z!!U++Lzz+seDu^NWR*o z#xhb;1FMn1?RMz8&`Um)7S!2ut9@=_JXkr}IqG>`e?NX|FuZKjF_qTA=6piW5AuBQ z)1S-ne9NFs;#}XvC;e#+FD#H`&!d8>TR|OLCMju$g2)QOE4$f&f(lo~!RZpdasdJN zsoqt`$7jT$_4-b%=dtd%N^ye?Cjf>aHx^9N>Si@R)`BC1v<>lb&`d%1{kyG|EynqsSPoF+trY$1#SkLqx0N)F^NeR1P+REJ#U-5^2bUuX*ih$X)ZU zaY=m=Z}zoX0=96h9KuZb$yT*io{KIv1z7MLM4w}n1R7U0_K0Tt?L9?IeoU zz0U;4Y;s9ODW<0M+iEph9@G5KVgL`q)Ef1?kk-fajh|;~*THiGaqs2UgP@Zy)uPk< z$D;zhpBR`OVG0(qZHP(4JKs{8PF;YY5r1D5ED)2=T@p7D7k&A*7Fmfnbe5IyoC3!v zH-du#I+?Pd=Bz9z31ius=jz}b$pdOlOe-$*XDST@_r!gxE%Ys|kN4}Y*pBt7ZO5Wn z=^5(b_Rms&35fAoqI(+S1^*Q_4>jK(zkhmJEgAY8=Mk!!3&$Idrq!YE_NeB=#ryyM zLFK1T%4%rktysYcxV8YN-jQIPU@GhSRRs_w%c8~&O`sT_cucKZ516%F5@T;KWZCDz z`@{T8&Ku=~XQjB5aw+FeaFj=xP0z2~r}ph|0k73qo}8n)O2}FHXO`l!v_2?vU@K5z z`GsD!MPL3Hs4>{JWb+=9=SH|Ns|+mZth9adKfu!WKU}ei`cO(k4|y10@b8Y-M2lIG z{Am&H^aa30e$q`I}eMNcRXQ9c_7ynP|3n zeM6H0o31Sj>RS0%2Nx^w` z{6sxtt0}XwR-x-LB$zWaF>0${zB~^;znMmJ?!I`;dGrVOQ-WwQ%34TEp3_|Uz^^Wt zEZ))hRj*3sQEj3@+4dB-I#sy?ET#vI%Xl}Dd-TNf_u3<!)HKaw!0SC6D zLC7>(HkC5C6mWgh=X3vq!h=88igM+UZmcZU_jjQWy-D@B50l2g8gTP}FE`0x(6F+m+ zWmrDQE)c4oI@5o89m%*u7)@daQ$({o9)d^ImUcUOA}{(nY!j9ac{^@8>BZIp4}?R$ zv^|2`KG=GD%RJCnt>|(WB7qm5VlI?#tW^s&`#Mv=v2x{F>s=XrAqIH#4ycjSDI%+P$g0etX!m8iZ%o~5ek^?X2N}L7 zrrSpKSD$^c_jey+D!-IRF4T0hRBcqB8=Wn6e}D5;JO>D*rKUwRlDt-f~Y z#G>8GXyZD3jF07#U;1BjnBmG)7g>{9cUobn*7BKTes1R(f)sIJi;}Woc6THceLag%y!$$)x}^v})%9UeZTN zivKOaBD~7+QUdNnwl?#95um=pvmp%)D=~(4-ze?f|L=*v843?*@|rA<{e5fU`{SHs ze5+?|m=ejJ8{l_TREP3HrhV|g=Z(T<<=D9xs_sz-5tgx75>Z(L25fTcE0?chn^ky1 zw8x9!9UgYa*6mW|YgeEir`Oum0HlrFo>V?#T#dMr_neg}Xh^p&Ws=oI`4m1pSOk4_ zOrsoPkb;mk#arW!I58Hf`=!hoSHZ&-(1wezofB}HMzUo@DgO2k!FbR2GTOuEEyIyR zzfEICqi5KTY7#|;VT8`;K^Afrnl}Kw8y3CPsF95~T!6RriHHY(LHIqKi%cjsszE ztm2M6iz6OdLzc2LfhMKL+FpdO7Z+SWxo4g+w(CkcGTT3Tp&nF0MeaA=ORx?d`Whs$)lD;(3npsAPyM8a+X zpbL!3^3hj=AF7<7`AR_v7)?VGo)G z*9gW1vxmC8jK^9X5SM*VSrgu2?S|)Tpj@w@!GSj_+SDY{j9dFLLDwxQvH@wI zcN_r)kqO*iyQs^BFK3^=#ywehUy-R143kT`n4NzI^n(2V489PK#1U%;WDM{nDrK!sP=vDtxWY+#gK9o{x{i*hq0x zZicpQHbQP*`uOeJPgu6Oy}|-33F6oc>PW#m+w;2F!7jXHpHvUUJmaz?<57TxKmM4L zEF~o!A+!ZY*+XAE==X)LVPuM!rv0Sl3 zzK2^rMMyyz8MI!Otv!EZ&e@5SMyqm?Mc>9tfP!@01jtB2m6JsIxw2AQAA2oYB9!LcI=?m@)Et>9kT%oeXy)S7nzck@t8rhiHLQ$ zUjsIWuIWskyJ$QUFSgg#12p<6sGsV6xs$-BinBgF9o8FQ zIRqX*doEw`5b4&q>yGjmtOX}fesM$ez(G8wRwpj>t|c<3Q$&1h9Y}3RUUl zlX8_cLFC124hb=v37dr_jME^WZ$Qe$lsL`r9zVOaGQD8ESjQD29j$@j!Bs~Tw?cwV z(9^()Q7%r6cM3jd{}G^=7IN-LIG8*oO7;v9)xj!o@L3u%o=e|b6hwp&+;l6C4BJrATp9QAWjHQ=39q)ogXL&d% zGsS_6+J@+)s;w9G4EZMyAIdfm6u1^ZUx4oW!X`S%mYMv;K{R=}OvQWS=RfCXM%*T# z-^i`bbFD5FZ4Zu|s@M(s>HZEvv;EY2@y%)hN5rg(yxXI<&+QLrNkCR3oNn2x8xg)* z>=A1%oeLCA5wDV6@EQ^8npKl0y1pIL0U+3okpd6omFa3_Yq5UX6mtLE7IqVn;X z-hRM5VVR#PN_%j^9yx`fFASvuih_WFL>-?%mZzl_#xa=W^LNU1J>}NCV{oyNkn$JQ zE35~OBRgF$mow$Fr?x_fgFLQ?cgv*^TdbJujb)R@6TReo4-I@}-aB0h@Bl!c31nHP z;&=&W6$fu?i36?b8=X6^$n2TF55L`1(ksI|PsTuHkLXSA{rBrLc;vDaaI&LwNJ3F9w;wjba>V34m6U@?q5^c=O=N z&O=>o>t0ws0f1f|sLnYs^87x;vz}X63Q6%>lE1Y4-LqN^LT>QGf9F_A1{-XP-DN zwEuQ-6L%oz74H3~<-((mFJZ6v;V0wXhesr5tt0NwRvKrM9YMU*K~prv&jRgP?`S*y zm%C`{;6V~yR8bh8AjE)r2LhRC>S&I2D%8>wl6Zn0msesZ5BwnmDDV0hv78K7QS$5| zSh{Uw0>B>kBuiW}@Cw;A+R+G!a~?-7AV03Q=oit!&jiH-DU5)vW-iI17mpC&0K&)0 z2xZ1&)Vmkihz+*u-MArm0PpDOc*8$cMLp3Soj$xQw^J`5j2M-wk_Xyng~nK>sl1n$ zzVs>sQBlN|YQ#8l$wtdD<< zNpW}~JWf^mOF;2L2QL+yem0<^8sKHDhpzdov`$8`5V6|sn*>W;s#Zg!NCQIm&ZZT> zn$J$eZ3htns%(mlZn0(R@p^IDQ~E$TtOS7`J+6I~3@mz>pj>Fhc_$oYOyaPyq@_R$ zcS)kh3xqm|LmxpC2QjzE2wON) zDu?ra`_EGc2X>pQR;mX({5q^wHw^J|54C+(WbeN)P7hVFone0`<%r4&)lU+r_uACd`E zDXps)j6 z%M` zGZ*qVOZC&%p+ee_;@S;28~sCvOFdr`N0&lOAKQkr(J~c?0=(2~BiynoE+u32h2w`M z&V%cJVJvi6xxI3qkROTQcWLc#imP)}{qs?5?M&OF{WE7Lzb}!PM6aTj@%xU@!+Evn z%Z#`)Rqy{zGQ0R#1CO@?p_irQY7t>mSGx3AAFz_hZupL)VJ*bQtZv~P0$>qpJpaJV9|GBke4QO2&^umqaceDh%~UCI(iL- z4G+(r^YJm-)Z-*MwFi(lo-DuleJX79X6en_n}6=y4QzP~MQCU=;!mtVbSsJ;?3@mJ zdVGX*;dz{-vxP{AkQO>X1x@M4{M~PP-XDF~f79|4PhmohXmcY#*~XQv_3s zNZ&WoJdVP!Iog;c$%djlZC?zz<{d&7^Oc)!Fm{c4(pH*9(AhDwv?*MuW;&>c)R%VR zg+#$ah%U0T0*Wki&6dCn^vrF;U8W`nYS-o=k^)~}3SF~nN^5rK}?A$z;o)lAhY6(CV&uhH<@R_5-@5{^& z^gu;=Thy!6;QD}kbNKwIM%aitHptfWh&|7(7|4g)@%5f$7gn-H56NDj6UaOz06|_5 zu`39Hk>-3AfUBcY+9-ku4n_#uy61od{-UF`26QlufXV7r(Ey{6_X#rxUwTqf7^63b zq(rd~ln)St(ZiQZ)pDQQe#2B9_)=Ai@7#HL=t%3EK2OgOLv4`=<$KCFd=aL0Uw${ci3`i}mcwd^@i*ou9Y@j7P=UwvADLIe9>oN(efmZv?%GS)} zM?$gn)9lT+zE%6T+~+|$sAgY0;lqoQ20{wwT^F7n8T{5f@f$S+DtX30g!lOIlyjHJ zC@Xx)WNU;C|8M&W>VlE(2RQK7s0xJGD1%84K9y+YDJRrc7&47N9_d>Jhe1}Ho!3%4whhrB~WR+!PV)|`FiDN zlR~~)&F!=KjK@$fW2N*#c_Y%#f+1P$8#jaH0n2_!8+@~h4)%9+eWtNhYZ6-IxZ5#` zpT-+HbX@LEnQ*HM#MjQ?fLq;?c@%*B9w9vD6xY6}|7Q4Vot#}@blaDCweLL+*J)V0 zux)_Dk^R%JN(5W=glqz$i75BS`icM=)gIblBPUJhAwl3tIFXm<5zXHlUP>mPFSR+3)`Sz8yn zo}P>OWGoalFKreoUeTpGV$-n#Lxd1kwTrS0ATxmpG;8k`vCBV3Dn5s&Bz>p|a88xJ zoYh^E(?T*!6MzttBwL8L8Ek{QsU9QDD^_XNb1G*ml|SA1iG>xMf9f#3cbavR0`c5h zmA)N-Ai+9bT^D(LJl!UnkgC1khh;`iPTS7YX5>8xj*AMCH*{_^%WTluNL#FOOPeZ* zFEcF9V+I}$kr4A~b$IzX`1081xs1l7p?uqqNmu2FFUR6!uRsggc(*$L2y0#)ZRJ`!0Lv9fxWjNC)*lx4M)J}- zqmMKfsgX*C_Vl4}+Q+zGl}A9N|h(LMIw#?RmZ4j}x^>q^acNI=)4d*2`53#zJc6L1CZYuZ_n zEKp}_B&=76^w@8Y=b)*Jq=@lZ;H z%5qIT>4P@3us|laV?4_*U%rR+jc`3jGuCD|_kRGlffm(A2CU_4VdIaU9N7yAZDa^q@boES<>PlV>w!Fx} zWW|*7!wqdJW*R+`*6GSeBuZY=Pta2j6lZkTZyJ0{1z|GrLL}n9ikeyq?r;@jZTgKl zqctlVwfsicuqS%Hji`B2C&RyITtR76W3|f$1bVBA;PA1~BPj>fhw9fN6oxOqP zfhGKdXgf2nyo3J%nsv68n)ydgMb-Qa-H-ku_5I;{_YVx0^i;s?oQ{}YXCmBCSXEcG z(Tt81lQ@S5!7*8`lq4xujxmhLn_)%VFY_=rb{T{c&KqNvn`N5slrgPak&EfM4Ed-W zvVnE?c}vUaF_JY~G==cmWc@hiYt401d&OPVq@ORi#9{V->H-b6lr`A)#E4 zI+$0bylkaj-qPIiagrFT4t4O@xKwSNzUKaV(VfBHD;eP?_7Evtuzt2W7NFpR5JrME5Iu#<`AEL@}WhtNL54Q-?8ji*t>p zE*!wN3*tO|z@Rm$d9Qf0?K}g}otBX!eOqa&cUJ_(sX$Jo8-fA`)GQX$Akk?w8-ch` z_rr8bzU&CuTvD6(dU@91kYBa#eFFc3@;Tv~gDX$0DGk2s$A zCSM4ILL41l9@jdE`P;#O#+#Dpw9h2($_FQT-Pbm#;-9gY=-=F&BDM1Vp1kPl(~jLa zUy{I-nJ5!HW%Ej1AetFGsSQSS@hj;pTwlp zh|b))B-z1V)x}3&SiXrPT@%~;`K>Q7y{503?7*Ko>i9nZVJG~r!*H_g&8eVk(LbBN z^Agl13UU~i0RWGTHz5@V=Y6HY@Vj{M>90TV8e3~lZqF>go~AT3AQREz`5pG10-RFr z){YcXfdWyG{$@$dD#0g!jc(5!dX5~AjJCQt6f-N&8Q3zHQ0N=Nxn{e0z85iXi&nnI zf4y~U=s80Bs9HQ~O4kD8d^6bo`w)|T3@F(~Wn6sgBT*zS(ki~-AY4UAz{(tu2kYYZ z-nV>&_~Rcc-uU^}(Lv}hv!M(78G6eX79l6tza`pC6yi@79(egmP6{JOL0C#S;XY3P^zzW?qE6 zZTnNP@WW>+Ygt5Oi@!j@&lEn)h9cjkD>eo0)R^oVmq0m4J6H z)f$3Ju!qV1ZIjWqm-D(-c5(3YA|Xmlj70KvgmvP`(G^#{ia_s}g`53SZEMCH&gCvC z-orP^vYUBZqIh_ySOQNz&YnT%~v^?eRTt!c=hAG=gEAXBXV z{t&rKjjElByG6*OGjF|)u9k{ zhPGC-)p#aI29c7Z6+oq*o}1Y8e$Eg&F`9b8XcD*XN%6~bFaE%gE3=e3d9VCkwI_KDW(2Pr;VJ!TNxSBmSNb{2-@wgRz9-q&)`&?sD=}Y)JZx%e zjd<5q*XnN--auZffr0(JFEA9EdL_YB-gWDDClG+Qf}znWXx3m2?XKb!?i(d7o^u^; zXN;G05R0KE(b{XFuFpV0()gnoEl9CVTh0Ff3c|M-7T4;2?AdMVOIHyt{|~@qh?W^l z4EUeuaKtu58SAwb++8?~!=~L|zEWK;L$-YV=1F^i_q^Kj*tJKT38(&+hsXk}R4+je zs0|$}a?3`X*cRL%2@^k7T03nK+G*q|i;7B9JPj z?Jxru>=rPZL;T)tgq3rsNtbLu)p+^orgRtHet8e*68Y7!)&9mXF!gw+i4yacFZWK^ z`|K=G#}MAxd@bQkQsj@DUpD_+=PreA)B)qyw`|%P{N~Q%1a;G9Aq%Oq=Za?RED?pF z&Z|OS2!2x-!!wS*cdY1-w1qn;ZYY=*6N8r@H>xI(Bk5!A_;zSH-s*i}9u4viVWN(h z%p;?Rt-8w%1aY8yzh3I=!0Lpz_y65=3fZxUIcq&z%`HX(Lp#+nfOz-@CS3iV_G3JITvsH~Y)NZ?(RN{}XcWmcLCi zcMs802?2NgJIs{+i**Nfulx0(o=1-k?e4bSZ+pISvx0qqPNFM((;Ur$M&wEm9jIDD zMIqsjWYvnue{3#Xp)JMF3BH~^yYN%HXM*_T4!QmNbL!#v+b=XgR8sq==N)dXuop1p zydi)>l)%kaXs6|%iM8OVi_Qu^s9O%V{};OBr)PPKkgyVvKR2|aa&Uoc@E%M_r9sWT zCt3tFT0(=s*n8$9mXCGatdRE3Yv6t%t)8&&qqn1Ye9WGD> zSeSo?Iewo!FGoJxvTEoZItlWT(H~B0Lkl~c(p4Tpk+oO_>$T|~>a0J^83)xiq+7m- znyprVQ+RUOG2Fyf$RcOGux}}bVtA2r*C_N*%e{Mbf+XJDU-^H4GgFj|MC^cq{)H)o z2t@patiQq?$-NQBOjlnK?9EVigKnG}9y*l!7=a#BYz&nhn1K$w!<>Iu{@vz?@Y&q6 zRYU6oZG984hpN-A*Bm@Pe#(@U0nS0P{efl_;!oR<_vT zbNKD`FCJg0$uB1JUjPoaX&9L{~d;7lh&L`TPD?mVS#_-??Bm@hUVk zs8OyOAa-Ku-3!O#?1@onx~lRsx37YSr99#1iXw1Qb#e2!8j(t*`+;D+Kf9^;Mhm3+ zPD;+uVuJVlr8$Qo1p(SL;0O5#Yhd!X!WUK_`5)m(?o9f`eJrcF#X0`tr=6_wyOAkt zB%bioDxgxQ4{0Qnpty0IEN&#a2lK$P)q`g3eV_p)9H(p%P6O0(_Nz~jo8YJPg=L`+ z^)hp8YygKXQ4pGbGZ8t#)N1S{55>)jE$#4EKRl0aj33cww>B0+f;3A!db&~(ve4-l ziHC%WaJcJIGasJBEY}bJz8*uiXSFzzLKB6z$0amFMQ<{_BUN=5jf2*y8W*kH@J%k7 zKtFx_fIQNthjAj^Z52A{G58uZPkyMXuOh}4kNO!SKriP0UIj&FR5#DodQDVSI9r^p z=eU3P_vRUfhlfoK&_%e4D7$N1_;LofHPOcnb#CD+$Q@H$<@-9NJjo0(AE~(gOGTJF zR6BxOt2JH~jGz!8OSO!Lmtf}Y#_wDH?GL``2SdUlZGb*qS&Twub*l|e+4+Hix`@d z5ea(dC>K9yAVn2(?F@bD>Rk&giyr*{`MQ#08JCToENZH;&reM6o04ZGAm6)H2OJ7W z57bXss5kdFTDp<#>7w=X>PXAF?}3uLX(2P?RK$_BroC@@TCpAaQ0=h)v~HQTfChKq zdM0iniLt9)CpxXd6@C2jWM>Y-t%@ojf33V}Ni_HAkAdJ`3)@W3!!$Nu_FS32q;r3H zLI0o)ze<;%(p|+cP-ZW+J3W`}Upa`$w{*NJdch^1GV!~7P~U!iWx1X)6m#!D_e;m( z;guHtOp$ckqHZGIy&>pvH{FSAUG(9gi%>;2G!PjRu%_XBj`Q6=4FB- z(qY)G3Fl|4R?DDAiTV@nk^gaz2q&9vz0P)p*N8jlwtT#E7<$a^QpLV}ZoW8o(ri>` zE59b}9r7Nf?C@2Y!BG)uZosQPrG<+-4)I=pX7kV05+SxZf?MN7TP}oOcC6@B>D|$< z^QclhltSo)a>)j$7vDt6s*7Eg^N^2&TQAr{)Soh*zcH@g(SM!-N})_Lx*Lz5E>yW^ z?wykX;`mHBKfhdI0q@JCou?>-)ZJPWAMsu0@i5Sw9%Z=^W#ji(Q~ebf&htLb_SWvm;>| zh2N{2j^JBSabe577*8PK8d9WY(*}5!6}z>l+l|{je{tdW7U$&LDbu3M2)E90OHbje zqY*<#6(KNdNy~)4N-<1TwL}zP5WtK&xd=qT_O@JG#3q`~6F)_02Lq;*GTGE|^&^*Q zU(TUzZ>BGoLQU-ZxTe?o`G-0pp~EKze_LZq_Q}IEx-P$(*GT?>W@xJxmKSpm=iK$L z`5Y*t3jLf;&SB`JUeG#kK-Yg!XyaC8*eIM~7ExL7o7_71;dfc(FxQ)1UkbAC&Jso! zeghd<1#ih{HTi~x{a1F&dL?b2W3MGs$4b0TWO0*xd6)17IusaCu0r39{NG;DN6Wk9ZIbS*4;IJZcHtv#?4`vxjAu&JB0d#&4>EnC|Z&@P}j zhh}RU^A9o;B+vDc0fwBxhXDeIInF1UXz`F}7a+iCUj_$hbSrmI>YD+c;jP5dRZy|A zHT2eq@;XZE`_#MDOK%?@1i#*V@}UcBW!1eD=5{)aXcTZI=g;x%cX8_^ssisGHa=FQ zy;_wkvh~C&Z5Ra&F&DojO}47{oS4ga zTa|)y�P?rHo-R&uI}VjZfN?@;qWy&$l@{n=oC8%KO+}}Ui|3(V`jNl9 z#9wJP$(Ko`(G26o*ZtT0;nlCgAk5Z)H#albpYB_CY<_BbA7?)hdsniiTeYK1stmw_y?wYls8D<1K)2cx-Cd_r2n^4tIfs|t9Mz=o=V1>S zr@;ri@;|fzyNjh_Jow6!%)7oV(bK*^{Q6dd8%IU9)&#d4eTFc9UnggA z5|nZgb2c81Q1?n>j8eofS;euWkVpP>CF+`@lwE13?IJEv7wKM($T16TpauLgQ8ZRS@-Y!McMIMiGskou4z{o|K~mHivCZZ80DX zs%pt?%-%hZK-u7On5SwB$!#C%;5;L>g1@$3Ucwmu)9n}s$ja(DdiuslDDW5|6Q65o ztrwCg;^k!n_qAN}Q#>Wv+zTCjy~qB2XaWk-t1LX_X{aXZm6LgH?;i2ojWZI(i;Q!+UQIVFUN##|iIcPt?;Q33R*`t6Rceuka?DGI%*( z(svGivt*^SJ4R;{lG1`1xdR7{qYD6Gzlb5HIhdm$ZEJ-ewMC!*lH=ZBa*P5)2>blq z;j7monzR)FKo@4h&qAm~B*4W8hG=o*QBCZw;YgVv)6)p37wlVxyf>IqttlKSqCwW! z$RUiP#xj(yt(Hu>@BMq@q0g|!%n`q(V{}LFu&7Px&HS&3m?3_ME8Bkg(Krx}rI5ro z>rOmuL*a)I@(H^b;(ME~maNA)-MAOA^U!J3bVdR#+@v*A_}IPBuoaEu#epw? zpY^wtv*;L=LobZdl}yPlPxH=P%gp@-aroG-u#=`$iL=%D*MaE%bL)NFf4yW;Mxw$J z?GfwOjr9i2Vx|HK4mI557dX(gC{h4WQI0%2_|-_1hTy z&l{B;cYLO{RvdL2&F7}!Qd>`w38uoExt}SKAHG!Yx5FEeDK36ZAC)144+lW?{v~H` zW3CQ(XH3f9q+*I`Cz5KS=Ci@`TW48d{GF;=xNCiq*;gX0Ij@)kKyEesKBZH`J?ldr zNfqpQ&!4LAPDGBwQ=}pizYkc9K!{P}&IDL~i&`nQQ&d#|GR+e;Tz^V?rvK09C8 zvu=TfI!dBRI_kb4Q{q*Ma^IT{)&n1Nnn$qVnXm*0`P}b`VR^gImVk_(Fwi7cWnqw0 z0p@5q>gfoWx_Rp_HyDqFJ-qo*6BCp|R+&gX?BBh;|NOiI*@y#FGb+rjzBRlj$qPa} z5s@~CrllUQ>HD(VQ|7bIb$ZY}vlVjvX&^2pW5z)wMyr$FEQ%bRIp-~~ek3_hVH9HPh&PnedQ;<3&2*I0-a@k}VDU5Pyy-;5Y= z$zUdUgV1t|qp0R~2{6^?d8C$tPfFvmb{PFHKu!b^K(2qwdjHI@o3(hQCX`za9k>)& zQ&K%Xmh_Mmu)teZ0^^ROG(kl zf5-I;Yr55m*PFuhQcr{@FajsZCUmqVmV$ryGYrCW1IC(@F11C@y9%2uAZ3)?w9nb!C<(eJQJpjS zROZZXV%48ak->uwqaeS?&KGn&+{t>o83?`NzpTUNn~J(dgX~fPNNycqq(@DmqcY5W zwp66$4`Wm5epTfA#n_HF2Vq4oJcY@_WxOssNG0XS-y}tB)B49R4@y_20iq7j!b_ffI^)lr76Z z`R>_Cic&nSeu2jl({&%+`XM}KYZ?-vR=qtj;ULDP?e~>pX?SZVF%%fUV%K{Dm~h~j zmy(hB07fH^b^bn54G<{-Xhsg8hD{}HR1pFGP;@}b4=>G0ruoFJ*->L&o<3VHWxep2 zh@C#89KSDA`cMIka!U4T>3p;6U0M1qlMfL5;YIJC%$J=$vx`-913gidSYqMnocmXg z^__|9fNT0&{+sd5Jxl+;xA*VH{STmE_n>-|AFA&Qp=%oUzl6Ekk0`vI9iP~t-|Svq z?rJzb**Hwds47io8mTut*udcBlOFZuESi{)J79i zf;l3*(%RYJ&p``&?t9(-X$hVhsYtNS#Ls6N7TeFWfvUm#2?B6ype;2eM7KLYP7Dkr zv7}N_XplHWJRuLfkq-&Ey=3xULH1ieT)m88T)mzS+dH>#^