Skip to content

Commit

Permalink
Inital commit Bugfix Release
Browse files Browse the repository at this point in the history
  • Loading branch information
dbambus committed Feb 7, 2023
1 parent f2e6414 commit ef48051
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 2 deletions.
2 changes: 1 addition & 1 deletion include/config.h
Original file line number Diff line number Diff line change
Expand Up @@ -85,7 +85,7 @@
*
* Valid values [0 ... 255]
*/
#define SERNR 70
#define SERNR 71

//--------------------------------------------------------------------------
// Toggle Serial DEBUG Output
Expand Down
2 changes: 1 addition & 1 deletion package.json
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
{
"name": "Wortuhr",
"version": "2.7.0",
"version": "2.7.1",
"description": "For building a german layouted wordclock with an esp8266 module and WS2812/SK2812.",
"license": "BSD-3-Clause",
"contributors": [
Expand Down

0 comments on commit ef48051

Please sign in to comment.