Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Update release.yml #19

Merged
merged 8 commits into from
Oct 14, 2024
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension


Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
18 changes: 8 additions & 10 deletions .github/workflows/release.yml
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,7 @@ on:
revision:
description: 'mlplatform revision'
required: true
default: '22.02'
default: '24.08'
candidate_rev:
description: 'Release candidate revision'
required: false
Expand All @@ -32,23 +32,21 @@ jobs:
with:
repository: MDK-Packs/tensorflow-pack
path: tensorflow-pack

# - name: Create Container runtime from DockerHub
# run : |
# docker run -i -v ${{ github.workspace }}:/workspace/host --mac-address="00:02:F7:FF:55:55" --name build_runtime -d armswdev/cmsis_tools_m55:latest
# docker exec build_runtime pip3 install six requests pyyaml junit_xml pillow
# docker exec build_runtime cp /workspace/host/tensorflow-pack/tensorflow-build/.wgetrc /root/.wgetrc
# mkdir ${{ github.workspace }}/out/

- name: Setup Python environment
uses: actions/setup-python@v5
with:
python-version: '3.12'

- name: Install tools
run: |
sudo apt install rsync
pip3 install numpy pillow semantic-version six requests junit_xml pyyaml pygithub
pip install numpy pillow semantic-version six requests junit_xml pyyaml pygithub
mkdir ${{ github.workspace }}/out/

- name: Get history of releases from github
run:
python3 ./tensorflow-pack/tensorflow-build/get_releases.py ${{ secrets.GITHUB_TOKEN }} > ./tensorflow-pack/tensorflow-build/history.txt
python ./tensorflow-pack/tensorflow-build/get_releases.py ${{ secrets.GITHUB_TOKEN }} > ./tensorflow-pack/tensorflow-build/history.txt
cat ./tensorflow-pack/tensorflow-build/history.txt

- name: Run the pack build with the revision specified
Expand Down
40 changes: 23 additions & 17 deletions .github/workflows/tests_avh.yml
Original file line number Diff line number Diff line change
Expand Up @@ -14,18 +14,24 @@ jobs:

matrix:
target: [
{proj: kws, board: AVH-SSE-300, model: FVP_Corstone_SSE-300, uart: mps3_board.uart0},
{proj: kws, board: AVH-SSE-300-U55, model: FVP_Corstone_SSE-300_Ethos-U55, uart: mps3_board.uart0},
{proj: kws, board: AVH-SSE-300-U65, model: FVP_Corstone_SSE-300_Ethos-U65, uart: mps3_board.uart0},
{proj: kws, board: AVH-SSE-310, model: FVP_Corstone_SSE-310, uart: mps3_board.uart0},
{proj: kws, board: AVH-SSE-310-U55, model: FVP_Corstone_SSE-310, uart: mps3_board.uart0},
{proj: kws, board: AVH-SSE-310-U65, model: FVP_Corstone_SSE-310_Ethos-U65, uart: mps3_board.uart0},
{proj: object-detection, board: AVH-SSE-300, model: FVP_Corstone_SSE-300, uart: mps3_board.uart0},
{proj: object-detection, board: AVH-SSE-300-U55, model: FVP_Corstone_SSE-300_Ethos-U55, uart: mps3_board.uart0},
{proj: object-detection, board: AVH-SSE-300-U65, model: FVP_Corstone_SSE-300_Ethos-U65, uart: mps3_board.uart0},
{proj: object-detection, board: AVH-SSE-310, model: FVP_Corstone_SSE-310, uart: mps3_board.uart0},
{proj: object-detection, board: AVH-SSE-310-U55, model: FVP_Corstone_SSE-310, uart: mps3_board.uart0},
{proj: object-detection, board: AVH-SSE-310-U65, model: FVP_Corstone_SSE-310_Ethos-U65, uart: mps3_board.uart0}
{proj: kws, board: AVH-SSE-300-U55, model: FVP_Corstone_SSE-300_Ethos-U55, base: mps3, uart: uart0},
{proj: kws, board: AVH-SSE-300-U65, model: FVP_Corstone_SSE-300_Ethos-U65, base: mps3, uart: uart0},
{proj: kws, board: AVH-SSE-310, model: FVP_Corstone_SSE-310, base: mps3, uart: uart0},
{proj: kws, board: AVH-SSE-310-U55, model: FVP_Corstone_SSE-310, base: mps3, uart: uart0},
{proj: kws, board: AVH-SSE-310-U65, model: FVP_Corstone_SSE-310_Ethos-U65, base: mps3, uart: uart0},
{proj: kws, board: AVH-SSE-315, model: FVP_Corstone_SSE-315, base: mps4, uart: uart0},
{proj: kws, board: AVH-SSE-315-U65, model: FVP_Corstone_SSE-315, base: mps4, uart: uart0},
{proj: kws, board: AVH-SSE-320, model: FVP_Corstone_SSE-320, base: mps4, uart: uart0},
{proj: kws, board: AVH-SSE-320-U85, model: FVP_Corstone_SSE-320, base: mps4, uart: uart0},
{proj: object-detection, board: AVH-SSE-300-U55, model: FVP_Corstone_SSE-300_Ethos-U55, base: mps3, uart: uart0},
{proj: object-detection, board: AVH-SSE-300-U65, model: FVP_Corstone_SSE-300_Ethos-U65, base: mps3, uart: uart0},
{proj: object-detection, board: AVH-SSE-310, model: FVP_Corstone_SSE-310, base: mps3, uart: uart0},
{proj: object-detection, board: AVH-SSE-310-U55, model: FVP_Corstone_SSE-310, base: mps3, uart: uart0},
{proj: object-detection, board: AVH-SSE-310-U65, model: FVP_Corstone_SSE-310_Ethos-U65, base: mps3, uart: uart0},
{proj: object-detection, board: AVH-SSE-315, model: FVP_Corstone_SSE-315, base: mps4, uart: uart0},
{proj: object-detection, board: AVH-SSE-315-U65, model: FVP_Corstone_SSE-315, base: mps4, uart: uart0},
{proj: object-detection, board: AVH-SSE-320, model: FVP_Corstone_SSE-320, base: mps4, uart: uart0},
{proj: object-detection, board: AVH-SSE-320-U85, model: FVP_Corstone_SSE-320, base: mps4, uart: uart0}
]

fail-fast: false
Expand Down Expand Up @@ -85,17 +91,17 @@ jobs:
run: |
${{ matrix.target.model }} \
-a ./out/${{ matrix.target.proj }}/${{ matrix.target.board }}/Release/${{ matrix.target.proj }}.axf \
-f ./FVP/${{ matrix.target.model }}/fvp_config.txt \
-C ${{ matrix.target.uart }}.out_file=./out/${{ matrix.target.proj }}/${{ matrix.target.board }}/Release/fvp_stdout.log \
-f ./device/corstone/fvp-configs/${{ matrix.target.base }}_fvp_config.txt \
-C ${{ matrix.target.base }}_board.${{ matrix.target.uart }}.out_file=./out/${{ matrix.target.proj }}/${{ matrix.target.board }}/Release/fvp_stdout.log \
--simlimit 60 --stat
cat ./out/${{ matrix.target.proj }}/${{ matrix.target.board }}/Release/fvp_stdout.log

- name: Execute project ${{ matrix.target.proj }}, target ${{ matrix.target.board }}, build Debug on AVH ${{ matrix.target.model }}
if: always()
run: |
${{ matrix.target.model }} \
-a ./out/${{ matrix.target.proj }}/${{ matrix.target.board }}/Debug/${{ matrix.target.proj }}.axf \
-f ./FVP/${{ matrix.target.model }}/fvp_config.txt \
-C ${{ matrix.target.uart }}.out_file=./out/${{ matrix.target.proj }}/${{ matrix.target.board }}/Debug/fvp_stdout.log \
-f ./device/corstone/fvp-configs/${{ matrix.target.base }}_fvp_config.txt \
-C ${{ matrix.target.base }}_board.${{ matrix.target.uart }}.out_file=./out/${{ matrix.target.proj }}/${{ matrix.target.board }}/Debug/fvp_stdout.log \
--simlimit 60 --stat
cat ./out/${{ matrix.target.proj }}/${{ matrix.target.board }}/Debug/fvp_stdout.log
7 changes: 2 additions & 5 deletions 3rdparty-build/gen_pack.py
Original file line number Diff line number Diff line change
Expand Up @@ -33,9 +33,6 @@
import argparse
import re

import distutils
from distutils import dir_util

import semantic_version

def sanitize_SemVer(unsanitized):
Expand Down Expand Up @@ -99,7 +96,7 @@ def main(unparsed_args, flags):
print (">>> Merging local source:")
print (">>> ", src_path, "==>" ,src_dest)
os.mkdir(src_dest)
distutils.dir_util.copy_tree(src_path, src_dest, verbose=1)
shutil.copytree(src_path, src_dest, dirs_exist_ok=True)

if cfg["remote_source"] is not None:
print (">>> Merging remote source:")
Expand All @@ -112,7 +109,7 @@ def main(unparsed_args, flags):
if cfg["add"] is not None:
print (">>> Merging additions.")
print (">>> ", add_path, "==>" ,pack_path)
distutils.dir_util.copy_tree(add_path, pack_path)
shutil.copytree(add_path, pack_path, dirs_exist_ok=True)

history_str = ""
# read file into string
Expand Down
Loading