Skip to content

Commit

Permalink
Fix minor compile failures after merging main branch
Browse files Browse the repository at this point in the history
  • Loading branch information
jlapeyre committed Jun 27, 2024
1 parent 0f1f9ac commit e7cd465
Show file tree
Hide file tree
Showing 2 changed files with 6 additions and 6 deletions.
10 changes: 5 additions & 5 deletions crates/circuit/src/gate_matrix.rs
Original file line number Diff line number Diff line change
Expand Up @@ -173,11 +173,11 @@ pub static TDG_GATE: GateArray1Q = [
[C_ZERO, c64(FRAC_1_SQRT_2, -FRAC_1_SQRT_2)],
];

pub static DCX_GATE: [[Complex64; 4]; 4] = [
[c64(1., 0.), c64(0., 0.), c64(0., 0.), c64(0., 0.)],
[c64(0., 0.), c64(0., 0.), c64(0., 0.), c64(1., 0.)],
[c64(0., 0.), c64(1., 0.), c64(0., 0.), c64(0., 0.)],
[c64(0., 0.), c64(0., 0.), c64(1., 0.), c64(0., 0.)],
pub static DCX_GATE: GateArray2Q = [
[C_ONE, C_ZERO, C_ZERO, C_ZERO],
[C_ZERO, C_ZERO, C_ZERO, C_ONE],
[C_ZERO, C_ONE, C_ZERO, C_ZERO],
[C_ZERO, C_ZERO, C_ONE, C_ZERO],
];

#[inline]
Expand Down
2 changes: 1 addition & 1 deletion crates/circuit/src/operations.rs
Original file line number Diff line number Diff line change
Expand Up @@ -965,7 +965,7 @@ impl Operation for StandardGate {
Self::CRXGate | Self::CRYGate | Self::CRZGate => todo!(),
Self::RGate => Python::with_gil(|py| -> Option<CircuitData> {
let theta_expr = clone_param(&params[0], py);
let phi_expr1 = add_param(&params[1], -PI2, py);
let phi_expr1 = add_param(&params[1], -PI / 2., py);
let phi_expr2 = multiply_param(&phi_expr1, -1.0, py);
let defparams = smallvec![theta_expr, phi_expr1, phi_expr2];
Some(
Expand Down

0 comments on commit e7cd465

Please sign in to comment.