Skip to content

Commit

Permalink
prepare release
Browse files Browse the repository at this point in the history
Signed-off-by: Nikolaj Bjorner <nbjorner@microsoft.com>
  • Loading branch information
NikolajBjorner committed Nov 16, 2021
1 parent b6f7dea commit c826b64
Show file tree
Hide file tree
Showing 3 changed files with 7 additions and 4 deletions.
5 changes: 3 additions & 2 deletions scripts/release.yml
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@
trigger: none

variables:
ReleaseVersion: '4.8.12'
ReleaseVersion: '4.8.13'

stages:

Expand Down Expand Up @@ -310,6 +310,7 @@ stages:
jobs:

- job: GitHubPublish
condition: eq(1,0)
displayName: "Publish to GitHub"
pool:
vmImage: "windows-latest"
Expand Down Expand Up @@ -387,7 +388,7 @@ stages:

# Enable on release:
- job: PyPIPublish
condition: eq(1,1)
condition: eq(0,1)
displayName: "Publish to PyPI"
pool:
vmImage: "ubuntu-latest"
Expand Down
5 changes: 3 additions & 2 deletions src/sat/smt/arith_solver.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -627,8 +627,9 @@ namespace arith {
else if (use_nra_model() && lp().external_to_local(v) != lp::null_lpvar) {
anum const& an = nl_value(v, *m_a1);
if (a.is_int(o) && !m_nla->am().is_int(an))
value = a.mk_numeral(rational::zero(), a.is_int(o));
//value = a.mk_numeral(m_nla->am(), nl_value(v, *m_a1), a.is_int(o));
value = a.mk_numeral(rational::zero(), a.is_int(o));
else
value = a.mk_numeral(m_nla->am(), nl_value(v, *m_a1), a.is_int(o));
}
else if (v != euf::null_theory_var) {
rational r = get_value(v);
Expand Down
1 change: 1 addition & 0 deletions src/sat/smt/euf_model.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -131,6 +131,7 @@ namespace euf {

void solver::dependencies2values(user_sort& us, deps_t& deps, model_ref& mdl) {
for (enode* n : deps.top_sorted()) {
TRACE("model", tout << bpp(n->get_root()) << "\n");
unsigned id = n->get_root_id();
if (m_values.get(id, nullptr))
continue;
Expand Down

0 comments on commit c826b64

Please sign in to comment.