Skip to content

Commit

Permalink
merged changes in axis
Browse files Browse the repository at this point in the history
  • Loading branch information
alexforencich committed Jan 17, 2024
2 parents b4d09cb + a29282c commit 1274443
Show file tree
Hide file tree
Showing 3 changed files with 23 additions and 17 deletions.
2 changes: 1 addition & 1 deletion lib/axis/rtl/axis_arb_mux.v
Original file line number Diff line number Diff line change
Expand Up @@ -168,7 +168,7 @@ arb_inst (
.grant_encoded(grant_encoded)
);

assign request = (s_axis_tvalid_reg & ~grant) | (s_axis_tvalid & grant);
assign request = s_axis_tvalid | (s_axis_tvalid_reg & ~grant);
assign acknowledge = grant & s_axis_tvalid_reg & {S_COUNT{m_axis_tready_int_reg}} & (LAST_ENABLE ? s_axis_tlast_reg : {S_COUNT{1'b1}});

always @* begin
Expand Down
19 changes: 11 additions & 8 deletions lib/axis/rtl/axis_async_fifo.v
Original file line number Diff line number Diff line change
Expand Up @@ -861,17 +861,20 @@ if (PAUSE_ENABLE) begin : pause

always @(posedge m_clk) begin
if (FRAME_PAUSE) begin
if (m_axis_tvalid && m_axis_tready) begin
if (m_axis_tlast) begin
if (pause_reg) begin
// paused; update pause status
pause_reg <= m_pause_req || s_pause_req_sync3_reg;
end else if (m_axis_tvalid_out) begin
// frame transfer; set frame bit
pause_frame_reg <= 1'b1;
if (m_axis_tready && m_axis_tlast) begin
// end of frame; clear frame bit and update pause status
pause_frame_reg <= 1'b0;
pause_reg <= m_pause_req || s_pause_req_sync3_reg;
end else begin
pause_frame_reg <= 1'b1;
end
end else begin
if (!pause_frame_reg) begin
pause_reg <= m_pause_req || s_pause_req_sync3_reg;
end
end else if (!pause_frame_reg) begin
// idle; update pause status
pause_reg <= m_pause_req || s_pause_req_sync3_reg;
end
end else begin
pause_reg <= m_pause_req || s_pause_req_sync3_reg;
Expand Down
19 changes: 11 additions & 8 deletions lib/axis/rtl/axis_fifo.v
Original file line number Diff line number Diff line change
Expand Up @@ -517,17 +517,20 @@ if (PAUSE_ENABLE) begin : pause

always @(posedge clk) begin
if (FRAME_PAUSE) begin
if (m_axis_tvalid && m_axis_tready) begin
if (m_axis_tlast) begin
if (pause_reg) begin
// paused; update pause status
pause_reg <= pause_req;
end else if (m_axis_tvalid_out) begin
// frame transfer; set frame bit
pause_frame_reg <= 1'b1;
if (m_axis_tready && m_axis_tlast) begin
// end of frame; clear frame bit and update pause status
pause_frame_reg <= 1'b0;
pause_reg <= pause_req;
end else begin
pause_frame_reg <= 1'b1;
end
end else begin
if (!pause_frame_reg) begin
pause_reg <= pause_req;
end
end else if (!pause_frame_reg) begin
// idle; update pause status
pause_reg <= pause_req;
end
end else begin
pause_reg <= pause_req;
Expand Down

0 comments on commit 1274443

Please sign in to comment.