Skip to content

Commit

Permalink
Formatting
Browse files Browse the repository at this point in the history
  • Loading branch information
bamless committed Jul 23, 2024
1 parent b07dde9 commit 6de00b9
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion src/vm.c
Original file line number Diff line number Diff line change
Expand Up @@ -1480,7 +1480,7 @@ super_invoke:;
TARGET(OP_YIELD): {
JSR_ASSERT(frame->gen, "Current function is not a Generator");
JSR_ASSERT(frame->gen->state != GEN_STARTED && frame->gen->state != GEN_SUSPENDED,
"Invalid generator state");
"Invalid generator state");

Value ret = pop(vm);

Expand Down

0 comments on commit 6de00b9

Please sign in to comment.