Skip to content

Commit

Permalink
Merge branch 'main' into btor2integration
Browse files Browse the repository at this point in the history
  • Loading branch information
dobios committed May 1, 2024
2 parents 505a293 + 13e33ac commit 3cb68c5
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion src/test/scala/chiselTests/reflect/DataMirrorSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -245,7 +245,7 @@ class DataMirrorSpec extends ChiselFlatSpec {
DataMirror.getLayerColor(foo.c) should be(Some(A))
}

"moduleIOs" should "return an in-progress module's IOs" in {
"currentModulePorts" should "return an in-progress module's IOs" in {
class Foo extends RawModule {
val in = IO(Input(Bool()))
val out = IO(Output(Bool()))
Expand Down

0 comments on commit 3cb68c5

Please sign in to comment.