Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

chisel3.util.pipe is broken #615

Closed
SpaceCowboyMax opened this issue May 24, 2017 · 1 comment
Closed

chisel3.util.pipe is broken #615

SpaceCowboyMax opened this issue May 24, 2017 · 1 comment

Comments

@SpaceCowboyMax
Copy link

Hello!
After last release, simple instantiation of pipe module gives error
example

class Sample extends Module {
  val io = IO(new Bundle {
    val a = Input(Bool())
    val b = Input(UInt(32.W))
  })
  val pipe = Module(new Pipe(UInt(32.W), 32))
  pipe.io.enq.valid <> io.a
  pipe.io.enq.bits <> io.b
}
object Sample extends App {
  Driver.execute(Array("-td", "./"), () => new Sample)
}

dat code gives an error
Exception in thread "main" chisel3.internal.ChiselException: Connection between left (chisel3.core.Bool@cc) and source (chisel3.core.Bool@c2) failed @: Locally unclear whether Left or Right (both internal)
at chisel3.internal.throwException$.apply(Error.scala:13)
at chisel3.core.Data.bulkConnect(Data.scala:222)
at chisel3.core.Data.$less$greater(Data.scala:273)
at chisel3.util.Pipe$.apply(Valid.scala:41)
...
etc

@SpaceCowboyMax SpaceCowboyMax changed the title chisel.util.pipe broke chisel3.util.pipe broke May 24, 2017
@SpaceCowboyMax SpaceCowboyMax changed the title chisel3.util.pipe broke chisel3.util.pipe is broken May 24, 2017
@ucbjrl
Copy link
Contributor

ucbjrl commented May 24, 2017

Thanks for reporting this.

This is due to pr #592 (the removal of the import chisel3.core.ExplicitCompileOptions.NotStrict on internal library implementations), coupled with the old Pipe implementation.

ucbjrl added a commit that referenced this issue May 24, 2017
Replace ambiguous bi-connect ("<>") with mono-connect (":=") for internal Pipe wiring.
ucbjrl added a commit that referenced this issue May 24, 2017
Replace ambiguous bi-connect ("<>") with mono-connect (":=") for internal Pipe wiring.
@ucbjrl ucbjrl closed this as completed in 0d121a2 May 25, 2017
ucbjrl added a commit that referenced this issue May 25, 2017
Replace ambiguous bi-connect ("<>") with mono-connect (":=") for internal Pipe wiring.
(cherry picked from commit 0d121a2)

bump version
ucbjrl added a commit that referenced this issue May 26, 2017
Replace ambiguous bi-connect ("<>") with mono-connect (":=") for internal Pipe wiring.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants