Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Implement a SyncReadMem wrapper with explicit read, write, and read/write ports (backport #3228) #3361

Merged
merged 2 commits into from
Jun 14, 2023

Conversation

mergify[bot]
Copy link
Contributor

@mergify mergify bot commented Jun 14, 2023

This is an automatic backport of pull request #3228 done by Mergify.


Mergify commands and options

More conditions and actions can be found in the documentation.

You can also trigger Mergify actions by commenting on this pull request:

  • @Mergifyio refresh will re-evaluate the rules
  • @Mergifyio rebase will rebase this PR on its base branch
  • @Mergifyio update will merge the base branch into this PR
  • @Mergifyio backport <destination> will backport this PR on <destination> branch

Additionally, on Mergify dashboard you can:

  • look at your merge queues
  • generate the Mergify configuration with the config editor.

Finally, you can contact us on https://mergify.com


Original PR Body

This PR implements a SRAM object, which wraps a SyncReadMem and instantiates a desired number of read, write, and read/write ports.

For example:

val memory = SRAM(UInt(8.W), 1000, 2, 2, 1)

generates a 1R, 2W, 1RW SyncReadMem with a size of 1000, and is controlled like so:

memory.rd(0).enable := timeToRead
memory.rd(0).addr := 3.U
// one cycle later after timeToRead is driven
fooData := memory.rd(0).readValue

memory.wr(1).enable := timeToWrite
memory.rw(1).addr := 100.U
memory.wr(1).writeValue := barData

memory.rw(0).enable := timeToReadWrite
memory.rw(0).isWrite := timeToWrite
memory.rw(0).writeData := bazData
memory.rw(0).addr := 50.U
// one cycle later when timeToReadWrite is driven but not timeToWrite
fizzData := memory.rw(0).readValue

Contributor Checklist

  • Did you add Scaladoc to every public function/method?
  • Did you add at least one test demonstrating the PR?
  • Did you delete any extraneous printlns/debugging code?
  • Did you specify the type of improvement?
  • Did you add appropriate documentation in docs/src?
  • Did you request a desired merge strategy?
  • Did you add text to be included in the Release Notes for this change?

Type of Improvement

Desired Merge Strategy

Squash and merge

Release Notes

This SyncReadMem wrapper is instantiated using a new object, SRAM.apply, and invokes .write, .read, and .readWrite to generate a desired number of read, write, and read/write ports. This function returns a new Bundle wire containing the control signals for each requested port.

Reviewer Checklist (only modified by reviewer)

  • Did you add the appropriate labels? (Select the most appropriate one based on the "Type of Improvement")
  • Did you mark the proper milestone (Bug fix: 3.5.x or 3.6.x depending on impact, API modification or big change: 5.0.0)?
  • Did you review?
  • Did you check whether all relevant Contributor checkboxes have been checked?
  • Did you do one of the following when ready to merge:
    • Squash: You/ the contributor Enable auto-merge (squash), clean up the commit message, and label with Please Merge.
    • Merge: Ensure that contributor has cleaned up their commit history, then merge with Create a merge commit.

…ite ports (#3228)

Co-authored-by: Jiuyang Liu <liu@jiuyang.me>
Co-authored-by: Jack Koenig <koenig@sifive.com>
(cherry picked from commit 8851fae)
@mergify mergify bot added the Backport Automated backport, please consider for minor release label Jun 14, 2023
@github-actions github-actions bot added the Feature New feature, will be included in release notes label Jun 14, 2023
@mergify mergify bot merged commit 8edd8c5 into 3.6.x Jun 14, 2023
@mergify mergify bot deleted the mergify/bp/3.6.x/pr-3228 branch June 14, 2023 22:41
@sequencer sequencer mentioned this pull request Jun 20, 2023
14 tasks
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Backport Automated backport, please consider for minor release Feature New feature, will be included in release notes
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants