Skip to content

Commit

Permalink
Update license headers and correct minor syntax issues
Browse files Browse the repository at this point in the history
  • Loading branch information
dpretet committed Jun 27, 2024
1 parent 0496633 commit 54b976e
Show file tree
Hide file tree
Showing 14 changed files with 92 additions and 10 deletions.
2 changes: 1 addition & 1 deletion LICENSE
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
The MIT License

Copyright (c) 2022 The SVUT Authors
Copyright (c) 2024 The SVUT Authors

Permission is hereby granted, free of charge, to any person obtaining a copy of this software and
associated documentation files (the "Software"), to deal in the Software without restriction,
Expand Down
2 changes: 1 addition & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -232,7 +232,7 @@ Enjoy!

## License

Copyright 2021 The SVUT Authors
Copyright 2024 The SVUT Authors

Permission is hereby granted, free of charge, to any person obtaining a copy of
this software and associated documentation files (the "Software"), to deal in
Expand Down
20 changes: 20 additions & 0 deletions example/ffd.sv
Original file line number Diff line number Diff line change
@@ -1,3 +1,23 @@
/// Copyright 2024 The SVUT Authors
///
/// Permission is hereby granted, free of charge, to any person obtaining a copy
/// of this software and associated documentation files (the "Software"), to
/// deal in the Software without restriction, including without limitation the
/// rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
/// sell copies of the Software, and to permit persons to whom the Software is
/// furnished to do so, subject to the following conditions:
///
/// The above copyright notice and this permission notice shall be included in
/// all copies or substantial portions of the Software.
///
/// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
/// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
/// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
/// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
/// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
/// FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS
/// IN THE SOFTWARE.

`timescale 1 ns / 1 ps

module ffd
Expand Down
22 changes: 21 additions & 1 deletion example/ffd_testbench.sv
Original file line number Diff line number Diff line change
@@ -1,3 +1,23 @@
/// Copyright 2024 The SVUT Authors
///
/// Permission is hereby granted, free of charge, to any person obtaining a copy
/// of this software and associated documentation files (the "Software"), to
/// deal in the Software without restriction, including without limitation the
/// rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
/// sell copies of the Software, and to permit persons to whom the Software is
/// furnished to do so, subject to the following conditions:
///
/// The above copyright notice and this permission notice shall be included in
/// all copies or substantial portions of the Software.
///
/// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
/// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
/// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
/// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
/// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
/// FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS
/// IN THE SOFTWARE.

// Mandatory file to be able to launch SVUT flow
`include "svut_h.sv"
// Specify the module to load or on files.f
Expand Down Expand Up @@ -69,7 +89,7 @@ module ffd_testbench();
//
// Available flag:
//
// - `LAST_STATUS: tied to 1 is last macro did experience a failure, else tied to 0
// - `LAST_STATUS: tied to 1 if last macro did experience a failure, else tied to 0

`UNIT_TEST("Check reset is applied")

Expand Down
Binary file modified readme.jpg
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
2 changes: 2 additions & 0 deletions setup.py
Original file line number Diff line number Diff line change
Expand Up @@ -30,6 +30,8 @@
"Programming Language :: Python :: 3.8",
"Programming Language :: Python :: 3.9",
"Programming Language :: Python :: 3.10",
"Programming Language :: Python :: 3.11",
"Programming Language :: Python :: 3.12",
],
keywords="Verilog Testbench Digital-Design",
packages=find_namespace_packages(),
Expand Down
2 changes: 1 addition & 1 deletion svut/svutCreate.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# -*- coding: utf-8 -*-

"""
Copyright 2022 The SVUT Authors
Copyright 2024 The SVUT Authors
Permission is hereby granted, free of charge, to any person obtaining a copy of
this software and associated documentation files (the "Software"), to deal in
Expand Down
2 changes: 1 addition & 1 deletion svut/svutRun.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# -*- coding: utf-8 -*-

"""
Copyright 2022 The SVUT Authors
Copyright 2024 The SVUT Authors
Permission is hereby granted, free of charge, to any person obtaining a copy of
this software and associated documentation files (the "Software"), to deal in
Expand Down
2 changes: 1 addition & 1 deletion svut/svut_h.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
/// Copyright 2021 The SVUT Authors
/// Copyright 2024 The SVUT Authors
///
/// Permission is hereby granted, free of charge, to any person obtaining a copy
/// of this software and associated documentation files (the "Software"), to
Expand Down
20 changes: 20 additions & 0 deletions svut/template.cpp
Original file line number Diff line number Diff line change
@@ -1,3 +1,23 @@
/// Copyright 2024 The SVUT Authors
///
/// Permission is hereby granted, free of charge, to any person obtaining a copy
/// of this software and associated documentation files (the "Software"), to
/// deal in the Software without restriction, including without limitation the
/// rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
/// sell copies of the Software, and to permit persons to whom the Software is
/// furnished to do so, subject to the following conditions:
///
/// The above copyright notice and this permission notice shall be included in
/// all copies or substantial portions of the Software.
///
/// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
/// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
/// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
/// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
/// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
/// FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS
/// IN THE SOFTWARE.

#include "build/V${name}_testbench.h"
#include "verilated.h"

Expand Down
22 changes: 21 additions & 1 deletion svut/template.sv
Original file line number Diff line number Diff line change
@@ -1,3 +1,23 @@
/// Copyright 2024 The SVUT Authors
///
/// Permission is hereby granted, free of charge, to any person obtaining a copy
/// of this software and associated documentation files (the "Software"), to
/// deal in the Software without restriction, including without limitation the
/// rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
/// sell copies of the Software, and to permit persons to whom the Software is
/// furnished to do so, subject to the following conditions:
///
/// The above copyright notice and this permission notice shall be included in
/// all copies or substantial portions of the Software.
///
/// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
/// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
/// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
/// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
/// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
/// FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS
/// IN THE SOFTWARE.

// Mandatory file to be able to launch SVUT flow
`include "svut_h.sv"
// Specify the module to load or on files.f
Expand Down Expand Up @@ -55,7 +75,7 @@ ${module_inst}
//
// Available flag:
//
// - `LAST_STATUS: tied to 1 is last macro did experience a failure, else tied to 0
// - `LAST_STATUS: tied to 1 if last macro did experience a failure, else tied to 0

`UNIT_TEST("TESTCASE_NAME")

Expand Down
2 changes: 1 addition & 1 deletion test/Adder.v
Original file line number Diff line number Diff line change
Expand Up @@ -34,7 +34,7 @@ module Adder
end
else begin
if (clr == 1'b1)
out <= 8'b0;
out <= {WIDTH{1'b0}};
else if (inc == 1'b1)
out <= out + 1'b1;
end
Expand Down
2 changes: 1 addition & 1 deletion test/Adder_KO_testsuite.sv
Original file line number Diff line number Diff line change
Expand Up @@ -72,7 +72,7 @@ module Adder_unit_test_KO;

/* Available flag:
- `LAST_STATUS: tied to 1 is last macros has been asserted, else tied to 0
- `LAST_STATUS: tied to 1 if last macros has been asserted, else tied to 0
*/

`UNIT_TEST("Macro test")
Expand Down
2 changes: 1 addition & 1 deletion test/Adder_OK_testsuite.sv
Original file line number Diff line number Diff line change
Expand Up @@ -72,7 +72,7 @@ module Adder_unit_test_OK;

/* Available flag:
- `LAST_STATUS: tied to 1 is last macros has been asserted, else tied to 0
- `LAST_STATUS: tied to 1 if last macros has been asserted, else tied to 0
*/

`UNIT_TEST("Macro test")
Expand Down

0 comments on commit 54b976e

Please sign in to comment.