Skip to content

Commit

Permalink
Update openlane.md
Browse files Browse the repository at this point in the history
  • Loading branch information
Manarabdelaty committed Jan 14, 2022
1 parent 4773c5c commit f6514b3
Showing 1 changed file with 0 additions and 13 deletions.
13 changes: 0 additions & 13 deletions openlane/openlane.md
Original file line number Diff line number Diff line change
Expand Up @@ -318,19 +318,6 @@ make caravel_timing_slow
make caravel_timing_fast
```

# Final Timing Signoff

- The final timing signoff is done with the following three top level makefile targets.

```
# Run at the typical corner
make caravel_timing_typ
# Run at the slowest corner
make caravel_timing_slow
# Run at the fastest corner
make caravel_timing_fast
```

# Improvements/Suggestions

- Re-consider the clock pin location in the user project wrapper. Placing it in the middle of the south edge of the wrapper will reduce the clock skew and will help users achieve timing closure.
Expand Down

0 comments on commit f6514b3

Please sign in to comment.