Skip to content

Commit

Permalink
fix: chisel3 pr chipsalliance#2758
Browse files Browse the repository at this point in the history
+ Replace MultiIOModule with Module;
  • Loading branch information
SingularityKChen committed Jan 7, 2023
1 parent c61b2a6 commit 3c4318a
Show file tree
Hide file tree
Showing 4 changed files with 6 additions and 6 deletions.
4 changes: 2 additions & 2 deletions src/main/scala/diplomacy/CloneModule.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@ package chisel3.shim

import Chisel._
import chisel3.experimental.BaseModule
import chisel3.{RawModule, MultiIOModule}
import chisel3.{RawModule, Module}
import chisel3.internal.Builder
import chisel3.internal.firrtl.{Command, DefInstance}
import scala.collection.immutable.ListMap
Expand Down Expand Up @@ -47,7 +47,7 @@ object CloneModule
commands.update(victimIdx, standin)
// Wire it up
model match {
case _: MultiIOModule =>
case _: Module =>
mod.io("clock") := Module.clock
mod.io("reset") := Module.reset
case _: RawModule => // Do nothing
Expand Down
4 changes: 2 additions & 2 deletions src/main/scala/diplomacy/LazyModule.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ package freechips.rocketchip.diplomacy

import Chisel.{defaultCompileOptions => _, _}
import chisel3.internal.sourceinfo.{SourceInfo, UnlocatableSourceInfo}
import chisel3.{MultiIOModule, RawModule, Reset, withClockAndReset}
import chisel3.{Module, RawModule, Reset, withClockAndReset}
import chisel3.experimental.{ChiselAnnotation, CloneModuleAsRecord}
import firrtl.passes.InlineAnnotation
import freechips.rocketchip.config.Parameters
Expand Down Expand Up @@ -389,7 +389,7 @@ sealed trait LazyModuleImpLike extends RawModule {
*
* @param wrapper the [[LazyModule]] from which the `.module` call is being made.
*/
class LazyModuleImp(val wrapper: LazyModule) extends MultiIOModule with LazyModuleImpLike {
class LazyModuleImp(val wrapper: LazyModule) extends Module with LazyModuleImpLike {
/** Instantiate hardware of this `Module`. */
val (auto, dangles) = instantiate()
}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/tilelink/Broadcast.scala
Original file line number Diff line number Diff line change
Expand Up @@ -351,7 +351,7 @@ class ProbeFilterIO(val params: ProbeFilterParams) extends Bundle {
val release = Flipped(Decoupled(new ProbeFilterRelease(params)))
}

abstract class ProbeFilter(val params: ProbeFilterParams) extends MultiIOModule {
abstract class ProbeFilter(val params: ProbeFilterParams) extends Module {
def useRegFields(bankIndex: Int): Seq[RegField.Map] = Nil
def tieRegFields(bankIndex: Int): Unit = ()
val io = IO(new ProbeFilterIO(params))
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/unittest/UnitTest.scala
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@ trait UnitTestLegacyModule extends HasUnitTestIO {
val io = new Bundle with UnitTestIO
}

trait UnitTestModule extends MultiIOModule with HasUnitTestIO {
trait UnitTestModule extends Module with HasUnitTestIO {
val io = IO(new Bundle with UnitTestIO)
ElaborationArtefacts.add("plusArgs", PlusArgArtefacts.serialize_cHeader)
}
Expand Down

0 comments on commit 3c4318a

Please sign in to comment.