Skip to content

Commit

Permalink
fix: io declaration and explicit import
Browse files Browse the repository at this point in the history
wrap io declaration and use explicit import

Signed-off-by: Tianrui Wei <tianrui@tianruiwei.com>
  • Loading branch information
tianrui-wei committed Sep 14, 2022
1 parent c7983d7 commit 2e7295a
Show file tree
Hide file tree
Showing 2 changed files with 5 additions and 5 deletions.
6 changes: 3 additions & 3 deletions src/main/scala/groundtest/DummyPTW.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,17 +4,17 @@
package freechips.rocketchip.groundtest

import chisel3._
import chisel3.util._
import chisel3.util.{RRArbiter, Valid, log2Up, RegEnable}

import freechips.rocketchip.config.Parameters
import freechips.rocketchip.rocket._
import freechips.rocketchip.tile.CoreModule
import freechips.rocketchip.util.ParameterizedBundle

class DummyPTW(n: Int)(implicit p: Parameters) extends CoreModule()(p) {
val io = new Bundle {
val io = IO(new Bundle {
val requestors = Flipped(Vec(n, new TLBPTWIO))
}
})

val req_arb = Module(new RRArbiter(Valid(new PTWReq), n))
req_arb.io.in <> io.requestors.map(_.req)
Expand Down
4 changes: 2 additions & 2 deletions src/main/scala/groundtest/TraceGen.scala
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@
package freechips.rocketchip.groundtest

import chisel3._
import chisel3.util._
import chisel3.util.{log2Up, MuxLookup, Cat, log2Ceil, Enum}
import freechips.rocketchip.config.{Parameters}
import freechips.rocketchip.diplomacy.{ClockCrossingType}
import freechips.rocketchip.rocket._
Expand Down Expand Up @@ -632,4 +632,4 @@ class TraceGenTileModuleImp(outer: TraceGenTile) extends GroundTestTileModuleImp
status.error.valid := false.B

assert(!tracegen.io.timeout, s"TraceGen tile ${outer.tileParams.hartId}: request timed out")
}
}

0 comments on commit 2e7295a

Please sign in to comment.