Skip to content

Commit

Permalink
Made Vcc an input
Browse files Browse the repository at this point in the history
See #10

Ported ed9d3de
  • Loading branch information
pattacini committed Dec 30, 2023
1 parent 09389bb commit ea41849
Show file tree
Hide file tree
Showing 9 changed files with 0 additions and 1 deletion.
Binary file modified boards/amcbldc/control/control_foc.slx
Binary file not shown.
Binary file not shown.
Binary file modified boards/amcbldc/system/dictionaries/EmbeddedBoardDD.sldd
Binary file not shown.
Binary file modified boards/amcbldc/system/dictionaries/can_messaging.sldd
Binary file not shown.
Binary file modified boards/amcbldc/system/dictionaries/supervisor.sldd
Binary file not shown.
1 change: 0 additions & 1 deletion boards/amcbldc/system/dictionaries/update_dictionary.m
Original file line number Diff line number Diff line change
Expand Up @@ -28,7 +28,6 @@
p.Value.motorconfig.encoder_tolerance = uint8(0);
p.Value.motorconfig.pole_pairs = uint8(7);
p.Value.motorconfig.Vmax = single(24);
p.Value.motorconfig.Vcc = single(44);
p.Value.motorconfig.resistance = single(25.9);
p.Value.motorconfig.inductance = single(271);
p.Value.motorconfig.thermal_resistance = single(16);
Expand Down
Binary file modified boards/amcbldc/tests/control/control_pmsm.slx
Binary file not shown.
Binary file modified boards/amcbldc/tests/supervisor/TestSupervisorFSM_RX.slx
Binary file not shown.
Binary file modified boards/amcbldc/tests/supervisor/TestSupervisorFSM_TX.slx
Binary file not shown.

0 comments on commit ea41849

Please sign in to comment.